content
stringlengths 1
1.04M
⌀ |
---|
-------------------------------------------------------------------------------
--
-- File: DVI_Constants.vhd
-- Author: Elod Gyorgy
-- Original Project: HDMI input on 7-series Xilinx FPGA
-- Date: 8 October 2014
--
-------------------------------------------------------------------------------
-- (c) 2014 Copyright Digilent Incorporated
-- All Rights Reserved
--
-- This program is free software; distributed under the terms of BSD 3-clause
-- license ("Revised BSD License", "New BSD License", or "Modified BSD License")
--
-- Redistribution and use in source and binary forms, with or without modification,
-- are permitted provided that the following conditions are met:
--
-- 1. Redistributions of source code must retain the above copyright notice, this
-- list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- 3. Neither the name(s) of the above-listed copyright holder(s) nor the names
-- of its contributors may be used to endorse or promote products derived
-- from this software without specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
-- IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
-- ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE
-- FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
-- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
-- SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,
-- OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
-- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
--
-- Purpose:
-- This package defines constants/parameters taken from the DVI specs.
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
package DVI_Constants is
-- DVI Control Tokens
constant kCtlTkn0 : std_logic_vector(9 downto 0) := "1101010100";
constant kCtlTkn1 : std_logic_vector(9 downto 0) := "0010101011";
constant kCtlTkn2 : std_logic_vector(9 downto 0) := "0101010100";
constant kCtlTkn3 : std_logic_vector(9 downto 0) := "1010101011";
constant kMinTknCntForBlank : natural := 128; --tB
constant kBlankTimeoutMs : natural := 50;
end DVI_Constants;
package body DVI_Constants is
end DVI_Constants;
|
-------------------------------------------------------------------------------
--
-- File: DVI_Constants.vhd
-- Author: Elod Gyorgy
-- Original Project: HDMI input on 7-series Xilinx FPGA
-- Date: 8 October 2014
--
-------------------------------------------------------------------------------
-- (c) 2014 Copyright Digilent Incorporated
-- All Rights Reserved
--
-- This program is free software; distributed under the terms of BSD 3-clause
-- license ("Revised BSD License", "New BSD License", or "Modified BSD License")
--
-- Redistribution and use in source and binary forms, with or without modification,
-- are permitted provided that the following conditions are met:
--
-- 1. Redistributions of source code must retain the above copyright notice, this
-- list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- 3. Neither the name(s) of the above-listed copyright holder(s) nor the names
-- of its contributors may be used to endorse or promote products derived
-- from this software without specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
-- IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
-- ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE
-- FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
-- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
-- SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,
-- OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
-- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
--
-- Purpose:
-- This package defines constants/parameters taken from the DVI specs.
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
package DVI_Constants is
-- DVI Control Tokens
constant kCtlTkn0 : std_logic_vector(9 downto 0) := "1101010100";
constant kCtlTkn1 : std_logic_vector(9 downto 0) := "0010101011";
constant kCtlTkn2 : std_logic_vector(9 downto 0) := "0101010100";
constant kCtlTkn3 : std_logic_vector(9 downto 0) := "1010101011";
constant kMinTknCntForBlank : natural := 128; --tB
constant kBlankTimeoutMs : natural := 50;
end DVI_Constants;
package body DVI_Constants is
end DVI_Constants;
|
-------------------------------------------------------------------------------
--
-- File: DVI_Constants.vhd
-- Author: Elod Gyorgy
-- Original Project: HDMI input on 7-series Xilinx FPGA
-- Date: 8 October 2014
--
-------------------------------------------------------------------------------
-- (c) 2014 Copyright Digilent Incorporated
-- All Rights Reserved
--
-- This program is free software; distributed under the terms of BSD 3-clause
-- license ("Revised BSD License", "New BSD License", or "Modified BSD License")
--
-- Redistribution and use in source and binary forms, with or without modification,
-- are permitted provided that the following conditions are met:
--
-- 1. Redistributions of source code must retain the above copyright notice, this
-- list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- 3. Neither the name(s) of the above-listed copyright holder(s) nor the names
-- of its contributors may be used to endorse or promote products derived
-- from this software without specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
-- IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
-- ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE
-- FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
-- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
-- SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,
-- OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
-- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
--
-- Purpose:
-- This package defines constants/parameters taken from the DVI specs.
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
package DVI_Constants is
-- DVI Control Tokens
constant kCtlTkn0 : std_logic_vector(9 downto 0) := "1101010100";
constant kCtlTkn1 : std_logic_vector(9 downto 0) := "0010101011";
constant kCtlTkn2 : std_logic_vector(9 downto 0) := "0101010100";
constant kCtlTkn3 : std_logic_vector(9 downto 0) := "1010101011";
constant kMinTknCntForBlank : natural := 128; --tB
constant kBlankTimeoutMs : natural := 50;
end DVI_Constants;
package body DVI_Constants is
end DVI_Constants;
|
-------------------------------------------------------------------------------
--
-- File: DVI_Constants.vhd
-- Author: Elod Gyorgy
-- Original Project: HDMI input on 7-series Xilinx FPGA
-- Date: 8 October 2014
--
-------------------------------------------------------------------------------
-- (c) 2014 Copyright Digilent Incorporated
-- All Rights Reserved
--
-- This program is free software; distributed under the terms of BSD 3-clause
-- license ("Revised BSD License", "New BSD License", or "Modified BSD License")
--
-- Redistribution and use in source and binary forms, with or without modification,
-- are permitted provided that the following conditions are met:
--
-- 1. Redistributions of source code must retain the above copyright notice, this
-- list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- 3. Neither the name(s) of the above-listed copyright holder(s) nor the names
-- of its contributors may be used to endorse or promote products derived
-- from this software without specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
-- IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
-- ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE
-- FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
-- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
-- SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,
-- OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
-- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
--
-- Purpose:
-- This package defines constants/parameters taken from the DVI specs.
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
package DVI_Constants is
-- DVI Control Tokens
constant kCtlTkn0 : std_logic_vector(9 downto 0) := "1101010100";
constant kCtlTkn1 : std_logic_vector(9 downto 0) := "0010101011";
constant kCtlTkn2 : std_logic_vector(9 downto 0) := "0101010100";
constant kCtlTkn3 : std_logic_vector(9 downto 0) := "1010101011";
constant kMinTknCntForBlank : natural := 128; --tB
constant kBlankTimeoutMs : natural := 50;
end DVI_Constants;
package body DVI_Constants is
end DVI_Constants;
|
-------------------------------------------------------------------------------
--
-- File: DVI_Constants.vhd
-- Author: Elod Gyorgy
-- Original Project: HDMI input on 7-series Xilinx FPGA
-- Date: 8 October 2014
--
-------------------------------------------------------------------------------
-- (c) 2014 Copyright Digilent Incorporated
-- All Rights Reserved
--
-- This program is free software; distributed under the terms of BSD 3-clause
-- license ("Revised BSD License", "New BSD License", or "Modified BSD License")
--
-- Redistribution and use in source and binary forms, with or without modification,
-- are permitted provided that the following conditions are met:
--
-- 1. Redistributions of source code must retain the above copyright notice, this
-- list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- 3. Neither the name(s) of the above-listed copyright holder(s) nor the names
-- of its contributors may be used to endorse or promote products derived
-- from this software without specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
-- IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
-- ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE
-- FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
-- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
-- SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,
-- OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
-- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
--
-- Purpose:
-- This package defines constants/parameters taken from the DVI specs.
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
package DVI_Constants is
-- DVI Control Tokens
constant kCtlTkn0 : std_logic_vector(9 downto 0) := "1101010100";
constant kCtlTkn1 : std_logic_vector(9 downto 0) := "0010101011";
constant kCtlTkn2 : std_logic_vector(9 downto 0) := "0101010100";
constant kCtlTkn3 : std_logic_vector(9 downto 0) := "1010101011";
constant kMinTknCntForBlank : natural := 128; --tB
constant kBlankTimeoutMs : natural := 50;
end DVI_Constants;
package body DVI_Constants is
end DVI_Constants;
|
-------------------------------------------------------------------------------
--
-- File: DVI_Constants.vhd
-- Author: Elod Gyorgy
-- Original Project: HDMI input on 7-series Xilinx FPGA
-- Date: 8 October 2014
--
-------------------------------------------------------------------------------
-- (c) 2014 Copyright Digilent Incorporated
-- All Rights Reserved
--
-- This program is free software; distributed under the terms of BSD 3-clause
-- license ("Revised BSD License", "New BSD License", or "Modified BSD License")
--
-- Redistribution and use in source and binary forms, with or without modification,
-- are permitted provided that the following conditions are met:
--
-- 1. Redistributions of source code must retain the above copyright notice, this
-- list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- 3. Neither the name(s) of the above-listed copyright holder(s) nor the names
-- of its contributors may be used to endorse or promote products derived
-- from this software without specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
-- IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
-- ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE
-- FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
-- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
-- SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,
-- OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
-- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
--
-- Purpose:
-- This package defines constants/parameters taken from the DVI specs.
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
package DVI_Constants is
-- DVI Control Tokens
constant kCtlTkn0 : std_logic_vector(9 downto 0) := "1101010100";
constant kCtlTkn1 : std_logic_vector(9 downto 0) := "0010101011";
constant kCtlTkn2 : std_logic_vector(9 downto 0) := "0101010100";
constant kCtlTkn3 : std_logic_vector(9 downto 0) := "1010101011";
constant kMinTknCntForBlank : natural := 128; --tB
constant kBlankTimeoutMs : natural := 50;
end DVI_Constants;
package body DVI_Constants is
end DVI_Constants;
|
-------------------------------------------------------------------------------
--
-- File: DVI_Constants.vhd
-- Author: Elod Gyorgy
-- Original Project: HDMI input on 7-series Xilinx FPGA
-- Date: 8 October 2014
--
-------------------------------------------------------------------------------
-- (c) 2014 Copyright Digilent Incorporated
-- All Rights Reserved
--
-- This program is free software; distributed under the terms of BSD 3-clause
-- license ("Revised BSD License", "New BSD License", or "Modified BSD License")
--
-- Redistribution and use in source and binary forms, with or without modification,
-- are permitted provided that the following conditions are met:
--
-- 1. Redistributions of source code must retain the above copyright notice, this
-- list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- 3. Neither the name(s) of the above-listed copyright holder(s) nor the names
-- of its contributors may be used to endorse or promote products derived
-- from this software without specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
-- IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
-- ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE
-- FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
-- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
-- SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,
-- OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
-- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
--
-- Purpose:
-- This package defines constants/parameters taken from the DVI specs.
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
package DVI_Constants is
-- DVI Control Tokens
constant kCtlTkn0 : std_logic_vector(9 downto 0) := "1101010100";
constant kCtlTkn1 : std_logic_vector(9 downto 0) := "0010101011";
constant kCtlTkn2 : std_logic_vector(9 downto 0) := "0101010100";
constant kCtlTkn3 : std_logic_vector(9 downto 0) := "1010101011";
constant kMinTknCntForBlank : natural := 128; --tB
constant kBlankTimeoutMs : natural := 50;
end DVI_Constants;
package body DVI_Constants is
end DVI_Constants;
|
-------------------------------------------------------------------------------
--
-- File: DVI_Constants.vhd
-- Author: Elod Gyorgy
-- Original Project: HDMI input on 7-series Xilinx FPGA
-- Date: 8 October 2014
--
-------------------------------------------------------------------------------
-- (c) 2014 Copyright Digilent Incorporated
-- All Rights Reserved
--
-- This program is free software; distributed under the terms of BSD 3-clause
-- license ("Revised BSD License", "New BSD License", or "Modified BSD License")
--
-- Redistribution and use in source and binary forms, with or without modification,
-- are permitted provided that the following conditions are met:
--
-- 1. Redistributions of source code must retain the above copyright notice, this
-- list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- 3. Neither the name(s) of the above-listed copyright holder(s) nor the names
-- of its contributors may be used to endorse or promote products derived
-- from this software without specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
-- IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
-- ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE
-- FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
-- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
-- SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,
-- OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
-- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
--
-- Purpose:
-- This package defines constants/parameters taken from the DVI specs.
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
package DVI_Constants is
-- DVI Control Tokens
constant kCtlTkn0 : std_logic_vector(9 downto 0) := "1101010100";
constant kCtlTkn1 : std_logic_vector(9 downto 0) := "0010101011";
constant kCtlTkn2 : std_logic_vector(9 downto 0) := "0101010100";
constant kCtlTkn3 : std_logic_vector(9 downto 0) := "1010101011";
constant kMinTknCntForBlank : natural := 128; --tB
constant kBlankTimeoutMs : natural := 50;
end DVI_Constants;
package body DVI_Constants is
end DVI_Constants;
|
-------------------------------------------------------------------------------
--
-- File: DVI_Constants.vhd
-- Author: Elod Gyorgy
-- Original Project: HDMI input on 7-series Xilinx FPGA
-- Date: 8 October 2014
--
-------------------------------------------------------------------------------
-- (c) 2014 Copyright Digilent Incorporated
-- All Rights Reserved
--
-- This program is free software; distributed under the terms of BSD 3-clause
-- license ("Revised BSD License", "New BSD License", or "Modified BSD License")
--
-- Redistribution and use in source and binary forms, with or without modification,
-- are permitted provided that the following conditions are met:
--
-- 1. Redistributions of source code must retain the above copyright notice, this
-- list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- 3. Neither the name(s) of the above-listed copyright holder(s) nor the names
-- of its contributors may be used to endorse or promote products derived
-- from this software without specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
-- IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
-- ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE
-- FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
-- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
-- SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,
-- OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE
-- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
--
-- Purpose:
-- This package defines constants/parameters taken from the DVI specs.
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
package DVI_Constants is
-- DVI Control Tokens
constant kCtlTkn0 : std_logic_vector(9 downto 0) := "1101010100";
constant kCtlTkn1 : std_logic_vector(9 downto 0) := "0010101011";
constant kCtlTkn2 : std_logic_vector(9 downto 0) := "0101010100";
constant kCtlTkn3 : std_logic_vector(9 downto 0) := "1010101011";
constant kMinTknCntForBlank : natural := 128; --tB
constant kBlankTimeoutMs : natural := 50;
end DVI_Constants;
package body DVI_Constants is
end DVI_Constants;
|
architecture RTL of FIFO is
begin
IF_LABEL : if a = '1' generate
end generate;
-- Violations below
IF_LABEL : if a = '1' generate
end generate;
end;
|
-- $Id: serport_uart_rx.vhd 1181 2019-07-08 17:00:50Z mueller $
-- SPDX-License-Identifier: GPL-3.0-or-later
-- Copyright 2007-2016 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
--
-- The uart expects CLKDIV+1 wide input bit symbols.
-- This implementation counts the number of 1's in the first CLKDIV clock
-- cycles, and checks in the last cycle of the symbol time whether the
-- number of 1's was > CLKDIV/2. This supresses short glitches nicely,
-- especially for larger clock dividers.
--
------------------------------------------------------------------------------
-- Module Name: serport_uart_rx - syn
-- Description: serial port UART - receiver
--
-- Dependencies: -
-- Test bench: tb/tb_serport_uart_rxtx
-- Target Devices: generic
-- Tool versions: ise 8.2-14.7; viv 2014.4-2016.2; ghdl 0.18-0.33
-- Revision History:
-- Date Rev Version Comment
-- 2016-05-22 767 2.0.4 don't init N_REGS (vivado fix for fsm inference)
-- 2011-10-22 417 2.0.3 now numeric_std clean
-- 2009-07-12 233 2.0.2 remove snoopers
-- 2008-03-02 121 2.0.1 comment out snoopers
-- 2007-10-21 91 2.0 re-designed and -implemented with state machine.
-- allow CLKDIV=0 with 1 stop bit; allow max. CLKDIV
-- (all 1's); aborts bad start bit after 1/2 cell;
-- accepts stop bit after 1/2 cell, permits tx clock
-- be ~3 percent faster than rx clock.
-- for 3s1000ft256: 50 -> 58 slices for CDWIDTH=13
-- 2007-10-14 89 1.1 almost full rewrite, handles now CLKDIV=0 properly
-- for 3s1000ft256: 43 -> 50 slices for CDWIDTH=13
-- 2007-10-12 88 1.0.1 avoid ieee.std_logic_unsigned, use cast to unsigned
-- 2007-06-30 62 1.0 Initial version
------------------------------------------------------------------------------
-- NOTE: for test bench usage a copy of all serport_* entities, with _tb
-- !!!! appended to the name, has been created in the /tb sub folder.
-- !!!! Ensure to update the copy when this file is changed !!
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.slvtypes.all;
entity serport_uart_rx is -- serial port uart: receive part
generic (
CDWIDTH : positive := 13); -- clk divider width
port (
CLK : in slbit; -- clock
RESET : in slbit; -- reset
CLKDIV : in slv(CDWIDTH-1 downto 0); -- clock divider setting
RXSD : in slbit; -- receive serial data (uart view)
RXDATA : out slv8; -- receiver data out
RXVAL : out slbit; -- receiver data valid
RXERR : out slbit; -- receiver data error (frame error)
RXACT : out slbit -- receiver active
);
end serport_uart_rx;
architecture syn of serport_uart_rx is
type state_type is (
s_idle, -- s_idle: idle
s_colb0, -- s_colb0: collect b0 (start bit)
s_endb0, -- s_endb0: finish b0 (start bit)
s_colbx, -- s_colbx: collect bx
s_endbx, -- s_endbx: finish bx
s_colb9, -- s_colb9: collect bx (stop bit)
s_endb9 -- s_endb9: finish bx (stop bit)
);
type regs_type is record
state : state_type; -- state
ccnt : slv(CDWIDTH-1 downto 0); -- clock divider counter
dcnt : slv(CDWIDTH downto 0); -- data '1' counter
bcnt : slv4; -- bit counter
sreg : slv8; -- input shift register
end record regs_type;
constant ccntzero : slv(CDWIDTH-1 downto 0) := (others=>'0');
constant dcntzero : slv(CDWIDTH downto 0) := (others=>'0');
constant regs_init : regs_type := (
s_idle, -- state
ccntzero, -- ccnt
dcntzero, -- dcnt
(others=>'0'), -- bcnt
(others=>'0') -- sreg
);
signal R_REGS : regs_type := regs_init;
signal N_REGS : regs_type; -- don't init (vivado fix for fsm infer)
begin
proc_regs: process (CLK)
begin
if rising_edge(CLK) then
R_REGS <= N_REGS;
end if;
end process proc_regs;
proc_next: process (R_REGS, RESET, CLKDIV, RXSD)
variable r : regs_type := regs_init;
variable n : regs_type := regs_init;
variable dbit : slbit := '0';
variable ld_ccnt : slbit := '0';
variable tc_ccnt : slbit := '0';
variable tc_bcnt : slbit := '0';
variable ld_dcnt : slbit := '0';
variable ld_bcnt : slbit := '0';
variable ce_bcnt : slbit := '0';
variable iact : slbit := '0';
variable ival : slbit := '0';
variable ierr : slbit := '0';
begin
r := R_REGS;
n := R_REGS;
dbit := '0';
ld_ccnt := '0';
tc_ccnt := '0';
tc_bcnt := '0';
ld_dcnt := '0';
ld_bcnt := '0';
ce_bcnt := '0';
iact := '1';
ival := '0';
ierr := '0';
if unsigned(r.ccnt) = 0 then
tc_ccnt := '1';
end if;
if unsigned(r.bcnt) = 9 then
tc_bcnt := '1';
end if;
if unsigned(r.dcnt) > unsigned("00" & CLKDIV(CDWIDTH-1 downto 1)) then
dbit := '1';
end if;
case r.state is
when s_idle => -- s_idle: idle ----------------------
iact := '0';
ld_dcnt := '1'; -- always keep dcnt in reset
if RXSD = '0' then -- if start bit seen
if tc_ccnt = '1' then
n.state := s_endb0; -- finish b0
ld_ccnt := '1'; -- start next bit
ce_bcnt := '1';
else
n.state := s_colb0; -- collect b0
end if;
else -- otherwise
ld_ccnt := '1'; -- keep all counters in reset
ld_bcnt := '1';
end if;
when s_colb0 => -- s_colb0: collect b0 (start bit) ---
if tc_ccnt = '1' then -- last cycle of b0 ?
n.state := s_endb0; -- finish b0
ld_ccnt := '1'; -- "
ce_bcnt := '1';
else -- continue in b0 ?
if dbit='1' and RXSD='1' then -- too many 1's ?
n.state := s_idle; -- abort to idle
ld_dcnt := '1'; -- put counters in reset
ld_ccnt := '1';
ld_bcnt := '1';
end if;
end if;
when s_endb0 => -- s_endb0: finish b0 (start bit) ---
ld_dcnt := '1'; -- start next bit
if dbit = '1' then -- was it a 1 ?
n.state := s_idle; -- abort to idle
ld_ccnt := '1'; -- put counters in reset
ld_bcnt := '1';
else
if tc_ccnt = '1' then -- last cycle of bx ?
n.state := s_endbx; -- finish bx
ld_ccnt := '1';
ce_bcnt := '1';
else -- continue in b0 ?
n.state := s_colbx; -- collect bx
end if;
end if;
when s_colbx => -- s_colbx: collect bx ---------------
if tc_ccnt = '1' then -- last cycle of bx ?
n.state := s_endbx; -- finish bx
ld_ccnt := '1';
ce_bcnt := '1';
end if;
when s_endbx => -- s_endbx: finish bx ---------------
ld_dcnt := '1'; -- start next bit
n.sreg := dbit & r.sreg(7 downto 1);
if tc_ccnt = '1' then -- last cycle of bx ?
if tc_bcnt = '1' then
n.state := s_endb9; -- finish b9
ld_bcnt := '1'; -- and wrap bcnt
else
n.state := s_endbx; -- finish bx
ce_bcnt := '1';
end if;
ld_ccnt := '1';
else -- continue in bx ?
if tc_bcnt = '1' then
n.state := s_colb9; -- collect b9
else
n.state := s_colbx; -- collect bx
end if;
end if;
when s_colb9 => -- s_colb9: collect bx (stop bit) ----
if tc_ccnt = '1' then -- last cycle of b9 ?
n.state := s_endb9; -- finish b9
ld_ccnt := '1'; -- "
ld_bcnt := '1'; -- and wrap bcnt
else -- continue in b9 ?
if dbit='1' and RXSD='1' then -- already enough 1's ?
n.state := s_idle; -- finish to idle
ld_dcnt := '1'; -- put counters in reset
ld_ccnt := '1';
ld_bcnt := '1';
ival := '1';
end if;
end if;
when s_endb9 => -- s_endb9: finish bx (stop bit) ----
ld_dcnt := '1'; -- start next bit
if dbit = '1' then -- was it a valid stop bit ?
ival := '1';
else
ierr := '1';
end if;
if RXSD = '1' then -- line in idle state ?
n.state := s_idle; -- finish to idle state
ld_ccnt := '1'; -- and put counters in reset
ld_bcnt := '1'; -- "
else
if tc_ccnt = '1' then -- last cycle of b9 ?
n.state := s_endb0; -- finish b0
ld_ccnt := '1'; -- "
ce_bcnt := '1';
else -- continue in b0 ?
n.state := s_colb0; -- collect bx
end if;
end if;
when others => null; -- -----------------------------------
end case;
if RESET = '1' then -- RESET seen
ld_ccnt := '1'; -- keep all counters in reset
ld_dcnt := '1';
ld_bcnt := '1';
n.state := s_idle;
end if;
if ld_ccnt = '1' then -- implement ccnt
n.ccnt := CLKDIV;
else
n.ccnt := slv(unsigned(r.ccnt) - 1);
end if;
if ld_dcnt = '1' then -- implement dcnt
n.dcnt(CDWIDTH downto 1) := (others=>'0');
n.dcnt(0) := RXSD;
else
if RXSD = '1' then
n.dcnt := slv(unsigned(r.dcnt) + 1);
end if;
end if;
if ld_bcnt = '1' then -- implement bcnt
n.bcnt := (others=>'0');
else
if ce_bcnt = '1' then
n.bcnt := slv(unsigned(r.bcnt) + 1);
end if;
end if;
N_REGS <= n;
RXDATA <= r.sreg;
RXACT <= iact;
RXVAL <= ival;
RXERR <= ierr;
end process proc_next;
end syn;
|
library ieee;
use ieee.std_logic_1164.all;
entity map1 is
port
(
F0, F1, F2, F3, F4, F5, F6, F7, F8, F9, F10, F11, F12, F13, F14, F15: out std_logic_vector(31 downto 0)
);
end map1;
architecture map1_struct of map1 is
begin
F0 <= "00000000001000000000110000000000";
F1 <= "00000000000000011000110000011000";
F2 <= "00111111000000111100000000011000";
F3 <= "00000000010000000000001100000000";
F4 <= "00000000000000001000001100000000";
F5 <= "00000000000110011000001100001110";
F6 <= "00000000000110111000000000001110";
F7 <= "00000011000000111000000000000010";
F8 <= "00000011000000111000110000000110";
F9 <= "00000000000000111000110000000110";
F10 <= "00000000000010011000000000110000";
F11 <= "00000000011000001000000000110000";
F12 <= "00000000011000000000110000000000";
F13 <= "00000010000000000000110011000000";
F14 <= "00000000010000000000000011011000";
F15 <= "00000000000010000000000000011000";
end map1_struct; |
----------------------------------------------------------------------------------
-- Engineer: Noxet && Niklas
--
-- Create Date: 14:56:58 09/22/2014
-- Module Name: controller - Behavioral
-- Description:
-- The Brutus system controller
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity controller is
generic (
N : integer := 1
);
port (
clk : in std_logic;
rstn : in std_logic;
i_fsl_data_recv : in std_logic;
i_fsl_hash : in std_logic_vector(127 downto 0);
i_comp_eq : in std_logic; -- check if password was found
i_sg_done : in std_logic; -- string generator done signal
i_sg_string : in std_logic_vector(47 downto 0); -- current potential password
i_md5_done : in std_logic; -- done signal from the main MD5 core
o_passwd_hash : out std_logic_vector(127 downto 0); -- hash from FSL
o_pw_found : out std_logic; -- flag to indicate password found
-- o_pw_nfound : out ---
o_passwd : out std_logic_vector(47 downto 0); -- password string, send to user
o_start_sg_comp : out std_logic; -- start signals to sg and comp
o_start_md5 : out std_logic; -- start signal to MD5 cores
o_halt_sg : out std_logic; -- halt signal to sg
o_demux_sel : out unsigned(N-1 downto 0); --
o_mux_sel : out unsigned(N-1 downto 0) -- select signals to DEMUX/MUX
);
end controller;
architecture Behavioral of controller is
type states is (wait_fsl, calc_md5, wait_md5, comp_md5, send_fsl);
signal state_c, state_n : states;
signal dm_count_c, dm_count_n : unsigned(N-1 downto 0); -- DEMUX selector counter
signal m_count_c, m_count_n : unsigned(N-1 downto 0); -- MUX selector counter
type pw_buff_array is array(N-1 downto 0) of std_logic_vector(47 downto 0);
signal pw_buff_c, pw_buff_n : pw_buff_array;
signal jaevla_raeknare_c, jaevla_raeknare_n : unsigned(1 downto 0);
begin
clk_proc: process(clk)
begin
if rising_edge(clk) then
if rstn = '0' then
state_c <= wait_fsl;
dm_count_c <= (others => '0');
m_count_c <= (others => '0');
pw_buff_c <= (others => (others => '0'));
jaevla_raeknare_c <= (others => '0');
else
state_c <= state_n;
dm_count_c <= dm_count_n;
m_count_c <= m_count_n;
pw_buff_c <= pw_buff_n;
jaevla_raeknare_c <= jaevla_raeknare_n;
end if;
end if;
end process;
fsm_proc: process(state_c, i_fsl_data_recv, i_comp_eq, i_sg_done, i_md5_done, i_sg_string, pw_buff_c, m_count_c, dm_count_c, jaevla_raeknare_c)
begin
-- defaults --
o_start_sg_comp <= '0';
o_start_md5 <= '0';
o_halt_sg <= '0';
dm_count_n <= dm_count_c;
m_count_n <= m_count_c;
o_passwd <= (others => '0');
o_pw_found <= '0';
pw_buff_n <= pw_buff_c;
state_n <= state_c;
jaevla_raeknare_n <= jaevla_raeknare_c;
case state_c is
-- KOLLA IFALL SG ÄR FÄRDIG, ISÅFALL HOPPA TILL /DEV/NULL --
when wait_fsl =>
dm_count_n <= (others => '0');
m_count_n <= (others => '0');
if i_fsl_data_recv = '1' then
state_n <= calc_md5;
o_start_sg_comp <= '1';
end if;
when calc_md5 =>
o_start_md5 <= '1'; -- start MD5 cores
dm_count_n <= dm_count_c + 1;
pw_buff_n(to_integer(dm_count_c)) <= i_sg_string; -- buffer the sg passwords
if dm_count_c = N-1 then -- should be N-1? CHECK THIS, we now
-- halt everything...
dm_count_n <= (others => '0');
o_halt_sg <= '1'; -- halt the sg while crunching MD5 hashes
state_n <= wait_md5;
end if;
-- wait for the main MD5 core to be finished
when wait_md5 =>
o_halt_sg <= '1'; -- halt until done
if i_md5_done = '1' then
state_n <= comp_md5;
m_count_n <= m_count_c + 1;
end if;
when comp_md5 => -- rename to a better name
o_halt_sg <= '1'; -- TEST
m_count_n <= m_count_c + 1;
if i_comp_eq = '1' then
o_passwd <= pw_buff_c(to_integer(m_count_c));
o_pw_found <= '1';
state_n <= wait_fsl; -- back to init state
elsif m_count_c = N-1 then
m_count_n <= m_count_c;
if jaevla_raeknare_c = 1 then
m_count_n <= (others => '0');
jaevla_raeknare_n <= (others => '0');
state_n <= calc_md5; -- if pwd not found, calculate next hash
o_halt_sg <= '0';
else
jaevla_raeknare_n <= jaevla_raeknare_c + 1;
end if;
end if;
when others => null;
end case;
end process;
-- pass through signal --
o_passwd_hash <= i_fsl_hash;
o_demux_sel <= dm_count_c;
o_mux_sel <= m_count_c;
end Behavioral;
|
----------------------------------------------------------------------------------
-- Engineer: Noxet && Niklas
--
-- Create Date: 14:56:58 09/22/2014
-- Module Name: controller - Behavioral
-- Description:
-- The Brutus system controller
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity controller is
generic (
N : integer := 1
);
port (
clk : in std_logic;
rstn : in std_logic;
i_fsl_data_recv : in std_logic;
i_fsl_hash : in std_logic_vector(127 downto 0);
i_comp_eq : in std_logic; -- check if password was found
i_sg_done : in std_logic; -- string generator done signal
i_sg_string : in std_logic_vector(47 downto 0); -- current potential password
i_md5_done : in std_logic; -- done signal from the main MD5 core
o_passwd_hash : out std_logic_vector(127 downto 0); -- hash from FSL
o_pw_found : out std_logic; -- flag to indicate password found
-- o_pw_nfound : out ---
o_passwd : out std_logic_vector(47 downto 0); -- password string, send to user
o_start_sg_comp : out std_logic; -- start signals to sg and comp
o_start_md5 : out std_logic; -- start signal to MD5 cores
o_halt_sg : out std_logic; -- halt signal to sg
o_demux_sel : out unsigned(N-1 downto 0); --
o_mux_sel : out unsigned(N-1 downto 0) -- select signals to DEMUX/MUX
);
end controller;
architecture Behavioral of controller is
type states is (wait_fsl, calc_md5, wait_md5, comp_md5, send_fsl);
signal state_c, state_n : states;
signal dm_count_c, dm_count_n : unsigned(N-1 downto 0); -- DEMUX selector counter
signal m_count_c, m_count_n : unsigned(N-1 downto 0); -- MUX selector counter
type pw_buff_array is array(N-1 downto 0) of std_logic_vector(47 downto 0);
signal pw_buff_c, pw_buff_n : pw_buff_array;
signal jaevla_raeknare_c, jaevla_raeknare_n : unsigned(1 downto 0);
begin
clk_proc: process(clk)
begin
if rising_edge(clk) then
if rstn = '0' then
state_c <= wait_fsl;
dm_count_c <= (others => '0');
m_count_c <= (others => '0');
pw_buff_c <= (others => (others => '0'));
jaevla_raeknare_c <= (others => '0');
else
state_c <= state_n;
dm_count_c <= dm_count_n;
m_count_c <= m_count_n;
pw_buff_c <= pw_buff_n;
jaevla_raeknare_c <= jaevla_raeknare_n;
end if;
end if;
end process;
fsm_proc: process(state_c, i_fsl_data_recv, i_comp_eq, i_sg_done, i_md5_done, i_sg_string, pw_buff_c, m_count_c, dm_count_c, jaevla_raeknare_c)
begin
-- defaults --
o_start_sg_comp <= '0';
o_start_md5 <= '0';
o_halt_sg <= '0';
dm_count_n <= dm_count_c;
m_count_n <= m_count_c;
o_passwd <= (others => '0');
o_pw_found <= '0';
pw_buff_n <= pw_buff_c;
state_n <= state_c;
jaevla_raeknare_n <= jaevla_raeknare_c;
case state_c is
-- KOLLA IFALL SG ÄR FÄRDIG, ISÅFALL HOPPA TILL /DEV/NULL --
when wait_fsl =>
dm_count_n <= (others => '0');
m_count_n <= (others => '0');
if i_fsl_data_recv = '1' then
state_n <= calc_md5;
o_start_sg_comp <= '1';
end if;
when calc_md5 =>
o_start_md5 <= '1'; -- start MD5 cores
dm_count_n <= dm_count_c + 1;
pw_buff_n(to_integer(dm_count_c)) <= i_sg_string; -- buffer the sg passwords
if dm_count_c = N-1 then -- should be N-1? CHECK THIS, we now
-- halt everything...
dm_count_n <= (others => '0');
o_halt_sg <= '1'; -- halt the sg while crunching MD5 hashes
state_n <= wait_md5;
end if;
-- wait for the main MD5 core to be finished
when wait_md5 =>
o_halt_sg <= '1'; -- halt until done
if i_md5_done = '1' then
state_n <= comp_md5;
m_count_n <= m_count_c + 1;
end if;
when comp_md5 => -- rename to a better name
o_halt_sg <= '1'; -- TEST
m_count_n <= m_count_c + 1;
if i_comp_eq = '1' then
o_passwd <= pw_buff_c(to_integer(m_count_c));
o_pw_found <= '1';
state_n <= wait_fsl; -- back to init state
elsif m_count_c = N-1 then
m_count_n <= m_count_c;
if jaevla_raeknare_c = 1 then
m_count_n <= (others => '0');
jaevla_raeknare_n <= (others => '0');
state_n <= calc_md5; -- if pwd not found, calculate next hash
o_halt_sg <= '0';
else
jaevla_raeknare_n <= jaevla_raeknare_c + 1;
end if;
end if;
when others => null;
end case;
end process;
-- pass through signal --
o_passwd_hash <= i_fsl_hash;
o_demux_sel <= dm_count_c;
o_mux_sel <= m_count_c;
end Behavioral;
|
--------------------------------------------------------------------------------
-- LGPL v2.1, Copyright (c) 2014 Johannes Walter <johannes@wltr.io>
--
-- Description:
-- Generate reset according to Microsemi application note AC380.
-- The reset is activated asynchronously and deactivated synchronously.
-- The asynchronous reset input is supposed to be connected to a weak
-- external pull-up resistor.
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
-- Component library
-- TODO: Has to be adjusted to the used device
library proasic3;
use proasic3.all;
entity microsemi_reset_generator is
generic (
-- Number of delay stages
num_delay_g : positive := 4;
-- Reset active state
active_g : std_ulogic := '0');
port (
-- Clock
clk_i : in std_ulogic;
-- Asynchronous reset input
rst_asy_io : inout std_logic;
-- Reset output
rst_o : out std_ulogic);
end entity microsemi_reset_generator;
architecture rtl of microsemi_reset_generator is
------------------------------------------------------------------------------
-- Components
------------------------------------------------------------------------------
-- Bi-directional buffer
component BIBUF_LVCMOS33
port (
PAD : inout std_logic;
D : in std_logic;
E : in std_logic;
Y : out std_logic);
end component;
------------------------------------------------------------------------------
-- Internal Registers
------------------------------------------------------------------------------
signal rst : std_ulogic_vector(num_delay_g - 1 downto 0);
------------------------------------------------------------------------------
-- Internal Wires
------------------------------------------------------------------------------
signal rst_asy : std_ulogic;
begin -- architecture rtl
------------------------------------------------------------------------------
-- Outputs
------------------------------------------------------------------------------
rst_o <= rst(rst'high);
------------------------------------------------------------------------------
-- Instances
------------------------------------------------------------------------------
-- Bi-directional buffer with enabled output forced to '0'
BIBUF_LVCMOS33_inst : BIBUF_LVCMOS33
port map (
PAD => rst_asy_io,
D => '0',
E => '1',
Y => rst_asy);
------------------------------------------------------------------------------
-- Registers
------------------------------------------------------------------------------
regs : process (clk_i, rst_asy) is
begin -- process regs
if rst_asy = '1' then
rst <= (others => active_g);
elsif rising_edge(clk_i) then
rst <= rst(rst'high - 1 downto rst'low) & (not active_g);
end if;
end process regs;
end architecture rtl;
|
-- NEED RESULT: ARCH00429: Character literals passed
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00429
--
-- AUTHOR:
--
-- D. Hyman
--
-- TEST OBJECTIVES:
--
-- 13.5 (1)
--
-- DESIGN UNIT ORDERING:
--
-- E00000(ARCH00429)
-- ENT00429_Test_Bench(ARCH00429_Test_Bench)
--
-- REVISION HISTORY:
--
-- 3-AUG-1987 - initial revision
--
-- NOTES:
--
-- self-checking
--
--
use WORK.STANDARD_TYPES.all ;
architecture ARCH00429 of E00000 is
begin
process
begin
test_report ( "ARCH00429" ,
"Character literals" ,
(character'val( 32) = ' ') and
(character'val( 33) = '!') and
(character'val( 34) = '"') and
(character'val( 35) = '#') and
(character'val( 36) = '$') and
(character'val( 37) = '%') and
(character'val( 38) = '&') and
(character'val( 39) = ''') and
(character'val( 40) = '(') and
(character'val( 41) = ')') and
(character'val( 42) = '*') and
(character'val( 43) = '+') and
(character'val( 44) = ',') and
(character'val( 45) = '-') and
(character'val( 46) = '.') and
(character'val( 47) = '/') and
(character'val( 48) = '0') and
(character'val( 49) = '1') and
(character'val( 50) = '2') and
(character'val( 51) = '3') and
(character'val( 52) = '4') and
(character'val( 53) = '5') and
(character'val( 54) = '6') and
(character'val( 55) = '7') and
(character'val( 56) = '8') and
(character'val( 57) = '9') and
(character'val( 58) = ':') and
(character'val( 59) = ';') and
(character'val( 60) = '<') and
(character'val( 61) = '=') and
(character'val( 62) = '>') and
(character'val( 63) = '?') and
(character'val( 64) = '@') and
(character'val( 65) = 'A') and
(character'val( 66) = 'B') and
(character'val( 67) = 'C') and
(character'val( 68) = 'D') and
(character'val( 69) = 'E') and
(character'val( 70) = 'F') and
(character'val( 71) = 'G') and
(character'val( 72) = 'H') and
(character'val( 73) = 'I') and
(character'val( 74) = 'J') and
(character'val( 75) = 'K') and
(character'val( 76) = 'L') and
(character'val( 77) = 'M') and
(character'val( 78) = 'N') and
(character'val( 79) = 'O') and
(character'val( 80) = 'P') and
(character'val( 81) = 'Q') and
(character'val( 82) = 'R') and
(character'val( 83) = 'S') and
(character'val( 84) = 'T') and
(character'val( 85) = 'U') and
(character'val( 86) = 'V') and
(character'val( 87) = 'W') and
(character'val( 88) = 'X') and
(character'val( 89) = 'Y') and
(character'val( 90) = 'Z') and
(character'val( 91) = '[') and
(character'val( 92) = '\') and
(character'val( 93) = ']') and
(character'val( 94) = '^') and
(character'val( 95) = '_') and
(character'val( 96) = '`') and
(character'val( 97) = 'a') and
(character'val( 98) = 'b') and
(character'val( 99) = 'c') and
(character'val(100) = 'd') and
(character'val(101) = 'e') and
(character'val(102) = 'f') and
(character'val(103) = 'g') and
(character'val(104) = 'h') and
(character'val(105) = 'i') and
(character'val(106) = 'j') and
(character'val(107) = 'k') and
(character'val(108) = 'l') and
(character'val(109) = 'm') and
(character'val(110) = 'n') and
(character'val(111) = 'o') and
(character'val(112) = 'p') and
(character'val(113) = 'q') and
(character'val(114) = 'r') and
(character'val(115) = 's') and
(character'val(116) = 't') and
(character'val(117) = 'u') and
(character'val(118) = 'v') and
(character'val(119) = 'w') and
(character'val(120) = 'x') and
(character'val(121) = 'y') and
(character'val(122) = 'z') and
(character'val(123) = '{') and
(character'val(124) = '|') and
(character'val(125) = '}') and
(character'val(126) = '~')
) ;
wait ;
end process ;
end ARCH00429 ;
entity ENT00429_Test_Bench is
end ENT00429_Test_Bench ;
architecture ARCH00429_Test_Bench of ENT00429_Test_Bench is
begin
L1:
block
component UUT
end component ;
for CIS1 : UUT use entity WORK.E00000 ( ARCH00429 ) ;
begin
CIS1 : UUT ;
end block L1 ;
end ARCH00429_Test_Bench ;
|
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:axi_gpio:2.0
-- IP Revision: 7
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY axi_gpio_v2_0;
USE axi_gpio_v2_0.axi_gpio;
ENTITY system_axi_gpio_0_1 IS
PORT (
s_axi_aclk : IN STD_LOGIC;
s_axi_aresetn : IN STD_LOGIC;
s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
gpio_io_i : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
gpio_io_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
gpio_io_t : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END system_axi_gpio_0_1;
ARCHITECTURE system_axi_gpio_0_1_arch OF system_axi_gpio_0_1 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_axi_gpio_0_1_arch: ARCHITECTURE IS "yes";
COMPONENT axi_gpio IS
GENERIC (
C_FAMILY : STRING;
C_S_AXI_ADDR_WIDTH : INTEGER;
C_S_AXI_DATA_WIDTH : INTEGER;
C_GPIO_WIDTH : INTEGER;
C_GPIO2_WIDTH : INTEGER;
C_ALL_INPUTS : INTEGER;
C_ALL_INPUTS_2 : INTEGER;
C_ALL_OUTPUTS : INTEGER;
C_ALL_OUTPUTS_2 : INTEGER;
C_INTERRUPT_PRESENT : INTEGER;
C_DOUT_DEFAULT : STD_LOGIC_VECTOR(31 DOWNTO 0);
C_TRI_DEFAULT : STD_LOGIC_VECTOR(31 DOWNTO 0);
C_IS_DUAL : INTEGER;
C_DOUT_DEFAULT_2 : STD_LOGIC_VECTOR(31 DOWNTO 0);
C_TRI_DEFAULT_2 : STD_LOGIC_VECTOR(31 DOWNTO 0)
);
PORT (
s_axi_aclk : IN STD_LOGIC;
s_axi_aresetn : IN STD_LOGIC;
s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
ip2intc_irpt : OUT STD_LOGIC;
gpio_io_i : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
gpio_io_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
gpio_io_t : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
gpio2_io_i : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
gpio2_io_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
gpio2_io_t : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END COMPONENT axi_gpio;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 S_AXI_ACLK CLK";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 S_AXI_ARESETN RST";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WSTRB";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RREADY";
ATTRIBUTE X_INTERFACE_INFO OF gpio_io_i: SIGNAL IS "xilinx.com:interface:gpio:1.0 GPIO TRI_I";
ATTRIBUTE X_INTERFACE_INFO OF gpio_io_o: SIGNAL IS "xilinx.com:interface:gpio:1.0 GPIO TRI_O";
ATTRIBUTE X_INTERFACE_INFO OF gpio_io_t: SIGNAL IS "xilinx.com:interface:gpio:1.0 GPIO TRI_T";
BEGIN
U0 : axi_gpio
GENERIC MAP (
C_FAMILY => "zynq",
C_S_AXI_ADDR_WIDTH => 9,
C_S_AXI_DATA_WIDTH => 32,
C_GPIO_WIDTH => 32,
C_GPIO2_WIDTH => 32,
C_ALL_INPUTS => 0,
C_ALL_INPUTS_2 => 0,
C_ALL_OUTPUTS => 0,
C_ALL_OUTPUTS_2 => 0,
C_INTERRUPT_PRESENT => 0,
C_DOUT_DEFAULT => X"00000000",
C_TRI_DEFAULT => X"FFFFFFFF",
C_IS_DUAL => 0,
C_DOUT_DEFAULT_2 => X"00000000",
C_TRI_DEFAULT_2 => X"FFFFFFFF"
)
PORT MAP (
s_axi_aclk => s_axi_aclk,
s_axi_aresetn => s_axi_aresetn,
s_axi_awaddr => s_axi_awaddr,
s_axi_awvalid => s_axi_awvalid,
s_axi_awready => s_axi_awready,
s_axi_wdata => s_axi_wdata,
s_axi_wstrb => s_axi_wstrb,
s_axi_wvalid => s_axi_wvalid,
s_axi_wready => s_axi_wready,
s_axi_bresp => s_axi_bresp,
s_axi_bvalid => s_axi_bvalid,
s_axi_bready => s_axi_bready,
s_axi_araddr => s_axi_araddr,
s_axi_arvalid => s_axi_arvalid,
s_axi_arready => s_axi_arready,
s_axi_rdata => s_axi_rdata,
s_axi_rresp => s_axi_rresp,
s_axi_rvalid => s_axi_rvalid,
s_axi_rready => s_axi_rready,
gpio_io_i => gpio_io_i,
gpio_io_o => gpio_io_o,
gpio_io_t => gpio_io_t,
gpio2_io_i => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32))
);
END system_axi_gpio_0_1_arch;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
SbDSy2RnENN5Bp9gLaagwOb6r+g+zASOw+Q0+Jo1hSZaJdKl3OUpyuHcyn0n3MibUatLgcMX7gDd
NKl23c2+Ng==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
fqY8140HoWhx9QdqcMQ3XFL1YCdIhoX6M/2N7xLpq1WzJ9mh8PL5a51gCTXWcBLZOVr7zQm4Tn6w
TWBAo/ORWQmLbfCBoAiLmJ2TbdgXDkAt18okFDu5DWICnZ4WE5JNvCu2rTEcU5kZf67oUqct9Ued
2DXCbrhJ0FeeR9h1gF8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
F5FNV0VTwFV71RwZrLnumYuqCKYuDEhgiiwz2aekqd+jhQUsHzoez8cO5UXbDVf7inCQMNL/xSzx
tq4S8kEnoUgOWADv6MZHqoDoeuuWzZCHrCQ/lcQIMAVeEqht/OiDEDMirlNnZ9sY4WbVbH8IUZYA
AICg7djTYP+K5ksN0rqBrD9cu2GgLDc08iOXc221825Ql04Ctv3whbqfVMQlDYELSQS8TVn4m880
uia/ttl5fVvHZbY0dvZ6tVhvwriPfg+yqxWsULyBLs9xeFBQL1pP4I/TNUuyd29XK6824kOYBqAq
0HIQPFhYLzlh/+R5TJIXVSvhx2e+n6HbEqVmQQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
1t4fooU0vPbyY0oLjb53m25fp8eHRJkQwecZRCD4o6dw4LObNj+I/B01UQRVv+2d9EdzqC3wdPax
5wFCYxCAAX2a5Slhm0nPcPxFZVSKGVR+NZQNN/dU1S5hpPst9uyFqLgPIVLcc8Xu1Ltd5YJtm3XB
3U8PxKgCdMwpEk47yn0=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
m6T9OSg3ZCQBU9IXzfejo5p16jsbb9WTSR1WJRZ46pEXWjD3qSXGu9xBD2WrC347Ft/y6jl2Peci
aj19IOtuS+HgrWX0cdx4boeXznTXcDNggRPmFGpGoEq+JJiYIFJKYP2yvTS+d6vHvp5RhVt8kgUS
G4MgH3df7nGyWqmDnd4oFaR7OTBtMzdZNj2RQvGgYsT0khwzVppEwxUzo8aP2OM80fKXhrVYaB9X
yN8jQs9ZVaYDzDxRkjToMsijLOLJxnostKrJPGngnOPGc9pN/9MT4vXBwtyeuN68ds2YlH9TgvXc
1EblEr/Y07smAUc0jHZPsVznqGeETFuo33Q1qw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 99984)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
SbDSy2RnENN5Bp9gLaagwOb6r+g+zASOw+Q0+Jo1hSZaJdKl3OUpyuHcyn0n3MibUatLgcMX7gDd
NKl23c2+Ng==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
fqY8140HoWhx9QdqcMQ3XFL1YCdIhoX6M/2N7xLpq1WzJ9mh8PL5a51gCTXWcBLZOVr7zQm4Tn6w
TWBAo/ORWQmLbfCBoAiLmJ2TbdgXDkAt18okFDu5DWICnZ4WE5JNvCu2rTEcU5kZf67oUqct9Ued
2DXCbrhJ0FeeR9h1gF8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
F5FNV0VTwFV71RwZrLnumYuqCKYuDEhgiiwz2aekqd+jhQUsHzoez8cO5UXbDVf7inCQMNL/xSzx
tq4S8kEnoUgOWADv6MZHqoDoeuuWzZCHrCQ/lcQIMAVeEqht/OiDEDMirlNnZ9sY4WbVbH8IUZYA
AICg7djTYP+K5ksN0rqBrD9cu2GgLDc08iOXc221825Ql04Ctv3whbqfVMQlDYELSQS8TVn4m880
uia/ttl5fVvHZbY0dvZ6tVhvwriPfg+yqxWsULyBLs9xeFBQL1pP4I/TNUuyd29XK6824kOYBqAq
0HIQPFhYLzlh/+R5TJIXVSvhx2e+n6HbEqVmQQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
1t4fooU0vPbyY0oLjb53m25fp8eHRJkQwecZRCD4o6dw4LObNj+I/B01UQRVv+2d9EdzqC3wdPax
5wFCYxCAAX2a5Slhm0nPcPxFZVSKGVR+NZQNN/dU1S5hpPst9uyFqLgPIVLcc8Xu1Ltd5YJtm3XB
3U8PxKgCdMwpEk47yn0=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
m6T9OSg3ZCQBU9IXzfejo5p16jsbb9WTSR1WJRZ46pEXWjD3qSXGu9xBD2WrC347Ft/y6jl2Peci
aj19IOtuS+HgrWX0cdx4boeXznTXcDNggRPmFGpGoEq+JJiYIFJKYP2yvTS+d6vHvp5RhVt8kgUS
G4MgH3df7nGyWqmDnd4oFaR7OTBtMzdZNj2RQvGgYsT0khwzVppEwxUzo8aP2OM80fKXhrVYaB9X
yN8jQs9ZVaYDzDxRkjToMsijLOLJxnostKrJPGngnOPGc9pN/9MT4vXBwtyeuN68ds2YlH9TgvXc
1EblEr/Y07smAUc0jHZPsVznqGeETFuo33Q1qw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 99984)
`protect data_block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`protect end_protected
|
-- niosii_rst_controller_002.vhd
-- Generated using ACDS version 15.1 185
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity niosii_rst_controller_002 is
generic (
NUM_RESET_INPUTS : integer := 1;
OUTPUT_RESET_SYNC_EDGES : string := "deassert";
SYNC_DEPTH : integer := 2;
RESET_REQUEST_PRESENT : integer := 1;
RESET_REQ_WAIT_TIME : integer := 1;
MIN_RST_ASSERTION_TIME : integer := 3;
RESET_REQ_EARLY_DSRT_TIME : integer := 1;
USE_RESET_REQUEST_IN0 : integer := 0;
USE_RESET_REQUEST_IN1 : integer := 0;
USE_RESET_REQUEST_IN2 : integer := 0;
USE_RESET_REQUEST_IN3 : integer := 0;
USE_RESET_REQUEST_IN4 : integer := 0;
USE_RESET_REQUEST_IN5 : integer := 0;
USE_RESET_REQUEST_IN6 : integer := 0;
USE_RESET_REQUEST_IN7 : integer := 0;
USE_RESET_REQUEST_IN8 : integer := 0;
USE_RESET_REQUEST_IN9 : integer := 0;
USE_RESET_REQUEST_IN10 : integer := 0;
USE_RESET_REQUEST_IN11 : integer := 0;
USE_RESET_REQUEST_IN12 : integer := 0;
USE_RESET_REQUEST_IN13 : integer := 0;
USE_RESET_REQUEST_IN14 : integer := 0;
USE_RESET_REQUEST_IN15 : integer := 0;
ADAPT_RESET_REQUEST : integer := 0
);
port (
reset_in0 : in std_logic := '0'; -- reset_in0.reset
clk : in std_logic := '0'; -- clk.clk
reset_out : out std_logic; -- reset_out.reset
reset_req : out std_logic; -- .reset_req
reset_in1 : in std_logic := '0';
reset_in10 : in std_logic := '0';
reset_in11 : in std_logic := '0';
reset_in12 : in std_logic := '0';
reset_in13 : in std_logic := '0';
reset_in14 : in std_logic := '0';
reset_in15 : in std_logic := '0';
reset_in2 : in std_logic := '0';
reset_in3 : in std_logic := '0';
reset_in4 : in std_logic := '0';
reset_in5 : in std_logic := '0';
reset_in6 : in std_logic := '0';
reset_in7 : in std_logic := '0';
reset_in8 : in std_logic := '0';
reset_in9 : in std_logic := '0';
reset_req_in0 : in std_logic := '0';
reset_req_in1 : in std_logic := '0';
reset_req_in10 : in std_logic := '0';
reset_req_in11 : in std_logic := '0';
reset_req_in12 : in std_logic := '0';
reset_req_in13 : in std_logic := '0';
reset_req_in14 : in std_logic := '0';
reset_req_in15 : in std_logic := '0';
reset_req_in2 : in std_logic := '0';
reset_req_in3 : in std_logic := '0';
reset_req_in4 : in std_logic := '0';
reset_req_in5 : in std_logic := '0';
reset_req_in6 : in std_logic := '0';
reset_req_in7 : in std_logic := '0';
reset_req_in8 : in std_logic := '0';
reset_req_in9 : in std_logic := '0'
);
end entity niosii_rst_controller_002;
architecture rtl of niosii_rst_controller_002 is
component altera_reset_controller is
generic (
NUM_RESET_INPUTS : integer := 6;
OUTPUT_RESET_SYNC_EDGES : string := "deassert";
SYNC_DEPTH : integer := 2;
RESET_REQUEST_PRESENT : integer := 0;
RESET_REQ_WAIT_TIME : integer := 1;
MIN_RST_ASSERTION_TIME : integer := 3;
RESET_REQ_EARLY_DSRT_TIME : integer := 1;
USE_RESET_REQUEST_IN0 : integer := 0;
USE_RESET_REQUEST_IN1 : integer := 0;
USE_RESET_REQUEST_IN2 : integer := 0;
USE_RESET_REQUEST_IN3 : integer := 0;
USE_RESET_REQUEST_IN4 : integer := 0;
USE_RESET_REQUEST_IN5 : integer := 0;
USE_RESET_REQUEST_IN6 : integer := 0;
USE_RESET_REQUEST_IN7 : integer := 0;
USE_RESET_REQUEST_IN8 : integer := 0;
USE_RESET_REQUEST_IN9 : integer := 0;
USE_RESET_REQUEST_IN10 : integer := 0;
USE_RESET_REQUEST_IN11 : integer := 0;
USE_RESET_REQUEST_IN12 : integer := 0;
USE_RESET_REQUEST_IN13 : integer := 0;
USE_RESET_REQUEST_IN14 : integer := 0;
USE_RESET_REQUEST_IN15 : integer := 0;
ADAPT_RESET_REQUEST : integer := 0
);
port (
reset_in0 : in std_logic := 'X'; -- reset
clk : in std_logic := 'X'; -- clk
reset_out : out std_logic; -- reset
reset_req : out std_logic; -- reset_req
reset_req_in0 : in std_logic := 'X'; -- reset_req
reset_in1 : in std_logic := 'X'; -- reset
reset_req_in1 : in std_logic := 'X'; -- reset_req
reset_in2 : in std_logic := 'X'; -- reset
reset_req_in2 : in std_logic := 'X'; -- reset_req
reset_in3 : in std_logic := 'X'; -- reset
reset_req_in3 : in std_logic := 'X'; -- reset_req
reset_in4 : in std_logic := 'X'; -- reset
reset_req_in4 : in std_logic := 'X'; -- reset_req
reset_in5 : in std_logic := 'X'; -- reset
reset_req_in5 : in std_logic := 'X'; -- reset_req
reset_in6 : in std_logic := 'X'; -- reset
reset_req_in6 : in std_logic := 'X'; -- reset_req
reset_in7 : in std_logic := 'X'; -- reset
reset_req_in7 : in std_logic := 'X'; -- reset_req
reset_in8 : in std_logic := 'X'; -- reset
reset_req_in8 : in std_logic := 'X'; -- reset_req
reset_in9 : in std_logic := 'X'; -- reset
reset_req_in9 : in std_logic := 'X'; -- reset_req
reset_in10 : in std_logic := 'X'; -- reset
reset_req_in10 : in std_logic := 'X'; -- reset_req
reset_in11 : in std_logic := 'X'; -- reset
reset_req_in11 : in std_logic := 'X'; -- reset_req
reset_in12 : in std_logic := 'X'; -- reset
reset_req_in12 : in std_logic := 'X'; -- reset_req
reset_in13 : in std_logic := 'X'; -- reset
reset_req_in13 : in std_logic := 'X'; -- reset_req
reset_in14 : in std_logic := 'X'; -- reset
reset_req_in14 : in std_logic := 'X'; -- reset_req
reset_in15 : in std_logic := 'X'; -- reset
reset_req_in15 : in std_logic := 'X' -- reset_req
);
end component altera_reset_controller;
begin
rst_controller_002 : component altera_reset_controller
generic map (
NUM_RESET_INPUTS => NUM_RESET_INPUTS,
OUTPUT_RESET_SYNC_EDGES => OUTPUT_RESET_SYNC_EDGES,
SYNC_DEPTH => SYNC_DEPTH,
RESET_REQUEST_PRESENT => RESET_REQUEST_PRESENT,
RESET_REQ_WAIT_TIME => RESET_REQ_WAIT_TIME,
MIN_RST_ASSERTION_TIME => MIN_RST_ASSERTION_TIME,
RESET_REQ_EARLY_DSRT_TIME => RESET_REQ_EARLY_DSRT_TIME,
USE_RESET_REQUEST_IN0 => USE_RESET_REQUEST_IN0,
USE_RESET_REQUEST_IN1 => USE_RESET_REQUEST_IN1,
USE_RESET_REQUEST_IN2 => USE_RESET_REQUEST_IN2,
USE_RESET_REQUEST_IN3 => USE_RESET_REQUEST_IN3,
USE_RESET_REQUEST_IN4 => USE_RESET_REQUEST_IN4,
USE_RESET_REQUEST_IN5 => USE_RESET_REQUEST_IN5,
USE_RESET_REQUEST_IN6 => USE_RESET_REQUEST_IN6,
USE_RESET_REQUEST_IN7 => USE_RESET_REQUEST_IN7,
USE_RESET_REQUEST_IN8 => USE_RESET_REQUEST_IN8,
USE_RESET_REQUEST_IN9 => USE_RESET_REQUEST_IN9,
USE_RESET_REQUEST_IN10 => USE_RESET_REQUEST_IN10,
USE_RESET_REQUEST_IN11 => USE_RESET_REQUEST_IN11,
USE_RESET_REQUEST_IN12 => USE_RESET_REQUEST_IN12,
USE_RESET_REQUEST_IN13 => USE_RESET_REQUEST_IN13,
USE_RESET_REQUEST_IN14 => USE_RESET_REQUEST_IN14,
USE_RESET_REQUEST_IN15 => USE_RESET_REQUEST_IN15,
ADAPT_RESET_REQUEST => ADAPT_RESET_REQUEST
)
port map (
reset_in0 => reset_in0, -- reset_in0.reset
clk => clk, -- clk.clk
reset_out => reset_out, -- reset_out.reset
reset_req => reset_req, -- .reset_req
reset_req_in0 => '0', -- (terminated)
reset_in1 => '0', -- (terminated)
reset_req_in1 => '0', -- (terminated)
reset_in2 => '0', -- (terminated)
reset_req_in2 => '0', -- (terminated)
reset_in3 => '0', -- (terminated)
reset_req_in3 => '0', -- (terminated)
reset_in4 => '0', -- (terminated)
reset_req_in4 => '0', -- (terminated)
reset_in5 => '0', -- (terminated)
reset_req_in5 => '0', -- (terminated)
reset_in6 => '0', -- (terminated)
reset_req_in6 => '0', -- (terminated)
reset_in7 => '0', -- (terminated)
reset_req_in7 => '0', -- (terminated)
reset_in8 => '0', -- (terminated)
reset_req_in8 => '0', -- (terminated)
reset_in9 => '0', -- (terminated)
reset_req_in9 => '0', -- (terminated)
reset_in10 => '0', -- (terminated)
reset_req_in10 => '0', -- (terminated)
reset_in11 => '0', -- (terminated)
reset_req_in11 => '0', -- (terminated)
reset_in12 => '0', -- (terminated)
reset_req_in12 => '0', -- (terminated)
reset_in13 => '0', -- (terminated)
reset_req_in13 => '0', -- (terminated)
reset_in14 => '0', -- (terminated)
reset_req_in14 => '0', -- (terminated)
reset_in15 => '0', -- (terminated)
reset_req_in15 => '0' -- (terminated)
);
end architecture rtl; -- of niosii_rst_controller_002
|
-- niosii_rst_controller_002.vhd
-- Generated using ACDS version 15.1 185
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity niosii_rst_controller_002 is
generic (
NUM_RESET_INPUTS : integer := 1;
OUTPUT_RESET_SYNC_EDGES : string := "deassert";
SYNC_DEPTH : integer := 2;
RESET_REQUEST_PRESENT : integer := 1;
RESET_REQ_WAIT_TIME : integer := 1;
MIN_RST_ASSERTION_TIME : integer := 3;
RESET_REQ_EARLY_DSRT_TIME : integer := 1;
USE_RESET_REQUEST_IN0 : integer := 0;
USE_RESET_REQUEST_IN1 : integer := 0;
USE_RESET_REQUEST_IN2 : integer := 0;
USE_RESET_REQUEST_IN3 : integer := 0;
USE_RESET_REQUEST_IN4 : integer := 0;
USE_RESET_REQUEST_IN5 : integer := 0;
USE_RESET_REQUEST_IN6 : integer := 0;
USE_RESET_REQUEST_IN7 : integer := 0;
USE_RESET_REQUEST_IN8 : integer := 0;
USE_RESET_REQUEST_IN9 : integer := 0;
USE_RESET_REQUEST_IN10 : integer := 0;
USE_RESET_REQUEST_IN11 : integer := 0;
USE_RESET_REQUEST_IN12 : integer := 0;
USE_RESET_REQUEST_IN13 : integer := 0;
USE_RESET_REQUEST_IN14 : integer := 0;
USE_RESET_REQUEST_IN15 : integer := 0;
ADAPT_RESET_REQUEST : integer := 0
);
port (
reset_in0 : in std_logic := '0'; -- reset_in0.reset
clk : in std_logic := '0'; -- clk.clk
reset_out : out std_logic; -- reset_out.reset
reset_req : out std_logic; -- .reset_req
reset_in1 : in std_logic := '0';
reset_in10 : in std_logic := '0';
reset_in11 : in std_logic := '0';
reset_in12 : in std_logic := '0';
reset_in13 : in std_logic := '0';
reset_in14 : in std_logic := '0';
reset_in15 : in std_logic := '0';
reset_in2 : in std_logic := '0';
reset_in3 : in std_logic := '0';
reset_in4 : in std_logic := '0';
reset_in5 : in std_logic := '0';
reset_in6 : in std_logic := '0';
reset_in7 : in std_logic := '0';
reset_in8 : in std_logic := '0';
reset_in9 : in std_logic := '0';
reset_req_in0 : in std_logic := '0';
reset_req_in1 : in std_logic := '0';
reset_req_in10 : in std_logic := '0';
reset_req_in11 : in std_logic := '0';
reset_req_in12 : in std_logic := '0';
reset_req_in13 : in std_logic := '0';
reset_req_in14 : in std_logic := '0';
reset_req_in15 : in std_logic := '0';
reset_req_in2 : in std_logic := '0';
reset_req_in3 : in std_logic := '0';
reset_req_in4 : in std_logic := '0';
reset_req_in5 : in std_logic := '0';
reset_req_in6 : in std_logic := '0';
reset_req_in7 : in std_logic := '0';
reset_req_in8 : in std_logic := '0';
reset_req_in9 : in std_logic := '0'
);
end entity niosii_rst_controller_002;
architecture rtl of niosii_rst_controller_002 is
component altera_reset_controller is
generic (
NUM_RESET_INPUTS : integer := 6;
OUTPUT_RESET_SYNC_EDGES : string := "deassert";
SYNC_DEPTH : integer := 2;
RESET_REQUEST_PRESENT : integer := 0;
RESET_REQ_WAIT_TIME : integer := 1;
MIN_RST_ASSERTION_TIME : integer := 3;
RESET_REQ_EARLY_DSRT_TIME : integer := 1;
USE_RESET_REQUEST_IN0 : integer := 0;
USE_RESET_REQUEST_IN1 : integer := 0;
USE_RESET_REQUEST_IN2 : integer := 0;
USE_RESET_REQUEST_IN3 : integer := 0;
USE_RESET_REQUEST_IN4 : integer := 0;
USE_RESET_REQUEST_IN5 : integer := 0;
USE_RESET_REQUEST_IN6 : integer := 0;
USE_RESET_REQUEST_IN7 : integer := 0;
USE_RESET_REQUEST_IN8 : integer := 0;
USE_RESET_REQUEST_IN9 : integer := 0;
USE_RESET_REQUEST_IN10 : integer := 0;
USE_RESET_REQUEST_IN11 : integer := 0;
USE_RESET_REQUEST_IN12 : integer := 0;
USE_RESET_REQUEST_IN13 : integer := 0;
USE_RESET_REQUEST_IN14 : integer := 0;
USE_RESET_REQUEST_IN15 : integer := 0;
ADAPT_RESET_REQUEST : integer := 0
);
port (
reset_in0 : in std_logic := 'X'; -- reset
clk : in std_logic := 'X'; -- clk
reset_out : out std_logic; -- reset
reset_req : out std_logic; -- reset_req
reset_req_in0 : in std_logic := 'X'; -- reset_req
reset_in1 : in std_logic := 'X'; -- reset
reset_req_in1 : in std_logic := 'X'; -- reset_req
reset_in2 : in std_logic := 'X'; -- reset
reset_req_in2 : in std_logic := 'X'; -- reset_req
reset_in3 : in std_logic := 'X'; -- reset
reset_req_in3 : in std_logic := 'X'; -- reset_req
reset_in4 : in std_logic := 'X'; -- reset
reset_req_in4 : in std_logic := 'X'; -- reset_req
reset_in5 : in std_logic := 'X'; -- reset
reset_req_in5 : in std_logic := 'X'; -- reset_req
reset_in6 : in std_logic := 'X'; -- reset
reset_req_in6 : in std_logic := 'X'; -- reset_req
reset_in7 : in std_logic := 'X'; -- reset
reset_req_in7 : in std_logic := 'X'; -- reset_req
reset_in8 : in std_logic := 'X'; -- reset
reset_req_in8 : in std_logic := 'X'; -- reset_req
reset_in9 : in std_logic := 'X'; -- reset
reset_req_in9 : in std_logic := 'X'; -- reset_req
reset_in10 : in std_logic := 'X'; -- reset
reset_req_in10 : in std_logic := 'X'; -- reset_req
reset_in11 : in std_logic := 'X'; -- reset
reset_req_in11 : in std_logic := 'X'; -- reset_req
reset_in12 : in std_logic := 'X'; -- reset
reset_req_in12 : in std_logic := 'X'; -- reset_req
reset_in13 : in std_logic := 'X'; -- reset
reset_req_in13 : in std_logic := 'X'; -- reset_req
reset_in14 : in std_logic := 'X'; -- reset
reset_req_in14 : in std_logic := 'X'; -- reset_req
reset_in15 : in std_logic := 'X'; -- reset
reset_req_in15 : in std_logic := 'X' -- reset_req
);
end component altera_reset_controller;
begin
rst_controller_002 : component altera_reset_controller
generic map (
NUM_RESET_INPUTS => NUM_RESET_INPUTS,
OUTPUT_RESET_SYNC_EDGES => OUTPUT_RESET_SYNC_EDGES,
SYNC_DEPTH => SYNC_DEPTH,
RESET_REQUEST_PRESENT => RESET_REQUEST_PRESENT,
RESET_REQ_WAIT_TIME => RESET_REQ_WAIT_TIME,
MIN_RST_ASSERTION_TIME => MIN_RST_ASSERTION_TIME,
RESET_REQ_EARLY_DSRT_TIME => RESET_REQ_EARLY_DSRT_TIME,
USE_RESET_REQUEST_IN0 => USE_RESET_REQUEST_IN0,
USE_RESET_REQUEST_IN1 => USE_RESET_REQUEST_IN1,
USE_RESET_REQUEST_IN2 => USE_RESET_REQUEST_IN2,
USE_RESET_REQUEST_IN3 => USE_RESET_REQUEST_IN3,
USE_RESET_REQUEST_IN4 => USE_RESET_REQUEST_IN4,
USE_RESET_REQUEST_IN5 => USE_RESET_REQUEST_IN5,
USE_RESET_REQUEST_IN6 => USE_RESET_REQUEST_IN6,
USE_RESET_REQUEST_IN7 => USE_RESET_REQUEST_IN7,
USE_RESET_REQUEST_IN8 => USE_RESET_REQUEST_IN8,
USE_RESET_REQUEST_IN9 => USE_RESET_REQUEST_IN9,
USE_RESET_REQUEST_IN10 => USE_RESET_REQUEST_IN10,
USE_RESET_REQUEST_IN11 => USE_RESET_REQUEST_IN11,
USE_RESET_REQUEST_IN12 => USE_RESET_REQUEST_IN12,
USE_RESET_REQUEST_IN13 => USE_RESET_REQUEST_IN13,
USE_RESET_REQUEST_IN14 => USE_RESET_REQUEST_IN14,
USE_RESET_REQUEST_IN15 => USE_RESET_REQUEST_IN15,
ADAPT_RESET_REQUEST => ADAPT_RESET_REQUEST
)
port map (
reset_in0 => reset_in0, -- reset_in0.reset
clk => clk, -- clk.clk
reset_out => reset_out, -- reset_out.reset
reset_req => reset_req, -- .reset_req
reset_req_in0 => '0', -- (terminated)
reset_in1 => '0', -- (terminated)
reset_req_in1 => '0', -- (terminated)
reset_in2 => '0', -- (terminated)
reset_req_in2 => '0', -- (terminated)
reset_in3 => '0', -- (terminated)
reset_req_in3 => '0', -- (terminated)
reset_in4 => '0', -- (terminated)
reset_req_in4 => '0', -- (terminated)
reset_in5 => '0', -- (terminated)
reset_req_in5 => '0', -- (terminated)
reset_in6 => '0', -- (terminated)
reset_req_in6 => '0', -- (terminated)
reset_in7 => '0', -- (terminated)
reset_req_in7 => '0', -- (terminated)
reset_in8 => '0', -- (terminated)
reset_req_in8 => '0', -- (terminated)
reset_in9 => '0', -- (terminated)
reset_req_in9 => '0', -- (terminated)
reset_in10 => '0', -- (terminated)
reset_req_in10 => '0', -- (terminated)
reset_in11 => '0', -- (terminated)
reset_req_in11 => '0', -- (terminated)
reset_in12 => '0', -- (terminated)
reset_req_in12 => '0', -- (terminated)
reset_in13 => '0', -- (terminated)
reset_req_in13 => '0', -- (terminated)
reset_in14 => '0', -- (terminated)
reset_req_in14 => '0', -- (terminated)
reset_in15 => '0', -- (terminated)
reset_req_in15 => '0' -- (terminated)
);
end architecture rtl; -- of niosii_rst_controller_002
|
library IEEE;
use IEEE.std_logic_1164.all;
ENTITY PROGRAM_COUNTER IS
PORT (
EnablePC : IN std_logic;
input: IN std_logic_vector (7 DOWNTO 0);
clk : IN std_logic;
output: OUT std_logic_vector (7 DOWNTO 0) := "00000000"
);
END PROGRAM_COUNTER;
ARCHITECTURE PROGRAM_COUNTER_ARCH OF PROGRAM_COUNTER IS BEGIN
PROCESS (clk) BEGIN
IF (clk'event and clk = '1') THEN
IF (EnablePC = '1') THEN
output <= input;
END IF;
END IF;
END PROCESS;
END PROGRAM_COUNTER_ARCH; |
-- Z:\USERS\YOU\STATECADFSM\CELLRAM.vhd
-- VHDL code created by Xilinx's StateCAD 10.1
-- Fri Jun 06 15:22:06 2014
-- This VHDL code (for use with Xilinx XST) was generated using:
-- one-hot state assignment with boolean code format.
-- Minimization is enabled, implied else is disabled,
-- and outputs are speed optimized.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY SHELL_CELLRAM IS
PORT (CLK,ReadMem,RESET,WriteMem: IN std_logic;
ADR0,ADR1,ADR2,ADR3,ADR4,ADR5,ADR6,ADR7,ADR8,ADR9,ADR10,ADR11,ADR12,ADR13,
ADR14,ADR15,ADR16,ADR17,ADR18,ADR19,ADR20,ADR21,ADR22,ADV,CE,CRE,MemIDLE,OE,
WAIT_dat_strb,WE : OUT std_logic);
END;
ARCHITECTURE BEHAVIOR OF SHELL_CELLRAM IS
-- State variables for machine sreg
SIGNAL INIT, next_INIT, ReadMemory, next_ReadMemory, ReadOp, next_ReadOp,
Ready, next_Ready, SetBCR, next_SetBCR, STATE0, next_STATE0, STATE1,
next_STATE1, STATE2, next_STATE2, STATE3, next_STATE3, STATE4, next_STATE4,
STATE5, next_STATE5, STATE6, next_STATE6, STATE7, next_STATE7, STATE8,
next_STATE8, STATE9, next_STATE9, STATE10, next_STATE10, STATE11,
next_STATE11, STATE12, next_STATE12, STATE13, next_STATE13, STATE14,
next_STATE14, STATE15, next_STATE15, STATE16, next_STATE16, STATE17,
next_STATE17, WrMem, next_WrMem : std_logic;
SIGNAL next_ADR0,next_ADR1,next_ADR2,next_ADR3,next_ADR4,next_ADR5,next_ADR6
,next_ADR7,next_ADR8,next_ADR9,next_ADR10,next_ADR11,next_ADR12,next_ADR13,
next_ADR14,next_ADR15,next_ADR16,next_ADR17,next_ADR18,next_ADR19,next_ADR20,
next_ADR21,next_ADR22,next_ADV,next_CE,next_CRE,next_MemIDLE,next_OE,
next_WAIT_dat_strb,next_WE : std_logic;
SIGNAL ADR : std_logic_vector (22 DOWNTO 0);
BEGIN
PROCESS (CLK, next_INIT, next_ReadMemory, next_ReadOp, next_Ready,
next_SetBCR, next_STATE0, next_STATE1, next_STATE2, next_STATE3, next_STATE4,
next_STATE5, next_STATE6, next_STATE7, next_STATE8, next_STATE9,
next_STATE10, next_STATE11, next_STATE12, next_STATE13, next_STATE14,
next_STATE15, next_STATE16, next_STATE17, next_WrMem, next_ADV, next_CE,
next_CRE, next_MemIDLE, next_OE, next_WAIT_dat_strb, next_WE, next_ADR22,
next_ADR21, next_ADR20, next_ADR19, next_ADR18, next_ADR17, next_ADR16,
next_ADR15, next_ADR14, next_ADR13, next_ADR12, next_ADR11, next_ADR10,
next_ADR9, next_ADR8, next_ADR7, next_ADR6, next_ADR5, next_ADR4, next_ADR3,
next_ADR2, next_ADR1, next_ADR0)
BEGIN
IF CLK='1' AND CLK'event THEN
INIT <= next_INIT;
ReadMemory <= next_ReadMemory;
ReadOp <= next_ReadOp;
Ready <= next_Ready;
SetBCR <= next_SetBCR;
STATE0 <= next_STATE0;
STATE1 <= next_STATE1;
STATE2 <= next_STATE2;
STATE3 <= next_STATE3;
STATE4 <= next_STATE4;
STATE5 <= next_STATE5;
STATE6 <= next_STATE6;
STATE7 <= next_STATE7;
STATE8 <= next_STATE8;
STATE9 <= next_STATE9;
STATE10 <= next_STATE10;
STATE11 <= next_STATE11;
STATE12 <= next_STATE12;
STATE13 <= next_STATE13;
STATE14 <= next_STATE14;
STATE15 <= next_STATE15;
STATE16 <= next_STATE16;
STATE17 <= next_STATE17;
WrMem <= next_WrMem;
ADV <= next_ADV;
CE <= next_CE;
CRE <= next_CRE;
MemIDLE <= next_MemIDLE;
OE <= next_OE;
WAIT_dat_strb <= next_WAIT_dat_strb;
WE <= next_WE;
ADR22 <= next_ADR22;
ADR21 <= next_ADR21;
ADR20 <= next_ADR20;
ADR19 <= next_ADR19;
ADR18 <= next_ADR18;
ADR17 <= next_ADR17;
ADR16 <= next_ADR16;
ADR15 <= next_ADR15;
ADR14 <= next_ADR14;
ADR13 <= next_ADR13;
ADR12 <= next_ADR12;
ADR11 <= next_ADR11;
ADR10 <= next_ADR10;
ADR9 <= next_ADR9;
ADR8 <= next_ADR8;
ADR7 <= next_ADR7;
ADR6 <= next_ADR6;
ADR5 <= next_ADR5;
ADR4 <= next_ADR4;
ADR3 <= next_ADR3;
ADR2 <= next_ADR2;
ADR1 <= next_ADR1;
ADR0 <= next_ADR0;
END IF;
END PROCESS;
PROCESS (INIT,ReadMem,ReadMemory,ReadOp,Ready,RESET,SetBCR,STATE0,STATE1,
STATE2,STATE3,STATE4,STATE5,STATE6,STATE7,STATE8,STATE9,STATE10,STATE11,
STATE12,STATE13,STATE14,STATE15,STATE16,STATE17,WriteMem,WrMem,ADR)
BEGIN
IF (( RESET='1' )) THEN next_INIT<='1';
ELSE next_INIT<='0';
END IF;
IF (( RESET='0' AND ReadMem='1' AND WriteMem='0' AND (Ready='1'))) THEN
next_ReadMemory<='1';
ELSE next_ReadMemory<='0';
END IF;
IF (( RESET='0' AND (STATE4='1'))) THEN next_ReadOp<='1';
ELSE next_ReadOp<='0';
END IF;
IF (( RESET='0' AND (ReadOp='1')) OR ( ReadMem='1' AND WriteMem='1' AND
RESET='0' AND (Ready='1')) OR ( WriteMem='0' AND ReadMem='0' AND RESET='0'
AND (Ready='1')) OR ( RESET='0' AND (STATE12='1'))) THEN next_Ready<='1';
ELSE next_Ready<='0';
END IF;
IF (( RESET='0' AND (INIT='1'))) THEN next_SetBCR<='1';
ELSE next_SetBCR<='0';
END IF;
IF (( RESET='0' AND (STATE2='1'))) THEN next_STATE0<='1';
ELSE next_STATE0<='0';
END IF;
IF (( RESET='0' AND (STATE0='1'))) THEN next_STATE1<='1';
ELSE next_STATE1<='0';
END IF;
IF (( RESET='0' AND (SetBCR='1'))) THEN next_STATE2<='1';
ELSE next_STATE2<='0';
END IF;
IF (( RESET='0' AND (STATE1='1'))) THEN next_STATE3<='1';
ELSE next_STATE3<='0';
END IF;
IF (( RESET='0' AND (STATE3='1'))) THEN next_STATE4<='1';
ELSE next_STATE4<='0';
END IF;
IF (( RESET='0' AND (ReadMemory='1'))) THEN next_STATE5<='1';
ELSE next_STATE5<='0';
END IF;
IF (( RESET='0' AND (WrMem='1'))) THEN next_STATE6<='1';
ELSE next_STATE6<='0';
END IF;
IF (( RESET='0' AND (STATE5='1'))) THEN next_STATE7<='1';
ELSE next_STATE7<='0';
END IF;
IF (( RESET='0' AND (STATE7='1'))) THEN next_STATE8<='1';
ELSE next_STATE8<='0';
END IF;
IF (( RESET='0' AND (STATE8='1'))) THEN next_STATE9<='1';
ELSE next_STATE9<='0';
END IF;
IF (( RESET='0' AND (STATE9='1'))) THEN next_STATE10<='1';
ELSE next_STATE10<='0';
END IF;
IF (( RESET='0' AND (STATE10='1'))) THEN next_STATE11<='1';
ELSE next_STATE11<='0';
END IF;
IF (( RESET='0' AND (STATE11='1')) OR ( RESET='0' AND (STATE17='1')))
THEN next_STATE12<='1';
ELSE next_STATE12<='0';
END IF;
IF (( RESET='0' AND (STATE6='1'))) THEN next_STATE13<='1';
ELSE next_STATE13<='0';
END IF;
IF (( RESET='0' AND (STATE13='1'))) THEN next_STATE14<='1';
ELSE next_STATE14<='0';
END IF;
IF (( RESET='0' AND (STATE14='1'))) THEN next_STATE15<='1';
ELSE next_STATE15<='0';
END IF;
IF (( RESET='0' AND (STATE15='1'))) THEN next_STATE16<='1';
ELSE next_STATE16<='0';
END IF;
IF (( RESET='0' AND (STATE16='1'))) THEN next_STATE17<='1';
ELSE next_STATE17<='0';
END IF;
IF (( RESET='0' AND ReadMem='0' AND WriteMem='1' AND (Ready='1'))) THEN
next_WrMem<='1';
ELSE next_WrMem<='0';
END IF;
ADR<= ( (( std_logic_vector'( RESET, RESET, RESET, RESET, RESET, RESET,
RESET, RESET, RESET, RESET, RESET, RESET, RESET, RESET, RESET, RESET, RESET,
RESET, RESET, RESET, RESET, RESET, RESET)) ) AND (std_logic_vector'(
"00001000001110100011001") ) ) OR (( std_logic_vector'( ReadMemory,
ReadMemory, ReadMemory, ReadMemory, ReadMemory, ReadMemory, ReadMemory,
ReadMemory, ReadMemory, ReadMemory, ReadMemory, ReadMemory, ReadMemory,
ReadMemory, ReadMemory, ReadMemory, ReadMemory, ReadMemory, ReadMemory,
ReadMemory, ReadMemory, ReadMemory, ReadMemory)) AND (( std_logic_vector'(
NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET,
NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET,
NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET,
NOT RESET, NOT RESET)) ) AND (std_logic_vector'("00000000000000000000000") )
) OR (( std_logic_vector'( ReadOp, ReadOp, ReadOp, ReadOp, ReadOp, ReadOp,
ReadOp, ReadOp, ReadOp, ReadOp, ReadOp, ReadOp, ReadOp, ReadOp, ReadOp,
ReadOp, ReadOp, ReadOp, ReadOp, ReadOp, ReadOp, ReadOp, ReadOp)) AND ((
std_logic_vector'( NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT
RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT
RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT
RESET, NOT RESET, NOT RESET, NOT RESET)) ) AND (std_logic_vector'(
"00000000000000000000000") ) ) OR (( std_logic_vector'( Ready, Ready, Ready
, Ready, Ready, Ready, Ready, Ready, Ready, Ready, Ready, Ready, Ready, Ready
, Ready, Ready, Ready, Ready, Ready, Ready, Ready, Ready, Ready)) AND ((
std_logic_vector'( NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT
RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT
RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT
RESET, NOT RESET, NOT RESET, NOT RESET)AND std_logic_vector'( NOT ReadMem,
NOT ReadMem, NOT ReadMem, NOT ReadMem, NOT ReadMem, NOT ReadMem, NOT ReadMem,
NOT ReadMem, NOT ReadMem, NOT ReadMem, NOT ReadMem, NOT ReadMem, NOT ReadMem
, NOT ReadMem, NOT ReadMem, NOT ReadMem, NOT ReadMem, NOT ReadMem, NOT
ReadMem, NOT ReadMem, NOT ReadMem, NOT ReadMem, NOT ReadMem)AND
std_logic_vector'( WriteMem, WriteMem, WriteMem, WriteMem, WriteMem, WriteMem
, WriteMem, WriteMem, WriteMem, WriteMem, WriteMem, WriteMem, WriteMem,
WriteMem, WriteMem, WriteMem, WriteMem, WriteMem, WriteMem, WriteMem,
WriteMem, WriteMem, WriteMem)) ) AND (std_logic_vector'(
"00000000000000000000000") ) ) OR (( std_logic_vector'( Ready, Ready, Ready
, Ready, Ready, Ready, Ready, Ready, Ready, Ready, Ready, Ready, Ready, Ready
, Ready, Ready, Ready, Ready, Ready, Ready, Ready, Ready, Ready)) AND ((
std_logic_vector'( NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT
RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT
RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT
RESET, NOT RESET, NOT RESET, NOT RESET)AND std_logic_vector'( ReadMem,
ReadMem, ReadMem, ReadMem, ReadMem, ReadMem, ReadMem, ReadMem, ReadMem,
ReadMem, ReadMem, ReadMem, ReadMem, ReadMem, ReadMem, ReadMem, ReadMem,
ReadMem, ReadMem, ReadMem, ReadMem, ReadMem, ReadMem)AND std_logic_vector'(
NOT WriteMem, NOT WriteMem, NOT WriteMem, NOT WriteMem, NOT WriteMem, NOT
WriteMem, NOT WriteMem, NOT WriteMem, NOT WriteMem, NOT WriteMem, NOT
WriteMem, NOT WriteMem, NOT WriteMem, NOT WriteMem, NOT WriteMem, NOT
WriteMem, NOT WriteMem, NOT WriteMem, NOT WriteMem, NOT WriteMem, NOT
WriteMem, NOT WriteMem, NOT WriteMem)) ) AND (std_logic_vector'(
"00000000000000000000000") ) ) OR (( std_logic_vector'( Ready, Ready, Ready
, Ready, Ready, Ready, Ready, Ready, Ready, Ready, Ready, Ready, Ready, Ready
, Ready, Ready, Ready, Ready, Ready, Ready, Ready, Ready, Ready)) AND ((
std_logic_vector'( NOT WriteMem, NOT WriteMem, NOT WriteMem, NOT WriteMem,
NOT WriteMem, NOT WriteMem, NOT WriteMem, NOT WriteMem, NOT WriteMem, NOT
WriteMem, NOT WriteMem, NOT WriteMem, NOT WriteMem, NOT WriteMem, NOT
WriteMem, NOT WriteMem, NOT WriteMem, NOT WriteMem, NOT WriteMem, NOT
WriteMem, NOT WriteMem, NOT WriteMem, NOT WriteMem)AND std_logic_vector'(
NOT ReadMem, NOT ReadMem, NOT ReadMem, NOT ReadMem, NOT ReadMem, NOT ReadMem,
NOT ReadMem, NOT ReadMem, NOT ReadMem, NOT ReadMem, NOT ReadMem, NOT ReadMem
, NOT ReadMem, NOT ReadMem, NOT ReadMem, NOT ReadMem, NOT ReadMem, NOT
ReadMem, NOT ReadMem, NOT ReadMem, NOT ReadMem, NOT ReadMem, NOT ReadMem)AND
std_logic_vector'( NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET,
NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET,
NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET,
NOT RESET, NOT RESET, NOT RESET, NOT RESET)) OR ( std_logic_vector'( ReadMem
, ReadMem, ReadMem, ReadMem, ReadMem, ReadMem, ReadMem, ReadMem, ReadMem,
ReadMem, ReadMem, ReadMem, ReadMem, ReadMem, ReadMem, ReadMem, ReadMem,
ReadMem, ReadMem, ReadMem, ReadMem, ReadMem, ReadMem)AND std_logic_vector'(
WriteMem, WriteMem, WriteMem, WriteMem, WriteMem, WriteMem, WriteMem,
WriteMem, WriteMem, WriteMem, WriteMem, WriteMem, WriteMem, WriteMem,
WriteMem, WriteMem, WriteMem, WriteMem, WriteMem, WriteMem, WriteMem,
WriteMem, WriteMem)AND std_logic_vector'( NOT RESET, NOT RESET, NOT RESET,
NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET,
NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET,
NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET)) ) AND (
std_logic_vector'("00000000000000000000000") ) ) OR (( std_logic_vector'(
INIT, INIT, INIT, INIT, INIT, INIT, INIT, INIT, INIT, INIT, INIT, INIT, INIT,
INIT, INIT, INIT, INIT, INIT, INIT, INIT, INIT, INIT, INIT)) AND ((
std_logic_vector'( NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT
RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT
RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT
RESET, NOT RESET, NOT RESET, NOT RESET)) ) AND (std_logic_vector'(
"00001000001110100011001") ) ) OR (( std_logic_vector'( SetBCR, SetBCR,
SetBCR, SetBCR, SetBCR, SetBCR, SetBCR, SetBCR, SetBCR, SetBCR, SetBCR,
SetBCR, SetBCR, SetBCR, SetBCR, SetBCR, SetBCR, SetBCR, SetBCR, SetBCR,
SetBCR, SetBCR, SetBCR)) AND (( std_logic_vector'( NOT RESET, NOT RESET,
NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET,
NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET,
NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET))
) AND (std_logic_vector'("00000000000000000000000") ) ) OR ((
std_logic_vector'( STATE0, STATE0, STATE0, STATE0, STATE0, STATE0, STATE0,
STATE0, STATE0, STATE0, STATE0, STATE0, STATE0, STATE0, STATE0, STATE0,
STATE0, STATE0, STATE0, STATE0, STATE0, STATE0, STATE0)) AND ((
std_logic_vector'( NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT
RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT
RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT
RESET, NOT RESET, NOT RESET, NOT RESET)) ) AND (std_logic_vector'(
"00000000000000000000000") ) ) OR (( std_logic_vector'( STATE1, STATE1,
STATE1, STATE1, STATE1, STATE1, STATE1, STATE1, STATE1, STATE1, STATE1,
STATE1, STATE1, STATE1, STATE1, STATE1, STATE1, STATE1, STATE1, STATE1,
STATE1, STATE1, STATE1)) AND (( std_logic_vector'( NOT RESET, NOT RESET,
NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET,
NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET,
NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET))
) AND (std_logic_vector'("00000000000000000000000") ) ) OR ((
std_logic_vector'( STATE2, STATE2, STATE2, STATE2, STATE2, STATE2, STATE2,
STATE2, STATE2, STATE2, STATE2, STATE2, STATE2, STATE2, STATE2, STATE2,
STATE2, STATE2, STATE2, STATE2, STATE2, STATE2, STATE2)) AND ((
std_logic_vector'( NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT
RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT
RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT
RESET, NOT RESET, NOT RESET, NOT RESET)) ) AND (std_logic_vector'(
"00000000000000000000000") ) ) OR (( std_logic_vector'( STATE3, STATE3,
STATE3, STATE3, STATE3, STATE3, STATE3, STATE3, STATE3, STATE3, STATE3,
STATE3, STATE3, STATE3, STATE3, STATE3, STATE3, STATE3, STATE3, STATE3,
STATE3, STATE3, STATE3)) AND (( std_logic_vector'( NOT RESET, NOT RESET,
NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET,
NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET,
NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET))
) AND (std_logic_vector'("00000000000000000000000") ) ) OR ((
std_logic_vector'( STATE4, STATE4, STATE4, STATE4, STATE4, STATE4, STATE4,
STATE4, STATE4, STATE4, STATE4, STATE4, STATE4, STATE4, STATE4, STATE4,
STATE4, STATE4, STATE4, STATE4, STATE4, STATE4, STATE4)) AND ((
std_logic_vector'( NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT
RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT
RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT
RESET, NOT RESET, NOT RESET, NOT RESET)) ) AND (std_logic_vector'(
"00000000000000000000000") ) ) OR (( std_logic_vector'( STATE5, STATE5,
STATE5, STATE5, STATE5, STATE5, STATE5, STATE5, STATE5, STATE5, STATE5,
STATE5, STATE5, STATE5, STATE5, STATE5, STATE5, STATE5, STATE5, STATE5,
STATE5, STATE5, STATE5)) AND (( std_logic_vector'( NOT RESET, NOT RESET,
NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET,
NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET,
NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET))
) AND (std_logic_vector'("00000000000000000000000") ) ) OR ((
std_logic_vector'( STATE6, STATE6, STATE6, STATE6, STATE6, STATE6, STATE6,
STATE6, STATE6, STATE6, STATE6, STATE6, STATE6, STATE6, STATE6, STATE6,
STATE6, STATE6, STATE6, STATE6, STATE6, STATE6, STATE6)) AND ((
std_logic_vector'( NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT
RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT
RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT
RESET, NOT RESET, NOT RESET, NOT RESET)) ) AND (std_logic_vector'(
"00000000000000000000000") ) ) OR (( std_logic_vector'( STATE7, STATE7,
STATE7, STATE7, STATE7, STATE7, STATE7, STATE7, STATE7, STATE7, STATE7,
STATE7, STATE7, STATE7, STATE7, STATE7, STATE7, STATE7, STATE7, STATE7,
STATE7, STATE7, STATE7)) AND (( std_logic_vector'( NOT RESET, NOT RESET,
NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET,
NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET,
NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET))
) AND (std_logic_vector'("00000000000000000000000") ) ) OR ((
std_logic_vector'( STATE8, STATE8, STATE8, STATE8, STATE8, STATE8, STATE8,
STATE8, STATE8, STATE8, STATE8, STATE8, STATE8, STATE8, STATE8, STATE8,
STATE8, STATE8, STATE8, STATE8, STATE8, STATE8, STATE8)) AND ((
std_logic_vector'( NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT
RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT
RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT
RESET, NOT RESET, NOT RESET, NOT RESET)) ) AND (std_logic_vector'(
"00000000000000000000000") ) ) OR (( std_logic_vector'( STATE9, STATE9,
STATE9, STATE9, STATE9, STATE9, STATE9, STATE9, STATE9, STATE9, STATE9,
STATE9, STATE9, STATE9, STATE9, STATE9, STATE9, STATE9, STATE9, STATE9,
STATE9, STATE9, STATE9)) AND (( std_logic_vector'( NOT RESET, NOT RESET,
NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET,
NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET,
NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET))
) AND (std_logic_vector'("00000000000000000000000") ) ) OR ((
std_logic_vector'( STATE10, STATE10, STATE10, STATE10, STATE10, STATE10,
STATE10, STATE10, STATE10, STATE10, STATE10, STATE10, STATE10, STATE10,
STATE10, STATE10, STATE10, STATE10, STATE10, STATE10, STATE10, STATE10,
STATE10)) AND (( std_logic_vector'( NOT RESET, NOT RESET, NOT RESET, NOT
RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT
RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT
RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET)) ) AND (
std_logic_vector'("00000000000000000000000") ) ) OR (( std_logic_vector'(
STATE11, STATE11, STATE11, STATE11, STATE11, STATE11, STATE11, STATE11,
STATE11, STATE11, STATE11, STATE11, STATE11, STATE11, STATE11, STATE11,
STATE11, STATE11, STATE11, STATE11, STATE11, STATE11, STATE11)) AND ((
std_logic_vector'( NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT
RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT
RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT
RESET, NOT RESET, NOT RESET, NOT RESET)) ) AND (std_logic_vector'(
"00000000000000000000000") ) ) OR (( std_logic_vector'( STATE12, STATE12,
STATE12, STATE12, STATE12, STATE12, STATE12, STATE12, STATE12, STATE12,
STATE12, STATE12, STATE12, STATE12, STATE12, STATE12, STATE12, STATE12,
STATE12, STATE12, STATE12, STATE12, STATE12)) AND (( std_logic_vector'( NOT
RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT
RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT
RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT
RESET, NOT RESET)) ) AND (std_logic_vector'("00000000000000000000000") ) )
OR (( std_logic_vector'( STATE13, STATE13, STATE13, STATE13, STATE13,
STATE13, STATE13, STATE13, STATE13, STATE13, STATE13, STATE13, STATE13,
STATE13, STATE13, STATE13, STATE13, STATE13, STATE13, STATE13, STATE13,
STATE13, STATE13)) AND (( std_logic_vector'( NOT RESET, NOT RESET, NOT
RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT
RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT
RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET)) )
AND (std_logic_vector'("00000000000000000000000") ) ) OR ((
std_logic_vector'( STATE14, STATE14, STATE14, STATE14, STATE14, STATE14,
STATE14, STATE14, STATE14, STATE14, STATE14, STATE14, STATE14, STATE14,
STATE14, STATE14, STATE14, STATE14, STATE14, STATE14, STATE14, STATE14,
STATE14)) AND (( std_logic_vector'( NOT RESET, NOT RESET, NOT RESET, NOT
RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT
RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT
RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET)) ) AND (
std_logic_vector'("00000000000000000000000") ) ) OR (( std_logic_vector'(
STATE15, STATE15, STATE15, STATE15, STATE15, STATE15, STATE15, STATE15,
STATE15, STATE15, STATE15, STATE15, STATE15, STATE15, STATE15, STATE15,
STATE15, STATE15, STATE15, STATE15, STATE15, STATE15, STATE15)) AND ((
std_logic_vector'( NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT
RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT
RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT
RESET, NOT RESET, NOT RESET, NOT RESET)) ) AND (std_logic_vector'(
"00000000000000000000000") ) ) OR (( std_logic_vector'( STATE16, STATE16,
STATE16, STATE16, STATE16, STATE16, STATE16, STATE16, STATE16, STATE16,
STATE16, STATE16, STATE16, STATE16, STATE16, STATE16, STATE16, STATE16,
STATE16, STATE16, STATE16, STATE16, STATE16)) AND (( std_logic_vector'( NOT
RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT
RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT
RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT
RESET, NOT RESET)) ) AND (std_logic_vector'("00000000000000000000000") ) )
OR (( std_logic_vector'( STATE17, STATE17, STATE17, STATE17, STATE17,
STATE17, STATE17, STATE17, STATE17, STATE17, STATE17, STATE17, STATE17,
STATE17, STATE17, STATE17, STATE17, STATE17, STATE17, STATE17, STATE17,
STATE17, STATE17)) AND (( std_logic_vector'( NOT RESET, NOT RESET, NOT
RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT
RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT
RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET)) )
AND (std_logic_vector'("00000000000000000000000") ) ) OR ((
std_logic_vector'( WrMem, WrMem, WrMem, WrMem, WrMem, WrMem, WrMem, WrMem,
WrMem, WrMem, WrMem, WrMem, WrMem, WrMem, WrMem, WrMem, WrMem, WrMem, WrMem,
WrMem, WrMem, WrMem, WrMem)) AND (( std_logic_vector'( NOT RESET, NOT RESET
, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET
, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET
, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET, NOT RESET
)) ) AND (std_logic_vector'("00000000000000000000000") ) );
IF (( RESET='0' AND (ReadOp='1')) OR ( ReadMem='1' AND WriteMem='1' AND
RESET='0' AND (Ready='1')) OR ( WriteMem='0' AND ReadMem='0' AND RESET='0'
AND (Ready='1')) OR ( RESET='0' AND (STATE12='1')) OR ( RESET='0' AND (
INIT='1')) OR ( RESET='0' AND (STATE2='1')) OR ( RESET='0' AND (STATE0='1')
) OR ( RESET='0' AND (SetBCR='1')) OR ( RESET='0' AND (STATE1='1')) OR (
RESET='0' AND (STATE3='1')) OR ( RESET='0' AND (ReadMemory='1')) OR (
RESET='0' AND (WrMem='1')) OR ( RESET='0' AND (STATE5='1')) OR ( RESET='0'
AND (STATE7='1')) OR ( RESET='0' AND (STATE8='1')) OR ( RESET='0' AND (
STATE9='1')) OR ( RESET='0' AND (STATE10='1')) OR ( RESET='0' AND (
STATE11='1')) OR ( RESET='0' AND (STATE17='1')) OR ( RESET='0' AND (
STATE6='1')) OR ( RESET='0' AND (STATE13='1')) OR ( RESET='0' AND (
STATE14='1')) OR ( RESET='0' AND (STATE15='1')) OR ( RESET='0' AND (
STATE16='1'))) THEN next_ADV<='1';
ELSE next_ADV<='0';
END IF;
IF (( RESET='0' AND (ReadOp='1')) OR ( RESET='0' AND (STATE12='1')) OR (
RESET='0' AND (STATE3='1')) OR ( RESET='0' AND (Ready='1'))) THEN
next_CE<='1';
ELSE next_CE<='0';
END IF;
IF (( RESET='1' ) OR ( (INIT='1'))) THEN next_CRE<='1';
ELSE next_CRE<='0';
END IF;
IF (( RESET='0' AND (ReadOp='1')) OR ( ReadMem='1' AND WriteMem='1' AND
RESET='0' AND (Ready='1')) OR ( WriteMem='0' AND ReadMem='0' AND RESET='0'
AND (Ready='1')) OR ( RESET='0' AND (STATE12='1'))) THEN next_MemIDLE<='1';
ELSE next_MemIDLE<='0';
END IF;
IF (( RESET='0' AND ReadMem='1' AND WriteMem='0' AND (Ready='1')) OR (
RESET='0' AND (ReadMemory='1'))) THEN next_OE<='1';
ELSE next_OE<='0';
END IF;
IF (( RESET='0' AND (STATE1='1')) OR ( RESET='0' AND (STATE8='1')) OR (
RESET='0' AND (STATE9='1')) OR ( RESET='0' AND (STATE10='1')) OR (
RESET='0' AND (STATE11='1')) OR ( RESET='0' AND (STATE17='1')) OR (
RESET='0' AND (STATE14='1')) OR ( RESET='0' AND (STATE15='1')) OR (
RESET='0' AND (STATE16='1')) OR ( RESET='0' AND ReadMem='0' AND WriteMem='1'
AND (Ready='1'))) THEN next_WAIT_dat_strb<='1';
ELSE next_WAIT_dat_strb<='0';
END IF;
IF (( RESET='0' AND (ReadOp='1')) OR ( ReadMem='1' AND RESET='0' AND (
Ready='1')) OR ( WriteMem='0' AND RESET='0' AND (Ready='1')) OR ( RESET='0'
AND (STATE12='1')) OR ( RESET='0' AND (INIT='1')) OR ( RESET='0' AND (
STATE2='1')) OR ( RESET='0' AND (STATE0='1')) OR ( RESET='0' AND (
SetBCR='1')) OR ( RESET='0' AND (STATE1='1')) OR ( RESET='0' AND (
STATE3='1')) OR ( RESET='0' AND (ReadMemory='1')) OR ( RESET='0' AND (
WrMem='1'))) THEN next_WE<='1';
ELSE next_WE<='0';
END IF;
next_ADR22 <= ADR(22);
next_ADR21 <= ADR(21);
next_ADR20 <= ADR(20);
next_ADR19 <= ADR(19);
next_ADR18 <= ADR(18);
next_ADR17 <= ADR(17);
next_ADR16 <= ADR(16);
next_ADR15 <= ADR(15);
next_ADR14 <= ADR(14);
next_ADR13 <= ADR(13);
next_ADR12 <= ADR(12);
next_ADR11 <= ADR(11);
next_ADR10 <= ADR(10);
next_ADR9 <= ADR(9);
next_ADR8 <= ADR(8);
next_ADR7 <= ADR(7);
next_ADR6 <= ADR(6);
next_ADR5 <= ADR(5);
next_ADR4 <= ADR(4);
next_ADR3 <= ADR(3);
next_ADR2 <= ADR(2);
next_ADR1 <= ADR(1);
next_ADR0 <= ADR(0);
END PROCESS;
END BEHAVIOR;
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY CELLRAM IS
PORT (ADR : OUT std_logic_vector (22 DOWNTO 0);
CLK,ReadMem,RESET,WriteMem: IN std_logic;
ADV,CE,CRE,MemIDLE,OE,WAIT_dat_strb,WE : OUT std_logic);
END;
ARCHITECTURE BEHAVIOR OF CELLRAM IS
COMPONENT SHELL_CELLRAM
PORT (CLK,ReadMem,RESET,WriteMem: IN std_logic;
ADR0,ADR1,ADR2,ADR3,ADR4,ADR5,ADR6,ADR7,ADR8,ADR9,ADR10,ADR11,ADR12,ADR13,
ADR14,ADR15,ADR16,ADR17,ADR18,ADR19,ADR20,ADR21,ADR22,ADV,CE,CRE,MemIDLE,OE,
WAIT_dat_strb,WE : OUT std_logic);
END COMPONENT;
BEGIN
SHELL1_CELLRAM : SHELL_CELLRAM PORT MAP (CLK=>CLK,ReadMem=>ReadMem,RESET=>
RESET,WriteMem=>WriteMem,ADR0=>ADR(0),ADR1=>ADR(1),ADR2=>ADR(2),ADR3=>ADR(3),
ADR4=>ADR(4),ADR5=>ADR(5),ADR6=>ADR(6),ADR7=>ADR(7),ADR8=>ADR(8),ADR9=>ADR(9)
,ADR10=>ADR(10),ADR11=>ADR(11),ADR12=>ADR(12),ADR13=>ADR(13),ADR14=>ADR(14),
ADR15=>ADR(15),ADR16=>ADR(16),ADR17=>ADR(17),ADR18=>ADR(18),ADR19=>ADR(19),
ADR20=>ADR(20),ADR21=>ADR(21),ADR22=>ADR(22),ADV=>ADV,CE=>CE,CRE=>CRE,MemIDLE
=>MemIDLE,OE=>OE,WAIT_dat_strb=>WAIT_dat_strb,WE=>WE);
END BEHAVIOR;
|
--Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.
----------------------------------------------------------------------------------
--Tool Version: Vivado v.2015.2 (win64) Build 1266856 Fri Jun 26 16:35:25 MDT 2015
--Date : Tue Nov 17 20:19:34 2015
--Host : ALI-WORKSTATION running 64-bit major release (build 9200)
--Command : generate_target design_1.bd
--Design : design_1
--Purpose : IP block netlist
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity m00_couplers_imp_1R706YB is
port (
M_ACLK : in STD_LOGIC;
M_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_arid : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
M_AXI_arlock : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_arready : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_arvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_awid : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
M_AXI_awlock : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_awready : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_awvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_bid : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_bready : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_bvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_rid : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_rlast : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_rready : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_rvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_wlast : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_wready : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_wvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
S_ACLK : in STD_LOGIC;
S_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_arid : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_arlock : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_arready : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_awid : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_awlock : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_awready : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_bid : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_bready : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_rid : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_rlast : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_rready : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_wlast : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_wready : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 )
);
end m00_couplers_imp_1R706YB;
architecture STRUCTURE of m00_couplers_imp_1R706YB is
signal m00_couplers_to_m00_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_m00_couplers_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m00_couplers_to_m00_couplers_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m00_couplers_to_m00_couplers_ARID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_m00_couplers_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal m00_couplers_to_m00_couplers_ARLOCK : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_m00_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal m00_couplers_to_m00_couplers_ARREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_m00_couplers_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal m00_couplers_to_m00_couplers_ARVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_m00_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_m00_couplers_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m00_couplers_to_m00_couplers_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m00_couplers_to_m00_couplers_AWID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_m00_couplers_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal m00_couplers_to_m00_couplers_AWLOCK : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_m00_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal m00_couplers_to_m00_couplers_AWREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_m00_couplers_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal m00_couplers_to_m00_couplers_AWVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_m00_couplers_BID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_m00_couplers_BREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_m00_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m00_couplers_to_m00_couplers_BVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_m00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_m00_couplers_RID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_m00_couplers_RLAST : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_m00_couplers_RREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_m00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m00_couplers_to_m00_couplers_RVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_m00_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_m00_couplers_WLAST : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_m00_couplers_WREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_m00_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m00_couplers_to_m00_couplers_WVALID : STD_LOGIC_VECTOR ( 0 to 0 );
begin
M_AXI_araddr(31 downto 0) <= m00_couplers_to_m00_couplers_ARADDR(31 downto 0);
M_AXI_arburst(1 downto 0) <= m00_couplers_to_m00_couplers_ARBURST(1 downto 0);
M_AXI_arcache(3 downto 0) <= m00_couplers_to_m00_couplers_ARCACHE(3 downto 0);
M_AXI_arid(0) <= m00_couplers_to_m00_couplers_ARID(0);
M_AXI_arlen(7 downto 0) <= m00_couplers_to_m00_couplers_ARLEN(7 downto 0);
M_AXI_arlock(0) <= m00_couplers_to_m00_couplers_ARLOCK(0);
M_AXI_arprot(2 downto 0) <= m00_couplers_to_m00_couplers_ARPROT(2 downto 0);
M_AXI_arsize(2 downto 0) <= m00_couplers_to_m00_couplers_ARSIZE(2 downto 0);
M_AXI_arvalid(0) <= m00_couplers_to_m00_couplers_ARVALID(0);
M_AXI_awaddr(31 downto 0) <= m00_couplers_to_m00_couplers_AWADDR(31 downto 0);
M_AXI_awburst(1 downto 0) <= m00_couplers_to_m00_couplers_AWBURST(1 downto 0);
M_AXI_awcache(3 downto 0) <= m00_couplers_to_m00_couplers_AWCACHE(3 downto 0);
M_AXI_awid(0) <= m00_couplers_to_m00_couplers_AWID(0);
M_AXI_awlen(7 downto 0) <= m00_couplers_to_m00_couplers_AWLEN(7 downto 0);
M_AXI_awlock(0) <= m00_couplers_to_m00_couplers_AWLOCK(0);
M_AXI_awprot(2 downto 0) <= m00_couplers_to_m00_couplers_AWPROT(2 downto 0);
M_AXI_awsize(2 downto 0) <= m00_couplers_to_m00_couplers_AWSIZE(2 downto 0);
M_AXI_awvalid(0) <= m00_couplers_to_m00_couplers_AWVALID(0);
M_AXI_bready(0) <= m00_couplers_to_m00_couplers_BREADY(0);
M_AXI_rready(0) <= m00_couplers_to_m00_couplers_RREADY(0);
M_AXI_wdata(31 downto 0) <= m00_couplers_to_m00_couplers_WDATA(31 downto 0);
M_AXI_wlast(0) <= m00_couplers_to_m00_couplers_WLAST(0);
M_AXI_wstrb(3 downto 0) <= m00_couplers_to_m00_couplers_WSTRB(3 downto 0);
M_AXI_wvalid(0) <= m00_couplers_to_m00_couplers_WVALID(0);
S_AXI_arready(0) <= m00_couplers_to_m00_couplers_ARREADY(0);
S_AXI_awready(0) <= m00_couplers_to_m00_couplers_AWREADY(0);
S_AXI_bid(0) <= m00_couplers_to_m00_couplers_BID(0);
S_AXI_bresp(1 downto 0) <= m00_couplers_to_m00_couplers_BRESP(1 downto 0);
S_AXI_bvalid(0) <= m00_couplers_to_m00_couplers_BVALID(0);
S_AXI_rdata(31 downto 0) <= m00_couplers_to_m00_couplers_RDATA(31 downto 0);
S_AXI_rid(0) <= m00_couplers_to_m00_couplers_RID(0);
S_AXI_rlast(0) <= m00_couplers_to_m00_couplers_RLAST(0);
S_AXI_rresp(1 downto 0) <= m00_couplers_to_m00_couplers_RRESP(1 downto 0);
S_AXI_rvalid(0) <= m00_couplers_to_m00_couplers_RVALID(0);
S_AXI_wready(0) <= m00_couplers_to_m00_couplers_WREADY(0);
m00_couplers_to_m00_couplers_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0);
m00_couplers_to_m00_couplers_ARBURST(1 downto 0) <= S_AXI_arburst(1 downto 0);
m00_couplers_to_m00_couplers_ARCACHE(3 downto 0) <= S_AXI_arcache(3 downto 0);
m00_couplers_to_m00_couplers_ARID(0) <= S_AXI_arid(0);
m00_couplers_to_m00_couplers_ARLEN(7 downto 0) <= S_AXI_arlen(7 downto 0);
m00_couplers_to_m00_couplers_ARLOCK(0) <= S_AXI_arlock(0);
m00_couplers_to_m00_couplers_ARPROT(2 downto 0) <= S_AXI_arprot(2 downto 0);
m00_couplers_to_m00_couplers_ARREADY(0) <= M_AXI_arready(0);
m00_couplers_to_m00_couplers_ARSIZE(2 downto 0) <= S_AXI_arsize(2 downto 0);
m00_couplers_to_m00_couplers_ARVALID(0) <= S_AXI_arvalid(0);
m00_couplers_to_m00_couplers_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0);
m00_couplers_to_m00_couplers_AWBURST(1 downto 0) <= S_AXI_awburst(1 downto 0);
m00_couplers_to_m00_couplers_AWCACHE(3 downto 0) <= S_AXI_awcache(3 downto 0);
m00_couplers_to_m00_couplers_AWID(0) <= S_AXI_awid(0);
m00_couplers_to_m00_couplers_AWLEN(7 downto 0) <= S_AXI_awlen(7 downto 0);
m00_couplers_to_m00_couplers_AWLOCK(0) <= S_AXI_awlock(0);
m00_couplers_to_m00_couplers_AWPROT(2 downto 0) <= S_AXI_awprot(2 downto 0);
m00_couplers_to_m00_couplers_AWREADY(0) <= M_AXI_awready(0);
m00_couplers_to_m00_couplers_AWSIZE(2 downto 0) <= S_AXI_awsize(2 downto 0);
m00_couplers_to_m00_couplers_AWVALID(0) <= S_AXI_awvalid(0);
m00_couplers_to_m00_couplers_BID(0) <= M_AXI_bid(0);
m00_couplers_to_m00_couplers_BREADY(0) <= S_AXI_bready(0);
m00_couplers_to_m00_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0);
m00_couplers_to_m00_couplers_BVALID(0) <= M_AXI_bvalid(0);
m00_couplers_to_m00_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0);
m00_couplers_to_m00_couplers_RID(0) <= M_AXI_rid(0);
m00_couplers_to_m00_couplers_RLAST(0) <= M_AXI_rlast(0);
m00_couplers_to_m00_couplers_RREADY(0) <= S_AXI_rready(0);
m00_couplers_to_m00_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0);
m00_couplers_to_m00_couplers_RVALID(0) <= M_AXI_rvalid(0);
m00_couplers_to_m00_couplers_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0);
m00_couplers_to_m00_couplers_WLAST(0) <= S_AXI_wlast(0);
m00_couplers_to_m00_couplers_WREADY(0) <= M_AXI_wready(0);
m00_couplers_to_m00_couplers_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0);
m00_couplers_to_m00_couplers_WVALID(0) <= S_AXI_wvalid(0);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity m00_couplers_imp_8RVYHO is
port (
M_ACLK : in STD_LOGIC;
M_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_araddr : out STD_LOGIC_VECTOR ( 8 downto 0 );
M_AXI_arready : in STD_LOGIC;
M_AXI_arvalid : out STD_LOGIC;
M_AXI_awaddr : out STD_LOGIC_VECTOR ( 8 downto 0 );
M_AXI_awready : in STD_LOGIC;
M_AXI_awvalid : out STD_LOGIC;
M_AXI_bready : out STD_LOGIC;
M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_bvalid : in STD_LOGIC;
M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_rready : out STD_LOGIC;
M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_rvalid : in STD_LOGIC;
M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_wready : in STD_LOGIC;
M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_wvalid : out STD_LOGIC;
S_ACLK : in STD_LOGIC;
S_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_araddr : in STD_LOGIC_VECTOR ( 8 downto 0 );
S_AXI_arready : out STD_LOGIC;
S_AXI_arvalid : in STD_LOGIC;
S_AXI_awaddr : in STD_LOGIC_VECTOR ( 8 downto 0 );
S_AXI_awready : out STD_LOGIC;
S_AXI_awvalid : in STD_LOGIC;
S_AXI_bready : in STD_LOGIC;
S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_bvalid : out STD_LOGIC;
S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_rready : in STD_LOGIC;
S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_rvalid : out STD_LOGIC;
S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_wready : out STD_LOGIC;
S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_wvalid : in STD_LOGIC
);
end m00_couplers_imp_8RVYHO;
architecture STRUCTURE of m00_couplers_imp_8RVYHO is
signal m00_couplers_to_m00_couplers_ARADDR : STD_LOGIC_VECTOR ( 8 downto 0 );
signal m00_couplers_to_m00_couplers_ARREADY : STD_LOGIC;
signal m00_couplers_to_m00_couplers_ARVALID : STD_LOGIC;
signal m00_couplers_to_m00_couplers_AWADDR : STD_LOGIC_VECTOR ( 8 downto 0 );
signal m00_couplers_to_m00_couplers_AWREADY : STD_LOGIC;
signal m00_couplers_to_m00_couplers_AWVALID : STD_LOGIC;
signal m00_couplers_to_m00_couplers_BREADY : STD_LOGIC;
signal m00_couplers_to_m00_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m00_couplers_to_m00_couplers_BVALID : STD_LOGIC;
signal m00_couplers_to_m00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_m00_couplers_RREADY : STD_LOGIC;
signal m00_couplers_to_m00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m00_couplers_to_m00_couplers_RVALID : STD_LOGIC;
signal m00_couplers_to_m00_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_m00_couplers_WREADY : STD_LOGIC;
signal m00_couplers_to_m00_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m00_couplers_to_m00_couplers_WVALID : STD_LOGIC;
begin
M_AXI_araddr(8 downto 0) <= m00_couplers_to_m00_couplers_ARADDR(8 downto 0);
M_AXI_arvalid <= m00_couplers_to_m00_couplers_ARVALID;
M_AXI_awaddr(8 downto 0) <= m00_couplers_to_m00_couplers_AWADDR(8 downto 0);
M_AXI_awvalid <= m00_couplers_to_m00_couplers_AWVALID;
M_AXI_bready <= m00_couplers_to_m00_couplers_BREADY;
M_AXI_rready <= m00_couplers_to_m00_couplers_RREADY;
M_AXI_wdata(31 downto 0) <= m00_couplers_to_m00_couplers_WDATA(31 downto 0);
M_AXI_wstrb(3 downto 0) <= m00_couplers_to_m00_couplers_WSTRB(3 downto 0);
M_AXI_wvalid <= m00_couplers_to_m00_couplers_WVALID;
S_AXI_arready <= m00_couplers_to_m00_couplers_ARREADY;
S_AXI_awready <= m00_couplers_to_m00_couplers_AWREADY;
S_AXI_bresp(1 downto 0) <= m00_couplers_to_m00_couplers_BRESP(1 downto 0);
S_AXI_bvalid <= m00_couplers_to_m00_couplers_BVALID;
S_AXI_rdata(31 downto 0) <= m00_couplers_to_m00_couplers_RDATA(31 downto 0);
S_AXI_rresp(1 downto 0) <= m00_couplers_to_m00_couplers_RRESP(1 downto 0);
S_AXI_rvalid <= m00_couplers_to_m00_couplers_RVALID;
S_AXI_wready <= m00_couplers_to_m00_couplers_WREADY;
m00_couplers_to_m00_couplers_ARADDR(8 downto 0) <= S_AXI_araddr(8 downto 0);
m00_couplers_to_m00_couplers_ARREADY <= M_AXI_arready;
m00_couplers_to_m00_couplers_ARVALID <= S_AXI_arvalid;
m00_couplers_to_m00_couplers_AWADDR(8 downto 0) <= S_AXI_awaddr(8 downto 0);
m00_couplers_to_m00_couplers_AWREADY <= M_AXI_awready;
m00_couplers_to_m00_couplers_AWVALID <= S_AXI_awvalid;
m00_couplers_to_m00_couplers_BREADY <= S_AXI_bready;
m00_couplers_to_m00_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0);
m00_couplers_to_m00_couplers_BVALID <= M_AXI_bvalid;
m00_couplers_to_m00_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0);
m00_couplers_to_m00_couplers_RREADY <= S_AXI_rready;
m00_couplers_to_m00_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0);
m00_couplers_to_m00_couplers_RVALID <= M_AXI_rvalid;
m00_couplers_to_m00_couplers_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0);
m00_couplers_to_m00_couplers_WREADY <= M_AXI_wready;
m00_couplers_to_m00_couplers_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0);
m00_couplers_to_m00_couplers_WVALID <= S_AXI_wvalid;
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity m01_couplers_imp_1UTB3Y5 is
port (
M_ACLK : in STD_LOGIC;
M_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_araddr : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_arready : in STD_LOGIC;
M_AXI_arvalid : out STD_LOGIC;
M_AXI_awaddr : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_awready : in STD_LOGIC;
M_AXI_awvalid : out STD_LOGIC;
M_AXI_bready : out STD_LOGIC;
M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_bvalid : in STD_LOGIC;
M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_rready : out STD_LOGIC;
M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_rvalid : in STD_LOGIC;
M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_wready : in STD_LOGIC;
M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_wvalid : out STD_LOGIC;
S_ACLK : in STD_LOGIC;
S_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_araddr : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_arready : out STD_LOGIC;
S_AXI_arvalid : in STD_LOGIC;
S_AXI_awaddr : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_awready : out STD_LOGIC;
S_AXI_awvalid : in STD_LOGIC;
S_AXI_bready : in STD_LOGIC;
S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_bvalid : out STD_LOGIC;
S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_rready : in STD_LOGIC;
S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_rvalid : out STD_LOGIC;
S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_wready : out STD_LOGIC;
S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_wvalid : in STD_LOGIC
);
end m01_couplers_imp_1UTB3Y5;
architecture STRUCTURE of m01_couplers_imp_1UTB3Y5 is
signal m01_couplers_to_m01_couplers_ARADDR : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m01_couplers_to_m01_couplers_ARREADY : STD_LOGIC;
signal m01_couplers_to_m01_couplers_ARVALID : STD_LOGIC;
signal m01_couplers_to_m01_couplers_AWADDR : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m01_couplers_to_m01_couplers_AWREADY : STD_LOGIC;
signal m01_couplers_to_m01_couplers_AWVALID : STD_LOGIC;
signal m01_couplers_to_m01_couplers_BREADY : STD_LOGIC;
signal m01_couplers_to_m01_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m01_couplers_to_m01_couplers_BVALID : STD_LOGIC;
signal m01_couplers_to_m01_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m01_couplers_to_m01_couplers_RREADY : STD_LOGIC;
signal m01_couplers_to_m01_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m01_couplers_to_m01_couplers_RVALID : STD_LOGIC;
signal m01_couplers_to_m01_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m01_couplers_to_m01_couplers_WREADY : STD_LOGIC;
signal m01_couplers_to_m01_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m01_couplers_to_m01_couplers_WVALID : STD_LOGIC;
begin
M_AXI_araddr(3 downto 0) <= m01_couplers_to_m01_couplers_ARADDR(3 downto 0);
M_AXI_arvalid <= m01_couplers_to_m01_couplers_ARVALID;
M_AXI_awaddr(3 downto 0) <= m01_couplers_to_m01_couplers_AWADDR(3 downto 0);
M_AXI_awvalid <= m01_couplers_to_m01_couplers_AWVALID;
M_AXI_bready <= m01_couplers_to_m01_couplers_BREADY;
M_AXI_rready <= m01_couplers_to_m01_couplers_RREADY;
M_AXI_wdata(31 downto 0) <= m01_couplers_to_m01_couplers_WDATA(31 downto 0);
M_AXI_wstrb(3 downto 0) <= m01_couplers_to_m01_couplers_WSTRB(3 downto 0);
M_AXI_wvalid <= m01_couplers_to_m01_couplers_WVALID;
S_AXI_arready <= m01_couplers_to_m01_couplers_ARREADY;
S_AXI_awready <= m01_couplers_to_m01_couplers_AWREADY;
S_AXI_bresp(1 downto 0) <= m01_couplers_to_m01_couplers_BRESP(1 downto 0);
S_AXI_bvalid <= m01_couplers_to_m01_couplers_BVALID;
S_AXI_rdata(31 downto 0) <= m01_couplers_to_m01_couplers_RDATA(31 downto 0);
S_AXI_rresp(1 downto 0) <= m01_couplers_to_m01_couplers_RRESP(1 downto 0);
S_AXI_rvalid <= m01_couplers_to_m01_couplers_RVALID;
S_AXI_wready <= m01_couplers_to_m01_couplers_WREADY;
m01_couplers_to_m01_couplers_ARADDR(3 downto 0) <= S_AXI_araddr(3 downto 0);
m01_couplers_to_m01_couplers_ARREADY <= M_AXI_arready;
m01_couplers_to_m01_couplers_ARVALID <= S_AXI_arvalid;
m01_couplers_to_m01_couplers_AWADDR(3 downto 0) <= S_AXI_awaddr(3 downto 0);
m01_couplers_to_m01_couplers_AWREADY <= M_AXI_awready;
m01_couplers_to_m01_couplers_AWVALID <= S_AXI_awvalid;
m01_couplers_to_m01_couplers_BREADY <= S_AXI_bready;
m01_couplers_to_m01_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0);
m01_couplers_to_m01_couplers_BVALID <= M_AXI_bvalid;
m01_couplers_to_m01_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0);
m01_couplers_to_m01_couplers_RREADY <= S_AXI_rready;
m01_couplers_to_m01_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0);
m01_couplers_to_m01_couplers_RVALID <= M_AXI_rvalid;
m01_couplers_to_m01_couplers_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0);
m01_couplers_to_m01_couplers_WREADY <= M_AXI_wready;
m01_couplers_to_m01_couplers_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0);
m01_couplers_to_m01_couplers_WVALID <= S_AXI_wvalid;
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity m02_couplers_imp_7ANRHB is
port (
M_ACLK : in STD_LOGIC;
M_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_araddr : out STD_LOGIC_VECTOR ( 12 downto 0 );
M_AXI_arready : in STD_LOGIC;
M_AXI_arvalid : out STD_LOGIC;
M_AXI_awaddr : out STD_LOGIC_VECTOR ( 12 downto 0 );
M_AXI_awready : in STD_LOGIC;
M_AXI_awvalid : out STD_LOGIC;
M_AXI_bready : out STD_LOGIC;
M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_bvalid : in STD_LOGIC;
M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_rready : out STD_LOGIC;
M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_rvalid : in STD_LOGIC;
M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_wready : in STD_LOGIC;
M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_wvalid : out STD_LOGIC;
S_ACLK : in STD_LOGIC;
S_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_araddr : in STD_LOGIC_VECTOR ( 12 downto 0 );
S_AXI_arready : out STD_LOGIC;
S_AXI_arvalid : in STD_LOGIC;
S_AXI_awaddr : in STD_LOGIC_VECTOR ( 12 downto 0 );
S_AXI_awready : out STD_LOGIC;
S_AXI_awvalid : in STD_LOGIC;
S_AXI_bready : in STD_LOGIC;
S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_bvalid : out STD_LOGIC;
S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_rready : in STD_LOGIC;
S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_rvalid : out STD_LOGIC;
S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_wready : out STD_LOGIC;
S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_wvalid : in STD_LOGIC
);
end m02_couplers_imp_7ANRHB;
architecture STRUCTURE of m02_couplers_imp_7ANRHB is
signal m02_couplers_to_m02_couplers_ARADDR : STD_LOGIC_VECTOR ( 12 downto 0 );
signal m02_couplers_to_m02_couplers_ARREADY : STD_LOGIC;
signal m02_couplers_to_m02_couplers_ARVALID : STD_LOGIC;
signal m02_couplers_to_m02_couplers_AWADDR : STD_LOGIC_VECTOR ( 12 downto 0 );
signal m02_couplers_to_m02_couplers_AWREADY : STD_LOGIC;
signal m02_couplers_to_m02_couplers_AWVALID : STD_LOGIC;
signal m02_couplers_to_m02_couplers_BREADY : STD_LOGIC;
signal m02_couplers_to_m02_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m02_couplers_to_m02_couplers_BVALID : STD_LOGIC;
signal m02_couplers_to_m02_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m02_couplers_to_m02_couplers_RREADY : STD_LOGIC;
signal m02_couplers_to_m02_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m02_couplers_to_m02_couplers_RVALID : STD_LOGIC;
signal m02_couplers_to_m02_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m02_couplers_to_m02_couplers_WREADY : STD_LOGIC;
signal m02_couplers_to_m02_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m02_couplers_to_m02_couplers_WVALID : STD_LOGIC;
begin
M_AXI_araddr(12 downto 0) <= m02_couplers_to_m02_couplers_ARADDR(12 downto 0);
M_AXI_arvalid <= m02_couplers_to_m02_couplers_ARVALID;
M_AXI_awaddr(12 downto 0) <= m02_couplers_to_m02_couplers_AWADDR(12 downto 0);
M_AXI_awvalid <= m02_couplers_to_m02_couplers_AWVALID;
M_AXI_bready <= m02_couplers_to_m02_couplers_BREADY;
M_AXI_rready <= m02_couplers_to_m02_couplers_RREADY;
M_AXI_wdata(31 downto 0) <= m02_couplers_to_m02_couplers_WDATA(31 downto 0);
M_AXI_wstrb(3 downto 0) <= m02_couplers_to_m02_couplers_WSTRB(3 downto 0);
M_AXI_wvalid <= m02_couplers_to_m02_couplers_WVALID;
S_AXI_arready <= m02_couplers_to_m02_couplers_ARREADY;
S_AXI_awready <= m02_couplers_to_m02_couplers_AWREADY;
S_AXI_bresp(1 downto 0) <= m02_couplers_to_m02_couplers_BRESP(1 downto 0);
S_AXI_bvalid <= m02_couplers_to_m02_couplers_BVALID;
S_AXI_rdata(31 downto 0) <= m02_couplers_to_m02_couplers_RDATA(31 downto 0);
S_AXI_rresp(1 downto 0) <= m02_couplers_to_m02_couplers_RRESP(1 downto 0);
S_AXI_rvalid <= m02_couplers_to_m02_couplers_RVALID;
S_AXI_wready <= m02_couplers_to_m02_couplers_WREADY;
m02_couplers_to_m02_couplers_ARADDR(12 downto 0) <= S_AXI_araddr(12 downto 0);
m02_couplers_to_m02_couplers_ARREADY <= M_AXI_arready;
m02_couplers_to_m02_couplers_ARVALID <= S_AXI_arvalid;
m02_couplers_to_m02_couplers_AWADDR(12 downto 0) <= S_AXI_awaddr(12 downto 0);
m02_couplers_to_m02_couplers_AWREADY <= M_AXI_awready;
m02_couplers_to_m02_couplers_AWVALID <= S_AXI_awvalid;
m02_couplers_to_m02_couplers_BREADY <= S_AXI_bready;
m02_couplers_to_m02_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0);
m02_couplers_to_m02_couplers_BVALID <= M_AXI_bvalid;
m02_couplers_to_m02_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0);
m02_couplers_to_m02_couplers_RREADY <= S_AXI_rready;
m02_couplers_to_m02_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0);
m02_couplers_to_m02_couplers_RVALID <= M_AXI_rvalid;
m02_couplers_to_m02_couplers_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0);
m02_couplers_to_m02_couplers_WREADY <= M_AXI_wready;
m02_couplers_to_m02_couplers_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0);
m02_couplers_to_m02_couplers_WVALID <= S_AXI_wvalid;
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity m03_couplers_imp_1W07O72 is
port (
M_ACLK : in STD_LOGIC;
M_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_araddr : out STD_LOGIC_VECTOR ( 4 downto 0 );
M_AXI_arready : in STD_LOGIC;
M_AXI_arvalid : out STD_LOGIC;
M_AXI_awaddr : out STD_LOGIC_VECTOR ( 4 downto 0 );
M_AXI_awready : in STD_LOGIC;
M_AXI_awvalid : out STD_LOGIC;
M_AXI_bready : out STD_LOGIC;
M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_bvalid : in STD_LOGIC;
M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_rready : out STD_LOGIC;
M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_rvalid : in STD_LOGIC;
M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_wready : in STD_LOGIC;
M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_wvalid : out STD_LOGIC;
S_ACLK : in STD_LOGIC;
S_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_araddr : in STD_LOGIC_VECTOR ( 4 downto 0 );
S_AXI_arready : out STD_LOGIC;
S_AXI_arvalid : in STD_LOGIC;
S_AXI_awaddr : in STD_LOGIC_VECTOR ( 4 downto 0 );
S_AXI_awready : out STD_LOGIC;
S_AXI_awvalid : in STD_LOGIC;
S_AXI_bready : in STD_LOGIC;
S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_bvalid : out STD_LOGIC;
S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_rready : in STD_LOGIC;
S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_rvalid : out STD_LOGIC;
S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_wready : out STD_LOGIC;
S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_wvalid : in STD_LOGIC
);
end m03_couplers_imp_1W07O72;
architecture STRUCTURE of m03_couplers_imp_1W07O72 is
signal m03_couplers_to_m03_couplers_ARADDR : STD_LOGIC_VECTOR ( 4 downto 0 );
signal m03_couplers_to_m03_couplers_ARREADY : STD_LOGIC;
signal m03_couplers_to_m03_couplers_ARVALID : STD_LOGIC;
signal m03_couplers_to_m03_couplers_AWADDR : STD_LOGIC_VECTOR ( 4 downto 0 );
signal m03_couplers_to_m03_couplers_AWREADY : STD_LOGIC;
signal m03_couplers_to_m03_couplers_AWVALID : STD_LOGIC;
signal m03_couplers_to_m03_couplers_BREADY : STD_LOGIC;
signal m03_couplers_to_m03_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m03_couplers_to_m03_couplers_BVALID : STD_LOGIC;
signal m03_couplers_to_m03_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m03_couplers_to_m03_couplers_RREADY : STD_LOGIC;
signal m03_couplers_to_m03_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m03_couplers_to_m03_couplers_RVALID : STD_LOGIC;
signal m03_couplers_to_m03_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m03_couplers_to_m03_couplers_WREADY : STD_LOGIC;
signal m03_couplers_to_m03_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m03_couplers_to_m03_couplers_WVALID : STD_LOGIC;
begin
M_AXI_araddr(4 downto 0) <= m03_couplers_to_m03_couplers_ARADDR(4 downto 0);
M_AXI_arvalid <= m03_couplers_to_m03_couplers_ARVALID;
M_AXI_awaddr(4 downto 0) <= m03_couplers_to_m03_couplers_AWADDR(4 downto 0);
M_AXI_awvalid <= m03_couplers_to_m03_couplers_AWVALID;
M_AXI_bready <= m03_couplers_to_m03_couplers_BREADY;
M_AXI_rready <= m03_couplers_to_m03_couplers_RREADY;
M_AXI_wdata(31 downto 0) <= m03_couplers_to_m03_couplers_WDATA(31 downto 0);
M_AXI_wstrb(3 downto 0) <= m03_couplers_to_m03_couplers_WSTRB(3 downto 0);
M_AXI_wvalid <= m03_couplers_to_m03_couplers_WVALID;
S_AXI_arready <= m03_couplers_to_m03_couplers_ARREADY;
S_AXI_awready <= m03_couplers_to_m03_couplers_AWREADY;
S_AXI_bresp(1 downto 0) <= m03_couplers_to_m03_couplers_BRESP(1 downto 0);
S_AXI_bvalid <= m03_couplers_to_m03_couplers_BVALID;
S_AXI_rdata(31 downto 0) <= m03_couplers_to_m03_couplers_RDATA(31 downto 0);
S_AXI_rresp(1 downto 0) <= m03_couplers_to_m03_couplers_RRESP(1 downto 0);
S_AXI_rvalid <= m03_couplers_to_m03_couplers_RVALID;
S_AXI_wready <= m03_couplers_to_m03_couplers_WREADY;
m03_couplers_to_m03_couplers_ARADDR(4 downto 0) <= S_AXI_araddr(4 downto 0);
m03_couplers_to_m03_couplers_ARREADY <= M_AXI_arready;
m03_couplers_to_m03_couplers_ARVALID <= S_AXI_arvalid;
m03_couplers_to_m03_couplers_AWADDR(4 downto 0) <= S_AXI_awaddr(4 downto 0);
m03_couplers_to_m03_couplers_AWREADY <= M_AXI_awready;
m03_couplers_to_m03_couplers_AWVALID <= S_AXI_awvalid;
m03_couplers_to_m03_couplers_BREADY <= S_AXI_bready;
m03_couplers_to_m03_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0);
m03_couplers_to_m03_couplers_BVALID <= M_AXI_bvalid;
m03_couplers_to_m03_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0);
m03_couplers_to_m03_couplers_RREADY <= S_AXI_rready;
m03_couplers_to_m03_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0);
m03_couplers_to_m03_couplers_RVALID <= M_AXI_rvalid;
m03_couplers_to_m03_couplers_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0);
m03_couplers_to_m03_couplers_WREADY <= M_AXI_wready;
m03_couplers_to_m03_couplers_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0);
m03_couplers_to_m03_couplers_WVALID <= S_AXI_wvalid;
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity microblaze_0_local_memory_imp_1K0VQXK is
port (
DLMB_abus : in STD_LOGIC_VECTOR ( 0 to 31 );
DLMB_addrstrobe : in STD_LOGIC;
DLMB_be : in STD_LOGIC_VECTOR ( 0 to 3 );
DLMB_ce : out STD_LOGIC;
DLMB_readdbus : out STD_LOGIC_VECTOR ( 0 to 31 );
DLMB_readstrobe : in STD_LOGIC;
DLMB_ready : out STD_LOGIC;
DLMB_ue : out STD_LOGIC;
DLMB_wait : out STD_LOGIC;
DLMB_writedbus : in STD_LOGIC_VECTOR ( 0 to 31 );
DLMB_writestrobe : in STD_LOGIC;
ILMB_abus : in STD_LOGIC_VECTOR ( 0 to 31 );
ILMB_addrstrobe : in STD_LOGIC;
ILMB_ce : out STD_LOGIC;
ILMB_readdbus : out STD_LOGIC_VECTOR ( 0 to 31 );
ILMB_readstrobe : in STD_LOGIC;
ILMB_ready : out STD_LOGIC;
ILMB_ue : out STD_LOGIC;
ILMB_wait : out STD_LOGIC;
LMB_Clk : in STD_LOGIC;
SYS_Rst : in STD_LOGIC_VECTOR ( 0 to 0 )
);
end microblaze_0_local_memory_imp_1K0VQXK;
architecture STRUCTURE of microblaze_0_local_memory_imp_1K0VQXK is
component design_1_dlmb_v10_0 is
port (
LMB_Clk : in STD_LOGIC;
SYS_Rst : in STD_LOGIC;
LMB_Rst : out STD_LOGIC;
M_ABus : in STD_LOGIC_VECTOR ( 0 to 31 );
M_ReadStrobe : in STD_LOGIC;
M_WriteStrobe : in STD_LOGIC;
M_AddrStrobe : in STD_LOGIC;
M_DBus : in STD_LOGIC_VECTOR ( 0 to 31 );
M_BE : in STD_LOGIC_VECTOR ( 0 to 3 );
Sl_DBus : in STD_LOGIC_VECTOR ( 0 to 31 );
Sl_Ready : in STD_LOGIC_VECTOR ( 0 to 0 );
Sl_Wait : in STD_LOGIC_VECTOR ( 0 to 0 );
Sl_UE : in STD_LOGIC_VECTOR ( 0 to 0 );
Sl_CE : in STD_LOGIC_VECTOR ( 0 to 0 );
LMB_ABus : out STD_LOGIC_VECTOR ( 0 to 31 );
LMB_ReadStrobe : out STD_LOGIC;
LMB_WriteStrobe : out STD_LOGIC;
LMB_AddrStrobe : out STD_LOGIC;
LMB_ReadDBus : out STD_LOGIC_VECTOR ( 0 to 31 );
LMB_WriteDBus : out STD_LOGIC_VECTOR ( 0 to 31 );
LMB_Ready : out STD_LOGIC;
LMB_Wait : out STD_LOGIC;
LMB_UE : out STD_LOGIC;
LMB_CE : out STD_LOGIC;
LMB_BE : out STD_LOGIC_VECTOR ( 0 to 3 )
);
end component design_1_dlmb_v10_0;
component design_1_ilmb_v10_0 is
port (
LMB_Clk : in STD_LOGIC;
SYS_Rst : in STD_LOGIC;
LMB_Rst : out STD_LOGIC;
M_ABus : in STD_LOGIC_VECTOR ( 0 to 31 );
M_ReadStrobe : in STD_LOGIC;
M_WriteStrobe : in STD_LOGIC;
M_AddrStrobe : in STD_LOGIC;
M_DBus : in STD_LOGIC_VECTOR ( 0 to 31 );
M_BE : in STD_LOGIC_VECTOR ( 0 to 3 );
Sl_DBus : in STD_LOGIC_VECTOR ( 0 to 31 );
Sl_Ready : in STD_LOGIC_VECTOR ( 0 to 0 );
Sl_Wait : in STD_LOGIC_VECTOR ( 0 to 0 );
Sl_UE : in STD_LOGIC_VECTOR ( 0 to 0 );
Sl_CE : in STD_LOGIC_VECTOR ( 0 to 0 );
LMB_ABus : out STD_LOGIC_VECTOR ( 0 to 31 );
LMB_ReadStrobe : out STD_LOGIC;
LMB_WriteStrobe : out STD_LOGIC;
LMB_AddrStrobe : out STD_LOGIC;
LMB_ReadDBus : out STD_LOGIC_VECTOR ( 0 to 31 );
LMB_WriteDBus : out STD_LOGIC_VECTOR ( 0 to 31 );
LMB_Ready : out STD_LOGIC;
LMB_Wait : out STD_LOGIC;
LMB_UE : out STD_LOGIC;
LMB_CE : out STD_LOGIC;
LMB_BE : out STD_LOGIC_VECTOR ( 0 to 3 )
);
end component design_1_ilmb_v10_0;
component design_1_dlmb_bram_if_cntlr_0 is
port (
LMB_Clk : in STD_LOGIC;
LMB_Rst : in STD_LOGIC;
LMB_ABus : in STD_LOGIC_VECTOR ( 0 to 31 );
LMB_WriteDBus : in STD_LOGIC_VECTOR ( 0 to 31 );
LMB_AddrStrobe : in STD_LOGIC;
LMB_ReadStrobe : in STD_LOGIC;
LMB_WriteStrobe : in STD_LOGIC;
LMB_BE : in STD_LOGIC_VECTOR ( 0 to 3 );
Sl_DBus : out STD_LOGIC_VECTOR ( 0 to 31 );
Sl_Ready : out STD_LOGIC;
Sl_Wait : out STD_LOGIC;
Sl_UE : out STD_LOGIC;
Sl_CE : out STD_LOGIC;
BRAM_Rst_A : out STD_LOGIC;
BRAM_Clk_A : out STD_LOGIC;
BRAM_Addr_A : out STD_LOGIC_VECTOR ( 0 to 31 );
BRAM_EN_A : out STD_LOGIC;
BRAM_WEN_A : out STD_LOGIC_VECTOR ( 0 to 3 );
BRAM_Dout_A : out STD_LOGIC_VECTOR ( 0 to 31 );
BRAM_Din_A : in STD_LOGIC_VECTOR ( 0 to 31 )
);
end component design_1_dlmb_bram_if_cntlr_0;
component design_1_ilmb_bram_if_cntlr_0 is
port (
LMB_Clk : in STD_LOGIC;
LMB_Rst : in STD_LOGIC;
LMB_ABus : in STD_LOGIC_VECTOR ( 0 to 31 );
LMB_WriteDBus : in STD_LOGIC_VECTOR ( 0 to 31 );
LMB_AddrStrobe : in STD_LOGIC;
LMB_ReadStrobe : in STD_LOGIC;
LMB_WriteStrobe : in STD_LOGIC;
LMB_BE : in STD_LOGIC_VECTOR ( 0 to 3 );
Sl_DBus : out STD_LOGIC_VECTOR ( 0 to 31 );
Sl_Ready : out STD_LOGIC;
Sl_Wait : out STD_LOGIC;
Sl_UE : out STD_LOGIC;
Sl_CE : out STD_LOGIC;
BRAM_Rst_A : out STD_LOGIC;
BRAM_Clk_A : out STD_LOGIC;
BRAM_Addr_A : out STD_LOGIC_VECTOR ( 0 to 31 );
BRAM_EN_A : out STD_LOGIC;
BRAM_WEN_A : out STD_LOGIC_VECTOR ( 0 to 3 );
BRAM_Dout_A : out STD_LOGIC_VECTOR ( 0 to 31 );
BRAM_Din_A : in STD_LOGIC_VECTOR ( 0 to 31 )
);
end component design_1_ilmb_bram_if_cntlr_0;
component design_1_lmb_bram_0 is
port (
clka : in STD_LOGIC;
rsta : in STD_LOGIC;
ena : in STD_LOGIC;
wea : in STD_LOGIC_VECTOR ( 3 downto 0 );
addra : in STD_LOGIC_VECTOR ( 31 downto 0 );
dina : in STD_LOGIC_VECTOR ( 31 downto 0 );
douta : out STD_LOGIC_VECTOR ( 31 downto 0 );
clkb : in STD_LOGIC;
rstb : in STD_LOGIC;
enb : in STD_LOGIC;
web : in STD_LOGIC_VECTOR ( 3 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 31 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 31 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 31 downto 0 )
);
end component design_1_lmb_bram_0;
signal GND_1 : STD_LOGIC;
signal SYS_Rst_1 : STD_LOGIC_VECTOR ( 0 to 0 );
signal microblaze_0_Clk : STD_LOGIC;
signal microblaze_0_dlmb_ABUS : STD_LOGIC_VECTOR ( 0 to 31 );
signal microblaze_0_dlmb_ADDRSTROBE : STD_LOGIC;
signal microblaze_0_dlmb_BE : STD_LOGIC_VECTOR ( 0 to 3 );
signal microblaze_0_dlmb_CE : STD_LOGIC;
signal microblaze_0_dlmb_READDBUS : STD_LOGIC_VECTOR ( 0 to 31 );
signal microblaze_0_dlmb_READSTROBE : STD_LOGIC;
signal microblaze_0_dlmb_READY : STD_LOGIC;
signal microblaze_0_dlmb_UE : STD_LOGIC;
signal microblaze_0_dlmb_WAIT : STD_LOGIC;
signal microblaze_0_dlmb_WRITEDBUS : STD_LOGIC_VECTOR ( 0 to 31 );
signal microblaze_0_dlmb_WRITESTROBE : STD_LOGIC;
signal microblaze_0_dlmb_bus_ABUS : STD_LOGIC_VECTOR ( 0 to 31 );
signal microblaze_0_dlmb_bus_ADDRSTROBE : STD_LOGIC;
signal microblaze_0_dlmb_bus_BE : STD_LOGIC_VECTOR ( 0 to 3 );
signal microblaze_0_dlmb_bus_CE : STD_LOGIC;
signal microblaze_0_dlmb_bus_READDBUS : STD_LOGIC_VECTOR ( 0 to 31 );
signal microblaze_0_dlmb_bus_READSTROBE : STD_LOGIC;
signal microblaze_0_dlmb_bus_READY : STD_LOGIC;
signal microblaze_0_dlmb_bus_UE : STD_LOGIC;
signal microblaze_0_dlmb_bus_WAIT : STD_LOGIC;
signal microblaze_0_dlmb_bus_WRITEDBUS : STD_LOGIC_VECTOR ( 0 to 31 );
signal microblaze_0_dlmb_bus_WRITESTROBE : STD_LOGIC;
signal microblaze_0_dlmb_cntlr_ADDR : STD_LOGIC_VECTOR ( 0 to 31 );
signal microblaze_0_dlmb_cntlr_CLK : STD_LOGIC;
signal microblaze_0_dlmb_cntlr_DIN : STD_LOGIC_VECTOR ( 0 to 31 );
signal microblaze_0_dlmb_cntlr_DOUT : STD_LOGIC_VECTOR ( 31 downto 0 );
signal microblaze_0_dlmb_cntlr_EN : STD_LOGIC;
signal microblaze_0_dlmb_cntlr_RST : STD_LOGIC;
signal microblaze_0_dlmb_cntlr_WE : STD_LOGIC_VECTOR ( 0 to 3 );
signal microblaze_0_ilmb_ABUS : STD_LOGIC_VECTOR ( 0 to 31 );
signal microblaze_0_ilmb_ADDRSTROBE : STD_LOGIC;
signal microblaze_0_ilmb_CE : STD_LOGIC;
signal microblaze_0_ilmb_READDBUS : STD_LOGIC_VECTOR ( 0 to 31 );
signal microblaze_0_ilmb_READSTROBE : STD_LOGIC;
signal microblaze_0_ilmb_READY : STD_LOGIC;
signal microblaze_0_ilmb_UE : STD_LOGIC;
signal microblaze_0_ilmb_WAIT : STD_LOGIC;
signal microblaze_0_ilmb_bus_ABUS : STD_LOGIC_VECTOR ( 0 to 31 );
signal microblaze_0_ilmb_bus_ADDRSTROBE : STD_LOGIC;
signal microblaze_0_ilmb_bus_BE : STD_LOGIC_VECTOR ( 0 to 3 );
signal microblaze_0_ilmb_bus_CE : STD_LOGIC;
signal microblaze_0_ilmb_bus_READDBUS : STD_LOGIC_VECTOR ( 0 to 31 );
signal microblaze_0_ilmb_bus_READSTROBE : STD_LOGIC;
signal microblaze_0_ilmb_bus_READY : STD_LOGIC;
signal microblaze_0_ilmb_bus_UE : STD_LOGIC;
signal microblaze_0_ilmb_bus_WAIT : STD_LOGIC;
signal microblaze_0_ilmb_bus_WRITEDBUS : STD_LOGIC_VECTOR ( 0 to 31 );
signal microblaze_0_ilmb_bus_WRITESTROBE : STD_LOGIC;
signal microblaze_0_ilmb_cntlr_ADDR : STD_LOGIC_VECTOR ( 0 to 31 );
signal microblaze_0_ilmb_cntlr_CLK : STD_LOGIC;
signal microblaze_0_ilmb_cntlr_DIN : STD_LOGIC_VECTOR ( 0 to 31 );
signal microblaze_0_ilmb_cntlr_DOUT : STD_LOGIC_VECTOR ( 31 downto 0 );
signal microblaze_0_ilmb_cntlr_EN : STD_LOGIC;
signal microblaze_0_ilmb_cntlr_RST : STD_LOGIC;
signal microblaze_0_ilmb_cntlr_WE : STD_LOGIC_VECTOR ( 0 to 3 );
signal NLW_dlmb_v10_LMB_Rst_UNCONNECTED : STD_LOGIC;
signal NLW_ilmb_v10_LMB_Rst_UNCONNECTED : STD_LOGIC;
attribute BMM_INFO_ADDRESS_SPACE : string;
attribute BMM_INFO_ADDRESS_SPACE of dlmb_bram_if_cntlr : label is "byte 0x0 32 > design_1 microblaze_0_local_memory/lmb_bram";
attribute KEEP_HIERARCHY : string;
attribute KEEP_HIERARCHY of dlmb_bram_if_cntlr : label is "yes";
begin
DLMB_ce <= microblaze_0_dlmb_CE;
DLMB_readdbus(0 to 31) <= microblaze_0_dlmb_READDBUS(0 to 31);
DLMB_ready <= microblaze_0_dlmb_READY;
DLMB_ue <= microblaze_0_dlmb_UE;
DLMB_wait <= microblaze_0_dlmb_WAIT;
ILMB_ce <= microblaze_0_ilmb_CE;
ILMB_readdbus(0 to 31) <= microblaze_0_ilmb_READDBUS(0 to 31);
ILMB_ready <= microblaze_0_ilmb_READY;
ILMB_ue <= microblaze_0_ilmb_UE;
ILMB_wait <= microblaze_0_ilmb_WAIT;
SYS_Rst_1(0) <= SYS_Rst(0);
microblaze_0_Clk <= LMB_Clk;
microblaze_0_dlmb_ABUS(0 to 31) <= DLMB_abus(0 to 31);
microblaze_0_dlmb_ADDRSTROBE <= DLMB_addrstrobe;
microblaze_0_dlmb_BE(0 to 3) <= DLMB_be(0 to 3);
microblaze_0_dlmb_READSTROBE <= DLMB_readstrobe;
microblaze_0_dlmb_WRITEDBUS(0 to 31) <= DLMB_writedbus(0 to 31);
microblaze_0_dlmb_WRITESTROBE <= DLMB_writestrobe;
microblaze_0_ilmb_ABUS(0 to 31) <= ILMB_abus(0 to 31);
microblaze_0_ilmb_ADDRSTROBE <= ILMB_addrstrobe;
microblaze_0_ilmb_READSTROBE <= ILMB_readstrobe;
GND: unisim.vcomponents.GND
port map (
G => GND_1
);
dlmb_bram_if_cntlr: component design_1_dlmb_bram_if_cntlr_0
port map (
BRAM_Addr_A(0 to 31) => microblaze_0_dlmb_cntlr_ADDR(0 to 31),
BRAM_Clk_A => microblaze_0_dlmb_cntlr_CLK,
BRAM_Din_A(0) => microblaze_0_dlmb_cntlr_DOUT(31),
BRAM_Din_A(1) => microblaze_0_dlmb_cntlr_DOUT(30),
BRAM_Din_A(2) => microblaze_0_dlmb_cntlr_DOUT(29),
BRAM_Din_A(3) => microblaze_0_dlmb_cntlr_DOUT(28),
BRAM_Din_A(4) => microblaze_0_dlmb_cntlr_DOUT(27),
BRAM_Din_A(5) => microblaze_0_dlmb_cntlr_DOUT(26),
BRAM_Din_A(6) => microblaze_0_dlmb_cntlr_DOUT(25),
BRAM_Din_A(7) => microblaze_0_dlmb_cntlr_DOUT(24),
BRAM_Din_A(8) => microblaze_0_dlmb_cntlr_DOUT(23),
BRAM_Din_A(9) => microblaze_0_dlmb_cntlr_DOUT(22),
BRAM_Din_A(10) => microblaze_0_dlmb_cntlr_DOUT(21),
BRAM_Din_A(11) => microblaze_0_dlmb_cntlr_DOUT(20),
BRAM_Din_A(12) => microblaze_0_dlmb_cntlr_DOUT(19),
BRAM_Din_A(13) => microblaze_0_dlmb_cntlr_DOUT(18),
BRAM_Din_A(14) => microblaze_0_dlmb_cntlr_DOUT(17),
BRAM_Din_A(15) => microblaze_0_dlmb_cntlr_DOUT(16),
BRAM_Din_A(16) => microblaze_0_dlmb_cntlr_DOUT(15),
BRAM_Din_A(17) => microblaze_0_dlmb_cntlr_DOUT(14),
BRAM_Din_A(18) => microblaze_0_dlmb_cntlr_DOUT(13),
BRAM_Din_A(19) => microblaze_0_dlmb_cntlr_DOUT(12),
BRAM_Din_A(20) => microblaze_0_dlmb_cntlr_DOUT(11),
BRAM_Din_A(21) => microblaze_0_dlmb_cntlr_DOUT(10),
BRAM_Din_A(22) => microblaze_0_dlmb_cntlr_DOUT(9),
BRAM_Din_A(23) => microblaze_0_dlmb_cntlr_DOUT(8),
BRAM_Din_A(24) => microblaze_0_dlmb_cntlr_DOUT(7),
BRAM_Din_A(25) => microblaze_0_dlmb_cntlr_DOUT(6),
BRAM_Din_A(26) => microblaze_0_dlmb_cntlr_DOUT(5),
BRAM_Din_A(27) => microblaze_0_dlmb_cntlr_DOUT(4),
BRAM_Din_A(28) => microblaze_0_dlmb_cntlr_DOUT(3),
BRAM_Din_A(29) => microblaze_0_dlmb_cntlr_DOUT(2),
BRAM_Din_A(30) => microblaze_0_dlmb_cntlr_DOUT(1),
BRAM_Din_A(31) => microblaze_0_dlmb_cntlr_DOUT(0),
BRAM_Dout_A(0 to 31) => microblaze_0_dlmb_cntlr_DIN(0 to 31),
BRAM_EN_A => microblaze_0_dlmb_cntlr_EN,
BRAM_Rst_A => microblaze_0_dlmb_cntlr_RST,
BRAM_WEN_A(0 to 3) => microblaze_0_dlmb_cntlr_WE(0 to 3),
LMB_ABus(0 to 31) => microblaze_0_dlmb_bus_ABUS(0 to 31),
LMB_AddrStrobe => microblaze_0_dlmb_bus_ADDRSTROBE,
LMB_BE(0 to 3) => microblaze_0_dlmb_bus_BE(0 to 3),
LMB_Clk => microblaze_0_Clk,
LMB_ReadStrobe => microblaze_0_dlmb_bus_READSTROBE,
LMB_Rst => SYS_Rst_1(0),
LMB_WriteDBus(0 to 31) => microblaze_0_dlmb_bus_WRITEDBUS(0 to 31),
LMB_WriteStrobe => microblaze_0_dlmb_bus_WRITESTROBE,
Sl_CE => microblaze_0_dlmb_bus_CE,
Sl_DBus(0 to 31) => microblaze_0_dlmb_bus_READDBUS(0 to 31),
Sl_Ready => microblaze_0_dlmb_bus_READY,
Sl_UE => microblaze_0_dlmb_bus_UE,
Sl_Wait => microblaze_0_dlmb_bus_WAIT
);
dlmb_v10: component design_1_dlmb_v10_0
port map (
LMB_ABus(0 to 31) => microblaze_0_dlmb_bus_ABUS(0 to 31),
LMB_AddrStrobe => microblaze_0_dlmb_bus_ADDRSTROBE,
LMB_BE(0 to 3) => microblaze_0_dlmb_bus_BE(0 to 3),
LMB_CE => microblaze_0_dlmb_CE,
LMB_Clk => microblaze_0_Clk,
LMB_ReadDBus(0 to 31) => microblaze_0_dlmb_READDBUS(0 to 31),
LMB_ReadStrobe => microblaze_0_dlmb_bus_READSTROBE,
LMB_Ready => microblaze_0_dlmb_READY,
LMB_Rst => NLW_dlmb_v10_LMB_Rst_UNCONNECTED,
LMB_UE => microblaze_0_dlmb_UE,
LMB_Wait => microblaze_0_dlmb_WAIT,
LMB_WriteDBus(0 to 31) => microblaze_0_dlmb_bus_WRITEDBUS(0 to 31),
LMB_WriteStrobe => microblaze_0_dlmb_bus_WRITESTROBE,
M_ABus(0 to 31) => microblaze_0_dlmb_ABUS(0 to 31),
M_AddrStrobe => microblaze_0_dlmb_ADDRSTROBE,
M_BE(0 to 3) => microblaze_0_dlmb_BE(0 to 3),
M_DBus(0 to 31) => microblaze_0_dlmb_WRITEDBUS(0 to 31),
M_ReadStrobe => microblaze_0_dlmb_READSTROBE,
M_WriteStrobe => microblaze_0_dlmb_WRITESTROBE,
SYS_Rst => SYS_Rst_1(0),
Sl_CE(0) => microblaze_0_dlmb_bus_CE,
Sl_DBus(0 to 31) => microblaze_0_dlmb_bus_READDBUS(0 to 31),
Sl_Ready(0) => microblaze_0_dlmb_bus_READY,
Sl_UE(0) => microblaze_0_dlmb_bus_UE,
Sl_Wait(0) => microblaze_0_dlmb_bus_WAIT
);
ilmb_bram_if_cntlr: component design_1_ilmb_bram_if_cntlr_0
port map (
BRAM_Addr_A(0 to 31) => microblaze_0_ilmb_cntlr_ADDR(0 to 31),
BRAM_Clk_A => microblaze_0_ilmb_cntlr_CLK,
BRAM_Din_A(0) => microblaze_0_ilmb_cntlr_DOUT(31),
BRAM_Din_A(1) => microblaze_0_ilmb_cntlr_DOUT(30),
BRAM_Din_A(2) => microblaze_0_ilmb_cntlr_DOUT(29),
BRAM_Din_A(3) => microblaze_0_ilmb_cntlr_DOUT(28),
BRAM_Din_A(4) => microblaze_0_ilmb_cntlr_DOUT(27),
BRAM_Din_A(5) => microblaze_0_ilmb_cntlr_DOUT(26),
BRAM_Din_A(6) => microblaze_0_ilmb_cntlr_DOUT(25),
BRAM_Din_A(7) => microblaze_0_ilmb_cntlr_DOUT(24),
BRAM_Din_A(8) => microblaze_0_ilmb_cntlr_DOUT(23),
BRAM_Din_A(9) => microblaze_0_ilmb_cntlr_DOUT(22),
BRAM_Din_A(10) => microblaze_0_ilmb_cntlr_DOUT(21),
BRAM_Din_A(11) => microblaze_0_ilmb_cntlr_DOUT(20),
BRAM_Din_A(12) => microblaze_0_ilmb_cntlr_DOUT(19),
BRAM_Din_A(13) => microblaze_0_ilmb_cntlr_DOUT(18),
BRAM_Din_A(14) => microblaze_0_ilmb_cntlr_DOUT(17),
BRAM_Din_A(15) => microblaze_0_ilmb_cntlr_DOUT(16),
BRAM_Din_A(16) => microblaze_0_ilmb_cntlr_DOUT(15),
BRAM_Din_A(17) => microblaze_0_ilmb_cntlr_DOUT(14),
BRAM_Din_A(18) => microblaze_0_ilmb_cntlr_DOUT(13),
BRAM_Din_A(19) => microblaze_0_ilmb_cntlr_DOUT(12),
BRAM_Din_A(20) => microblaze_0_ilmb_cntlr_DOUT(11),
BRAM_Din_A(21) => microblaze_0_ilmb_cntlr_DOUT(10),
BRAM_Din_A(22) => microblaze_0_ilmb_cntlr_DOUT(9),
BRAM_Din_A(23) => microblaze_0_ilmb_cntlr_DOUT(8),
BRAM_Din_A(24) => microblaze_0_ilmb_cntlr_DOUT(7),
BRAM_Din_A(25) => microblaze_0_ilmb_cntlr_DOUT(6),
BRAM_Din_A(26) => microblaze_0_ilmb_cntlr_DOUT(5),
BRAM_Din_A(27) => microblaze_0_ilmb_cntlr_DOUT(4),
BRAM_Din_A(28) => microblaze_0_ilmb_cntlr_DOUT(3),
BRAM_Din_A(29) => microblaze_0_ilmb_cntlr_DOUT(2),
BRAM_Din_A(30) => microblaze_0_ilmb_cntlr_DOUT(1),
BRAM_Din_A(31) => microblaze_0_ilmb_cntlr_DOUT(0),
BRAM_Dout_A(0 to 31) => microblaze_0_ilmb_cntlr_DIN(0 to 31),
BRAM_EN_A => microblaze_0_ilmb_cntlr_EN,
BRAM_Rst_A => microblaze_0_ilmb_cntlr_RST,
BRAM_WEN_A(0 to 3) => microblaze_0_ilmb_cntlr_WE(0 to 3),
LMB_ABus(0 to 31) => microblaze_0_ilmb_bus_ABUS(0 to 31),
LMB_AddrStrobe => microblaze_0_ilmb_bus_ADDRSTROBE,
LMB_BE(0 to 3) => microblaze_0_ilmb_bus_BE(0 to 3),
LMB_Clk => microblaze_0_Clk,
LMB_ReadStrobe => microblaze_0_ilmb_bus_READSTROBE,
LMB_Rst => SYS_Rst_1(0),
LMB_WriteDBus(0 to 31) => microblaze_0_ilmb_bus_WRITEDBUS(0 to 31),
LMB_WriteStrobe => microblaze_0_ilmb_bus_WRITESTROBE,
Sl_CE => microblaze_0_ilmb_bus_CE,
Sl_DBus(0 to 31) => microblaze_0_ilmb_bus_READDBUS(0 to 31),
Sl_Ready => microblaze_0_ilmb_bus_READY,
Sl_UE => microblaze_0_ilmb_bus_UE,
Sl_Wait => microblaze_0_ilmb_bus_WAIT
);
ilmb_v10: component design_1_ilmb_v10_0
port map (
LMB_ABus(0 to 31) => microblaze_0_ilmb_bus_ABUS(0 to 31),
LMB_AddrStrobe => microblaze_0_ilmb_bus_ADDRSTROBE,
LMB_BE(0 to 3) => microblaze_0_ilmb_bus_BE(0 to 3),
LMB_CE => microblaze_0_ilmb_CE,
LMB_Clk => microblaze_0_Clk,
LMB_ReadDBus(0 to 31) => microblaze_0_ilmb_READDBUS(0 to 31),
LMB_ReadStrobe => microblaze_0_ilmb_bus_READSTROBE,
LMB_Ready => microblaze_0_ilmb_READY,
LMB_Rst => NLW_ilmb_v10_LMB_Rst_UNCONNECTED,
LMB_UE => microblaze_0_ilmb_UE,
LMB_Wait => microblaze_0_ilmb_WAIT,
LMB_WriteDBus(0 to 31) => microblaze_0_ilmb_bus_WRITEDBUS(0 to 31),
LMB_WriteStrobe => microblaze_0_ilmb_bus_WRITESTROBE,
M_ABus(0 to 31) => microblaze_0_ilmb_ABUS(0 to 31),
M_AddrStrobe => microblaze_0_ilmb_ADDRSTROBE,
M_BE(0) => GND_1,
M_BE(1) => GND_1,
M_BE(2) => GND_1,
M_BE(3) => GND_1,
M_DBus(0) => GND_1,
M_DBus(1) => GND_1,
M_DBus(2) => GND_1,
M_DBus(3) => GND_1,
M_DBus(4) => GND_1,
M_DBus(5) => GND_1,
M_DBus(6) => GND_1,
M_DBus(7) => GND_1,
M_DBus(8) => GND_1,
M_DBus(9) => GND_1,
M_DBus(10) => GND_1,
M_DBus(11) => GND_1,
M_DBus(12) => GND_1,
M_DBus(13) => GND_1,
M_DBus(14) => GND_1,
M_DBus(15) => GND_1,
M_DBus(16) => GND_1,
M_DBus(17) => GND_1,
M_DBus(18) => GND_1,
M_DBus(19) => GND_1,
M_DBus(20) => GND_1,
M_DBus(21) => GND_1,
M_DBus(22) => GND_1,
M_DBus(23) => GND_1,
M_DBus(24) => GND_1,
M_DBus(25) => GND_1,
M_DBus(26) => GND_1,
M_DBus(27) => GND_1,
M_DBus(28) => GND_1,
M_DBus(29) => GND_1,
M_DBus(30) => GND_1,
M_DBus(31) => GND_1,
M_ReadStrobe => microblaze_0_ilmb_READSTROBE,
M_WriteStrobe => GND_1,
SYS_Rst => SYS_Rst_1(0),
Sl_CE(0) => microblaze_0_ilmb_bus_CE,
Sl_DBus(0 to 31) => microblaze_0_ilmb_bus_READDBUS(0 to 31),
Sl_Ready(0) => microblaze_0_ilmb_bus_READY,
Sl_UE(0) => microblaze_0_ilmb_bus_UE,
Sl_Wait(0) => microblaze_0_ilmb_bus_WAIT
);
lmb_bram: component design_1_lmb_bram_0
port map (
addra(31) => microblaze_0_dlmb_cntlr_ADDR(0),
addra(30) => microblaze_0_dlmb_cntlr_ADDR(1),
addra(29) => microblaze_0_dlmb_cntlr_ADDR(2),
addra(28) => microblaze_0_dlmb_cntlr_ADDR(3),
addra(27) => microblaze_0_dlmb_cntlr_ADDR(4),
addra(26) => microblaze_0_dlmb_cntlr_ADDR(5),
addra(25) => microblaze_0_dlmb_cntlr_ADDR(6),
addra(24) => microblaze_0_dlmb_cntlr_ADDR(7),
addra(23) => microblaze_0_dlmb_cntlr_ADDR(8),
addra(22) => microblaze_0_dlmb_cntlr_ADDR(9),
addra(21) => microblaze_0_dlmb_cntlr_ADDR(10),
addra(20) => microblaze_0_dlmb_cntlr_ADDR(11),
addra(19) => microblaze_0_dlmb_cntlr_ADDR(12),
addra(18) => microblaze_0_dlmb_cntlr_ADDR(13),
addra(17) => microblaze_0_dlmb_cntlr_ADDR(14),
addra(16) => microblaze_0_dlmb_cntlr_ADDR(15),
addra(15) => microblaze_0_dlmb_cntlr_ADDR(16),
addra(14) => microblaze_0_dlmb_cntlr_ADDR(17),
addra(13) => microblaze_0_dlmb_cntlr_ADDR(18),
addra(12) => microblaze_0_dlmb_cntlr_ADDR(19),
addra(11) => microblaze_0_dlmb_cntlr_ADDR(20),
addra(10) => microblaze_0_dlmb_cntlr_ADDR(21),
addra(9) => microblaze_0_dlmb_cntlr_ADDR(22),
addra(8) => microblaze_0_dlmb_cntlr_ADDR(23),
addra(7) => microblaze_0_dlmb_cntlr_ADDR(24),
addra(6) => microblaze_0_dlmb_cntlr_ADDR(25),
addra(5) => microblaze_0_dlmb_cntlr_ADDR(26),
addra(4) => microblaze_0_dlmb_cntlr_ADDR(27),
addra(3) => microblaze_0_dlmb_cntlr_ADDR(28),
addra(2) => microblaze_0_dlmb_cntlr_ADDR(29),
addra(1) => microblaze_0_dlmb_cntlr_ADDR(30),
addra(0) => microblaze_0_dlmb_cntlr_ADDR(31),
addrb(31) => microblaze_0_ilmb_cntlr_ADDR(0),
addrb(30) => microblaze_0_ilmb_cntlr_ADDR(1),
addrb(29) => microblaze_0_ilmb_cntlr_ADDR(2),
addrb(28) => microblaze_0_ilmb_cntlr_ADDR(3),
addrb(27) => microblaze_0_ilmb_cntlr_ADDR(4),
addrb(26) => microblaze_0_ilmb_cntlr_ADDR(5),
addrb(25) => microblaze_0_ilmb_cntlr_ADDR(6),
addrb(24) => microblaze_0_ilmb_cntlr_ADDR(7),
addrb(23) => microblaze_0_ilmb_cntlr_ADDR(8),
addrb(22) => microblaze_0_ilmb_cntlr_ADDR(9),
addrb(21) => microblaze_0_ilmb_cntlr_ADDR(10),
addrb(20) => microblaze_0_ilmb_cntlr_ADDR(11),
addrb(19) => microblaze_0_ilmb_cntlr_ADDR(12),
addrb(18) => microblaze_0_ilmb_cntlr_ADDR(13),
addrb(17) => microblaze_0_ilmb_cntlr_ADDR(14),
addrb(16) => microblaze_0_ilmb_cntlr_ADDR(15),
addrb(15) => microblaze_0_ilmb_cntlr_ADDR(16),
addrb(14) => microblaze_0_ilmb_cntlr_ADDR(17),
addrb(13) => microblaze_0_ilmb_cntlr_ADDR(18),
addrb(12) => microblaze_0_ilmb_cntlr_ADDR(19),
addrb(11) => microblaze_0_ilmb_cntlr_ADDR(20),
addrb(10) => microblaze_0_ilmb_cntlr_ADDR(21),
addrb(9) => microblaze_0_ilmb_cntlr_ADDR(22),
addrb(8) => microblaze_0_ilmb_cntlr_ADDR(23),
addrb(7) => microblaze_0_ilmb_cntlr_ADDR(24),
addrb(6) => microblaze_0_ilmb_cntlr_ADDR(25),
addrb(5) => microblaze_0_ilmb_cntlr_ADDR(26),
addrb(4) => microblaze_0_ilmb_cntlr_ADDR(27),
addrb(3) => microblaze_0_ilmb_cntlr_ADDR(28),
addrb(2) => microblaze_0_ilmb_cntlr_ADDR(29),
addrb(1) => microblaze_0_ilmb_cntlr_ADDR(30),
addrb(0) => microblaze_0_ilmb_cntlr_ADDR(31),
clka => microblaze_0_dlmb_cntlr_CLK,
clkb => microblaze_0_ilmb_cntlr_CLK,
dina(31) => microblaze_0_dlmb_cntlr_DIN(0),
dina(30) => microblaze_0_dlmb_cntlr_DIN(1),
dina(29) => microblaze_0_dlmb_cntlr_DIN(2),
dina(28) => microblaze_0_dlmb_cntlr_DIN(3),
dina(27) => microblaze_0_dlmb_cntlr_DIN(4),
dina(26) => microblaze_0_dlmb_cntlr_DIN(5),
dina(25) => microblaze_0_dlmb_cntlr_DIN(6),
dina(24) => microblaze_0_dlmb_cntlr_DIN(7),
dina(23) => microblaze_0_dlmb_cntlr_DIN(8),
dina(22) => microblaze_0_dlmb_cntlr_DIN(9),
dina(21) => microblaze_0_dlmb_cntlr_DIN(10),
dina(20) => microblaze_0_dlmb_cntlr_DIN(11),
dina(19) => microblaze_0_dlmb_cntlr_DIN(12),
dina(18) => microblaze_0_dlmb_cntlr_DIN(13),
dina(17) => microblaze_0_dlmb_cntlr_DIN(14),
dina(16) => microblaze_0_dlmb_cntlr_DIN(15),
dina(15) => microblaze_0_dlmb_cntlr_DIN(16),
dina(14) => microblaze_0_dlmb_cntlr_DIN(17),
dina(13) => microblaze_0_dlmb_cntlr_DIN(18),
dina(12) => microblaze_0_dlmb_cntlr_DIN(19),
dina(11) => microblaze_0_dlmb_cntlr_DIN(20),
dina(10) => microblaze_0_dlmb_cntlr_DIN(21),
dina(9) => microblaze_0_dlmb_cntlr_DIN(22),
dina(8) => microblaze_0_dlmb_cntlr_DIN(23),
dina(7) => microblaze_0_dlmb_cntlr_DIN(24),
dina(6) => microblaze_0_dlmb_cntlr_DIN(25),
dina(5) => microblaze_0_dlmb_cntlr_DIN(26),
dina(4) => microblaze_0_dlmb_cntlr_DIN(27),
dina(3) => microblaze_0_dlmb_cntlr_DIN(28),
dina(2) => microblaze_0_dlmb_cntlr_DIN(29),
dina(1) => microblaze_0_dlmb_cntlr_DIN(30),
dina(0) => microblaze_0_dlmb_cntlr_DIN(31),
dinb(31) => microblaze_0_ilmb_cntlr_DIN(0),
dinb(30) => microblaze_0_ilmb_cntlr_DIN(1),
dinb(29) => microblaze_0_ilmb_cntlr_DIN(2),
dinb(28) => microblaze_0_ilmb_cntlr_DIN(3),
dinb(27) => microblaze_0_ilmb_cntlr_DIN(4),
dinb(26) => microblaze_0_ilmb_cntlr_DIN(5),
dinb(25) => microblaze_0_ilmb_cntlr_DIN(6),
dinb(24) => microblaze_0_ilmb_cntlr_DIN(7),
dinb(23) => microblaze_0_ilmb_cntlr_DIN(8),
dinb(22) => microblaze_0_ilmb_cntlr_DIN(9),
dinb(21) => microblaze_0_ilmb_cntlr_DIN(10),
dinb(20) => microblaze_0_ilmb_cntlr_DIN(11),
dinb(19) => microblaze_0_ilmb_cntlr_DIN(12),
dinb(18) => microblaze_0_ilmb_cntlr_DIN(13),
dinb(17) => microblaze_0_ilmb_cntlr_DIN(14),
dinb(16) => microblaze_0_ilmb_cntlr_DIN(15),
dinb(15) => microblaze_0_ilmb_cntlr_DIN(16),
dinb(14) => microblaze_0_ilmb_cntlr_DIN(17),
dinb(13) => microblaze_0_ilmb_cntlr_DIN(18),
dinb(12) => microblaze_0_ilmb_cntlr_DIN(19),
dinb(11) => microblaze_0_ilmb_cntlr_DIN(20),
dinb(10) => microblaze_0_ilmb_cntlr_DIN(21),
dinb(9) => microblaze_0_ilmb_cntlr_DIN(22),
dinb(8) => microblaze_0_ilmb_cntlr_DIN(23),
dinb(7) => microblaze_0_ilmb_cntlr_DIN(24),
dinb(6) => microblaze_0_ilmb_cntlr_DIN(25),
dinb(5) => microblaze_0_ilmb_cntlr_DIN(26),
dinb(4) => microblaze_0_ilmb_cntlr_DIN(27),
dinb(3) => microblaze_0_ilmb_cntlr_DIN(28),
dinb(2) => microblaze_0_ilmb_cntlr_DIN(29),
dinb(1) => microblaze_0_ilmb_cntlr_DIN(30),
dinb(0) => microblaze_0_ilmb_cntlr_DIN(31),
douta(31 downto 0) => microblaze_0_dlmb_cntlr_DOUT(31 downto 0),
doutb(31 downto 0) => microblaze_0_ilmb_cntlr_DOUT(31 downto 0),
ena => microblaze_0_dlmb_cntlr_EN,
enb => microblaze_0_ilmb_cntlr_EN,
rsta => microblaze_0_dlmb_cntlr_RST,
rstb => microblaze_0_ilmb_cntlr_RST,
wea(3) => microblaze_0_dlmb_cntlr_WE(0),
wea(2) => microblaze_0_dlmb_cntlr_WE(1),
wea(1) => microblaze_0_dlmb_cntlr_WE(2),
wea(0) => microblaze_0_dlmb_cntlr_WE(3),
web(3) => microblaze_0_ilmb_cntlr_WE(0),
web(2) => microblaze_0_ilmb_cntlr_WE(1),
web(1) => microblaze_0_ilmb_cntlr_WE(2),
web(0) => microblaze_0_ilmb_cntlr_WE(3)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity s00_couplers_imp_1RZP34U is
port (
M_ACLK : in STD_LOGIC;
M_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_arready : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_arvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_awready : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_awvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_bready : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_bvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_rready : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_rvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_wready : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_wvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
S_ACLK : in STD_LOGIC;
S_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_arready : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_awready : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_bready : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_rready : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_wready : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 )
);
end s00_couplers_imp_1RZP34U;
architecture STRUCTURE of s00_couplers_imp_1RZP34U is
signal s00_couplers_to_s00_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s00_couplers_to_s00_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s00_couplers_to_s00_couplers_ARREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_s00_couplers_ARVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_s00_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s00_couplers_to_s00_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s00_couplers_to_s00_couplers_AWREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_s00_couplers_AWVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_s00_couplers_BREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_s00_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s00_couplers_to_s00_couplers_BVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_s00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s00_couplers_to_s00_couplers_RREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_s00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s00_couplers_to_s00_couplers_RVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_s00_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s00_couplers_to_s00_couplers_WREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_s00_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s00_couplers_to_s00_couplers_WVALID : STD_LOGIC_VECTOR ( 0 to 0 );
begin
M_AXI_araddr(31 downto 0) <= s00_couplers_to_s00_couplers_ARADDR(31 downto 0);
M_AXI_arprot(2 downto 0) <= s00_couplers_to_s00_couplers_ARPROT(2 downto 0);
M_AXI_arvalid(0) <= s00_couplers_to_s00_couplers_ARVALID(0);
M_AXI_awaddr(31 downto 0) <= s00_couplers_to_s00_couplers_AWADDR(31 downto 0);
M_AXI_awprot(2 downto 0) <= s00_couplers_to_s00_couplers_AWPROT(2 downto 0);
M_AXI_awvalid(0) <= s00_couplers_to_s00_couplers_AWVALID(0);
M_AXI_bready(0) <= s00_couplers_to_s00_couplers_BREADY(0);
M_AXI_rready(0) <= s00_couplers_to_s00_couplers_RREADY(0);
M_AXI_wdata(31 downto 0) <= s00_couplers_to_s00_couplers_WDATA(31 downto 0);
M_AXI_wstrb(3 downto 0) <= s00_couplers_to_s00_couplers_WSTRB(3 downto 0);
M_AXI_wvalid(0) <= s00_couplers_to_s00_couplers_WVALID(0);
S_AXI_arready(0) <= s00_couplers_to_s00_couplers_ARREADY(0);
S_AXI_awready(0) <= s00_couplers_to_s00_couplers_AWREADY(0);
S_AXI_bresp(1 downto 0) <= s00_couplers_to_s00_couplers_BRESP(1 downto 0);
S_AXI_bvalid(0) <= s00_couplers_to_s00_couplers_BVALID(0);
S_AXI_rdata(31 downto 0) <= s00_couplers_to_s00_couplers_RDATA(31 downto 0);
S_AXI_rresp(1 downto 0) <= s00_couplers_to_s00_couplers_RRESP(1 downto 0);
S_AXI_rvalid(0) <= s00_couplers_to_s00_couplers_RVALID(0);
S_AXI_wready(0) <= s00_couplers_to_s00_couplers_WREADY(0);
s00_couplers_to_s00_couplers_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0);
s00_couplers_to_s00_couplers_ARPROT(2 downto 0) <= S_AXI_arprot(2 downto 0);
s00_couplers_to_s00_couplers_ARREADY(0) <= M_AXI_arready(0);
s00_couplers_to_s00_couplers_ARVALID(0) <= S_AXI_arvalid(0);
s00_couplers_to_s00_couplers_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0);
s00_couplers_to_s00_couplers_AWPROT(2 downto 0) <= S_AXI_awprot(2 downto 0);
s00_couplers_to_s00_couplers_AWREADY(0) <= M_AXI_awready(0);
s00_couplers_to_s00_couplers_AWVALID(0) <= S_AXI_awvalid(0);
s00_couplers_to_s00_couplers_BREADY(0) <= S_AXI_bready(0);
s00_couplers_to_s00_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0);
s00_couplers_to_s00_couplers_BVALID(0) <= M_AXI_bvalid(0);
s00_couplers_to_s00_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0);
s00_couplers_to_s00_couplers_RREADY(0) <= S_AXI_rready(0);
s00_couplers_to_s00_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0);
s00_couplers_to_s00_couplers_RVALID(0) <= M_AXI_rvalid(0);
s00_couplers_to_s00_couplers_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0);
s00_couplers_to_s00_couplers_WREADY(0) <= M_AXI_wready(0);
s00_couplers_to_s00_couplers_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0);
s00_couplers_to_s00_couplers_WVALID(0) <= S_AXI_wvalid(0);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity s00_couplers_imp_7HNO1D is
port (
M_ACLK : in STD_LOGIC;
M_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_arid : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
M_AXI_arlock : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_arready : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_arvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_awid : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
M_AXI_awlock : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_awready : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_awvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_bid : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_bready : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_bvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_rid : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_rlast : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_rready : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_rvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_wlast : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_wready : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_wvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
S_ACLK : in STD_LOGIC;
S_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_arid : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_arlock : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_arready : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_awid : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_awlock : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_awready : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_bid : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_bready : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_rid : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_rlast : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_rready : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_wlast : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_wready : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 )
);
end s00_couplers_imp_7HNO1D;
architecture STRUCTURE of s00_couplers_imp_7HNO1D is
signal s00_couplers_to_s00_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s00_couplers_to_s00_couplers_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s00_couplers_to_s00_couplers_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s00_couplers_to_s00_couplers_ARID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_s00_couplers_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal s00_couplers_to_s00_couplers_ARLOCK : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_s00_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s00_couplers_to_s00_couplers_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s00_couplers_to_s00_couplers_ARREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_s00_couplers_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s00_couplers_to_s00_couplers_ARVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_s00_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s00_couplers_to_s00_couplers_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s00_couplers_to_s00_couplers_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s00_couplers_to_s00_couplers_AWID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_s00_couplers_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal s00_couplers_to_s00_couplers_AWLOCK : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_s00_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s00_couplers_to_s00_couplers_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s00_couplers_to_s00_couplers_AWREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_s00_couplers_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s00_couplers_to_s00_couplers_AWVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_s00_couplers_BID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_s00_couplers_BREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_s00_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s00_couplers_to_s00_couplers_BVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_s00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s00_couplers_to_s00_couplers_RID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_s00_couplers_RLAST : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_s00_couplers_RREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_s00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s00_couplers_to_s00_couplers_RVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_s00_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s00_couplers_to_s00_couplers_WLAST : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_s00_couplers_WREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_s00_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s00_couplers_to_s00_couplers_WVALID : STD_LOGIC_VECTOR ( 0 to 0 );
begin
M_AXI_araddr(31 downto 0) <= s00_couplers_to_s00_couplers_ARADDR(31 downto 0);
M_AXI_arburst(1 downto 0) <= s00_couplers_to_s00_couplers_ARBURST(1 downto 0);
M_AXI_arcache(3 downto 0) <= s00_couplers_to_s00_couplers_ARCACHE(3 downto 0);
M_AXI_arid(0) <= s00_couplers_to_s00_couplers_ARID(0);
M_AXI_arlen(7 downto 0) <= s00_couplers_to_s00_couplers_ARLEN(7 downto 0);
M_AXI_arlock(0) <= s00_couplers_to_s00_couplers_ARLOCK(0);
M_AXI_arprot(2 downto 0) <= s00_couplers_to_s00_couplers_ARPROT(2 downto 0);
M_AXI_arqos(3 downto 0) <= s00_couplers_to_s00_couplers_ARQOS(3 downto 0);
M_AXI_arsize(2 downto 0) <= s00_couplers_to_s00_couplers_ARSIZE(2 downto 0);
M_AXI_arvalid(0) <= s00_couplers_to_s00_couplers_ARVALID(0);
M_AXI_awaddr(31 downto 0) <= s00_couplers_to_s00_couplers_AWADDR(31 downto 0);
M_AXI_awburst(1 downto 0) <= s00_couplers_to_s00_couplers_AWBURST(1 downto 0);
M_AXI_awcache(3 downto 0) <= s00_couplers_to_s00_couplers_AWCACHE(3 downto 0);
M_AXI_awid(0) <= s00_couplers_to_s00_couplers_AWID(0);
M_AXI_awlen(7 downto 0) <= s00_couplers_to_s00_couplers_AWLEN(7 downto 0);
M_AXI_awlock(0) <= s00_couplers_to_s00_couplers_AWLOCK(0);
M_AXI_awprot(2 downto 0) <= s00_couplers_to_s00_couplers_AWPROT(2 downto 0);
M_AXI_awqos(3 downto 0) <= s00_couplers_to_s00_couplers_AWQOS(3 downto 0);
M_AXI_awsize(2 downto 0) <= s00_couplers_to_s00_couplers_AWSIZE(2 downto 0);
M_AXI_awvalid(0) <= s00_couplers_to_s00_couplers_AWVALID(0);
M_AXI_bready(0) <= s00_couplers_to_s00_couplers_BREADY(0);
M_AXI_rready(0) <= s00_couplers_to_s00_couplers_RREADY(0);
M_AXI_wdata(31 downto 0) <= s00_couplers_to_s00_couplers_WDATA(31 downto 0);
M_AXI_wlast(0) <= s00_couplers_to_s00_couplers_WLAST(0);
M_AXI_wstrb(3 downto 0) <= s00_couplers_to_s00_couplers_WSTRB(3 downto 0);
M_AXI_wvalid(0) <= s00_couplers_to_s00_couplers_WVALID(0);
S_AXI_arready(0) <= s00_couplers_to_s00_couplers_ARREADY(0);
S_AXI_awready(0) <= s00_couplers_to_s00_couplers_AWREADY(0);
S_AXI_bid(0) <= s00_couplers_to_s00_couplers_BID(0);
S_AXI_bresp(1 downto 0) <= s00_couplers_to_s00_couplers_BRESP(1 downto 0);
S_AXI_bvalid(0) <= s00_couplers_to_s00_couplers_BVALID(0);
S_AXI_rdata(31 downto 0) <= s00_couplers_to_s00_couplers_RDATA(31 downto 0);
S_AXI_rid(0) <= s00_couplers_to_s00_couplers_RID(0);
S_AXI_rlast(0) <= s00_couplers_to_s00_couplers_RLAST(0);
S_AXI_rresp(1 downto 0) <= s00_couplers_to_s00_couplers_RRESP(1 downto 0);
S_AXI_rvalid(0) <= s00_couplers_to_s00_couplers_RVALID(0);
S_AXI_wready(0) <= s00_couplers_to_s00_couplers_WREADY(0);
s00_couplers_to_s00_couplers_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0);
s00_couplers_to_s00_couplers_ARBURST(1 downto 0) <= S_AXI_arburst(1 downto 0);
s00_couplers_to_s00_couplers_ARCACHE(3 downto 0) <= S_AXI_arcache(3 downto 0);
s00_couplers_to_s00_couplers_ARID(0) <= S_AXI_arid(0);
s00_couplers_to_s00_couplers_ARLEN(7 downto 0) <= S_AXI_arlen(7 downto 0);
s00_couplers_to_s00_couplers_ARLOCK(0) <= S_AXI_arlock(0);
s00_couplers_to_s00_couplers_ARPROT(2 downto 0) <= S_AXI_arprot(2 downto 0);
s00_couplers_to_s00_couplers_ARQOS(3 downto 0) <= S_AXI_arqos(3 downto 0);
s00_couplers_to_s00_couplers_ARREADY(0) <= M_AXI_arready(0);
s00_couplers_to_s00_couplers_ARSIZE(2 downto 0) <= S_AXI_arsize(2 downto 0);
s00_couplers_to_s00_couplers_ARVALID(0) <= S_AXI_arvalid(0);
s00_couplers_to_s00_couplers_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0);
s00_couplers_to_s00_couplers_AWBURST(1 downto 0) <= S_AXI_awburst(1 downto 0);
s00_couplers_to_s00_couplers_AWCACHE(3 downto 0) <= S_AXI_awcache(3 downto 0);
s00_couplers_to_s00_couplers_AWID(0) <= S_AXI_awid(0);
s00_couplers_to_s00_couplers_AWLEN(7 downto 0) <= S_AXI_awlen(7 downto 0);
s00_couplers_to_s00_couplers_AWLOCK(0) <= S_AXI_awlock(0);
s00_couplers_to_s00_couplers_AWPROT(2 downto 0) <= S_AXI_awprot(2 downto 0);
s00_couplers_to_s00_couplers_AWQOS(3 downto 0) <= S_AXI_awqos(3 downto 0);
s00_couplers_to_s00_couplers_AWREADY(0) <= M_AXI_awready(0);
s00_couplers_to_s00_couplers_AWSIZE(2 downto 0) <= S_AXI_awsize(2 downto 0);
s00_couplers_to_s00_couplers_AWVALID(0) <= S_AXI_awvalid(0);
s00_couplers_to_s00_couplers_BID(0) <= M_AXI_bid(0);
s00_couplers_to_s00_couplers_BREADY(0) <= S_AXI_bready(0);
s00_couplers_to_s00_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0);
s00_couplers_to_s00_couplers_BVALID(0) <= M_AXI_bvalid(0);
s00_couplers_to_s00_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0);
s00_couplers_to_s00_couplers_RID(0) <= M_AXI_rid(0);
s00_couplers_to_s00_couplers_RLAST(0) <= M_AXI_rlast(0);
s00_couplers_to_s00_couplers_RREADY(0) <= S_AXI_rready(0);
s00_couplers_to_s00_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0);
s00_couplers_to_s00_couplers_RVALID(0) <= M_AXI_rvalid(0);
s00_couplers_to_s00_couplers_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0);
s00_couplers_to_s00_couplers_WLAST(0) <= S_AXI_wlast(0);
s00_couplers_to_s00_couplers_WREADY(0) <= M_AXI_wready(0);
s00_couplers_to_s00_couplers_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0);
s00_couplers_to_s00_couplers_WVALID(0) <= S_AXI_wvalid(0);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity s01_couplers_imp_1W60HW0 is
port (
M_ACLK : in STD_LOGIC;
M_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_arid : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
M_AXI_arlock : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_arready : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_arvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_awid : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
M_AXI_awlock : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_awready : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_awvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_bid : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_bready : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_bvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_rid : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_rlast : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_rready : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_rvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_wlast : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_wready : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_wvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
S_ACLK : in STD_LOGIC;
S_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_arid : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_arlock : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_arready : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_awid : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_awlock : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_awready : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_bid : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_bready : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_rid : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_rlast : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_rready : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_wlast : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_wready : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 )
);
end s01_couplers_imp_1W60HW0;
architecture STRUCTURE of s01_couplers_imp_1W60HW0 is
signal s01_couplers_to_s01_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s01_couplers_to_s01_couplers_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s01_couplers_to_s01_couplers_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s01_couplers_to_s01_couplers_ARID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s01_couplers_to_s01_couplers_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal s01_couplers_to_s01_couplers_ARLOCK : STD_LOGIC_VECTOR ( 0 to 0 );
signal s01_couplers_to_s01_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s01_couplers_to_s01_couplers_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s01_couplers_to_s01_couplers_ARREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal s01_couplers_to_s01_couplers_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s01_couplers_to_s01_couplers_ARVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s01_couplers_to_s01_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s01_couplers_to_s01_couplers_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s01_couplers_to_s01_couplers_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s01_couplers_to_s01_couplers_AWID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s01_couplers_to_s01_couplers_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal s01_couplers_to_s01_couplers_AWLOCK : STD_LOGIC_VECTOR ( 0 to 0 );
signal s01_couplers_to_s01_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s01_couplers_to_s01_couplers_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s01_couplers_to_s01_couplers_AWREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal s01_couplers_to_s01_couplers_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s01_couplers_to_s01_couplers_AWVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s01_couplers_to_s01_couplers_BID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s01_couplers_to_s01_couplers_BREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal s01_couplers_to_s01_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s01_couplers_to_s01_couplers_BVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s01_couplers_to_s01_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s01_couplers_to_s01_couplers_RID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s01_couplers_to_s01_couplers_RLAST : STD_LOGIC_VECTOR ( 0 to 0 );
signal s01_couplers_to_s01_couplers_RREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal s01_couplers_to_s01_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s01_couplers_to_s01_couplers_RVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s01_couplers_to_s01_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s01_couplers_to_s01_couplers_WLAST : STD_LOGIC_VECTOR ( 0 to 0 );
signal s01_couplers_to_s01_couplers_WREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal s01_couplers_to_s01_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s01_couplers_to_s01_couplers_WVALID : STD_LOGIC_VECTOR ( 0 to 0 );
begin
M_AXI_araddr(31 downto 0) <= s01_couplers_to_s01_couplers_ARADDR(31 downto 0);
M_AXI_arburst(1 downto 0) <= s01_couplers_to_s01_couplers_ARBURST(1 downto 0);
M_AXI_arcache(3 downto 0) <= s01_couplers_to_s01_couplers_ARCACHE(3 downto 0);
M_AXI_arid(0) <= s01_couplers_to_s01_couplers_ARID(0);
M_AXI_arlen(7 downto 0) <= s01_couplers_to_s01_couplers_ARLEN(7 downto 0);
M_AXI_arlock(0) <= s01_couplers_to_s01_couplers_ARLOCK(0);
M_AXI_arprot(2 downto 0) <= s01_couplers_to_s01_couplers_ARPROT(2 downto 0);
M_AXI_arqos(3 downto 0) <= s01_couplers_to_s01_couplers_ARQOS(3 downto 0);
M_AXI_arsize(2 downto 0) <= s01_couplers_to_s01_couplers_ARSIZE(2 downto 0);
M_AXI_arvalid(0) <= s01_couplers_to_s01_couplers_ARVALID(0);
M_AXI_awaddr(31 downto 0) <= s01_couplers_to_s01_couplers_AWADDR(31 downto 0);
M_AXI_awburst(1 downto 0) <= s01_couplers_to_s01_couplers_AWBURST(1 downto 0);
M_AXI_awcache(3 downto 0) <= s01_couplers_to_s01_couplers_AWCACHE(3 downto 0);
M_AXI_awid(0) <= s01_couplers_to_s01_couplers_AWID(0);
M_AXI_awlen(7 downto 0) <= s01_couplers_to_s01_couplers_AWLEN(7 downto 0);
M_AXI_awlock(0) <= s01_couplers_to_s01_couplers_AWLOCK(0);
M_AXI_awprot(2 downto 0) <= s01_couplers_to_s01_couplers_AWPROT(2 downto 0);
M_AXI_awqos(3 downto 0) <= s01_couplers_to_s01_couplers_AWQOS(3 downto 0);
M_AXI_awsize(2 downto 0) <= s01_couplers_to_s01_couplers_AWSIZE(2 downto 0);
M_AXI_awvalid(0) <= s01_couplers_to_s01_couplers_AWVALID(0);
M_AXI_bready(0) <= s01_couplers_to_s01_couplers_BREADY(0);
M_AXI_rready(0) <= s01_couplers_to_s01_couplers_RREADY(0);
M_AXI_wdata(31 downto 0) <= s01_couplers_to_s01_couplers_WDATA(31 downto 0);
M_AXI_wlast(0) <= s01_couplers_to_s01_couplers_WLAST(0);
M_AXI_wstrb(3 downto 0) <= s01_couplers_to_s01_couplers_WSTRB(3 downto 0);
M_AXI_wvalid(0) <= s01_couplers_to_s01_couplers_WVALID(0);
S_AXI_arready(0) <= s01_couplers_to_s01_couplers_ARREADY(0);
S_AXI_awready(0) <= s01_couplers_to_s01_couplers_AWREADY(0);
S_AXI_bid(0) <= s01_couplers_to_s01_couplers_BID(0);
S_AXI_bresp(1 downto 0) <= s01_couplers_to_s01_couplers_BRESP(1 downto 0);
S_AXI_bvalid(0) <= s01_couplers_to_s01_couplers_BVALID(0);
S_AXI_rdata(31 downto 0) <= s01_couplers_to_s01_couplers_RDATA(31 downto 0);
S_AXI_rid(0) <= s01_couplers_to_s01_couplers_RID(0);
S_AXI_rlast(0) <= s01_couplers_to_s01_couplers_RLAST(0);
S_AXI_rresp(1 downto 0) <= s01_couplers_to_s01_couplers_RRESP(1 downto 0);
S_AXI_rvalid(0) <= s01_couplers_to_s01_couplers_RVALID(0);
S_AXI_wready(0) <= s01_couplers_to_s01_couplers_WREADY(0);
s01_couplers_to_s01_couplers_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0);
s01_couplers_to_s01_couplers_ARBURST(1 downto 0) <= S_AXI_arburst(1 downto 0);
s01_couplers_to_s01_couplers_ARCACHE(3 downto 0) <= S_AXI_arcache(3 downto 0);
s01_couplers_to_s01_couplers_ARID(0) <= S_AXI_arid(0);
s01_couplers_to_s01_couplers_ARLEN(7 downto 0) <= S_AXI_arlen(7 downto 0);
s01_couplers_to_s01_couplers_ARLOCK(0) <= S_AXI_arlock(0);
s01_couplers_to_s01_couplers_ARPROT(2 downto 0) <= S_AXI_arprot(2 downto 0);
s01_couplers_to_s01_couplers_ARQOS(3 downto 0) <= S_AXI_arqos(3 downto 0);
s01_couplers_to_s01_couplers_ARREADY(0) <= M_AXI_arready(0);
s01_couplers_to_s01_couplers_ARSIZE(2 downto 0) <= S_AXI_arsize(2 downto 0);
s01_couplers_to_s01_couplers_ARVALID(0) <= S_AXI_arvalid(0);
s01_couplers_to_s01_couplers_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0);
s01_couplers_to_s01_couplers_AWBURST(1 downto 0) <= S_AXI_awburst(1 downto 0);
s01_couplers_to_s01_couplers_AWCACHE(3 downto 0) <= S_AXI_awcache(3 downto 0);
s01_couplers_to_s01_couplers_AWID(0) <= S_AXI_awid(0);
s01_couplers_to_s01_couplers_AWLEN(7 downto 0) <= S_AXI_awlen(7 downto 0);
s01_couplers_to_s01_couplers_AWLOCK(0) <= S_AXI_awlock(0);
s01_couplers_to_s01_couplers_AWPROT(2 downto 0) <= S_AXI_awprot(2 downto 0);
s01_couplers_to_s01_couplers_AWQOS(3 downto 0) <= S_AXI_awqos(3 downto 0);
s01_couplers_to_s01_couplers_AWREADY(0) <= M_AXI_awready(0);
s01_couplers_to_s01_couplers_AWSIZE(2 downto 0) <= S_AXI_awsize(2 downto 0);
s01_couplers_to_s01_couplers_AWVALID(0) <= S_AXI_awvalid(0);
s01_couplers_to_s01_couplers_BID(0) <= M_AXI_bid(0);
s01_couplers_to_s01_couplers_BREADY(0) <= S_AXI_bready(0);
s01_couplers_to_s01_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0);
s01_couplers_to_s01_couplers_BVALID(0) <= M_AXI_bvalid(0);
s01_couplers_to_s01_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0);
s01_couplers_to_s01_couplers_RID(0) <= M_AXI_rid(0);
s01_couplers_to_s01_couplers_RLAST(0) <= M_AXI_rlast(0);
s01_couplers_to_s01_couplers_RREADY(0) <= S_AXI_rready(0);
s01_couplers_to_s01_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0);
s01_couplers_to_s01_couplers_RVALID(0) <= M_AXI_rvalid(0);
s01_couplers_to_s01_couplers_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0);
s01_couplers_to_s01_couplers_WLAST(0) <= S_AXI_wlast(0);
s01_couplers_to_s01_couplers_WREADY(0) <= M_AXI_wready(0);
s01_couplers_to_s01_couplers_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0);
s01_couplers_to_s01_couplers_WVALID(0) <= S_AXI_wvalid(0);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity design_1_axi_mem_intercon_0 is
port (
ACLK : in STD_LOGIC;
ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M00_ACLK : in STD_LOGIC;
M00_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M00_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M00_AXI_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
M00_AXI_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
M00_AXI_arid : out STD_LOGIC_VECTOR ( 0 to 0 );
M00_AXI_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
M00_AXI_arlock : out STD_LOGIC_VECTOR ( 0 to 0 );
M00_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
M00_AXI_arready : in STD_LOGIC_VECTOR ( 0 to 0 );
M00_AXI_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
M00_AXI_arvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
M00_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M00_AXI_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
M00_AXI_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
M00_AXI_awid : out STD_LOGIC_VECTOR ( 0 to 0 );
M00_AXI_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
M00_AXI_awlock : out STD_LOGIC_VECTOR ( 0 to 0 );
M00_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
M00_AXI_awready : in STD_LOGIC_VECTOR ( 0 to 0 );
M00_AXI_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
M00_AXI_awvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
M00_AXI_bid : in STD_LOGIC_VECTOR ( 0 to 0 );
M00_AXI_bready : out STD_LOGIC_VECTOR ( 0 to 0 );
M00_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M00_AXI_bvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
M00_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
M00_AXI_rid : in STD_LOGIC_VECTOR ( 0 to 0 );
M00_AXI_rlast : in STD_LOGIC_VECTOR ( 0 to 0 );
M00_AXI_rready : out STD_LOGIC_VECTOR ( 0 to 0 );
M00_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M00_AXI_rvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
M00_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
M00_AXI_wlast : out STD_LOGIC_VECTOR ( 0 to 0 );
M00_AXI_wready : in STD_LOGIC_VECTOR ( 0 to 0 );
M00_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
M00_AXI_wvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
S00_ACLK : in STD_LOGIC;
S00_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
S00_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S00_AXI_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S00_AXI_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_arid : in STD_LOGIC_VECTOR ( 0 to 0 );
S00_AXI_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
S00_AXI_arlock : in STD_LOGIC_VECTOR ( 0 to 0 );
S00_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S00_AXI_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_arready : out STD_LOGIC_VECTOR ( 0 to 0 );
S00_AXI_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S00_AXI_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
S00_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S00_AXI_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S00_AXI_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_awid : in STD_LOGIC_VECTOR ( 0 to 0 );
S00_AXI_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
S00_AXI_awlock : in STD_LOGIC_VECTOR ( 0 to 0 );
S00_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S00_AXI_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_awready : out STD_LOGIC_VECTOR ( 0 to 0 );
S00_AXI_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S00_AXI_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
S00_AXI_bid : out STD_LOGIC_VECTOR ( 0 to 0 );
S00_AXI_bready : in STD_LOGIC_VECTOR ( 0 to 0 );
S00_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S00_AXI_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
S00_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
S00_AXI_rid : out STD_LOGIC_VECTOR ( 0 to 0 );
S00_AXI_rlast : out STD_LOGIC_VECTOR ( 0 to 0 );
S00_AXI_rready : in STD_LOGIC_VECTOR ( 0 to 0 );
S00_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S00_AXI_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
S00_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
S00_AXI_wlast : in STD_LOGIC_VECTOR ( 0 to 0 );
S00_AXI_wready : out STD_LOGIC_VECTOR ( 0 to 0 );
S00_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
S01_ACLK : in STD_LOGIC;
S01_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
S01_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S01_AXI_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S01_AXI_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S01_AXI_arid : in STD_LOGIC_VECTOR ( 0 to 0 );
S01_AXI_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
S01_AXI_arlock : in STD_LOGIC_VECTOR ( 0 to 0 );
S01_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S01_AXI_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
S01_AXI_arready : out STD_LOGIC_VECTOR ( 0 to 0 );
S01_AXI_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S01_AXI_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
S01_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S01_AXI_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S01_AXI_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S01_AXI_awid : in STD_LOGIC_VECTOR ( 0 to 0 );
S01_AXI_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
S01_AXI_awlock : in STD_LOGIC_VECTOR ( 0 to 0 );
S01_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S01_AXI_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
S01_AXI_awready : out STD_LOGIC_VECTOR ( 0 to 0 );
S01_AXI_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S01_AXI_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
S01_AXI_bid : out STD_LOGIC_VECTOR ( 0 to 0 );
S01_AXI_bready : in STD_LOGIC_VECTOR ( 0 to 0 );
S01_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S01_AXI_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
S01_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
S01_AXI_rid : out STD_LOGIC_VECTOR ( 0 to 0 );
S01_AXI_rlast : out STD_LOGIC_VECTOR ( 0 to 0 );
S01_AXI_rready : in STD_LOGIC_VECTOR ( 0 to 0 );
S01_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S01_AXI_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
S01_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
S01_AXI_wlast : in STD_LOGIC_VECTOR ( 0 to 0 );
S01_AXI_wready : out STD_LOGIC_VECTOR ( 0 to 0 );
S01_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
S01_AXI_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 )
);
end design_1_axi_mem_intercon_0;
architecture STRUCTURE of design_1_axi_mem_intercon_0 is
component design_1_xbar_0 is
port (
aclk : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 63 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 15 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 5 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awcache : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 5 downto 0 );
s_axi_awqos : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_awvalid : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awready : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 63 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_wlast : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_wvalid : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_wready : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bid : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_bvalid : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bready : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arid : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 63 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 15 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 5 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arcache : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 5 downto 0 );
s_axi_arqos : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_arvalid : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arready : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rid : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 63 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_rlast : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rvalid : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rready : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_awid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awready : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_wlast : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_wvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_wready : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_bid : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_bvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_bready : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arready : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_rid : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rlast : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_rvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_rready : out STD_LOGIC_VECTOR ( 0 to 0 )
);
end component design_1_xbar_0;
signal M00_ACLK_1 : STD_LOGIC;
signal M00_ARESETN_1 : STD_LOGIC_VECTOR ( 0 to 0 );
signal S00_ACLK_1 : STD_LOGIC;
signal S00_ARESETN_1 : STD_LOGIC_VECTOR ( 0 to 0 );
signal S01_ACLK_1 : STD_LOGIC;
signal S01_ARESETN_1 : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_ACLK_net : STD_LOGIC;
signal axi_mem_intercon_ARESETN_net : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s00_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_mem_intercon_to_s00_couplers_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_mem_intercon_to_s00_couplers_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_mem_intercon_to_s00_couplers_ARID : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s00_couplers_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal axi_mem_intercon_to_s00_couplers_ARLOCK : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s00_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_mem_intercon_to_s00_couplers_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_mem_intercon_to_s00_couplers_ARREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s00_couplers_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_mem_intercon_to_s00_couplers_ARVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s00_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_mem_intercon_to_s00_couplers_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_mem_intercon_to_s00_couplers_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_mem_intercon_to_s00_couplers_AWID : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s00_couplers_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal axi_mem_intercon_to_s00_couplers_AWLOCK : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s00_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_mem_intercon_to_s00_couplers_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_mem_intercon_to_s00_couplers_AWREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s00_couplers_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_mem_intercon_to_s00_couplers_AWVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s00_couplers_BID : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s00_couplers_BREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s00_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_mem_intercon_to_s00_couplers_BVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_mem_intercon_to_s00_couplers_RID : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s00_couplers_RLAST : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s00_couplers_RREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_mem_intercon_to_s00_couplers_RVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s00_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_mem_intercon_to_s00_couplers_WLAST : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s00_couplers_WREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s00_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_mem_intercon_to_s00_couplers_WVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s01_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_mem_intercon_to_s01_couplers_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_mem_intercon_to_s01_couplers_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_mem_intercon_to_s01_couplers_ARID : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s01_couplers_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal axi_mem_intercon_to_s01_couplers_ARLOCK : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s01_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_mem_intercon_to_s01_couplers_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_mem_intercon_to_s01_couplers_ARREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s01_couplers_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_mem_intercon_to_s01_couplers_ARVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s01_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_mem_intercon_to_s01_couplers_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_mem_intercon_to_s01_couplers_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_mem_intercon_to_s01_couplers_AWID : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s01_couplers_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal axi_mem_intercon_to_s01_couplers_AWLOCK : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s01_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_mem_intercon_to_s01_couplers_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_mem_intercon_to_s01_couplers_AWREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s01_couplers_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_mem_intercon_to_s01_couplers_AWVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s01_couplers_BID : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s01_couplers_BREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s01_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_mem_intercon_to_s01_couplers_BVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s01_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_mem_intercon_to_s01_couplers_RID : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s01_couplers_RLAST : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s01_couplers_RREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s01_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_mem_intercon_to_s01_couplers_RVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s01_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_mem_intercon_to_s01_couplers_WLAST : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s01_couplers_WREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s01_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_mem_intercon_to_s01_couplers_WVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_axi_mem_intercon_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_axi_mem_intercon_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m00_couplers_to_axi_mem_intercon_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m00_couplers_to_axi_mem_intercon_ARID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_axi_mem_intercon_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal m00_couplers_to_axi_mem_intercon_ARLOCK : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_axi_mem_intercon_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal m00_couplers_to_axi_mem_intercon_ARREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_axi_mem_intercon_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal m00_couplers_to_axi_mem_intercon_ARVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_axi_mem_intercon_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_axi_mem_intercon_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m00_couplers_to_axi_mem_intercon_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m00_couplers_to_axi_mem_intercon_AWID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_axi_mem_intercon_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal m00_couplers_to_axi_mem_intercon_AWLOCK : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_axi_mem_intercon_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal m00_couplers_to_axi_mem_intercon_AWREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_axi_mem_intercon_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal m00_couplers_to_axi_mem_intercon_AWVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_axi_mem_intercon_BID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_axi_mem_intercon_BREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_axi_mem_intercon_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m00_couplers_to_axi_mem_intercon_BVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_axi_mem_intercon_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_axi_mem_intercon_RID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_axi_mem_intercon_RLAST : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_axi_mem_intercon_RREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_axi_mem_intercon_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m00_couplers_to_axi_mem_intercon_RVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_axi_mem_intercon_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_axi_mem_intercon_WLAST : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_axi_mem_intercon_WREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_axi_mem_intercon_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m00_couplers_to_axi_mem_intercon_WVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s00_couplers_to_xbar_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s00_couplers_to_xbar_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s00_couplers_to_xbar_ARID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal s00_couplers_to_xbar_ARLOCK : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s00_couplers_to_xbar_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s00_couplers_to_xbar_ARREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s00_couplers_to_xbar_ARVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s00_couplers_to_xbar_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s00_couplers_to_xbar_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s00_couplers_to_xbar_AWID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal s00_couplers_to_xbar_AWLOCK : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s00_couplers_to_xbar_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s00_couplers_to_xbar_AWREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s00_couplers_to_xbar_AWVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_BID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_BREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s00_couplers_to_xbar_BVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s00_couplers_to_xbar_RID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_RLAST : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_RREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s00_couplers_to_xbar_RVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s00_couplers_to_xbar_WLAST : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_WREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s00_couplers_to_xbar_WVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s01_couplers_to_xbar_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s01_couplers_to_xbar_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s01_couplers_to_xbar_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s01_couplers_to_xbar_ARID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s01_couplers_to_xbar_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal s01_couplers_to_xbar_ARLOCK : STD_LOGIC_VECTOR ( 0 to 0 );
signal s01_couplers_to_xbar_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s01_couplers_to_xbar_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s01_couplers_to_xbar_ARREADY : STD_LOGIC_VECTOR ( 1 to 1 );
signal s01_couplers_to_xbar_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s01_couplers_to_xbar_ARVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s01_couplers_to_xbar_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s01_couplers_to_xbar_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s01_couplers_to_xbar_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s01_couplers_to_xbar_AWID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s01_couplers_to_xbar_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal s01_couplers_to_xbar_AWLOCK : STD_LOGIC_VECTOR ( 0 to 0 );
signal s01_couplers_to_xbar_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s01_couplers_to_xbar_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s01_couplers_to_xbar_AWREADY : STD_LOGIC_VECTOR ( 1 to 1 );
signal s01_couplers_to_xbar_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s01_couplers_to_xbar_AWVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s01_couplers_to_xbar_BID : STD_LOGIC_VECTOR ( 1 to 1 );
signal s01_couplers_to_xbar_BREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal s01_couplers_to_xbar_BRESP : STD_LOGIC_VECTOR ( 3 downto 2 );
signal s01_couplers_to_xbar_BVALID : STD_LOGIC_VECTOR ( 1 to 1 );
signal s01_couplers_to_xbar_RDATA : STD_LOGIC_VECTOR ( 63 downto 32 );
signal s01_couplers_to_xbar_RID : STD_LOGIC_VECTOR ( 1 to 1 );
signal s01_couplers_to_xbar_RLAST : STD_LOGIC_VECTOR ( 1 to 1 );
signal s01_couplers_to_xbar_RREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal s01_couplers_to_xbar_RRESP : STD_LOGIC_VECTOR ( 3 downto 2 );
signal s01_couplers_to_xbar_RVALID : STD_LOGIC_VECTOR ( 1 to 1 );
signal s01_couplers_to_xbar_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s01_couplers_to_xbar_WLAST : STD_LOGIC_VECTOR ( 0 to 0 );
signal s01_couplers_to_xbar_WREADY : STD_LOGIC_VECTOR ( 1 to 1 );
signal s01_couplers_to_xbar_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s01_couplers_to_xbar_WVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal xbar_to_m00_couplers_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal xbar_to_m00_couplers_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal xbar_to_m00_couplers_ARID : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal xbar_to_m00_couplers_ARLOCK : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal xbar_to_m00_couplers_ARREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal xbar_to_m00_couplers_ARVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal xbar_to_m00_couplers_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal xbar_to_m00_couplers_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal xbar_to_m00_couplers_AWID : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal xbar_to_m00_couplers_AWLOCK : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal xbar_to_m00_couplers_AWREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal xbar_to_m00_couplers_AWVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_BID : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_BREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal xbar_to_m00_couplers_BVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal xbar_to_m00_couplers_RID : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_RLAST : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_RREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal xbar_to_m00_couplers_RVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal xbar_to_m00_couplers_WLAST : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_WREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal xbar_to_m00_couplers_WVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_xbar_m_axi_arqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_xbar_m_axi_arregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_xbar_m_axi_awqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_xbar_m_axi_awregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
begin
M00_ACLK_1 <= M00_ACLK;
M00_ARESETN_1(0) <= M00_ARESETN(0);
M00_AXI_araddr(31 downto 0) <= m00_couplers_to_axi_mem_intercon_ARADDR(31 downto 0);
M00_AXI_arburst(1 downto 0) <= m00_couplers_to_axi_mem_intercon_ARBURST(1 downto 0);
M00_AXI_arcache(3 downto 0) <= m00_couplers_to_axi_mem_intercon_ARCACHE(3 downto 0);
M00_AXI_arid(0) <= m00_couplers_to_axi_mem_intercon_ARID(0);
M00_AXI_arlen(7 downto 0) <= m00_couplers_to_axi_mem_intercon_ARLEN(7 downto 0);
M00_AXI_arlock(0) <= m00_couplers_to_axi_mem_intercon_ARLOCK(0);
M00_AXI_arprot(2 downto 0) <= m00_couplers_to_axi_mem_intercon_ARPROT(2 downto 0);
M00_AXI_arsize(2 downto 0) <= m00_couplers_to_axi_mem_intercon_ARSIZE(2 downto 0);
M00_AXI_arvalid(0) <= m00_couplers_to_axi_mem_intercon_ARVALID(0);
M00_AXI_awaddr(31 downto 0) <= m00_couplers_to_axi_mem_intercon_AWADDR(31 downto 0);
M00_AXI_awburst(1 downto 0) <= m00_couplers_to_axi_mem_intercon_AWBURST(1 downto 0);
M00_AXI_awcache(3 downto 0) <= m00_couplers_to_axi_mem_intercon_AWCACHE(3 downto 0);
M00_AXI_awid(0) <= m00_couplers_to_axi_mem_intercon_AWID(0);
M00_AXI_awlen(7 downto 0) <= m00_couplers_to_axi_mem_intercon_AWLEN(7 downto 0);
M00_AXI_awlock(0) <= m00_couplers_to_axi_mem_intercon_AWLOCK(0);
M00_AXI_awprot(2 downto 0) <= m00_couplers_to_axi_mem_intercon_AWPROT(2 downto 0);
M00_AXI_awsize(2 downto 0) <= m00_couplers_to_axi_mem_intercon_AWSIZE(2 downto 0);
M00_AXI_awvalid(0) <= m00_couplers_to_axi_mem_intercon_AWVALID(0);
M00_AXI_bready(0) <= m00_couplers_to_axi_mem_intercon_BREADY(0);
M00_AXI_rready(0) <= m00_couplers_to_axi_mem_intercon_RREADY(0);
M00_AXI_wdata(31 downto 0) <= m00_couplers_to_axi_mem_intercon_WDATA(31 downto 0);
M00_AXI_wlast(0) <= m00_couplers_to_axi_mem_intercon_WLAST(0);
M00_AXI_wstrb(3 downto 0) <= m00_couplers_to_axi_mem_intercon_WSTRB(3 downto 0);
M00_AXI_wvalid(0) <= m00_couplers_to_axi_mem_intercon_WVALID(0);
S00_ACLK_1 <= S00_ACLK;
S00_ARESETN_1(0) <= S00_ARESETN(0);
S00_AXI_arready(0) <= axi_mem_intercon_to_s00_couplers_ARREADY(0);
S00_AXI_awready(0) <= axi_mem_intercon_to_s00_couplers_AWREADY(0);
S00_AXI_bid(0) <= axi_mem_intercon_to_s00_couplers_BID(0);
S00_AXI_bresp(1 downto 0) <= axi_mem_intercon_to_s00_couplers_BRESP(1 downto 0);
S00_AXI_bvalid(0) <= axi_mem_intercon_to_s00_couplers_BVALID(0);
S00_AXI_rdata(31 downto 0) <= axi_mem_intercon_to_s00_couplers_RDATA(31 downto 0);
S00_AXI_rid(0) <= axi_mem_intercon_to_s00_couplers_RID(0);
S00_AXI_rlast(0) <= axi_mem_intercon_to_s00_couplers_RLAST(0);
S00_AXI_rresp(1 downto 0) <= axi_mem_intercon_to_s00_couplers_RRESP(1 downto 0);
S00_AXI_rvalid(0) <= axi_mem_intercon_to_s00_couplers_RVALID(0);
S00_AXI_wready(0) <= axi_mem_intercon_to_s00_couplers_WREADY(0);
S01_ACLK_1 <= S01_ACLK;
S01_ARESETN_1(0) <= S01_ARESETN(0);
S01_AXI_arready(0) <= axi_mem_intercon_to_s01_couplers_ARREADY(0);
S01_AXI_awready(0) <= axi_mem_intercon_to_s01_couplers_AWREADY(0);
S01_AXI_bid(0) <= axi_mem_intercon_to_s01_couplers_BID(0);
S01_AXI_bresp(1 downto 0) <= axi_mem_intercon_to_s01_couplers_BRESP(1 downto 0);
S01_AXI_bvalid(0) <= axi_mem_intercon_to_s01_couplers_BVALID(0);
S01_AXI_rdata(31 downto 0) <= axi_mem_intercon_to_s01_couplers_RDATA(31 downto 0);
S01_AXI_rid(0) <= axi_mem_intercon_to_s01_couplers_RID(0);
S01_AXI_rlast(0) <= axi_mem_intercon_to_s01_couplers_RLAST(0);
S01_AXI_rresp(1 downto 0) <= axi_mem_intercon_to_s01_couplers_RRESP(1 downto 0);
S01_AXI_rvalid(0) <= axi_mem_intercon_to_s01_couplers_RVALID(0);
S01_AXI_wready(0) <= axi_mem_intercon_to_s01_couplers_WREADY(0);
axi_mem_intercon_ACLK_net <= ACLK;
axi_mem_intercon_ARESETN_net(0) <= ARESETN(0);
axi_mem_intercon_to_s00_couplers_ARADDR(31 downto 0) <= S00_AXI_araddr(31 downto 0);
axi_mem_intercon_to_s00_couplers_ARBURST(1 downto 0) <= S00_AXI_arburst(1 downto 0);
axi_mem_intercon_to_s00_couplers_ARCACHE(3 downto 0) <= S00_AXI_arcache(3 downto 0);
axi_mem_intercon_to_s00_couplers_ARID(0) <= S00_AXI_arid(0);
axi_mem_intercon_to_s00_couplers_ARLEN(7 downto 0) <= S00_AXI_arlen(7 downto 0);
axi_mem_intercon_to_s00_couplers_ARLOCK(0) <= S00_AXI_arlock(0);
axi_mem_intercon_to_s00_couplers_ARPROT(2 downto 0) <= S00_AXI_arprot(2 downto 0);
axi_mem_intercon_to_s00_couplers_ARQOS(3 downto 0) <= S00_AXI_arqos(3 downto 0);
axi_mem_intercon_to_s00_couplers_ARSIZE(2 downto 0) <= S00_AXI_arsize(2 downto 0);
axi_mem_intercon_to_s00_couplers_ARVALID(0) <= S00_AXI_arvalid(0);
axi_mem_intercon_to_s00_couplers_AWADDR(31 downto 0) <= S00_AXI_awaddr(31 downto 0);
axi_mem_intercon_to_s00_couplers_AWBURST(1 downto 0) <= S00_AXI_awburst(1 downto 0);
axi_mem_intercon_to_s00_couplers_AWCACHE(3 downto 0) <= S00_AXI_awcache(3 downto 0);
axi_mem_intercon_to_s00_couplers_AWID(0) <= S00_AXI_awid(0);
axi_mem_intercon_to_s00_couplers_AWLEN(7 downto 0) <= S00_AXI_awlen(7 downto 0);
axi_mem_intercon_to_s00_couplers_AWLOCK(0) <= S00_AXI_awlock(0);
axi_mem_intercon_to_s00_couplers_AWPROT(2 downto 0) <= S00_AXI_awprot(2 downto 0);
axi_mem_intercon_to_s00_couplers_AWQOS(3 downto 0) <= S00_AXI_awqos(3 downto 0);
axi_mem_intercon_to_s00_couplers_AWSIZE(2 downto 0) <= S00_AXI_awsize(2 downto 0);
axi_mem_intercon_to_s00_couplers_AWVALID(0) <= S00_AXI_awvalid(0);
axi_mem_intercon_to_s00_couplers_BREADY(0) <= S00_AXI_bready(0);
axi_mem_intercon_to_s00_couplers_RREADY(0) <= S00_AXI_rready(0);
axi_mem_intercon_to_s00_couplers_WDATA(31 downto 0) <= S00_AXI_wdata(31 downto 0);
axi_mem_intercon_to_s00_couplers_WLAST(0) <= S00_AXI_wlast(0);
axi_mem_intercon_to_s00_couplers_WSTRB(3 downto 0) <= S00_AXI_wstrb(3 downto 0);
axi_mem_intercon_to_s00_couplers_WVALID(0) <= S00_AXI_wvalid(0);
axi_mem_intercon_to_s01_couplers_ARADDR(31 downto 0) <= S01_AXI_araddr(31 downto 0);
axi_mem_intercon_to_s01_couplers_ARBURST(1 downto 0) <= S01_AXI_arburst(1 downto 0);
axi_mem_intercon_to_s01_couplers_ARCACHE(3 downto 0) <= S01_AXI_arcache(3 downto 0);
axi_mem_intercon_to_s01_couplers_ARID(0) <= S01_AXI_arid(0);
axi_mem_intercon_to_s01_couplers_ARLEN(7 downto 0) <= S01_AXI_arlen(7 downto 0);
axi_mem_intercon_to_s01_couplers_ARLOCK(0) <= S01_AXI_arlock(0);
axi_mem_intercon_to_s01_couplers_ARPROT(2 downto 0) <= S01_AXI_arprot(2 downto 0);
axi_mem_intercon_to_s01_couplers_ARQOS(3 downto 0) <= S01_AXI_arqos(3 downto 0);
axi_mem_intercon_to_s01_couplers_ARSIZE(2 downto 0) <= S01_AXI_arsize(2 downto 0);
axi_mem_intercon_to_s01_couplers_ARVALID(0) <= S01_AXI_arvalid(0);
axi_mem_intercon_to_s01_couplers_AWADDR(31 downto 0) <= S01_AXI_awaddr(31 downto 0);
axi_mem_intercon_to_s01_couplers_AWBURST(1 downto 0) <= S01_AXI_awburst(1 downto 0);
axi_mem_intercon_to_s01_couplers_AWCACHE(3 downto 0) <= S01_AXI_awcache(3 downto 0);
axi_mem_intercon_to_s01_couplers_AWID(0) <= S01_AXI_awid(0);
axi_mem_intercon_to_s01_couplers_AWLEN(7 downto 0) <= S01_AXI_awlen(7 downto 0);
axi_mem_intercon_to_s01_couplers_AWLOCK(0) <= S01_AXI_awlock(0);
axi_mem_intercon_to_s01_couplers_AWPROT(2 downto 0) <= S01_AXI_awprot(2 downto 0);
axi_mem_intercon_to_s01_couplers_AWQOS(3 downto 0) <= S01_AXI_awqos(3 downto 0);
axi_mem_intercon_to_s01_couplers_AWSIZE(2 downto 0) <= S01_AXI_awsize(2 downto 0);
axi_mem_intercon_to_s01_couplers_AWVALID(0) <= S01_AXI_awvalid(0);
axi_mem_intercon_to_s01_couplers_BREADY(0) <= S01_AXI_bready(0);
axi_mem_intercon_to_s01_couplers_RREADY(0) <= S01_AXI_rready(0);
axi_mem_intercon_to_s01_couplers_WDATA(31 downto 0) <= S01_AXI_wdata(31 downto 0);
axi_mem_intercon_to_s01_couplers_WLAST(0) <= S01_AXI_wlast(0);
axi_mem_intercon_to_s01_couplers_WSTRB(3 downto 0) <= S01_AXI_wstrb(3 downto 0);
axi_mem_intercon_to_s01_couplers_WVALID(0) <= S01_AXI_wvalid(0);
m00_couplers_to_axi_mem_intercon_ARREADY(0) <= M00_AXI_arready(0);
m00_couplers_to_axi_mem_intercon_AWREADY(0) <= M00_AXI_awready(0);
m00_couplers_to_axi_mem_intercon_BID(0) <= M00_AXI_bid(0);
m00_couplers_to_axi_mem_intercon_BRESP(1 downto 0) <= M00_AXI_bresp(1 downto 0);
m00_couplers_to_axi_mem_intercon_BVALID(0) <= M00_AXI_bvalid(0);
m00_couplers_to_axi_mem_intercon_RDATA(31 downto 0) <= M00_AXI_rdata(31 downto 0);
m00_couplers_to_axi_mem_intercon_RID(0) <= M00_AXI_rid(0);
m00_couplers_to_axi_mem_intercon_RLAST(0) <= M00_AXI_rlast(0);
m00_couplers_to_axi_mem_intercon_RRESP(1 downto 0) <= M00_AXI_rresp(1 downto 0);
m00_couplers_to_axi_mem_intercon_RVALID(0) <= M00_AXI_rvalid(0);
m00_couplers_to_axi_mem_intercon_WREADY(0) <= M00_AXI_wready(0);
m00_couplers: entity work.m00_couplers_imp_1R706YB
port map (
M_ACLK => M00_ACLK_1,
M_ARESETN(0) => M00_ARESETN_1(0),
M_AXI_araddr(31 downto 0) => m00_couplers_to_axi_mem_intercon_ARADDR(31 downto 0),
M_AXI_arburst(1 downto 0) => m00_couplers_to_axi_mem_intercon_ARBURST(1 downto 0),
M_AXI_arcache(3 downto 0) => m00_couplers_to_axi_mem_intercon_ARCACHE(3 downto 0),
M_AXI_arid(0) => m00_couplers_to_axi_mem_intercon_ARID(0),
M_AXI_arlen(7 downto 0) => m00_couplers_to_axi_mem_intercon_ARLEN(7 downto 0),
M_AXI_arlock(0) => m00_couplers_to_axi_mem_intercon_ARLOCK(0),
M_AXI_arprot(2 downto 0) => m00_couplers_to_axi_mem_intercon_ARPROT(2 downto 0),
M_AXI_arready(0) => m00_couplers_to_axi_mem_intercon_ARREADY(0),
M_AXI_arsize(2 downto 0) => m00_couplers_to_axi_mem_intercon_ARSIZE(2 downto 0),
M_AXI_arvalid(0) => m00_couplers_to_axi_mem_intercon_ARVALID(0),
M_AXI_awaddr(31 downto 0) => m00_couplers_to_axi_mem_intercon_AWADDR(31 downto 0),
M_AXI_awburst(1 downto 0) => m00_couplers_to_axi_mem_intercon_AWBURST(1 downto 0),
M_AXI_awcache(3 downto 0) => m00_couplers_to_axi_mem_intercon_AWCACHE(3 downto 0),
M_AXI_awid(0) => m00_couplers_to_axi_mem_intercon_AWID(0),
M_AXI_awlen(7 downto 0) => m00_couplers_to_axi_mem_intercon_AWLEN(7 downto 0),
M_AXI_awlock(0) => m00_couplers_to_axi_mem_intercon_AWLOCK(0),
M_AXI_awprot(2 downto 0) => m00_couplers_to_axi_mem_intercon_AWPROT(2 downto 0),
M_AXI_awready(0) => m00_couplers_to_axi_mem_intercon_AWREADY(0),
M_AXI_awsize(2 downto 0) => m00_couplers_to_axi_mem_intercon_AWSIZE(2 downto 0),
M_AXI_awvalid(0) => m00_couplers_to_axi_mem_intercon_AWVALID(0),
M_AXI_bid(0) => m00_couplers_to_axi_mem_intercon_BID(0),
M_AXI_bready(0) => m00_couplers_to_axi_mem_intercon_BREADY(0),
M_AXI_bresp(1 downto 0) => m00_couplers_to_axi_mem_intercon_BRESP(1 downto 0),
M_AXI_bvalid(0) => m00_couplers_to_axi_mem_intercon_BVALID(0),
M_AXI_rdata(31 downto 0) => m00_couplers_to_axi_mem_intercon_RDATA(31 downto 0),
M_AXI_rid(0) => m00_couplers_to_axi_mem_intercon_RID(0),
M_AXI_rlast(0) => m00_couplers_to_axi_mem_intercon_RLAST(0),
M_AXI_rready(0) => m00_couplers_to_axi_mem_intercon_RREADY(0),
M_AXI_rresp(1 downto 0) => m00_couplers_to_axi_mem_intercon_RRESP(1 downto 0),
M_AXI_rvalid(0) => m00_couplers_to_axi_mem_intercon_RVALID(0),
M_AXI_wdata(31 downto 0) => m00_couplers_to_axi_mem_intercon_WDATA(31 downto 0),
M_AXI_wlast(0) => m00_couplers_to_axi_mem_intercon_WLAST(0),
M_AXI_wready(0) => m00_couplers_to_axi_mem_intercon_WREADY(0),
M_AXI_wstrb(3 downto 0) => m00_couplers_to_axi_mem_intercon_WSTRB(3 downto 0),
M_AXI_wvalid(0) => m00_couplers_to_axi_mem_intercon_WVALID(0),
S_ACLK => axi_mem_intercon_ACLK_net,
S_ARESETN(0) => axi_mem_intercon_ARESETN_net(0),
S_AXI_araddr(31 downto 0) => xbar_to_m00_couplers_ARADDR(31 downto 0),
S_AXI_arburst(1 downto 0) => xbar_to_m00_couplers_ARBURST(1 downto 0),
S_AXI_arcache(3 downto 0) => xbar_to_m00_couplers_ARCACHE(3 downto 0),
S_AXI_arid(0) => xbar_to_m00_couplers_ARID(0),
S_AXI_arlen(7 downto 0) => xbar_to_m00_couplers_ARLEN(7 downto 0),
S_AXI_arlock(0) => xbar_to_m00_couplers_ARLOCK(0),
S_AXI_arprot(2 downto 0) => xbar_to_m00_couplers_ARPROT(2 downto 0),
S_AXI_arready(0) => xbar_to_m00_couplers_ARREADY(0),
S_AXI_arsize(2 downto 0) => xbar_to_m00_couplers_ARSIZE(2 downto 0),
S_AXI_arvalid(0) => xbar_to_m00_couplers_ARVALID(0),
S_AXI_awaddr(31 downto 0) => xbar_to_m00_couplers_AWADDR(31 downto 0),
S_AXI_awburst(1 downto 0) => xbar_to_m00_couplers_AWBURST(1 downto 0),
S_AXI_awcache(3 downto 0) => xbar_to_m00_couplers_AWCACHE(3 downto 0),
S_AXI_awid(0) => xbar_to_m00_couplers_AWID(0),
S_AXI_awlen(7 downto 0) => xbar_to_m00_couplers_AWLEN(7 downto 0),
S_AXI_awlock(0) => xbar_to_m00_couplers_AWLOCK(0),
S_AXI_awprot(2 downto 0) => xbar_to_m00_couplers_AWPROT(2 downto 0),
S_AXI_awready(0) => xbar_to_m00_couplers_AWREADY(0),
S_AXI_awsize(2 downto 0) => xbar_to_m00_couplers_AWSIZE(2 downto 0),
S_AXI_awvalid(0) => xbar_to_m00_couplers_AWVALID(0),
S_AXI_bid(0) => xbar_to_m00_couplers_BID(0),
S_AXI_bready(0) => xbar_to_m00_couplers_BREADY(0),
S_AXI_bresp(1 downto 0) => xbar_to_m00_couplers_BRESP(1 downto 0),
S_AXI_bvalid(0) => xbar_to_m00_couplers_BVALID(0),
S_AXI_rdata(31 downto 0) => xbar_to_m00_couplers_RDATA(31 downto 0),
S_AXI_rid(0) => xbar_to_m00_couplers_RID(0),
S_AXI_rlast(0) => xbar_to_m00_couplers_RLAST(0),
S_AXI_rready(0) => xbar_to_m00_couplers_RREADY(0),
S_AXI_rresp(1 downto 0) => xbar_to_m00_couplers_RRESP(1 downto 0),
S_AXI_rvalid(0) => xbar_to_m00_couplers_RVALID(0),
S_AXI_wdata(31 downto 0) => xbar_to_m00_couplers_WDATA(31 downto 0),
S_AXI_wlast(0) => xbar_to_m00_couplers_WLAST(0),
S_AXI_wready(0) => xbar_to_m00_couplers_WREADY(0),
S_AXI_wstrb(3 downto 0) => xbar_to_m00_couplers_WSTRB(3 downto 0),
S_AXI_wvalid(0) => xbar_to_m00_couplers_WVALID(0)
);
s00_couplers: entity work.s00_couplers_imp_7HNO1D
port map (
M_ACLK => axi_mem_intercon_ACLK_net,
M_ARESETN(0) => axi_mem_intercon_ARESETN_net(0),
M_AXI_araddr(31 downto 0) => s00_couplers_to_xbar_ARADDR(31 downto 0),
M_AXI_arburst(1 downto 0) => s00_couplers_to_xbar_ARBURST(1 downto 0),
M_AXI_arcache(3 downto 0) => s00_couplers_to_xbar_ARCACHE(3 downto 0),
M_AXI_arid(0) => s00_couplers_to_xbar_ARID(0),
M_AXI_arlen(7 downto 0) => s00_couplers_to_xbar_ARLEN(7 downto 0),
M_AXI_arlock(0) => s00_couplers_to_xbar_ARLOCK(0),
M_AXI_arprot(2 downto 0) => s00_couplers_to_xbar_ARPROT(2 downto 0),
M_AXI_arqos(3 downto 0) => s00_couplers_to_xbar_ARQOS(3 downto 0),
M_AXI_arready(0) => s00_couplers_to_xbar_ARREADY(0),
M_AXI_arsize(2 downto 0) => s00_couplers_to_xbar_ARSIZE(2 downto 0),
M_AXI_arvalid(0) => s00_couplers_to_xbar_ARVALID(0),
M_AXI_awaddr(31 downto 0) => s00_couplers_to_xbar_AWADDR(31 downto 0),
M_AXI_awburst(1 downto 0) => s00_couplers_to_xbar_AWBURST(1 downto 0),
M_AXI_awcache(3 downto 0) => s00_couplers_to_xbar_AWCACHE(3 downto 0),
M_AXI_awid(0) => s00_couplers_to_xbar_AWID(0),
M_AXI_awlen(7 downto 0) => s00_couplers_to_xbar_AWLEN(7 downto 0),
M_AXI_awlock(0) => s00_couplers_to_xbar_AWLOCK(0),
M_AXI_awprot(2 downto 0) => s00_couplers_to_xbar_AWPROT(2 downto 0),
M_AXI_awqos(3 downto 0) => s00_couplers_to_xbar_AWQOS(3 downto 0),
M_AXI_awready(0) => s00_couplers_to_xbar_AWREADY(0),
M_AXI_awsize(2 downto 0) => s00_couplers_to_xbar_AWSIZE(2 downto 0),
M_AXI_awvalid(0) => s00_couplers_to_xbar_AWVALID(0),
M_AXI_bid(0) => s00_couplers_to_xbar_BID(0),
M_AXI_bready(0) => s00_couplers_to_xbar_BREADY(0),
M_AXI_bresp(1 downto 0) => s00_couplers_to_xbar_BRESP(1 downto 0),
M_AXI_bvalid(0) => s00_couplers_to_xbar_BVALID(0),
M_AXI_rdata(31 downto 0) => s00_couplers_to_xbar_RDATA(31 downto 0),
M_AXI_rid(0) => s00_couplers_to_xbar_RID(0),
M_AXI_rlast(0) => s00_couplers_to_xbar_RLAST(0),
M_AXI_rready(0) => s00_couplers_to_xbar_RREADY(0),
M_AXI_rresp(1 downto 0) => s00_couplers_to_xbar_RRESP(1 downto 0),
M_AXI_rvalid(0) => s00_couplers_to_xbar_RVALID(0),
M_AXI_wdata(31 downto 0) => s00_couplers_to_xbar_WDATA(31 downto 0),
M_AXI_wlast(0) => s00_couplers_to_xbar_WLAST(0),
M_AXI_wready(0) => s00_couplers_to_xbar_WREADY(0),
M_AXI_wstrb(3 downto 0) => s00_couplers_to_xbar_WSTRB(3 downto 0),
M_AXI_wvalid(0) => s00_couplers_to_xbar_WVALID(0),
S_ACLK => S00_ACLK_1,
S_ARESETN(0) => S00_ARESETN_1(0),
S_AXI_araddr(31 downto 0) => axi_mem_intercon_to_s00_couplers_ARADDR(31 downto 0),
S_AXI_arburst(1 downto 0) => axi_mem_intercon_to_s00_couplers_ARBURST(1 downto 0),
S_AXI_arcache(3 downto 0) => axi_mem_intercon_to_s00_couplers_ARCACHE(3 downto 0),
S_AXI_arid(0) => axi_mem_intercon_to_s00_couplers_ARID(0),
S_AXI_arlen(7 downto 0) => axi_mem_intercon_to_s00_couplers_ARLEN(7 downto 0),
S_AXI_arlock(0) => axi_mem_intercon_to_s00_couplers_ARLOCK(0),
S_AXI_arprot(2 downto 0) => axi_mem_intercon_to_s00_couplers_ARPROT(2 downto 0),
S_AXI_arqos(3 downto 0) => axi_mem_intercon_to_s00_couplers_ARQOS(3 downto 0),
S_AXI_arready(0) => axi_mem_intercon_to_s00_couplers_ARREADY(0),
S_AXI_arsize(2 downto 0) => axi_mem_intercon_to_s00_couplers_ARSIZE(2 downto 0),
S_AXI_arvalid(0) => axi_mem_intercon_to_s00_couplers_ARVALID(0),
S_AXI_awaddr(31 downto 0) => axi_mem_intercon_to_s00_couplers_AWADDR(31 downto 0),
S_AXI_awburst(1 downto 0) => axi_mem_intercon_to_s00_couplers_AWBURST(1 downto 0),
S_AXI_awcache(3 downto 0) => axi_mem_intercon_to_s00_couplers_AWCACHE(3 downto 0),
S_AXI_awid(0) => axi_mem_intercon_to_s00_couplers_AWID(0),
S_AXI_awlen(7 downto 0) => axi_mem_intercon_to_s00_couplers_AWLEN(7 downto 0),
S_AXI_awlock(0) => axi_mem_intercon_to_s00_couplers_AWLOCK(0),
S_AXI_awprot(2 downto 0) => axi_mem_intercon_to_s00_couplers_AWPROT(2 downto 0),
S_AXI_awqos(3 downto 0) => axi_mem_intercon_to_s00_couplers_AWQOS(3 downto 0),
S_AXI_awready(0) => axi_mem_intercon_to_s00_couplers_AWREADY(0),
S_AXI_awsize(2 downto 0) => axi_mem_intercon_to_s00_couplers_AWSIZE(2 downto 0),
S_AXI_awvalid(0) => axi_mem_intercon_to_s00_couplers_AWVALID(0),
S_AXI_bid(0) => axi_mem_intercon_to_s00_couplers_BID(0),
S_AXI_bready(0) => axi_mem_intercon_to_s00_couplers_BREADY(0),
S_AXI_bresp(1 downto 0) => axi_mem_intercon_to_s00_couplers_BRESP(1 downto 0),
S_AXI_bvalid(0) => axi_mem_intercon_to_s00_couplers_BVALID(0),
S_AXI_rdata(31 downto 0) => axi_mem_intercon_to_s00_couplers_RDATA(31 downto 0),
S_AXI_rid(0) => axi_mem_intercon_to_s00_couplers_RID(0),
S_AXI_rlast(0) => axi_mem_intercon_to_s00_couplers_RLAST(0),
S_AXI_rready(0) => axi_mem_intercon_to_s00_couplers_RREADY(0),
S_AXI_rresp(1 downto 0) => axi_mem_intercon_to_s00_couplers_RRESP(1 downto 0),
S_AXI_rvalid(0) => axi_mem_intercon_to_s00_couplers_RVALID(0),
S_AXI_wdata(31 downto 0) => axi_mem_intercon_to_s00_couplers_WDATA(31 downto 0),
S_AXI_wlast(0) => axi_mem_intercon_to_s00_couplers_WLAST(0),
S_AXI_wready(0) => axi_mem_intercon_to_s00_couplers_WREADY(0),
S_AXI_wstrb(3 downto 0) => axi_mem_intercon_to_s00_couplers_WSTRB(3 downto 0),
S_AXI_wvalid(0) => axi_mem_intercon_to_s00_couplers_WVALID(0)
);
s01_couplers: entity work.s01_couplers_imp_1W60HW0
port map (
M_ACLK => axi_mem_intercon_ACLK_net,
M_ARESETN(0) => axi_mem_intercon_ARESETN_net(0),
M_AXI_araddr(31 downto 0) => s01_couplers_to_xbar_ARADDR(31 downto 0),
M_AXI_arburst(1 downto 0) => s01_couplers_to_xbar_ARBURST(1 downto 0),
M_AXI_arcache(3 downto 0) => s01_couplers_to_xbar_ARCACHE(3 downto 0),
M_AXI_arid(0) => s01_couplers_to_xbar_ARID(0),
M_AXI_arlen(7 downto 0) => s01_couplers_to_xbar_ARLEN(7 downto 0),
M_AXI_arlock(0) => s01_couplers_to_xbar_ARLOCK(0),
M_AXI_arprot(2 downto 0) => s01_couplers_to_xbar_ARPROT(2 downto 0),
M_AXI_arqos(3 downto 0) => s01_couplers_to_xbar_ARQOS(3 downto 0),
M_AXI_arready(0) => s01_couplers_to_xbar_ARREADY(1),
M_AXI_arsize(2 downto 0) => s01_couplers_to_xbar_ARSIZE(2 downto 0),
M_AXI_arvalid(0) => s01_couplers_to_xbar_ARVALID(0),
M_AXI_awaddr(31 downto 0) => s01_couplers_to_xbar_AWADDR(31 downto 0),
M_AXI_awburst(1 downto 0) => s01_couplers_to_xbar_AWBURST(1 downto 0),
M_AXI_awcache(3 downto 0) => s01_couplers_to_xbar_AWCACHE(3 downto 0),
M_AXI_awid(0) => s01_couplers_to_xbar_AWID(0),
M_AXI_awlen(7 downto 0) => s01_couplers_to_xbar_AWLEN(7 downto 0),
M_AXI_awlock(0) => s01_couplers_to_xbar_AWLOCK(0),
M_AXI_awprot(2 downto 0) => s01_couplers_to_xbar_AWPROT(2 downto 0),
M_AXI_awqos(3 downto 0) => s01_couplers_to_xbar_AWQOS(3 downto 0),
M_AXI_awready(0) => s01_couplers_to_xbar_AWREADY(1),
M_AXI_awsize(2 downto 0) => s01_couplers_to_xbar_AWSIZE(2 downto 0),
M_AXI_awvalid(0) => s01_couplers_to_xbar_AWVALID(0),
M_AXI_bid(0) => s01_couplers_to_xbar_BID(1),
M_AXI_bready(0) => s01_couplers_to_xbar_BREADY(0),
M_AXI_bresp(1 downto 0) => s01_couplers_to_xbar_BRESP(3 downto 2),
M_AXI_bvalid(0) => s01_couplers_to_xbar_BVALID(1),
M_AXI_rdata(31 downto 0) => s01_couplers_to_xbar_RDATA(63 downto 32),
M_AXI_rid(0) => s01_couplers_to_xbar_RID(1),
M_AXI_rlast(0) => s01_couplers_to_xbar_RLAST(1),
M_AXI_rready(0) => s01_couplers_to_xbar_RREADY(0),
M_AXI_rresp(1 downto 0) => s01_couplers_to_xbar_RRESP(3 downto 2),
M_AXI_rvalid(0) => s01_couplers_to_xbar_RVALID(1),
M_AXI_wdata(31 downto 0) => s01_couplers_to_xbar_WDATA(31 downto 0),
M_AXI_wlast(0) => s01_couplers_to_xbar_WLAST(0),
M_AXI_wready(0) => s01_couplers_to_xbar_WREADY(1),
M_AXI_wstrb(3 downto 0) => s01_couplers_to_xbar_WSTRB(3 downto 0),
M_AXI_wvalid(0) => s01_couplers_to_xbar_WVALID(0),
S_ACLK => S01_ACLK_1,
S_ARESETN(0) => S01_ARESETN_1(0),
S_AXI_araddr(31 downto 0) => axi_mem_intercon_to_s01_couplers_ARADDR(31 downto 0),
S_AXI_arburst(1 downto 0) => axi_mem_intercon_to_s01_couplers_ARBURST(1 downto 0),
S_AXI_arcache(3 downto 0) => axi_mem_intercon_to_s01_couplers_ARCACHE(3 downto 0),
S_AXI_arid(0) => axi_mem_intercon_to_s01_couplers_ARID(0),
S_AXI_arlen(7 downto 0) => axi_mem_intercon_to_s01_couplers_ARLEN(7 downto 0),
S_AXI_arlock(0) => axi_mem_intercon_to_s01_couplers_ARLOCK(0),
S_AXI_arprot(2 downto 0) => axi_mem_intercon_to_s01_couplers_ARPROT(2 downto 0),
S_AXI_arqos(3 downto 0) => axi_mem_intercon_to_s01_couplers_ARQOS(3 downto 0),
S_AXI_arready(0) => axi_mem_intercon_to_s01_couplers_ARREADY(0),
S_AXI_arsize(2 downto 0) => axi_mem_intercon_to_s01_couplers_ARSIZE(2 downto 0),
S_AXI_arvalid(0) => axi_mem_intercon_to_s01_couplers_ARVALID(0),
S_AXI_awaddr(31 downto 0) => axi_mem_intercon_to_s01_couplers_AWADDR(31 downto 0),
S_AXI_awburst(1 downto 0) => axi_mem_intercon_to_s01_couplers_AWBURST(1 downto 0),
S_AXI_awcache(3 downto 0) => axi_mem_intercon_to_s01_couplers_AWCACHE(3 downto 0),
S_AXI_awid(0) => axi_mem_intercon_to_s01_couplers_AWID(0),
S_AXI_awlen(7 downto 0) => axi_mem_intercon_to_s01_couplers_AWLEN(7 downto 0),
S_AXI_awlock(0) => axi_mem_intercon_to_s01_couplers_AWLOCK(0),
S_AXI_awprot(2 downto 0) => axi_mem_intercon_to_s01_couplers_AWPROT(2 downto 0),
S_AXI_awqos(3 downto 0) => axi_mem_intercon_to_s01_couplers_AWQOS(3 downto 0),
S_AXI_awready(0) => axi_mem_intercon_to_s01_couplers_AWREADY(0),
S_AXI_awsize(2 downto 0) => axi_mem_intercon_to_s01_couplers_AWSIZE(2 downto 0),
S_AXI_awvalid(0) => axi_mem_intercon_to_s01_couplers_AWVALID(0),
S_AXI_bid(0) => axi_mem_intercon_to_s01_couplers_BID(0),
S_AXI_bready(0) => axi_mem_intercon_to_s01_couplers_BREADY(0),
S_AXI_bresp(1 downto 0) => axi_mem_intercon_to_s01_couplers_BRESP(1 downto 0),
S_AXI_bvalid(0) => axi_mem_intercon_to_s01_couplers_BVALID(0),
S_AXI_rdata(31 downto 0) => axi_mem_intercon_to_s01_couplers_RDATA(31 downto 0),
S_AXI_rid(0) => axi_mem_intercon_to_s01_couplers_RID(0),
S_AXI_rlast(0) => axi_mem_intercon_to_s01_couplers_RLAST(0),
S_AXI_rready(0) => axi_mem_intercon_to_s01_couplers_RREADY(0),
S_AXI_rresp(1 downto 0) => axi_mem_intercon_to_s01_couplers_RRESP(1 downto 0),
S_AXI_rvalid(0) => axi_mem_intercon_to_s01_couplers_RVALID(0),
S_AXI_wdata(31 downto 0) => axi_mem_intercon_to_s01_couplers_WDATA(31 downto 0),
S_AXI_wlast(0) => axi_mem_intercon_to_s01_couplers_WLAST(0),
S_AXI_wready(0) => axi_mem_intercon_to_s01_couplers_WREADY(0),
S_AXI_wstrb(3 downto 0) => axi_mem_intercon_to_s01_couplers_WSTRB(3 downto 0),
S_AXI_wvalid(0) => axi_mem_intercon_to_s01_couplers_WVALID(0)
);
xbar: component design_1_xbar_0
port map (
aclk => axi_mem_intercon_ACLK_net,
aresetn => axi_mem_intercon_ARESETN_net(0),
m_axi_araddr(31 downto 0) => xbar_to_m00_couplers_ARADDR(31 downto 0),
m_axi_arburst(1 downto 0) => xbar_to_m00_couplers_ARBURST(1 downto 0),
m_axi_arcache(3 downto 0) => xbar_to_m00_couplers_ARCACHE(3 downto 0),
m_axi_arid(0) => xbar_to_m00_couplers_ARID(0),
m_axi_arlen(7 downto 0) => xbar_to_m00_couplers_ARLEN(7 downto 0),
m_axi_arlock(0) => xbar_to_m00_couplers_ARLOCK(0),
m_axi_arprot(2 downto 0) => xbar_to_m00_couplers_ARPROT(2 downto 0),
m_axi_arqos(3 downto 0) => NLW_xbar_m_axi_arqos_UNCONNECTED(3 downto 0),
m_axi_arready(0) => xbar_to_m00_couplers_ARREADY(0),
m_axi_arregion(3 downto 0) => NLW_xbar_m_axi_arregion_UNCONNECTED(3 downto 0),
m_axi_arsize(2 downto 0) => xbar_to_m00_couplers_ARSIZE(2 downto 0),
m_axi_arvalid(0) => xbar_to_m00_couplers_ARVALID(0),
m_axi_awaddr(31 downto 0) => xbar_to_m00_couplers_AWADDR(31 downto 0),
m_axi_awburst(1 downto 0) => xbar_to_m00_couplers_AWBURST(1 downto 0),
m_axi_awcache(3 downto 0) => xbar_to_m00_couplers_AWCACHE(3 downto 0),
m_axi_awid(0) => xbar_to_m00_couplers_AWID(0),
m_axi_awlen(7 downto 0) => xbar_to_m00_couplers_AWLEN(7 downto 0),
m_axi_awlock(0) => xbar_to_m00_couplers_AWLOCK(0),
m_axi_awprot(2 downto 0) => xbar_to_m00_couplers_AWPROT(2 downto 0),
m_axi_awqos(3 downto 0) => NLW_xbar_m_axi_awqos_UNCONNECTED(3 downto 0),
m_axi_awready(0) => xbar_to_m00_couplers_AWREADY(0),
m_axi_awregion(3 downto 0) => NLW_xbar_m_axi_awregion_UNCONNECTED(3 downto 0),
m_axi_awsize(2 downto 0) => xbar_to_m00_couplers_AWSIZE(2 downto 0),
m_axi_awvalid(0) => xbar_to_m00_couplers_AWVALID(0),
m_axi_bid(0) => xbar_to_m00_couplers_BID(0),
m_axi_bready(0) => xbar_to_m00_couplers_BREADY(0),
m_axi_bresp(1 downto 0) => xbar_to_m00_couplers_BRESP(1 downto 0),
m_axi_bvalid(0) => xbar_to_m00_couplers_BVALID(0),
m_axi_rdata(31 downto 0) => xbar_to_m00_couplers_RDATA(31 downto 0),
m_axi_rid(0) => xbar_to_m00_couplers_RID(0),
m_axi_rlast(0) => xbar_to_m00_couplers_RLAST(0),
m_axi_rready(0) => xbar_to_m00_couplers_RREADY(0),
m_axi_rresp(1 downto 0) => xbar_to_m00_couplers_RRESP(1 downto 0),
m_axi_rvalid(0) => xbar_to_m00_couplers_RVALID(0),
m_axi_wdata(31 downto 0) => xbar_to_m00_couplers_WDATA(31 downto 0),
m_axi_wlast(0) => xbar_to_m00_couplers_WLAST(0),
m_axi_wready(0) => xbar_to_m00_couplers_WREADY(0),
m_axi_wstrb(3 downto 0) => xbar_to_m00_couplers_WSTRB(3 downto 0),
m_axi_wvalid(0) => xbar_to_m00_couplers_WVALID(0),
s_axi_araddr(63 downto 32) => s01_couplers_to_xbar_ARADDR(31 downto 0),
s_axi_araddr(31 downto 0) => s00_couplers_to_xbar_ARADDR(31 downto 0),
s_axi_arburst(3 downto 2) => s01_couplers_to_xbar_ARBURST(1 downto 0),
s_axi_arburst(1 downto 0) => s00_couplers_to_xbar_ARBURST(1 downto 0),
s_axi_arcache(7 downto 4) => s01_couplers_to_xbar_ARCACHE(3 downto 0),
s_axi_arcache(3 downto 0) => s00_couplers_to_xbar_ARCACHE(3 downto 0),
s_axi_arid(1) => s01_couplers_to_xbar_ARID(0),
s_axi_arid(0) => s00_couplers_to_xbar_ARID(0),
s_axi_arlen(15 downto 8) => s01_couplers_to_xbar_ARLEN(7 downto 0),
s_axi_arlen(7 downto 0) => s00_couplers_to_xbar_ARLEN(7 downto 0),
s_axi_arlock(1) => s01_couplers_to_xbar_ARLOCK(0),
s_axi_arlock(0) => s00_couplers_to_xbar_ARLOCK(0),
s_axi_arprot(5 downto 3) => s01_couplers_to_xbar_ARPROT(2 downto 0),
s_axi_arprot(2 downto 0) => s00_couplers_to_xbar_ARPROT(2 downto 0),
s_axi_arqos(7 downto 4) => s01_couplers_to_xbar_ARQOS(3 downto 0),
s_axi_arqos(3 downto 0) => s00_couplers_to_xbar_ARQOS(3 downto 0),
s_axi_arready(1) => s01_couplers_to_xbar_ARREADY(1),
s_axi_arready(0) => s00_couplers_to_xbar_ARREADY(0),
s_axi_arsize(5 downto 3) => s01_couplers_to_xbar_ARSIZE(2 downto 0),
s_axi_arsize(2 downto 0) => s00_couplers_to_xbar_ARSIZE(2 downto 0),
s_axi_arvalid(1) => s01_couplers_to_xbar_ARVALID(0),
s_axi_arvalid(0) => s00_couplers_to_xbar_ARVALID(0),
s_axi_awaddr(63 downto 32) => s01_couplers_to_xbar_AWADDR(31 downto 0),
s_axi_awaddr(31 downto 0) => s00_couplers_to_xbar_AWADDR(31 downto 0),
s_axi_awburst(3 downto 2) => s01_couplers_to_xbar_AWBURST(1 downto 0),
s_axi_awburst(1 downto 0) => s00_couplers_to_xbar_AWBURST(1 downto 0),
s_axi_awcache(7 downto 4) => s01_couplers_to_xbar_AWCACHE(3 downto 0),
s_axi_awcache(3 downto 0) => s00_couplers_to_xbar_AWCACHE(3 downto 0),
s_axi_awid(1) => s01_couplers_to_xbar_AWID(0),
s_axi_awid(0) => s00_couplers_to_xbar_AWID(0),
s_axi_awlen(15 downto 8) => s01_couplers_to_xbar_AWLEN(7 downto 0),
s_axi_awlen(7 downto 0) => s00_couplers_to_xbar_AWLEN(7 downto 0),
s_axi_awlock(1) => s01_couplers_to_xbar_AWLOCK(0),
s_axi_awlock(0) => s00_couplers_to_xbar_AWLOCK(0),
s_axi_awprot(5 downto 3) => s01_couplers_to_xbar_AWPROT(2 downto 0),
s_axi_awprot(2 downto 0) => s00_couplers_to_xbar_AWPROT(2 downto 0),
s_axi_awqos(7 downto 4) => s01_couplers_to_xbar_AWQOS(3 downto 0),
s_axi_awqos(3 downto 0) => s00_couplers_to_xbar_AWQOS(3 downto 0),
s_axi_awready(1) => s01_couplers_to_xbar_AWREADY(1),
s_axi_awready(0) => s00_couplers_to_xbar_AWREADY(0),
s_axi_awsize(5 downto 3) => s01_couplers_to_xbar_AWSIZE(2 downto 0),
s_axi_awsize(2 downto 0) => s00_couplers_to_xbar_AWSIZE(2 downto 0),
s_axi_awvalid(1) => s01_couplers_to_xbar_AWVALID(0),
s_axi_awvalid(0) => s00_couplers_to_xbar_AWVALID(0),
s_axi_bid(1) => s01_couplers_to_xbar_BID(1),
s_axi_bid(0) => s00_couplers_to_xbar_BID(0),
s_axi_bready(1) => s01_couplers_to_xbar_BREADY(0),
s_axi_bready(0) => s00_couplers_to_xbar_BREADY(0),
s_axi_bresp(3 downto 2) => s01_couplers_to_xbar_BRESP(3 downto 2),
s_axi_bresp(1 downto 0) => s00_couplers_to_xbar_BRESP(1 downto 0),
s_axi_bvalid(1) => s01_couplers_to_xbar_BVALID(1),
s_axi_bvalid(0) => s00_couplers_to_xbar_BVALID(0),
s_axi_rdata(63 downto 32) => s01_couplers_to_xbar_RDATA(63 downto 32),
s_axi_rdata(31 downto 0) => s00_couplers_to_xbar_RDATA(31 downto 0),
s_axi_rid(1) => s01_couplers_to_xbar_RID(1),
s_axi_rid(0) => s00_couplers_to_xbar_RID(0),
s_axi_rlast(1) => s01_couplers_to_xbar_RLAST(1),
s_axi_rlast(0) => s00_couplers_to_xbar_RLAST(0),
s_axi_rready(1) => s01_couplers_to_xbar_RREADY(0),
s_axi_rready(0) => s00_couplers_to_xbar_RREADY(0),
s_axi_rresp(3 downto 2) => s01_couplers_to_xbar_RRESP(3 downto 2),
s_axi_rresp(1 downto 0) => s00_couplers_to_xbar_RRESP(1 downto 0),
s_axi_rvalid(1) => s01_couplers_to_xbar_RVALID(1),
s_axi_rvalid(0) => s00_couplers_to_xbar_RVALID(0),
s_axi_wdata(63 downto 32) => s01_couplers_to_xbar_WDATA(31 downto 0),
s_axi_wdata(31 downto 0) => s00_couplers_to_xbar_WDATA(31 downto 0),
s_axi_wlast(1) => s01_couplers_to_xbar_WLAST(0),
s_axi_wlast(0) => s00_couplers_to_xbar_WLAST(0),
s_axi_wready(1) => s01_couplers_to_xbar_WREADY(1),
s_axi_wready(0) => s00_couplers_to_xbar_WREADY(0),
s_axi_wstrb(7 downto 4) => s01_couplers_to_xbar_WSTRB(3 downto 0),
s_axi_wstrb(3 downto 0) => s00_couplers_to_xbar_WSTRB(3 downto 0),
s_axi_wvalid(1) => s01_couplers_to_xbar_WVALID(0),
s_axi_wvalid(0) => s00_couplers_to_xbar_WVALID(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity design_1_microblaze_0_axi_periph_0 is
port (
ACLK : in STD_LOGIC;
ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M00_ACLK : in STD_LOGIC;
M00_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M00_AXI_araddr : out STD_LOGIC_VECTOR ( 8 downto 0 );
M00_AXI_arready : in STD_LOGIC;
M00_AXI_arvalid : out STD_LOGIC;
M00_AXI_awaddr : out STD_LOGIC_VECTOR ( 8 downto 0 );
M00_AXI_awready : in STD_LOGIC;
M00_AXI_awvalid : out STD_LOGIC;
M00_AXI_bready : out STD_LOGIC;
M00_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M00_AXI_bvalid : in STD_LOGIC;
M00_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
M00_AXI_rready : out STD_LOGIC;
M00_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M00_AXI_rvalid : in STD_LOGIC;
M00_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
M00_AXI_wready : in STD_LOGIC;
M00_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
M00_AXI_wvalid : out STD_LOGIC;
M01_ACLK : in STD_LOGIC;
M01_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M01_AXI_araddr : out STD_LOGIC_VECTOR ( 3 downto 0 );
M01_AXI_arready : in STD_LOGIC;
M01_AXI_arvalid : out STD_LOGIC;
M01_AXI_awaddr : out STD_LOGIC_VECTOR ( 3 downto 0 );
M01_AXI_awready : in STD_LOGIC;
M01_AXI_awvalid : out STD_LOGIC;
M01_AXI_bready : out STD_LOGIC;
M01_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M01_AXI_bvalid : in STD_LOGIC;
M01_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
M01_AXI_rready : out STD_LOGIC;
M01_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M01_AXI_rvalid : in STD_LOGIC;
M01_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
M01_AXI_wready : in STD_LOGIC;
M01_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
M01_AXI_wvalid : out STD_LOGIC;
M02_ACLK : in STD_LOGIC;
M02_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M02_AXI_araddr : out STD_LOGIC_VECTOR ( 12 downto 0 );
M02_AXI_arready : in STD_LOGIC;
M02_AXI_arvalid : out STD_LOGIC;
M02_AXI_awaddr : out STD_LOGIC_VECTOR ( 12 downto 0 );
M02_AXI_awready : in STD_LOGIC;
M02_AXI_awvalid : out STD_LOGIC;
M02_AXI_bready : out STD_LOGIC;
M02_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M02_AXI_bvalid : in STD_LOGIC;
M02_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
M02_AXI_rready : out STD_LOGIC;
M02_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M02_AXI_rvalid : in STD_LOGIC;
M02_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
M02_AXI_wready : in STD_LOGIC;
M02_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
M02_AXI_wvalid : out STD_LOGIC;
M03_ACLK : in STD_LOGIC;
M03_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M03_AXI_araddr : out STD_LOGIC_VECTOR ( 4 downto 0 );
M03_AXI_arready : in STD_LOGIC;
M03_AXI_arvalid : out STD_LOGIC;
M03_AXI_awaddr : out STD_LOGIC_VECTOR ( 4 downto 0 );
M03_AXI_awready : in STD_LOGIC;
M03_AXI_awvalid : out STD_LOGIC;
M03_AXI_bready : out STD_LOGIC;
M03_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M03_AXI_bvalid : in STD_LOGIC;
M03_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
M03_AXI_rready : out STD_LOGIC;
M03_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M03_AXI_rvalid : in STD_LOGIC;
M03_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
M03_AXI_wready : in STD_LOGIC;
M03_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
M03_AXI_wvalid : out STD_LOGIC;
S00_ACLK : in STD_LOGIC;
S00_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
S00_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S00_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S00_AXI_arready : out STD_LOGIC_VECTOR ( 0 to 0 );
S00_AXI_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
S00_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S00_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S00_AXI_awready : out STD_LOGIC_VECTOR ( 0 to 0 );
S00_AXI_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
S00_AXI_bready : in STD_LOGIC_VECTOR ( 0 to 0 );
S00_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S00_AXI_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
S00_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
S00_AXI_rready : in STD_LOGIC_VECTOR ( 0 to 0 );
S00_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S00_AXI_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
S00_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
S00_AXI_wready : out STD_LOGIC_VECTOR ( 0 to 0 );
S00_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 )
);
end design_1_microblaze_0_axi_periph_0;
architecture STRUCTURE of design_1_microblaze_0_axi_periph_0 is
component design_1_xbar_1 is
port (
aclk : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awready : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wready : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_arready : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awaddr : out STD_LOGIC_VECTOR ( 127 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_awvalid : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awready : in STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_wdata : out STD_LOGIC_VECTOR ( 127 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 15 downto 0 );
m_axi_wvalid : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_wready : in STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_bvalid : in STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_bready : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_araddr : out STD_LOGIC_VECTOR ( 127 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_arvalid : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arready : in STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_rdata : in STD_LOGIC_VECTOR ( 127 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_rvalid : in STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_rready : out STD_LOGIC_VECTOR ( 3 downto 0 )
);
end component design_1_xbar_1;
signal M00_ACLK_1 : STD_LOGIC;
signal M00_ARESETN_1 : STD_LOGIC_VECTOR ( 0 to 0 );
signal M01_ACLK_1 : STD_LOGIC;
signal M01_ARESETN_1 : STD_LOGIC_VECTOR ( 0 to 0 );
signal M02_ACLK_1 : STD_LOGIC;
signal M02_ARESETN_1 : STD_LOGIC_VECTOR ( 0 to 0 );
signal M03_ACLK_1 : STD_LOGIC;
signal M03_ARESETN_1 : STD_LOGIC_VECTOR ( 0 to 0 );
signal S00_ACLK_1 : STD_LOGIC;
signal S00_ARESETN_1 : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_microblaze_0_axi_periph_ARADDR : STD_LOGIC_VECTOR ( 8 downto 0 );
signal m00_couplers_to_microblaze_0_axi_periph_ARREADY : STD_LOGIC;
signal m00_couplers_to_microblaze_0_axi_periph_ARVALID : STD_LOGIC;
signal m00_couplers_to_microblaze_0_axi_periph_AWADDR : STD_LOGIC_VECTOR ( 8 downto 0 );
signal m00_couplers_to_microblaze_0_axi_periph_AWREADY : STD_LOGIC;
signal m00_couplers_to_microblaze_0_axi_periph_AWVALID : STD_LOGIC;
signal m00_couplers_to_microblaze_0_axi_periph_BREADY : STD_LOGIC;
signal m00_couplers_to_microblaze_0_axi_periph_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m00_couplers_to_microblaze_0_axi_periph_BVALID : STD_LOGIC;
signal m00_couplers_to_microblaze_0_axi_periph_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_microblaze_0_axi_periph_RREADY : STD_LOGIC;
signal m00_couplers_to_microblaze_0_axi_periph_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m00_couplers_to_microblaze_0_axi_periph_RVALID : STD_LOGIC;
signal m00_couplers_to_microblaze_0_axi_periph_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_microblaze_0_axi_periph_WREADY : STD_LOGIC;
signal m00_couplers_to_microblaze_0_axi_periph_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m00_couplers_to_microblaze_0_axi_periph_WVALID : STD_LOGIC;
signal m01_couplers_to_microblaze_0_axi_periph_ARADDR : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m01_couplers_to_microblaze_0_axi_periph_ARREADY : STD_LOGIC;
signal m01_couplers_to_microblaze_0_axi_periph_ARVALID : STD_LOGIC;
signal m01_couplers_to_microblaze_0_axi_periph_AWADDR : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m01_couplers_to_microblaze_0_axi_periph_AWREADY : STD_LOGIC;
signal m01_couplers_to_microblaze_0_axi_periph_AWVALID : STD_LOGIC;
signal m01_couplers_to_microblaze_0_axi_periph_BREADY : STD_LOGIC;
signal m01_couplers_to_microblaze_0_axi_periph_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m01_couplers_to_microblaze_0_axi_periph_BVALID : STD_LOGIC;
signal m01_couplers_to_microblaze_0_axi_periph_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m01_couplers_to_microblaze_0_axi_periph_RREADY : STD_LOGIC;
signal m01_couplers_to_microblaze_0_axi_periph_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m01_couplers_to_microblaze_0_axi_periph_RVALID : STD_LOGIC;
signal m01_couplers_to_microblaze_0_axi_periph_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m01_couplers_to_microblaze_0_axi_periph_WREADY : STD_LOGIC;
signal m01_couplers_to_microblaze_0_axi_periph_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m01_couplers_to_microblaze_0_axi_periph_WVALID : STD_LOGIC;
signal m02_couplers_to_microblaze_0_axi_periph_ARADDR : STD_LOGIC_VECTOR ( 12 downto 0 );
signal m02_couplers_to_microblaze_0_axi_periph_ARREADY : STD_LOGIC;
signal m02_couplers_to_microblaze_0_axi_periph_ARVALID : STD_LOGIC;
signal m02_couplers_to_microblaze_0_axi_periph_AWADDR : STD_LOGIC_VECTOR ( 12 downto 0 );
signal m02_couplers_to_microblaze_0_axi_periph_AWREADY : STD_LOGIC;
signal m02_couplers_to_microblaze_0_axi_periph_AWVALID : STD_LOGIC;
signal m02_couplers_to_microblaze_0_axi_periph_BREADY : STD_LOGIC;
signal m02_couplers_to_microblaze_0_axi_periph_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m02_couplers_to_microblaze_0_axi_periph_BVALID : STD_LOGIC;
signal m02_couplers_to_microblaze_0_axi_periph_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m02_couplers_to_microblaze_0_axi_periph_RREADY : STD_LOGIC;
signal m02_couplers_to_microblaze_0_axi_periph_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m02_couplers_to_microblaze_0_axi_periph_RVALID : STD_LOGIC;
signal m02_couplers_to_microblaze_0_axi_periph_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m02_couplers_to_microblaze_0_axi_periph_WREADY : STD_LOGIC;
signal m02_couplers_to_microblaze_0_axi_periph_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m02_couplers_to_microblaze_0_axi_periph_WVALID : STD_LOGIC;
signal m03_couplers_to_microblaze_0_axi_periph_ARADDR : STD_LOGIC_VECTOR ( 4 downto 0 );
signal m03_couplers_to_microblaze_0_axi_periph_ARREADY : STD_LOGIC;
signal m03_couplers_to_microblaze_0_axi_periph_ARVALID : STD_LOGIC;
signal m03_couplers_to_microblaze_0_axi_periph_AWADDR : STD_LOGIC_VECTOR ( 4 downto 0 );
signal m03_couplers_to_microblaze_0_axi_periph_AWREADY : STD_LOGIC;
signal m03_couplers_to_microblaze_0_axi_periph_AWVALID : STD_LOGIC;
signal m03_couplers_to_microblaze_0_axi_periph_BREADY : STD_LOGIC;
signal m03_couplers_to_microblaze_0_axi_periph_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m03_couplers_to_microblaze_0_axi_periph_BVALID : STD_LOGIC;
signal m03_couplers_to_microblaze_0_axi_periph_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m03_couplers_to_microblaze_0_axi_periph_RREADY : STD_LOGIC;
signal m03_couplers_to_microblaze_0_axi_periph_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m03_couplers_to_microblaze_0_axi_periph_RVALID : STD_LOGIC;
signal m03_couplers_to_microblaze_0_axi_periph_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m03_couplers_to_microblaze_0_axi_periph_WREADY : STD_LOGIC;
signal m03_couplers_to_microblaze_0_axi_periph_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m03_couplers_to_microblaze_0_axi_periph_WVALID : STD_LOGIC;
signal microblaze_0_axi_periph_ACLK_net : STD_LOGIC;
signal microblaze_0_axi_periph_ARESETN_net : STD_LOGIC_VECTOR ( 0 to 0 );
signal microblaze_0_axi_periph_to_s00_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal microblaze_0_axi_periph_to_s00_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal microblaze_0_axi_periph_to_s00_couplers_ARREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal microblaze_0_axi_periph_to_s00_couplers_ARVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal microblaze_0_axi_periph_to_s00_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal microblaze_0_axi_periph_to_s00_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal microblaze_0_axi_periph_to_s00_couplers_AWREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal microblaze_0_axi_periph_to_s00_couplers_AWVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal microblaze_0_axi_periph_to_s00_couplers_BREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal microblaze_0_axi_periph_to_s00_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal microblaze_0_axi_periph_to_s00_couplers_BVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal microblaze_0_axi_periph_to_s00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal microblaze_0_axi_periph_to_s00_couplers_RREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal microblaze_0_axi_periph_to_s00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal microblaze_0_axi_periph_to_s00_couplers_RVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal microblaze_0_axi_periph_to_s00_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal microblaze_0_axi_periph_to_s00_couplers_WREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal microblaze_0_axi_periph_to_s00_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal microblaze_0_axi_periph_to_s00_couplers_WVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s00_couplers_to_xbar_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s00_couplers_to_xbar_ARREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_ARVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s00_couplers_to_xbar_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s00_couplers_to_xbar_AWREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_AWVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_BREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s00_couplers_to_xbar_BVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s00_couplers_to_xbar_RREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s00_couplers_to_xbar_RVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s00_couplers_to_xbar_WREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s00_couplers_to_xbar_WVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal xbar_to_m00_couplers_ARREADY : STD_LOGIC;
signal xbar_to_m00_couplers_ARVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal xbar_to_m00_couplers_AWREADY : STD_LOGIC;
signal xbar_to_m00_couplers_AWVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_BREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal xbar_to_m00_couplers_BVALID : STD_LOGIC;
signal xbar_to_m00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal xbar_to_m00_couplers_RREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal xbar_to_m00_couplers_RVALID : STD_LOGIC;
signal xbar_to_m00_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal xbar_to_m00_couplers_WREADY : STD_LOGIC;
signal xbar_to_m00_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal xbar_to_m00_couplers_WVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m01_couplers_ARADDR : STD_LOGIC_VECTOR ( 63 downto 32 );
signal xbar_to_m01_couplers_ARREADY : STD_LOGIC;
signal xbar_to_m01_couplers_ARVALID : STD_LOGIC_VECTOR ( 1 to 1 );
signal xbar_to_m01_couplers_AWADDR : STD_LOGIC_VECTOR ( 63 downto 32 );
signal xbar_to_m01_couplers_AWREADY : STD_LOGIC;
signal xbar_to_m01_couplers_AWVALID : STD_LOGIC_VECTOR ( 1 to 1 );
signal xbar_to_m01_couplers_BREADY : STD_LOGIC_VECTOR ( 1 to 1 );
signal xbar_to_m01_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal xbar_to_m01_couplers_BVALID : STD_LOGIC;
signal xbar_to_m01_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal xbar_to_m01_couplers_RREADY : STD_LOGIC_VECTOR ( 1 to 1 );
signal xbar_to_m01_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal xbar_to_m01_couplers_RVALID : STD_LOGIC;
signal xbar_to_m01_couplers_WDATA : STD_LOGIC_VECTOR ( 63 downto 32 );
signal xbar_to_m01_couplers_WREADY : STD_LOGIC;
signal xbar_to_m01_couplers_WSTRB : STD_LOGIC_VECTOR ( 7 downto 4 );
signal xbar_to_m01_couplers_WVALID : STD_LOGIC_VECTOR ( 1 to 1 );
signal xbar_to_m02_couplers_ARADDR : STD_LOGIC_VECTOR ( 95 downto 64 );
signal xbar_to_m02_couplers_ARREADY : STD_LOGIC;
signal xbar_to_m02_couplers_ARVALID : STD_LOGIC_VECTOR ( 2 to 2 );
signal xbar_to_m02_couplers_AWADDR : STD_LOGIC_VECTOR ( 95 downto 64 );
signal xbar_to_m02_couplers_AWREADY : STD_LOGIC;
signal xbar_to_m02_couplers_AWVALID : STD_LOGIC_VECTOR ( 2 to 2 );
signal xbar_to_m02_couplers_BREADY : STD_LOGIC_VECTOR ( 2 to 2 );
signal xbar_to_m02_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal xbar_to_m02_couplers_BVALID : STD_LOGIC;
signal xbar_to_m02_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal xbar_to_m02_couplers_RREADY : STD_LOGIC_VECTOR ( 2 to 2 );
signal xbar_to_m02_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal xbar_to_m02_couplers_RVALID : STD_LOGIC;
signal xbar_to_m02_couplers_WDATA : STD_LOGIC_VECTOR ( 95 downto 64 );
signal xbar_to_m02_couplers_WREADY : STD_LOGIC;
signal xbar_to_m02_couplers_WSTRB : STD_LOGIC_VECTOR ( 11 downto 8 );
signal xbar_to_m02_couplers_WVALID : STD_LOGIC_VECTOR ( 2 to 2 );
signal xbar_to_m03_couplers_ARADDR : STD_LOGIC_VECTOR ( 127 downto 96 );
signal xbar_to_m03_couplers_ARREADY : STD_LOGIC;
signal xbar_to_m03_couplers_ARVALID : STD_LOGIC_VECTOR ( 3 to 3 );
signal xbar_to_m03_couplers_AWADDR : STD_LOGIC_VECTOR ( 127 downto 96 );
signal xbar_to_m03_couplers_AWREADY : STD_LOGIC;
signal xbar_to_m03_couplers_AWVALID : STD_LOGIC_VECTOR ( 3 to 3 );
signal xbar_to_m03_couplers_BREADY : STD_LOGIC_VECTOR ( 3 to 3 );
signal xbar_to_m03_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal xbar_to_m03_couplers_BVALID : STD_LOGIC;
signal xbar_to_m03_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal xbar_to_m03_couplers_RREADY : STD_LOGIC_VECTOR ( 3 to 3 );
signal xbar_to_m03_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal xbar_to_m03_couplers_RVALID : STD_LOGIC;
signal xbar_to_m03_couplers_WDATA : STD_LOGIC_VECTOR ( 127 downto 96 );
signal xbar_to_m03_couplers_WREADY : STD_LOGIC;
signal xbar_to_m03_couplers_WSTRB : STD_LOGIC_VECTOR ( 15 downto 12 );
signal xbar_to_m03_couplers_WVALID : STD_LOGIC_VECTOR ( 3 to 3 );
signal NLW_xbar_m_axi_arprot_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
signal NLW_xbar_m_axi_awprot_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 );
begin
M00_ACLK_1 <= M00_ACLK;
M00_ARESETN_1(0) <= M00_ARESETN(0);
M00_AXI_araddr(8 downto 0) <= m00_couplers_to_microblaze_0_axi_periph_ARADDR(8 downto 0);
M00_AXI_arvalid <= m00_couplers_to_microblaze_0_axi_periph_ARVALID;
M00_AXI_awaddr(8 downto 0) <= m00_couplers_to_microblaze_0_axi_periph_AWADDR(8 downto 0);
M00_AXI_awvalid <= m00_couplers_to_microblaze_0_axi_periph_AWVALID;
M00_AXI_bready <= m00_couplers_to_microblaze_0_axi_periph_BREADY;
M00_AXI_rready <= m00_couplers_to_microblaze_0_axi_periph_RREADY;
M00_AXI_wdata(31 downto 0) <= m00_couplers_to_microblaze_0_axi_periph_WDATA(31 downto 0);
M00_AXI_wstrb(3 downto 0) <= m00_couplers_to_microblaze_0_axi_periph_WSTRB(3 downto 0);
M00_AXI_wvalid <= m00_couplers_to_microblaze_0_axi_periph_WVALID;
M01_ACLK_1 <= M01_ACLK;
M01_ARESETN_1(0) <= M01_ARESETN(0);
M01_AXI_araddr(3 downto 0) <= m01_couplers_to_microblaze_0_axi_periph_ARADDR(3 downto 0);
M01_AXI_arvalid <= m01_couplers_to_microblaze_0_axi_periph_ARVALID;
M01_AXI_awaddr(3 downto 0) <= m01_couplers_to_microblaze_0_axi_periph_AWADDR(3 downto 0);
M01_AXI_awvalid <= m01_couplers_to_microblaze_0_axi_periph_AWVALID;
M01_AXI_bready <= m01_couplers_to_microblaze_0_axi_periph_BREADY;
M01_AXI_rready <= m01_couplers_to_microblaze_0_axi_periph_RREADY;
M01_AXI_wdata(31 downto 0) <= m01_couplers_to_microblaze_0_axi_periph_WDATA(31 downto 0);
M01_AXI_wstrb(3 downto 0) <= m01_couplers_to_microblaze_0_axi_periph_WSTRB(3 downto 0);
M01_AXI_wvalid <= m01_couplers_to_microblaze_0_axi_periph_WVALID;
M02_ACLK_1 <= M02_ACLK;
M02_ARESETN_1(0) <= M02_ARESETN(0);
M02_AXI_araddr(12 downto 0) <= m02_couplers_to_microblaze_0_axi_periph_ARADDR(12 downto 0);
M02_AXI_arvalid <= m02_couplers_to_microblaze_0_axi_periph_ARVALID;
M02_AXI_awaddr(12 downto 0) <= m02_couplers_to_microblaze_0_axi_periph_AWADDR(12 downto 0);
M02_AXI_awvalid <= m02_couplers_to_microblaze_0_axi_periph_AWVALID;
M02_AXI_bready <= m02_couplers_to_microblaze_0_axi_periph_BREADY;
M02_AXI_rready <= m02_couplers_to_microblaze_0_axi_periph_RREADY;
M02_AXI_wdata(31 downto 0) <= m02_couplers_to_microblaze_0_axi_periph_WDATA(31 downto 0);
M02_AXI_wstrb(3 downto 0) <= m02_couplers_to_microblaze_0_axi_periph_WSTRB(3 downto 0);
M02_AXI_wvalid <= m02_couplers_to_microblaze_0_axi_periph_WVALID;
M03_ACLK_1 <= M03_ACLK;
M03_ARESETN_1(0) <= M03_ARESETN(0);
M03_AXI_araddr(4 downto 0) <= m03_couplers_to_microblaze_0_axi_periph_ARADDR(4 downto 0);
M03_AXI_arvalid <= m03_couplers_to_microblaze_0_axi_periph_ARVALID;
M03_AXI_awaddr(4 downto 0) <= m03_couplers_to_microblaze_0_axi_periph_AWADDR(4 downto 0);
M03_AXI_awvalid <= m03_couplers_to_microblaze_0_axi_periph_AWVALID;
M03_AXI_bready <= m03_couplers_to_microblaze_0_axi_periph_BREADY;
M03_AXI_rready <= m03_couplers_to_microblaze_0_axi_periph_RREADY;
M03_AXI_wdata(31 downto 0) <= m03_couplers_to_microblaze_0_axi_periph_WDATA(31 downto 0);
M03_AXI_wstrb(3 downto 0) <= m03_couplers_to_microblaze_0_axi_periph_WSTRB(3 downto 0);
M03_AXI_wvalid <= m03_couplers_to_microblaze_0_axi_periph_WVALID;
S00_ACLK_1 <= S00_ACLK;
S00_ARESETN_1(0) <= S00_ARESETN(0);
S00_AXI_arready(0) <= microblaze_0_axi_periph_to_s00_couplers_ARREADY(0);
S00_AXI_awready(0) <= microblaze_0_axi_periph_to_s00_couplers_AWREADY(0);
S00_AXI_bresp(1 downto 0) <= microblaze_0_axi_periph_to_s00_couplers_BRESP(1 downto 0);
S00_AXI_bvalid(0) <= microblaze_0_axi_periph_to_s00_couplers_BVALID(0);
S00_AXI_rdata(31 downto 0) <= microblaze_0_axi_periph_to_s00_couplers_RDATA(31 downto 0);
S00_AXI_rresp(1 downto 0) <= microblaze_0_axi_periph_to_s00_couplers_RRESP(1 downto 0);
S00_AXI_rvalid(0) <= microblaze_0_axi_periph_to_s00_couplers_RVALID(0);
S00_AXI_wready(0) <= microblaze_0_axi_periph_to_s00_couplers_WREADY(0);
m00_couplers_to_microblaze_0_axi_periph_ARREADY <= M00_AXI_arready;
m00_couplers_to_microblaze_0_axi_periph_AWREADY <= M00_AXI_awready;
m00_couplers_to_microblaze_0_axi_periph_BRESP(1 downto 0) <= M00_AXI_bresp(1 downto 0);
m00_couplers_to_microblaze_0_axi_periph_BVALID <= M00_AXI_bvalid;
m00_couplers_to_microblaze_0_axi_periph_RDATA(31 downto 0) <= M00_AXI_rdata(31 downto 0);
m00_couplers_to_microblaze_0_axi_periph_RRESP(1 downto 0) <= M00_AXI_rresp(1 downto 0);
m00_couplers_to_microblaze_0_axi_periph_RVALID <= M00_AXI_rvalid;
m00_couplers_to_microblaze_0_axi_periph_WREADY <= M00_AXI_wready;
m01_couplers_to_microblaze_0_axi_periph_ARREADY <= M01_AXI_arready;
m01_couplers_to_microblaze_0_axi_periph_AWREADY <= M01_AXI_awready;
m01_couplers_to_microblaze_0_axi_periph_BRESP(1 downto 0) <= M01_AXI_bresp(1 downto 0);
m01_couplers_to_microblaze_0_axi_periph_BVALID <= M01_AXI_bvalid;
m01_couplers_to_microblaze_0_axi_periph_RDATA(31 downto 0) <= M01_AXI_rdata(31 downto 0);
m01_couplers_to_microblaze_0_axi_periph_RRESP(1 downto 0) <= M01_AXI_rresp(1 downto 0);
m01_couplers_to_microblaze_0_axi_periph_RVALID <= M01_AXI_rvalid;
m01_couplers_to_microblaze_0_axi_periph_WREADY <= M01_AXI_wready;
m02_couplers_to_microblaze_0_axi_periph_ARREADY <= M02_AXI_arready;
m02_couplers_to_microblaze_0_axi_periph_AWREADY <= M02_AXI_awready;
m02_couplers_to_microblaze_0_axi_periph_BRESP(1 downto 0) <= M02_AXI_bresp(1 downto 0);
m02_couplers_to_microblaze_0_axi_periph_BVALID <= M02_AXI_bvalid;
m02_couplers_to_microblaze_0_axi_periph_RDATA(31 downto 0) <= M02_AXI_rdata(31 downto 0);
m02_couplers_to_microblaze_0_axi_periph_RRESP(1 downto 0) <= M02_AXI_rresp(1 downto 0);
m02_couplers_to_microblaze_0_axi_periph_RVALID <= M02_AXI_rvalid;
m02_couplers_to_microblaze_0_axi_periph_WREADY <= M02_AXI_wready;
m03_couplers_to_microblaze_0_axi_periph_ARREADY <= M03_AXI_arready;
m03_couplers_to_microblaze_0_axi_periph_AWREADY <= M03_AXI_awready;
m03_couplers_to_microblaze_0_axi_periph_BRESP(1 downto 0) <= M03_AXI_bresp(1 downto 0);
m03_couplers_to_microblaze_0_axi_periph_BVALID <= M03_AXI_bvalid;
m03_couplers_to_microblaze_0_axi_periph_RDATA(31 downto 0) <= M03_AXI_rdata(31 downto 0);
m03_couplers_to_microblaze_0_axi_periph_RRESP(1 downto 0) <= M03_AXI_rresp(1 downto 0);
m03_couplers_to_microblaze_0_axi_periph_RVALID <= M03_AXI_rvalid;
m03_couplers_to_microblaze_0_axi_periph_WREADY <= M03_AXI_wready;
microblaze_0_axi_periph_ACLK_net <= ACLK;
microblaze_0_axi_periph_ARESETN_net(0) <= ARESETN(0);
microblaze_0_axi_periph_to_s00_couplers_ARADDR(31 downto 0) <= S00_AXI_araddr(31 downto 0);
microblaze_0_axi_periph_to_s00_couplers_ARPROT(2 downto 0) <= S00_AXI_arprot(2 downto 0);
microblaze_0_axi_periph_to_s00_couplers_ARVALID(0) <= S00_AXI_arvalid(0);
microblaze_0_axi_periph_to_s00_couplers_AWADDR(31 downto 0) <= S00_AXI_awaddr(31 downto 0);
microblaze_0_axi_periph_to_s00_couplers_AWPROT(2 downto 0) <= S00_AXI_awprot(2 downto 0);
microblaze_0_axi_periph_to_s00_couplers_AWVALID(0) <= S00_AXI_awvalid(0);
microblaze_0_axi_periph_to_s00_couplers_BREADY(0) <= S00_AXI_bready(0);
microblaze_0_axi_periph_to_s00_couplers_RREADY(0) <= S00_AXI_rready(0);
microblaze_0_axi_periph_to_s00_couplers_WDATA(31 downto 0) <= S00_AXI_wdata(31 downto 0);
microblaze_0_axi_periph_to_s00_couplers_WSTRB(3 downto 0) <= S00_AXI_wstrb(3 downto 0);
microblaze_0_axi_periph_to_s00_couplers_WVALID(0) <= S00_AXI_wvalid(0);
m00_couplers: entity work.m00_couplers_imp_8RVYHO
port map (
M_ACLK => M00_ACLK_1,
M_ARESETN(0) => M00_ARESETN_1(0),
M_AXI_araddr(8 downto 0) => m00_couplers_to_microblaze_0_axi_periph_ARADDR(8 downto 0),
M_AXI_arready => m00_couplers_to_microblaze_0_axi_periph_ARREADY,
M_AXI_arvalid => m00_couplers_to_microblaze_0_axi_periph_ARVALID,
M_AXI_awaddr(8 downto 0) => m00_couplers_to_microblaze_0_axi_periph_AWADDR(8 downto 0),
M_AXI_awready => m00_couplers_to_microblaze_0_axi_periph_AWREADY,
M_AXI_awvalid => m00_couplers_to_microblaze_0_axi_periph_AWVALID,
M_AXI_bready => m00_couplers_to_microblaze_0_axi_periph_BREADY,
M_AXI_bresp(1 downto 0) => m00_couplers_to_microblaze_0_axi_periph_BRESP(1 downto 0),
M_AXI_bvalid => m00_couplers_to_microblaze_0_axi_periph_BVALID,
M_AXI_rdata(31 downto 0) => m00_couplers_to_microblaze_0_axi_periph_RDATA(31 downto 0),
M_AXI_rready => m00_couplers_to_microblaze_0_axi_periph_RREADY,
M_AXI_rresp(1 downto 0) => m00_couplers_to_microblaze_0_axi_periph_RRESP(1 downto 0),
M_AXI_rvalid => m00_couplers_to_microblaze_0_axi_periph_RVALID,
M_AXI_wdata(31 downto 0) => m00_couplers_to_microblaze_0_axi_periph_WDATA(31 downto 0),
M_AXI_wready => m00_couplers_to_microblaze_0_axi_periph_WREADY,
M_AXI_wstrb(3 downto 0) => m00_couplers_to_microblaze_0_axi_periph_WSTRB(3 downto 0),
M_AXI_wvalid => m00_couplers_to_microblaze_0_axi_periph_WVALID,
S_ACLK => microblaze_0_axi_periph_ACLK_net,
S_ARESETN(0) => microblaze_0_axi_periph_ARESETN_net(0),
S_AXI_araddr(8 downto 0) => xbar_to_m00_couplers_ARADDR(8 downto 0),
S_AXI_arready => xbar_to_m00_couplers_ARREADY,
S_AXI_arvalid => xbar_to_m00_couplers_ARVALID(0),
S_AXI_awaddr(8 downto 0) => xbar_to_m00_couplers_AWADDR(8 downto 0),
S_AXI_awready => xbar_to_m00_couplers_AWREADY,
S_AXI_awvalid => xbar_to_m00_couplers_AWVALID(0),
S_AXI_bready => xbar_to_m00_couplers_BREADY(0),
S_AXI_bresp(1 downto 0) => xbar_to_m00_couplers_BRESP(1 downto 0),
S_AXI_bvalid => xbar_to_m00_couplers_BVALID,
S_AXI_rdata(31 downto 0) => xbar_to_m00_couplers_RDATA(31 downto 0),
S_AXI_rready => xbar_to_m00_couplers_RREADY(0),
S_AXI_rresp(1 downto 0) => xbar_to_m00_couplers_RRESP(1 downto 0),
S_AXI_rvalid => xbar_to_m00_couplers_RVALID,
S_AXI_wdata(31 downto 0) => xbar_to_m00_couplers_WDATA(31 downto 0),
S_AXI_wready => xbar_to_m00_couplers_WREADY,
S_AXI_wstrb(3 downto 0) => xbar_to_m00_couplers_WSTRB(3 downto 0),
S_AXI_wvalid => xbar_to_m00_couplers_WVALID(0)
);
m01_couplers: entity work.m01_couplers_imp_1UTB3Y5
port map (
M_ACLK => M01_ACLK_1,
M_ARESETN(0) => M01_ARESETN_1(0),
M_AXI_araddr(3 downto 0) => m01_couplers_to_microblaze_0_axi_periph_ARADDR(3 downto 0),
M_AXI_arready => m01_couplers_to_microblaze_0_axi_periph_ARREADY,
M_AXI_arvalid => m01_couplers_to_microblaze_0_axi_periph_ARVALID,
M_AXI_awaddr(3 downto 0) => m01_couplers_to_microblaze_0_axi_periph_AWADDR(3 downto 0),
M_AXI_awready => m01_couplers_to_microblaze_0_axi_periph_AWREADY,
M_AXI_awvalid => m01_couplers_to_microblaze_0_axi_periph_AWVALID,
M_AXI_bready => m01_couplers_to_microblaze_0_axi_periph_BREADY,
M_AXI_bresp(1 downto 0) => m01_couplers_to_microblaze_0_axi_periph_BRESP(1 downto 0),
M_AXI_bvalid => m01_couplers_to_microblaze_0_axi_periph_BVALID,
M_AXI_rdata(31 downto 0) => m01_couplers_to_microblaze_0_axi_periph_RDATA(31 downto 0),
M_AXI_rready => m01_couplers_to_microblaze_0_axi_periph_RREADY,
M_AXI_rresp(1 downto 0) => m01_couplers_to_microblaze_0_axi_periph_RRESP(1 downto 0),
M_AXI_rvalid => m01_couplers_to_microblaze_0_axi_periph_RVALID,
M_AXI_wdata(31 downto 0) => m01_couplers_to_microblaze_0_axi_periph_WDATA(31 downto 0),
M_AXI_wready => m01_couplers_to_microblaze_0_axi_periph_WREADY,
M_AXI_wstrb(3 downto 0) => m01_couplers_to_microblaze_0_axi_periph_WSTRB(3 downto 0),
M_AXI_wvalid => m01_couplers_to_microblaze_0_axi_periph_WVALID,
S_ACLK => microblaze_0_axi_periph_ACLK_net,
S_ARESETN(0) => microblaze_0_axi_periph_ARESETN_net(0),
S_AXI_araddr(3 downto 0) => xbar_to_m01_couplers_ARADDR(35 downto 32),
S_AXI_arready => xbar_to_m01_couplers_ARREADY,
S_AXI_arvalid => xbar_to_m01_couplers_ARVALID(1),
S_AXI_awaddr(3 downto 0) => xbar_to_m01_couplers_AWADDR(35 downto 32),
S_AXI_awready => xbar_to_m01_couplers_AWREADY,
S_AXI_awvalid => xbar_to_m01_couplers_AWVALID(1),
S_AXI_bready => xbar_to_m01_couplers_BREADY(1),
S_AXI_bresp(1 downto 0) => xbar_to_m01_couplers_BRESP(1 downto 0),
S_AXI_bvalid => xbar_to_m01_couplers_BVALID,
S_AXI_rdata(31 downto 0) => xbar_to_m01_couplers_RDATA(31 downto 0),
S_AXI_rready => xbar_to_m01_couplers_RREADY(1),
S_AXI_rresp(1 downto 0) => xbar_to_m01_couplers_RRESP(1 downto 0),
S_AXI_rvalid => xbar_to_m01_couplers_RVALID,
S_AXI_wdata(31 downto 0) => xbar_to_m01_couplers_WDATA(63 downto 32),
S_AXI_wready => xbar_to_m01_couplers_WREADY,
S_AXI_wstrb(3 downto 0) => xbar_to_m01_couplers_WSTRB(7 downto 4),
S_AXI_wvalid => xbar_to_m01_couplers_WVALID(1)
);
m02_couplers: entity work.m02_couplers_imp_7ANRHB
port map (
M_ACLK => M02_ACLK_1,
M_ARESETN(0) => M02_ARESETN_1(0),
M_AXI_araddr(12 downto 0) => m02_couplers_to_microblaze_0_axi_periph_ARADDR(12 downto 0),
M_AXI_arready => m02_couplers_to_microblaze_0_axi_periph_ARREADY,
M_AXI_arvalid => m02_couplers_to_microblaze_0_axi_periph_ARVALID,
M_AXI_awaddr(12 downto 0) => m02_couplers_to_microblaze_0_axi_periph_AWADDR(12 downto 0),
M_AXI_awready => m02_couplers_to_microblaze_0_axi_periph_AWREADY,
M_AXI_awvalid => m02_couplers_to_microblaze_0_axi_periph_AWVALID,
M_AXI_bready => m02_couplers_to_microblaze_0_axi_periph_BREADY,
M_AXI_bresp(1 downto 0) => m02_couplers_to_microblaze_0_axi_periph_BRESP(1 downto 0),
M_AXI_bvalid => m02_couplers_to_microblaze_0_axi_periph_BVALID,
M_AXI_rdata(31 downto 0) => m02_couplers_to_microblaze_0_axi_periph_RDATA(31 downto 0),
M_AXI_rready => m02_couplers_to_microblaze_0_axi_periph_RREADY,
M_AXI_rresp(1 downto 0) => m02_couplers_to_microblaze_0_axi_periph_RRESP(1 downto 0),
M_AXI_rvalid => m02_couplers_to_microblaze_0_axi_periph_RVALID,
M_AXI_wdata(31 downto 0) => m02_couplers_to_microblaze_0_axi_periph_WDATA(31 downto 0),
M_AXI_wready => m02_couplers_to_microblaze_0_axi_periph_WREADY,
M_AXI_wstrb(3 downto 0) => m02_couplers_to_microblaze_0_axi_periph_WSTRB(3 downto 0),
M_AXI_wvalid => m02_couplers_to_microblaze_0_axi_periph_WVALID,
S_ACLK => microblaze_0_axi_periph_ACLK_net,
S_ARESETN(0) => microblaze_0_axi_periph_ARESETN_net(0),
S_AXI_araddr(12 downto 0) => xbar_to_m02_couplers_ARADDR(76 downto 64),
S_AXI_arready => xbar_to_m02_couplers_ARREADY,
S_AXI_arvalid => xbar_to_m02_couplers_ARVALID(2),
S_AXI_awaddr(12 downto 0) => xbar_to_m02_couplers_AWADDR(76 downto 64),
S_AXI_awready => xbar_to_m02_couplers_AWREADY,
S_AXI_awvalid => xbar_to_m02_couplers_AWVALID(2),
S_AXI_bready => xbar_to_m02_couplers_BREADY(2),
S_AXI_bresp(1 downto 0) => xbar_to_m02_couplers_BRESP(1 downto 0),
S_AXI_bvalid => xbar_to_m02_couplers_BVALID,
S_AXI_rdata(31 downto 0) => xbar_to_m02_couplers_RDATA(31 downto 0),
S_AXI_rready => xbar_to_m02_couplers_RREADY(2),
S_AXI_rresp(1 downto 0) => xbar_to_m02_couplers_RRESP(1 downto 0),
S_AXI_rvalid => xbar_to_m02_couplers_RVALID,
S_AXI_wdata(31 downto 0) => xbar_to_m02_couplers_WDATA(95 downto 64),
S_AXI_wready => xbar_to_m02_couplers_WREADY,
S_AXI_wstrb(3 downto 0) => xbar_to_m02_couplers_WSTRB(11 downto 8),
S_AXI_wvalid => xbar_to_m02_couplers_WVALID(2)
);
m03_couplers: entity work.m03_couplers_imp_1W07O72
port map (
M_ACLK => M03_ACLK_1,
M_ARESETN(0) => M03_ARESETN_1(0),
M_AXI_araddr(4 downto 0) => m03_couplers_to_microblaze_0_axi_periph_ARADDR(4 downto 0),
M_AXI_arready => m03_couplers_to_microblaze_0_axi_periph_ARREADY,
M_AXI_arvalid => m03_couplers_to_microblaze_0_axi_periph_ARVALID,
M_AXI_awaddr(4 downto 0) => m03_couplers_to_microblaze_0_axi_periph_AWADDR(4 downto 0),
M_AXI_awready => m03_couplers_to_microblaze_0_axi_periph_AWREADY,
M_AXI_awvalid => m03_couplers_to_microblaze_0_axi_periph_AWVALID,
M_AXI_bready => m03_couplers_to_microblaze_0_axi_periph_BREADY,
M_AXI_bresp(1 downto 0) => m03_couplers_to_microblaze_0_axi_periph_BRESP(1 downto 0),
M_AXI_bvalid => m03_couplers_to_microblaze_0_axi_periph_BVALID,
M_AXI_rdata(31 downto 0) => m03_couplers_to_microblaze_0_axi_periph_RDATA(31 downto 0),
M_AXI_rready => m03_couplers_to_microblaze_0_axi_periph_RREADY,
M_AXI_rresp(1 downto 0) => m03_couplers_to_microblaze_0_axi_periph_RRESP(1 downto 0),
M_AXI_rvalid => m03_couplers_to_microblaze_0_axi_periph_RVALID,
M_AXI_wdata(31 downto 0) => m03_couplers_to_microblaze_0_axi_periph_WDATA(31 downto 0),
M_AXI_wready => m03_couplers_to_microblaze_0_axi_periph_WREADY,
M_AXI_wstrb(3 downto 0) => m03_couplers_to_microblaze_0_axi_periph_WSTRB(3 downto 0),
M_AXI_wvalid => m03_couplers_to_microblaze_0_axi_periph_WVALID,
S_ACLK => microblaze_0_axi_periph_ACLK_net,
S_ARESETN(0) => microblaze_0_axi_periph_ARESETN_net(0),
S_AXI_araddr(4 downto 0) => xbar_to_m03_couplers_ARADDR(100 downto 96),
S_AXI_arready => xbar_to_m03_couplers_ARREADY,
S_AXI_arvalid => xbar_to_m03_couplers_ARVALID(3),
S_AXI_awaddr(4 downto 0) => xbar_to_m03_couplers_AWADDR(100 downto 96),
S_AXI_awready => xbar_to_m03_couplers_AWREADY,
S_AXI_awvalid => xbar_to_m03_couplers_AWVALID(3),
S_AXI_bready => xbar_to_m03_couplers_BREADY(3),
S_AXI_bresp(1 downto 0) => xbar_to_m03_couplers_BRESP(1 downto 0),
S_AXI_bvalid => xbar_to_m03_couplers_BVALID,
S_AXI_rdata(31 downto 0) => xbar_to_m03_couplers_RDATA(31 downto 0),
S_AXI_rready => xbar_to_m03_couplers_RREADY(3),
S_AXI_rresp(1 downto 0) => xbar_to_m03_couplers_RRESP(1 downto 0),
S_AXI_rvalid => xbar_to_m03_couplers_RVALID,
S_AXI_wdata(31 downto 0) => xbar_to_m03_couplers_WDATA(127 downto 96),
S_AXI_wready => xbar_to_m03_couplers_WREADY,
S_AXI_wstrb(3 downto 0) => xbar_to_m03_couplers_WSTRB(15 downto 12),
S_AXI_wvalid => xbar_to_m03_couplers_WVALID(3)
);
s00_couplers: entity work.s00_couplers_imp_1RZP34U
port map (
M_ACLK => microblaze_0_axi_periph_ACLK_net,
M_ARESETN(0) => microblaze_0_axi_periph_ARESETN_net(0),
M_AXI_araddr(31 downto 0) => s00_couplers_to_xbar_ARADDR(31 downto 0),
M_AXI_arprot(2 downto 0) => s00_couplers_to_xbar_ARPROT(2 downto 0),
M_AXI_arready(0) => s00_couplers_to_xbar_ARREADY(0),
M_AXI_arvalid(0) => s00_couplers_to_xbar_ARVALID(0),
M_AXI_awaddr(31 downto 0) => s00_couplers_to_xbar_AWADDR(31 downto 0),
M_AXI_awprot(2 downto 0) => s00_couplers_to_xbar_AWPROT(2 downto 0),
M_AXI_awready(0) => s00_couplers_to_xbar_AWREADY(0),
M_AXI_awvalid(0) => s00_couplers_to_xbar_AWVALID(0),
M_AXI_bready(0) => s00_couplers_to_xbar_BREADY(0),
M_AXI_bresp(1 downto 0) => s00_couplers_to_xbar_BRESP(1 downto 0),
M_AXI_bvalid(0) => s00_couplers_to_xbar_BVALID(0),
M_AXI_rdata(31 downto 0) => s00_couplers_to_xbar_RDATA(31 downto 0),
M_AXI_rready(0) => s00_couplers_to_xbar_RREADY(0),
M_AXI_rresp(1 downto 0) => s00_couplers_to_xbar_RRESP(1 downto 0),
M_AXI_rvalid(0) => s00_couplers_to_xbar_RVALID(0),
M_AXI_wdata(31 downto 0) => s00_couplers_to_xbar_WDATA(31 downto 0),
M_AXI_wready(0) => s00_couplers_to_xbar_WREADY(0),
M_AXI_wstrb(3 downto 0) => s00_couplers_to_xbar_WSTRB(3 downto 0),
M_AXI_wvalid(0) => s00_couplers_to_xbar_WVALID(0),
S_ACLK => S00_ACLK_1,
S_ARESETN(0) => S00_ARESETN_1(0),
S_AXI_araddr(31 downto 0) => microblaze_0_axi_periph_to_s00_couplers_ARADDR(31 downto 0),
S_AXI_arprot(2 downto 0) => microblaze_0_axi_periph_to_s00_couplers_ARPROT(2 downto 0),
S_AXI_arready(0) => microblaze_0_axi_periph_to_s00_couplers_ARREADY(0),
S_AXI_arvalid(0) => microblaze_0_axi_periph_to_s00_couplers_ARVALID(0),
S_AXI_awaddr(31 downto 0) => microblaze_0_axi_periph_to_s00_couplers_AWADDR(31 downto 0),
S_AXI_awprot(2 downto 0) => microblaze_0_axi_periph_to_s00_couplers_AWPROT(2 downto 0),
S_AXI_awready(0) => microblaze_0_axi_periph_to_s00_couplers_AWREADY(0),
S_AXI_awvalid(0) => microblaze_0_axi_periph_to_s00_couplers_AWVALID(0),
S_AXI_bready(0) => microblaze_0_axi_periph_to_s00_couplers_BREADY(0),
S_AXI_bresp(1 downto 0) => microblaze_0_axi_periph_to_s00_couplers_BRESP(1 downto 0),
S_AXI_bvalid(0) => microblaze_0_axi_periph_to_s00_couplers_BVALID(0),
S_AXI_rdata(31 downto 0) => microblaze_0_axi_periph_to_s00_couplers_RDATA(31 downto 0),
S_AXI_rready(0) => microblaze_0_axi_periph_to_s00_couplers_RREADY(0),
S_AXI_rresp(1 downto 0) => microblaze_0_axi_periph_to_s00_couplers_RRESP(1 downto 0),
S_AXI_rvalid(0) => microblaze_0_axi_periph_to_s00_couplers_RVALID(0),
S_AXI_wdata(31 downto 0) => microblaze_0_axi_periph_to_s00_couplers_WDATA(31 downto 0),
S_AXI_wready(0) => microblaze_0_axi_periph_to_s00_couplers_WREADY(0),
S_AXI_wstrb(3 downto 0) => microblaze_0_axi_periph_to_s00_couplers_WSTRB(3 downto 0),
S_AXI_wvalid(0) => microblaze_0_axi_periph_to_s00_couplers_WVALID(0)
);
xbar: component design_1_xbar_1
port map (
aclk => microblaze_0_axi_periph_ACLK_net,
aresetn => microblaze_0_axi_periph_ARESETN_net(0),
m_axi_araddr(127 downto 96) => xbar_to_m03_couplers_ARADDR(127 downto 96),
m_axi_araddr(95 downto 64) => xbar_to_m02_couplers_ARADDR(95 downto 64),
m_axi_araddr(63 downto 32) => xbar_to_m01_couplers_ARADDR(63 downto 32),
m_axi_araddr(31 downto 0) => xbar_to_m00_couplers_ARADDR(31 downto 0),
m_axi_arprot(11 downto 0) => NLW_xbar_m_axi_arprot_UNCONNECTED(11 downto 0),
m_axi_arready(3) => xbar_to_m03_couplers_ARREADY,
m_axi_arready(2) => xbar_to_m02_couplers_ARREADY,
m_axi_arready(1) => xbar_to_m01_couplers_ARREADY,
m_axi_arready(0) => xbar_to_m00_couplers_ARREADY,
m_axi_arvalid(3) => xbar_to_m03_couplers_ARVALID(3),
m_axi_arvalid(2) => xbar_to_m02_couplers_ARVALID(2),
m_axi_arvalid(1) => xbar_to_m01_couplers_ARVALID(1),
m_axi_arvalid(0) => xbar_to_m00_couplers_ARVALID(0),
m_axi_awaddr(127 downto 96) => xbar_to_m03_couplers_AWADDR(127 downto 96),
m_axi_awaddr(95 downto 64) => xbar_to_m02_couplers_AWADDR(95 downto 64),
m_axi_awaddr(63 downto 32) => xbar_to_m01_couplers_AWADDR(63 downto 32),
m_axi_awaddr(31 downto 0) => xbar_to_m00_couplers_AWADDR(31 downto 0),
m_axi_awprot(11 downto 0) => NLW_xbar_m_axi_awprot_UNCONNECTED(11 downto 0),
m_axi_awready(3) => xbar_to_m03_couplers_AWREADY,
m_axi_awready(2) => xbar_to_m02_couplers_AWREADY,
m_axi_awready(1) => xbar_to_m01_couplers_AWREADY,
m_axi_awready(0) => xbar_to_m00_couplers_AWREADY,
m_axi_awvalid(3) => xbar_to_m03_couplers_AWVALID(3),
m_axi_awvalid(2) => xbar_to_m02_couplers_AWVALID(2),
m_axi_awvalid(1) => xbar_to_m01_couplers_AWVALID(1),
m_axi_awvalid(0) => xbar_to_m00_couplers_AWVALID(0),
m_axi_bready(3) => xbar_to_m03_couplers_BREADY(3),
m_axi_bready(2) => xbar_to_m02_couplers_BREADY(2),
m_axi_bready(1) => xbar_to_m01_couplers_BREADY(1),
m_axi_bready(0) => xbar_to_m00_couplers_BREADY(0),
m_axi_bresp(7 downto 6) => xbar_to_m03_couplers_BRESP(1 downto 0),
m_axi_bresp(5 downto 4) => xbar_to_m02_couplers_BRESP(1 downto 0),
m_axi_bresp(3 downto 2) => xbar_to_m01_couplers_BRESP(1 downto 0),
m_axi_bresp(1 downto 0) => xbar_to_m00_couplers_BRESP(1 downto 0),
m_axi_bvalid(3) => xbar_to_m03_couplers_BVALID,
m_axi_bvalid(2) => xbar_to_m02_couplers_BVALID,
m_axi_bvalid(1) => xbar_to_m01_couplers_BVALID,
m_axi_bvalid(0) => xbar_to_m00_couplers_BVALID,
m_axi_rdata(127 downto 96) => xbar_to_m03_couplers_RDATA(31 downto 0),
m_axi_rdata(95 downto 64) => xbar_to_m02_couplers_RDATA(31 downto 0),
m_axi_rdata(63 downto 32) => xbar_to_m01_couplers_RDATA(31 downto 0),
m_axi_rdata(31 downto 0) => xbar_to_m00_couplers_RDATA(31 downto 0),
m_axi_rready(3) => xbar_to_m03_couplers_RREADY(3),
m_axi_rready(2) => xbar_to_m02_couplers_RREADY(2),
m_axi_rready(1) => xbar_to_m01_couplers_RREADY(1),
m_axi_rready(0) => xbar_to_m00_couplers_RREADY(0),
m_axi_rresp(7 downto 6) => xbar_to_m03_couplers_RRESP(1 downto 0),
m_axi_rresp(5 downto 4) => xbar_to_m02_couplers_RRESP(1 downto 0),
m_axi_rresp(3 downto 2) => xbar_to_m01_couplers_RRESP(1 downto 0),
m_axi_rresp(1 downto 0) => xbar_to_m00_couplers_RRESP(1 downto 0),
m_axi_rvalid(3) => xbar_to_m03_couplers_RVALID,
m_axi_rvalid(2) => xbar_to_m02_couplers_RVALID,
m_axi_rvalid(1) => xbar_to_m01_couplers_RVALID,
m_axi_rvalid(0) => xbar_to_m00_couplers_RVALID,
m_axi_wdata(127 downto 96) => xbar_to_m03_couplers_WDATA(127 downto 96),
m_axi_wdata(95 downto 64) => xbar_to_m02_couplers_WDATA(95 downto 64),
m_axi_wdata(63 downto 32) => xbar_to_m01_couplers_WDATA(63 downto 32),
m_axi_wdata(31 downto 0) => xbar_to_m00_couplers_WDATA(31 downto 0),
m_axi_wready(3) => xbar_to_m03_couplers_WREADY,
m_axi_wready(2) => xbar_to_m02_couplers_WREADY,
m_axi_wready(1) => xbar_to_m01_couplers_WREADY,
m_axi_wready(0) => xbar_to_m00_couplers_WREADY,
m_axi_wstrb(15 downto 12) => xbar_to_m03_couplers_WSTRB(15 downto 12),
m_axi_wstrb(11 downto 8) => xbar_to_m02_couplers_WSTRB(11 downto 8),
m_axi_wstrb(7 downto 4) => xbar_to_m01_couplers_WSTRB(7 downto 4),
m_axi_wstrb(3 downto 0) => xbar_to_m00_couplers_WSTRB(3 downto 0),
m_axi_wvalid(3) => xbar_to_m03_couplers_WVALID(3),
m_axi_wvalid(2) => xbar_to_m02_couplers_WVALID(2),
m_axi_wvalid(1) => xbar_to_m01_couplers_WVALID(1),
m_axi_wvalid(0) => xbar_to_m00_couplers_WVALID(0),
s_axi_araddr(31 downto 0) => s00_couplers_to_xbar_ARADDR(31 downto 0),
s_axi_arprot(2 downto 0) => s00_couplers_to_xbar_ARPROT(2 downto 0),
s_axi_arready(0) => s00_couplers_to_xbar_ARREADY(0),
s_axi_arvalid(0) => s00_couplers_to_xbar_ARVALID(0),
s_axi_awaddr(31 downto 0) => s00_couplers_to_xbar_AWADDR(31 downto 0),
s_axi_awprot(2 downto 0) => s00_couplers_to_xbar_AWPROT(2 downto 0),
s_axi_awready(0) => s00_couplers_to_xbar_AWREADY(0),
s_axi_awvalid(0) => s00_couplers_to_xbar_AWVALID(0),
s_axi_bready(0) => s00_couplers_to_xbar_BREADY(0),
s_axi_bresp(1 downto 0) => s00_couplers_to_xbar_BRESP(1 downto 0),
s_axi_bvalid(0) => s00_couplers_to_xbar_BVALID(0),
s_axi_rdata(31 downto 0) => s00_couplers_to_xbar_RDATA(31 downto 0),
s_axi_rready(0) => s00_couplers_to_xbar_RREADY(0),
s_axi_rresp(1 downto 0) => s00_couplers_to_xbar_RRESP(1 downto 0),
s_axi_rvalid(0) => s00_couplers_to_xbar_RVALID(0),
s_axi_wdata(31 downto 0) => s00_couplers_to_xbar_WDATA(31 downto 0),
s_axi_wready(0) => s00_couplers_to_xbar_WREADY(0),
s_axi_wstrb(3 downto 0) => s00_couplers_to_xbar_WSTRB(3 downto 0),
s_axi_wvalid(0) => s00_couplers_to_xbar_WVALID(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity design_1 is
port (
cellular_ram_addr : out STD_LOGIC_VECTOR ( 22 downto 0 );
cellular_ram_adv_ldn : out STD_LOGIC;
cellular_ram_ben : out STD_LOGIC_VECTOR ( 1 downto 0 );
cellular_ram_ce_n : out STD_LOGIC;
cellular_ram_cre : out STD_LOGIC;
cellular_ram_dq_i : in STD_LOGIC_VECTOR ( 15 downto 0 );
cellular_ram_dq_o : out STD_LOGIC_VECTOR ( 15 downto 0 );
cellular_ram_dq_t : out STD_LOGIC_VECTOR ( 15 downto 0 );
cellular_ram_oen : out STD_LOGIC;
cellular_ram_wait : in STD_LOGIC;
cellular_ram_wen : out STD_LOGIC;
eth_mdio_mdc_mdc : out STD_LOGIC;
eth_mdio_mdc_mdio_i : in STD_LOGIC;
eth_mdio_mdc_mdio_o : out STD_LOGIC;
eth_mdio_mdc_mdio_t : out STD_LOGIC;
eth_ref_clk : out STD_LOGIC;
eth_rmii_crs_dv : in STD_LOGIC;
eth_rmii_rx_er : in STD_LOGIC;
eth_rmii_rxd : in STD_LOGIC_VECTOR ( 1 downto 0 );
eth_rmii_tx_en : out STD_LOGIC;
eth_rmii_txd : out STD_LOGIC_VECTOR ( 1 downto 0 );
reset : in STD_LOGIC;
sys_clock : in STD_LOGIC;
usb_uart_rxd : in STD_LOGIC;
usb_uart_txd : out STD_LOGIC
);
attribute CORE_GENERATION_INFO : string;
attribute CORE_GENERATION_INFO of design_1 : entity is "design_1,IP_Integrator,{x_ipProduct=Vivado 2015.2,x_ipVendor=xilinx.com,x_ipLibrary=BlockDiagram,x_ipName=design_1,x_ipVersion=1.00.a,x_ipLanguage=VHDL,numBlks=29,numReposBlks=18,numNonXlnxBlks=0,numHierBlks=11,maxHierDepth=1,da_axi4_cnt=4,da_board_cnt=8,da_mb_cnt=1,synth_mode=Global}";
attribute HW_HANDOFF : string;
attribute HW_HANDOFF of design_1 : entity is "design_1.hwdef";
end design_1;
architecture STRUCTURE of design_1 is
component design_1_microblaze_0_0 is
port (
Clk : in STD_LOGIC;
Reset : in STD_LOGIC;
Interrupt : in STD_LOGIC;
Interrupt_Address : in STD_LOGIC_VECTOR ( 0 to 31 );
Interrupt_Ack : out STD_LOGIC_VECTOR ( 0 to 1 );
Instr_Addr : out STD_LOGIC_VECTOR ( 0 to 31 );
Instr : in STD_LOGIC_VECTOR ( 0 to 31 );
IFetch : out STD_LOGIC;
I_AS : out STD_LOGIC;
IReady : in STD_LOGIC;
IWAIT : in STD_LOGIC;
ICE : in STD_LOGIC;
IUE : in STD_LOGIC;
Data_Addr : out STD_LOGIC_VECTOR ( 0 to 31 );
Data_Read : in STD_LOGIC_VECTOR ( 0 to 31 );
Data_Write : out STD_LOGIC_VECTOR ( 0 to 31 );
D_AS : out STD_LOGIC;
Read_Strobe : out STD_LOGIC;
Write_Strobe : out STD_LOGIC;
DReady : in STD_LOGIC;
DWait : in STD_LOGIC;
DCE : in STD_LOGIC;
DUE : in STD_LOGIC;
Byte_Enable : out STD_LOGIC_VECTOR ( 0 to 3 );
M_AXI_DP_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_DP_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_DP_AWVALID : out STD_LOGIC;
M_AXI_DP_AWREADY : in STD_LOGIC;
M_AXI_DP_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_DP_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_DP_WVALID : out STD_LOGIC;
M_AXI_DP_WREADY : in STD_LOGIC;
M_AXI_DP_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_DP_BVALID : in STD_LOGIC;
M_AXI_DP_BREADY : out STD_LOGIC;
M_AXI_DP_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_DP_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_DP_ARVALID : out STD_LOGIC;
M_AXI_DP_ARREADY : in STD_LOGIC;
M_AXI_DP_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_DP_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_DP_RVALID : in STD_LOGIC;
M_AXI_DP_RREADY : out STD_LOGIC;
Dbg_Clk : in STD_LOGIC;
Dbg_TDI : in STD_LOGIC;
Dbg_TDO : out STD_LOGIC;
Dbg_Reg_En : in STD_LOGIC_VECTOR ( 0 to 7 );
Dbg_Shift : in STD_LOGIC;
Dbg_Capture : in STD_LOGIC;
Dbg_Update : in STD_LOGIC;
Debug_Rst : in STD_LOGIC;
M_AXI_IC_AWID : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_IC_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_IC_AWLEN : out STD_LOGIC_VECTOR ( 7 downto 0 );
M_AXI_IC_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_IC_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_IC_AWLOCK : out STD_LOGIC;
M_AXI_IC_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_IC_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_IC_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_IC_AWVALID : out STD_LOGIC;
M_AXI_IC_AWREADY : in STD_LOGIC;
M_AXI_IC_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_IC_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_IC_WLAST : out STD_LOGIC;
M_AXI_IC_WVALID : out STD_LOGIC;
M_AXI_IC_WREADY : in STD_LOGIC;
M_AXI_IC_BID : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_IC_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_IC_BVALID : in STD_LOGIC;
M_AXI_IC_BREADY : out STD_LOGIC;
M_AXI_IC_ARID : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_IC_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_IC_ARLEN : out STD_LOGIC_VECTOR ( 7 downto 0 );
M_AXI_IC_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_IC_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_IC_ARLOCK : out STD_LOGIC;
M_AXI_IC_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_IC_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_IC_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_IC_ARVALID : out STD_LOGIC;
M_AXI_IC_ARREADY : in STD_LOGIC;
M_AXI_IC_RID : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_IC_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_IC_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_IC_RLAST : in STD_LOGIC;
M_AXI_IC_RVALID : in STD_LOGIC;
M_AXI_IC_RREADY : out STD_LOGIC;
M_AXI_DC_AWID : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_DC_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_DC_AWLEN : out STD_LOGIC_VECTOR ( 7 downto 0 );
M_AXI_DC_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_DC_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_DC_AWLOCK : out STD_LOGIC;
M_AXI_DC_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_DC_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_DC_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_DC_AWVALID : out STD_LOGIC;
M_AXI_DC_AWREADY : in STD_LOGIC;
M_AXI_DC_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_DC_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_DC_WLAST : out STD_LOGIC;
M_AXI_DC_WVALID : out STD_LOGIC;
M_AXI_DC_WREADY : in STD_LOGIC;
M_AXI_DC_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_DC_BID : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_DC_BVALID : in STD_LOGIC;
M_AXI_DC_BREADY : out STD_LOGIC;
M_AXI_DC_ARID : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_DC_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_DC_ARLEN : out STD_LOGIC_VECTOR ( 7 downto 0 );
M_AXI_DC_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_DC_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_DC_ARLOCK : out STD_LOGIC;
M_AXI_DC_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_DC_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_DC_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_DC_ARVALID : out STD_LOGIC;
M_AXI_DC_ARREADY : in STD_LOGIC;
M_AXI_DC_RID : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_DC_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_DC_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_DC_RLAST : in STD_LOGIC;
M_AXI_DC_RVALID : in STD_LOGIC;
M_AXI_DC_RREADY : out STD_LOGIC
);
end component design_1_microblaze_0_0;
component design_1_microblaze_0_axi_intc_0 is
port (
s_axi_aclk : in STD_LOGIC;
s_axi_aresetn : in STD_LOGIC;
s_axi_awaddr : in STD_LOGIC_VECTOR ( 8 downto 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_araddr : in STD_LOGIC_VECTOR ( 8 downto 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
intr : in STD_LOGIC_VECTOR ( 1 downto 0 );
processor_clk : in STD_LOGIC;
processor_rst : in STD_LOGIC;
irq : out STD_LOGIC;
processor_ack : in STD_LOGIC_VECTOR ( 1 downto 0 );
interrupt_address : out STD_LOGIC_VECTOR ( 31 downto 0 )
);
end component design_1_microblaze_0_axi_intc_0;
component design_1_microblaze_0_xlconcat_0 is
port (
In0 : in STD_LOGIC_VECTOR ( 0 to 0 );
In1 : in STD_LOGIC_VECTOR ( 0 to 0 );
dout : out STD_LOGIC_VECTOR ( 1 downto 0 )
);
end component design_1_microblaze_0_xlconcat_0;
component design_1_mdm_1_0 is
port (
Debug_SYS_Rst : out STD_LOGIC;
Dbg_Clk_0 : out STD_LOGIC;
Dbg_TDI_0 : out STD_LOGIC;
Dbg_TDO_0 : in STD_LOGIC;
Dbg_Reg_En_0 : out STD_LOGIC_VECTOR ( 0 to 7 );
Dbg_Capture_0 : out STD_LOGIC;
Dbg_Shift_0 : out STD_LOGIC;
Dbg_Update_0 : out STD_LOGIC;
Dbg_Rst_0 : out STD_LOGIC
);
end component design_1_mdm_1_0;
component design_1_clk_wiz_1_0 is
port (
clk_in1 : in STD_LOGIC;
clk_out1 : out STD_LOGIC;
clk_out2 : out STD_LOGIC;
resetn : in STD_LOGIC;
locked : out STD_LOGIC
);
end component design_1_clk_wiz_1_0;
component design_1_rst_clk_wiz_1_100M_0 is
port (
slowest_sync_clk : in STD_LOGIC;
ext_reset_in : in STD_LOGIC;
aux_reset_in : in STD_LOGIC;
mb_debug_sys_rst : in STD_LOGIC;
dcm_locked : in STD_LOGIC;
mb_reset : out STD_LOGIC;
bus_struct_reset : out STD_LOGIC_VECTOR ( 0 to 0 );
peripheral_reset : out STD_LOGIC_VECTOR ( 0 to 0 );
interconnect_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 );
peripheral_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 )
);
end component design_1_rst_clk_wiz_1_100M_0;
component design_1_axi_emc_0_0 is
port (
s_axi_aclk : in STD_LOGIC;
s_axi_aresetn : in STD_LOGIC;
rdclk : in STD_LOGIC;
s_axi_mem_awid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_mem_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_mem_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_mem_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_mem_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_mem_awlock : in STD_LOGIC;
s_axi_mem_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_mem_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_mem_awvalid : in STD_LOGIC;
s_axi_mem_awready : out STD_LOGIC;
s_axi_mem_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_mem_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_mem_wlast : in STD_LOGIC;
s_axi_mem_wvalid : in STD_LOGIC;
s_axi_mem_wready : out STD_LOGIC;
s_axi_mem_bid : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_mem_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_mem_bvalid : out STD_LOGIC;
s_axi_mem_bready : in STD_LOGIC;
s_axi_mem_arid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_mem_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_mem_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_mem_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_mem_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_mem_arlock : in STD_LOGIC;
s_axi_mem_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_mem_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_mem_arvalid : in STD_LOGIC;
s_axi_mem_arready : out STD_LOGIC;
s_axi_mem_rid : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_mem_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_mem_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_mem_rlast : out STD_LOGIC;
s_axi_mem_rvalid : out STD_LOGIC;
s_axi_mem_rready : in STD_LOGIC;
mem_dq_i : in STD_LOGIC_VECTOR ( 15 downto 0 );
mem_dq_o : out STD_LOGIC_VECTOR ( 15 downto 0 );
mem_dq_t : out STD_LOGIC_VECTOR ( 15 downto 0 );
mem_a : out STD_LOGIC_VECTOR ( 31 downto 0 );
mem_ce : out STD_LOGIC_VECTOR ( 0 to 0 );
mem_cen : out STD_LOGIC_VECTOR ( 0 to 0 );
mem_oen : out STD_LOGIC_VECTOR ( 0 to 0 );
mem_wen : out STD_LOGIC;
mem_ben : out STD_LOGIC_VECTOR ( 1 downto 0 );
mem_qwen : out STD_LOGIC_VECTOR ( 1 downto 0 );
mem_rpn : out STD_LOGIC;
mem_adv_ldn : out STD_LOGIC;
mem_lbon : out STD_LOGIC;
mem_cken : out STD_LOGIC;
mem_rnw : out STD_LOGIC;
mem_cre : out STD_LOGIC;
mem_wait : in STD_LOGIC_VECTOR ( 0 to 0 )
);
end component design_1_axi_emc_0_0;
component design_1_axi_uartlite_0_0 is
port (
s_axi_aclk : in STD_LOGIC;
s_axi_aresetn : in STD_LOGIC;
interrupt : out STD_LOGIC;
s_axi_awaddr : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_araddr : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
rx : in STD_LOGIC;
tx : out STD_LOGIC
);
end component design_1_axi_uartlite_0_0;
component design_1_mii_to_rmii_0_0 is
port (
rst_n : in STD_LOGIC;
ref_clk : in STD_LOGIC;
mac2rmii_tx_en : in STD_LOGIC;
mac2rmii_txd : in STD_LOGIC_VECTOR ( 3 downto 0 );
mac2rmii_tx_er : in STD_LOGIC;
rmii2mac_tx_clk : out STD_LOGIC;
rmii2mac_rx_clk : out STD_LOGIC;
rmii2mac_col : out STD_LOGIC;
rmii2mac_crs : out STD_LOGIC;
rmii2mac_rx_dv : out STD_LOGIC;
rmii2mac_rx_er : out STD_LOGIC;
rmii2mac_rxd : out STD_LOGIC_VECTOR ( 3 downto 0 );
phy2rmii_crs_dv : in STD_LOGIC;
phy2rmii_rx_er : in STD_LOGIC;
phy2rmii_rxd : in STD_LOGIC_VECTOR ( 1 downto 0 );
rmii2phy_txd : out STD_LOGIC_VECTOR ( 1 downto 0 );
rmii2phy_tx_en : out STD_LOGIC
);
end component design_1_mii_to_rmii_0_0;
component design_1_axi_ethernetlite_0_0 is
port (
s_axi_aclk : in STD_LOGIC;
s_axi_aresetn : in STD_LOGIC;
ip2intc_irpt : out STD_LOGIC;
s_axi_awaddr : in STD_LOGIC_VECTOR ( 12 downto 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_araddr : in STD_LOGIC_VECTOR ( 12 downto 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
phy_tx_clk : in STD_LOGIC;
phy_rx_clk : in STD_LOGIC;
phy_crs : in STD_LOGIC;
phy_dv : in STD_LOGIC;
phy_rx_data : in STD_LOGIC_VECTOR ( 3 downto 0 );
phy_col : in STD_LOGIC;
phy_rx_er : in STD_LOGIC;
phy_rst_n : out STD_LOGIC;
phy_tx_en : out STD_LOGIC;
phy_tx_data : out STD_LOGIC_VECTOR ( 3 downto 0 );
phy_mdio_i : in STD_LOGIC;
phy_mdio_o : out STD_LOGIC;
phy_mdio_t : out STD_LOGIC;
phy_mdc : out STD_LOGIC
);
end component design_1_axi_ethernetlite_0_0;
component design_1_axi_timer_0_0 is
port (
capturetrig0 : in STD_LOGIC;
capturetrig1 : in STD_LOGIC;
generateout0 : out STD_LOGIC;
generateout1 : out STD_LOGIC;
pwm0 : out STD_LOGIC;
interrupt : out STD_LOGIC;
freeze : in STD_LOGIC;
s_axi_aclk : in STD_LOGIC;
s_axi_aresetn : in STD_LOGIC;
s_axi_awaddr : in STD_LOGIC_VECTOR ( 4 downto 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_araddr : in STD_LOGIC_VECTOR ( 4 downto 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC
);
end component design_1_axi_timer_0_0;
signal GND_1 : STD_LOGIC;
signal VCC_1 : STD_LOGIC;
signal axi_emc_0_EMC_INTF_ADDR : STD_LOGIC_VECTOR ( 22 downto 0 );
signal axi_emc_0_EMC_INTF_ADV_LDN : STD_LOGIC;
signal axi_emc_0_EMC_INTF_BEN : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_emc_0_EMC_INTF_CE_N : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_emc_0_EMC_INTF_CRE : STD_LOGIC;
signal axi_emc_0_EMC_INTF_DQ_I : STD_LOGIC_VECTOR ( 15 downto 0 );
signal axi_emc_0_EMC_INTF_DQ_O : STD_LOGIC_VECTOR ( 15 downto 0 );
signal axi_emc_0_EMC_INTF_DQ_T : STD_LOGIC_VECTOR ( 15 downto 0 );
signal axi_emc_0_EMC_INTF_OEN : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_emc_0_EMC_INTF_WAIT : STD_LOGIC;
signal axi_emc_0_EMC_INTF_WEN : STD_LOGIC;
signal axi_ethernetlite_0_MDIO_MDC : STD_LOGIC;
signal axi_ethernetlite_0_MDIO_MDIO_I : STD_LOGIC;
signal axi_ethernetlite_0_MDIO_MDIO_O : STD_LOGIC;
signal axi_ethernetlite_0_MDIO_MDIO_T : STD_LOGIC;
signal axi_ethernetlite_0_MII_COL : STD_LOGIC;
signal axi_ethernetlite_0_MII_CRS : STD_LOGIC;
signal axi_ethernetlite_0_MII_RXD : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_ethernetlite_0_MII_RX_CLK : STD_LOGIC;
signal axi_ethernetlite_0_MII_RX_DV : STD_LOGIC;
signal axi_ethernetlite_0_MII_RX_ER : STD_LOGIC;
signal axi_ethernetlite_0_MII_TXD : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_ethernetlite_0_MII_TX_CLK : STD_LOGIC;
signal axi_ethernetlite_0_MII_TX_EN : STD_LOGIC;
signal axi_ethernetlite_0_ip2intc_irpt : STD_LOGIC;
signal axi_mem_intercon_M00_AXI_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_mem_intercon_M00_AXI_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_mem_intercon_M00_AXI_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_mem_intercon_M00_AXI_ARID : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_M00_AXI_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal axi_mem_intercon_M00_AXI_ARLOCK : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_M00_AXI_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_mem_intercon_M00_AXI_ARREADY : STD_LOGIC;
signal axi_mem_intercon_M00_AXI_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_mem_intercon_M00_AXI_ARVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_M00_AXI_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_mem_intercon_M00_AXI_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_mem_intercon_M00_AXI_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_mem_intercon_M00_AXI_AWID : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_M00_AXI_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal axi_mem_intercon_M00_AXI_AWLOCK : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_M00_AXI_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_mem_intercon_M00_AXI_AWREADY : STD_LOGIC;
signal axi_mem_intercon_M00_AXI_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_mem_intercon_M00_AXI_AWVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_M00_AXI_BID : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_M00_AXI_BREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_M00_AXI_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_mem_intercon_M00_AXI_BVALID : STD_LOGIC;
signal axi_mem_intercon_M00_AXI_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_mem_intercon_M00_AXI_RID : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_M00_AXI_RLAST : STD_LOGIC;
signal axi_mem_intercon_M00_AXI_RREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_M00_AXI_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_mem_intercon_M00_AXI_RVALID : STD_LOGIC;
signal axi_mem_intercon_M00_AXI_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_mem_intercon_M00_AXI_WLAST : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_M00_AXI_WREADY : STD_LOGIC;
signal axi_mem_intercon_M00_AXI_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_mem_intercon_M00_AXI_WVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_timer_0_interrupt : STD_LOGIC;
signal axi_uartlite_0_UART_RxD : STD_LOGIC;
signal axi_uartlite_0_UART_TxD : STD_LOGIC;
signal clk_wiz_1_clk_out2 : STD_LOGIC;
signal clk_wiz_1_locked : STD_LOGIC;
signal mdm_1_debug_sys_rst : STD_LOGIC;
signal microblaze_0_Clk : STD_LOGIC;
signal microblaze_0_M_AXI_DC_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal microblaze_0_M_AXI_DC_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal microblaze_0_M_AXI_DC_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal microblaze_0_M_AXI_DC_ARID : STD_LOGIC_VECTOR ( 0 to 0 );
signal microblaze_0_M_AXI_DC_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal microblaze_0_M_AXI_DC_ARLOCK : STD_LOGIC;
signal microblaze_0_M_AXI_DC_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal microblaze_0_M_AXI_DC_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal microblaze_0_M_AXI_DC_ARREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal microblaze_0_M_AXI_DC_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal microblaze_0_M_AXI_DC_ARVALID : STD_LOGIC;
signal microblaze_0_M_AXI_DC_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal microblaze_0_M_AXI_DC_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal microblaze_0_M_AXI_DC_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal microblaze_0_M_AXI_DC_AWID : STD_LOGIC_VECTOR ( 0 to 0 );
signal microblaze_0_M_AXI_DC_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal microblaze_0_M_AXI_DC_AWLOCK : STD_LOGIC;
signal microblaze_0_M_AXI_DC_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal microblaze_0_M_AXI_DC_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal microblaze_0_M_AXI_DC_AWREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal microblaze_0_M_AXI_DC_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal microblaze_0_M_AXI_DC_AWVALID : STD_LOGIC;
signal microblaze_0_M_AXI_DC_BID : STD_LOGIC_VECTOR ( 0 to 0 );
signal microblaze_0_M_AXI_DC_BREADY : STD_LOGIC;
signal microblaze_0_M_AXI_DC_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal microblaze_0_M_AXI_DC_BVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal microblaze_0_M_AXI_DC_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal microblaze_0_M_AXI_DC_RID : STD_LOGIC_VECTOR ( 0 to 0 );
signal microblaze_0_M_AXI_DC_RLAST : STD_LOGIC_VECTOR ( 0 to 0 );
signal microblaze_0_M_AXI_DC_RREADY : STD_LOGIC;
signal microblaze_0_M_AXI_DC_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal microblaze_0_M_AXI_DC_RVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal microblaze_0_M_AXI_DC_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal microblaze_0_M_AXI_DC_WLAST : STD_LOGIC;
signal microblaze_0_M_AXI_DC_WREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal microblaze_0_M_AXI_DC_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal microblaze_0_M_AXI_DC_WVALID : STD_LOGIC;
signal microblaze_0_M_AXI_IC_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal microblaze_0_M_AXI_IC_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal microblaze_0_M_AXI_IC_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal microblaze_0_M_AXI_IC_ARID : STD_LOGIC_VECTOR ( 0 to 0 );
signal microblaze_0_M_AXI_IC_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal microblaze_0_M_AXI_IC_ARLOCK : STD_LOGIC;
signal microblaze_0_M_AXI_IC_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal microblaze_0_M_AXI_IC_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal microblaze_0_M_AXI_IC_ARREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal microblaze_0_M_AXI_IC_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal microblaze_0_M_AXI_IC_ARVALID : STD_LOGIC;
signal microblaze_0_M_AXI_IC_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal microblaze_0_M_AXI_IC_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal microblaze_0_M_AXI_IC_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal microblaze_0_M_AXI_IC_AWID : STD_LOGIC_VECTOR ( 0 to 0 );
signal microblaze_0_M_AXI_IC_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal microblaze_0_M_AXI_IC_AWLOCK : STD_LOGIC;
signal microblaze_0_M_AXI_IC_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal microblaze_0_M_AXI_IC_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal microblaze_0_M_AXI_IC_AWREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal microblaze_0_M_AXI_IC_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal microblaze_0_M_AXI_IC_AWVALID : STD_LOGIC;
signal microblaze_0_M_AXI_IC_BID : STD_LOGIC_VECTOR ( 0 to 0 );
signal microblaze_0_M_AXI_IC_BREADY : STD_LOGIC;
signal microblaze_0_M_AXI_IC_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal microblaze_0_M_AXI_IC_BVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal microblaze_0_M_AXI_IC_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal microblaze_0_M_AXI_IC_RID : STD_LOGIC_VECTOR ( 0 to 0 );
signal microblaze_0_M_AXI_IC_RLAST : STD_LOGIC_VECTOR ( 0 to 0 );
signal microblaze_0_M_AXI_IC_RREADY : STD_LOGIC;
signal microblaze_0_M_AXI_IC_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal microblaze_0_M_AXI_IC_RVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal microblaze_0_M_AXI_IC_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal microblaze_0_M_AXI_IC_WLAST : STD_LOGIC;
signal microblaze_0_M_AXI_IC_WREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal microblaze_0_M_AXI_IC_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal microblaze_0_M_AXI_IC_WVALID : STD_LOGIC;
signal microblaze_0_axi_dp_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal microblaze_0_axi_dp_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal microblaze_0_axi_dp_ARREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal microblaze_0_axi_dp_ARVALID : STD_LOGIC;
signal microblaze_0_axi_dp_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal microblaze_0_axi_dp_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal microblaze_0_axi_dp_AWREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal microblaze_0_axi_dp_AWVALID : STD_LOGIC;
signal microblaze_0_axi_dp_BREADY : STD_LOGIC;
signal microblaze_0_axi_dp_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal microblaze_0_axi_dp_BVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal microblaze_0_axi_dp_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal microblaze_0_axi_dp_RREADY : STD_LOGIC;
signal microblaze_0_axi_dp_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal microblaze_0_axi_dp_RVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal microblaze_0_axi_dp_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal microblaze_0_axi_dp_WREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal microblaze_0_axi_dp_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal microblaze_0_axi_dp_WVALID : STD_LOGIC;
signal microblaze_0_axi_periph_M01_AXI_ARADDR : STD_LOGIC_VECTOR ( 3 downto 0 );
signal microblaze_0_axi_periph_M01_AXI_ARREADY : STD_LOGIC;
signal microblaze_0_axi_periph_M01_AXI_ARVALID : STD_LOGIC;
signal microblaze_0_axi_periph_M01_AXI_AWADDR : STD_LOGIC_VECTOR ( 3 downto 0 );
signal microblaze_0_axi_periph_M01_AXI_AWREADY : STD_LOGIC;
signal microblaze_0_axi_periph_M01_AXI_AWVALID : STD_LOGIC;
signal microblaze_0_axi_periph_M01_AXI_BREADY : STD_LOGIC;
signal microblaze_0_axi_periph_M01_AXI_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal microblaze_0_axi_periph_M01_AXI_BVALID : STD_LOGIC;
signal microblaze_0_axi_periph_M01_AXI_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal microblaze_0_axi_periph_M01_AXI_RREADY : STD_LOGIC;
signal microblaze_0_axi_periph_M01_AXI_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal microblaze_0_axi_periph_M01_AXI_RVALID : STD_LOGIC;
signal microblaze_0_axi_periph_M01_AXI_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal microblaze_0_axi_periph_M01_AXI_WREADY : STD_LOGIC;
signal microblaze_0_axi_periph_M01_AXI_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal microblaze_0_axi_periph_M01_AXI_WVALID : STD_LOGIC;
signal microblaze_0_axi_periph_M02_AXI_ARADDR : STD_LOGIC_VECTOR ( 12 downto 0 );
signal microblaze_0_axi_periph_M02_AXI_ARREADY : STD_LOGIC;
signal microblaze_0_axi_periph_M02_AXI_ARVALID : STD_LOGIC;
signal microblaze_0_axi_periph_M02_AXI_AWADDR : STD_LOGIC_VECTOR ( 12 downto 0 );
signal microblaze_0_axi_periph_M02_AXI_AWREADY : STD_LOGIC;
signal microblaze_0_axi_periph_M02_AXI_AWVALID : STD_LOGIC;
signal microblaze_0_axi_periph_M02_AXI_BREADY : STD_LOGIC;
signal microblaze_0_axi_periph_M02_AXI_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal microblaze_0_axi_periph_M02_AXI_BVALID : STD_LOGIC;
signal microblaze_0_axi_periph_M02_AXI_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal microblaze_0_axi_periph_M02_AXI_RREADY : STD_LOGIC;
signal microblaze_0_axi_periph_M02_AXI_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal microblaze_0_axi_periph_M02_AXI_RVALID : STD_LOGIC;
signal microblaze_0_axi_periph_M02_AXI_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal microblaze_0_axi_periph_M02_AXI_WREADY : STD_LOGIC;
signal microblaze_0_axi_periph_M02_AXI_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal microblaze_0_axi_periph_M02_AXI_WVALID : STD_LOGIC;
signal microblaze_0_axi_periph_M03_AXI_ARADDR : STD_LOGIC_VECTOR ( 4 downto 0 );
signal microblaze_0_axi_periph_M03_AXI_ARREADY : STD_LOGIC;
signal microblaze_0_axi_periph_M03_AXI_ARVALID : STD_LOGIC;
signal microblaze_0_axi_periph_M03_AXI_AWADDR : STD_LOGIC_VECTOR ( 4 downto 0 );
signal microblaze_0_axi_periph_M03_AXI_AWREADY : STD_LOGIC;
signal microblaze_0_axi_periph_M03_AXI_AWVALID : STD_LOGIC;
signal microblaze_0_axi_periph_M03_AXI_BREADY : STD_LOGIC;
signal microblaze_0_axi_periph_M03_AXI_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal microblaze_0_axi_periph_M03_AXI_BVALID : STD_LOGIC;
signal microblaze_0_axi_periph_M03_AXI_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal microblaze_0_axi_periph_M03_AXI_RREADY : STD_LOGIC;
signal microblaze_0_axi_periph_M03_AXI_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal microblaze_0_axi_periph_M03_AXI_RVALID : STD_LOGIC;
signal microblaze_0_axi_periph_M03_AXI_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal microblaze_0_axi_periph_M03_AXI_WREADY : STD_LOGIC;
signal microblaze_0_axi_periph_M03_AXI_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal microblaze_0_axi_periph_M03_AXI_WVALID : STD_LOGIC;
signal microblaze_0_debug_CAPTURE : STD_LOGIC;
signal microblaze_0_debug_CLK : STD_LOGIC;
signal microblaze_0_debug_REG_EN : STD_LOGIC_VECTOR ( 0 to 7 );
signal microblaze_0_debug_RST : STD_LOGIC;
signal microblaze_0_debug_SHIFT : STD_LOGIC;
signal microblaze_0_debug_TDI : STD_LOGIC;
signal microblaze_0_debug_TDO : STD_LOGIC;
signal microblaze_0_debug_UPDATE : STD_LOGIC;
signal microblaze_0_dlmb_1_ABUS : STD_LOGIC_VECTOR ( 0 to 31 );
signal microblaze_0_dlmb_1_ADDRSTROBE : STD_LOGIC;
signal microblaze_0_dlmb_1_BE : STD_LOGIC_VECTOR ( 0 to 3 );
signal microblaze_0_dlmb_1_CE : STD_LOGIC;
signal microblaze_0_dlmb_1_READDBUS : STD_LOGIC_VECTOR ( 0 to 31 );
signal microblaze_0_dlmb_1_READSTROBE : STD_LOGIC;
signal microblaze_0_dlmb_1_READY : STD_LOGIC;
signal microblaze_0_dlmb_1_UE : STD_LOGIC;
signal microblaze_0_dlmb_1_WAIT : STD_LOGIC;
signal microblaze_0_dlmb_1_WRITEDBUS : STD_LOGIC_VECTOR ( 0 to 31 );
signal microblaze_0_dlmb_1_WRITESTROBE : STD_LOGIC;
signal microblaze_0_ilmb_1_ABUS : STD_LOGIC_VECTOR ( 0 to 31 );
signal microblaze_0_ilmb_1_ADDRSTROBE : STD_LOGIC;
signal microblaze_0_ilmb_1_CE : STD_LOGIC;
signal microblaze_0_ilmb_1_READDBUS : STD_LOGIC_VECTOR ( 0 to 31 );
signal microblaze_0_ilmb_1_READSTROBE : STD_LOGIC;
signal microblaze_0_ilmb_1_READY : STD_LOGIC;
signal microblaze_0_ilmb_1_UE : STD_LOGIC;
signal microblaze_0_ilmb_1_WAIT : STD_LOGIC;
signal microblaze_0_intc_axi_ARADDR : STD_LOGIC_VECTOR ( 8 downto 0 );
signal microblaze_0_intc_axi_ARREADY : STD_LOGIC;
signal microblaze_0_intc_axi_ARVALID : STD_LOGIC;
signal microblaze_0_intc_axi_AWADDR : STD_LOGIC_VECTOR ( 8 downto 0 );
signal microblaze_0_intc_axi_AWREADY : STD_LOGIC;
signal microblaze_0_intc_axi_AWVALID : STD_LOGIC;
signal microblaze_0_intc_axi_BREADY : STD_LOGIC;
signal microblaze_0_intc_axi_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal microblaze_0_intc_axi_BVALID : STD_LOGIC;
signal microblaze_0_intc_axi_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal microblaze_0_intc_axi_RREADY : STD_LOGIC;
signal microblaze_0_intc_axi_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal microblaze_0_intc_axi_RVALID : STD_LOGIC;
signal microblaze_0_intc_axi_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal microblaze_0_intc_axi_WREADY : STD_LOGIC;
signal microblaze_0_intc_axi_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal microblaze_0_intc_axi_WVALID : STD_LOGIC;
signal microblaze_0_interrupt_ACK : STD_LOGIC_VECTOR ( 0 to 1 );
signal microblaze_0_interrupt_ADDRESS : STD_LOGIC_VECTOR ( 31 downto 0 );
signal microblaze_0_interrupt_INTERRUPT : STD_LOGIC;
signal microblaze_0_intr : STD_LOGIC_VECTOR ( 1 downto 0 );
signal mii_to_rmii_0_RMII_PHY_M_CRS_DV : STD_LOGIC;
signal mii_to_rmii_0_RMII_PHY_M_RXD : STD_LOGIC_VECTOR ( 1 downto 0 );
signal mii_to_rmii_0_RMII_PHY_M_RX_ER : STD_LOGIC;
signal mii_to_rmii_0_RMII_PHY_M_TXD : STD_LOGIC_VECTOR ( 1 downto 0 );
signal mii_to_rmii_0_RMII_PHY_M_TX_EN : STD_LOGIC;
signal reset_1 : STD_LOGIC;
signal rst_clk_wiz_1_100M_bus_struct_reset : STD_LOGIC_VECTOR ( 0 to 0 );
signal rst_clk_wiz_1_100M_interconnect_aresetn : STD_LOGIC_VECTOR ( 0 to 0 );
signal rst_clk_wiz_1_100M_mb_reset : STD_LOGIC;
signal rst_clk_wiz_1_100M_peripheral_aresetn : STD_LOGIC_VECTOR ( 0 to 0 );
signal sys_clock_1 : STD_LOGIC;
signal NLW_axi_emc_0_mem_cken_UNCONNECTED : STD_LOGIC;
signal NLW_axi_emc_0_mem_lbon_UNCONNECTED : STD_LOGIC;
signal NLW_axi_emc_0_mem_rnw_UNCONNECTED : STD_LOGIC;
signal NLW_axi_emc_0_mem_rpn_UNCONNECTED : STD_LOGIC;
signal NLW_axi_emc_0_mem_a_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 23 );
signal NLW_axi_emc_0_mem_ce_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_axi_emc_0_mem_qwen_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_axi_ethernetlite_0_phy_rst_n_UNCONNECTED : STD_LOGIC;
signal NLW_axi_timer_0_generateout0_UNCONNECTED : STD_LOGIC;
signal NLW_axi_timer_0_generateout1_UNCONNECTED : STD_LOGIC;
signal NLW_axi_timer_0_pwm0_UNCONNECTED : STD_LOGIC;
signal NLW_axi_uartlite_0_interrupt_UNCONNECTED : STD_LOGIC;
signal NLW_rst_clk_wiz_1_100M_peripheral_reset_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
attribute BMM_INFO_PROCESSOR : string;
attribute BMM_INFO_PROCESSOR of microblaze_0 : label is "microblaze-le > design_1 microblaze_0_local_memory/dlmb_bram_if_cntlr";
attribute KEEP_HIERARCHY : string;
attribute KEEP_HIERARCHY of microblaze_0 : label is "yes";
begin
axi_emc_0_EMC_INTF_DQ_I(15 downto 0) <= cellular_ram_dq_i(15 downto 0);
axi_emc_0_EMC_INTF_WAIT <= cellular_ram_wait;
axi_ethernetlite_0_MDIO_MDIO_I <= eth_mdio_mdc_mdio_i;
axi_uartlite_0_UART_RxD <= usb_uart_rxd;
cellular_ram_addr(22 downto 0) <= axi_emc_0_EMC_INTF_ADDR(22 downto 0);
cellular_ram_adv_ldn <= axi_emc_0_EMC_INTF_ADV_LDN;
cellular_ram_ben(1 downto 0) <= axi_emc_0_EMC_INTF_BEN(1 downto 0);
cellular_ram_ce_n <= axi_emc_0_EMC_INTF_CE_N(0);
cellular_ram_cre <= axi_emc_0_EMC_INTF_CRE;
cellular_ram_dq_o(15 downto 0) <= axi_emc_0_EMC_INTF_DQ_O(15 downto 0);
cellular_ram_dq_t(15 downto 0) <= axi_emc_0_EMC_INTF_DQ_T(15 downto 0);
cellular_ram_oen <= axi_emc_0_EMC_INTF_OEN(0);
cellular_ram_wen <= axi_emc_0_EMC_INTF_WEN;
eth_mdio_mdc_mdc <= axi_ethernetlite_0_MDIO_MDC;
eth_mdio_mdc_mdio_o <= axi_ethernetlite_0_MDIO_MDIO_O;
eth_mdio_mdc_mdio_t <= axi_ethernetlite_0_MDIO_MDIO_T;
eth_ref_clk <= clk_wiz_1_clk_out2;
eth_rmii_tx_en <= mii_to_rmii_0_RMII_PHY_M_TX_EN;
eth_rmii_txd(1 downto 0) <= mii_to_rmii_0_RMII_PHY_M_TXD(1 downto 0);
mii_to_rmii_0_RMII_PHY_M_CRS_DV <= eth_rmii_crs_dv;
mii_to_rmii_0_RMII_PHY_M_RXD(1 downto 0) <= eth_rmii_rxd(1 downto 0);
mii_to_rmii_0_RMII_PHY_M_RX_ER <= eth_rmii_rx_er;
reset_1 <= reset;
sys_clock_1 <= sys_clock;
usb_uart_txd <= axi_uartlite_0_UART_TxD;
GND: unisim.vcomponents.GND
port map (
G => GND_1
);
VCC: unisim.vcomponents.VCC
port map (
P => VCC_1
);
axi_emc_0: component design_1_axi_emc_0_0
port map (
mem_a(31 downto 23) => NLW_axi_emc_0_mem_a_UNCONNECTED(31 downto 23),
mem_a(22 downto 0) => axi_emc_0_EMC_INTF_ADDR(22 downto 0),
mem_adv_ldn => axi_emc_0_EMC_INTF_ADV_LDN,
mem_ben(1 downto 0) => axi_emc_0_EMC_INTF_BEN(1 downto 0),
mem_ce(0) => NLW_axi_emc_0_mem_ce_UNCONNECTED(0),
mem_cen(0) => axi_emc_0_EMC_INTF_CE_N(0),
mem_cken => NLW_axi_emc_0_mem_cken_UNCONNECTED,
mem_cre => axi_emc_0_EMC_INTF_CRE,
mem_dq_i(15 downto 0) => axi_emc_0_EMC_INTF_DQ_I(15 downto 0),
mem_dq_o(15 downto 0) => axi_emc_0_EMC_INTF_DQ_O(15 downto 0),
mem_dq_t(15 downto 0) => axi_emc_0_EMC_INTF_DQ_T(15 downto 0),
mem_lbon => NLW_axi_emc_0_mem_lbon_UNCONNECTED,
mem_oen(0) => axi_emc_0_EMC_INTF_OEN(0),
mem_qwen(1 downto 0) => NLW_axi_emc_0_mem_qwen_UNCONNECTED(1 downto 0),
mem_rnw => NLW_axi_emc_0_mem_rnw_UNCONNECTED,
mem_rpn => NLW_axi_emc_0_mem_rpn_UNCONNECTED,
mem_wait(0) => axi_emc_0_EMC_INTF_WAIT,
mem_wen => axi_emc_0_EMC_INTF_WEN,
rdclk => microblaze_0_Clk,
s_axi_aclk => microblaze_0_Clk,
s_axi_aresetn => rst_clk_wiz_1_100M_peripheral_aresetn(0),
s_axi_mem_araddr(31 downto 0) => axi_mem_intercon_M00_AXI_ARADDR(31 downto 0),
s_axi_mem_arburst(1 downto 0) => axi_mem_intercon_M00_AXI_ARBURST(1 downto 0),
s_axi_mem_arcache(3 downto 0) => axi_mem_intercon_M00_AXI_ARCACHE(3 downto 0),
s_axi_mem_arid(0) => axi_mem_intercon_M00_AXI_ARID(0),
s_axi_mem_arlen(7 downto 0) => axi_mem_intercon_M00_AXI_ARLEN(7 downto 0),
s_axi_mem_arlock => axi_mem_intercon_M00_AXI_ARLOCK(0),
s_axi_mem_arprot(2 downto 0) => axi_mem_intercon_M00_AXI_ARPROT(2 downto 0),
s_axi_mem_arready => axi_mem_intercon_M00_AXI_ARREADY,
s_axi_mem_arsize(2 downto 0) => axi_mem_intercon_M00_AXI_ARSIZE(2 downto 0),
s_axi_mem_arvalid => axi_mem_intercon_M00_AXI_ARVALID(0),
s_axi_mem_awaddr(31 downto 0) => axi_mem_intercon_M00_AXI_AWADDR(31 downto 0),
s_axi_mem_awburst(1 downto 0) => axi_mem_intercon_M00_AXI_AWBURST(1 downto 0),
s_axi_mem_awcache(3 downto 0) => axi_mem_intercon_M00_AXI_AWCACHE(3 downto 0),
s_axi_mem_awid(0) => axi_mem_intercon_M00_AXI_AWID(0),
s_axi_mem_awlen(7 downto 0) => axi_mem_intercon_M00_AXI_AWLEN(7 downto 0),
s_axi_mem_awlock => axi_mem_intercon_M00_AXI_AWLOCK(0),
s_axi_mem_awprot(2 downto 0) => axi_mem_intercon_M00_AXI_AWPROT(2 downto 0),
s_axi_mem_awready => axi_mem_intercon_M00_AXI_AWREADY,
s_axi_mem_awsize(2 downto 0) => axi_mem_intercon_M00_AXI_AWSIZE(2 downto 0),
s_axi_mem_awvalid => axi_mem_intercon_M00_AXI_AWVALID(0),
s_axi_mem_bid(0) => axi_mem_intercon_M00_AXI_BID(0),
s_axi_mem_bready => axi_mem_intercon_M00_AXI_BREADY(0),
s_axi_mem_bresp(1 downto 0) => axi_mem_intercon_M00_AXI_BRESP(1 downto 0),
s_axi_mem_bvalid => axi_mem_intercon_M00_AXI_BVALID,
s_axi_mem_rdata(31 downto 0) => axi_mem_intercon_M00_AXI_RDATA(31 downto 0),
s_axi_mem_rid(0) => axi_mem_intercon_M00_AXI_RID(0),
s_axi_mem_rlast => axi_mem_intercon_M00_AXI_RLAST,
s_axi_mem_rready => axi_mem_intercon_M00_AXI_RREADY(0),
s_axi_mem_rresp(1 downto 0) => axi_mem_intercon_M00_AXI_RRESP(1 downto 0),
s_axi_mem_rvalid => axi_mem_intercon_M00_AXI_RVALID,
s_axi_mem_wdata(31 downto 0) => axi_mem_intercon_M00_AXI_WDATA(31 downto 0),
s_axi_mem_wlast => axi_mem_intercon_M00_AXI_WLAST(0),
s_axi_mem_wready => axi_mem_intercon_M00_AXI_WREADY,
s_axi_mem_wstrb(3 downto 0) => axi_mem_intercon_M00_AXI_WSTRB(3 downto 0),
s_axi_mem_wvalid => axi_mem_intercon_M00_AXI_WVALID(0)
);
axi_ethernetlite_0: component design_1_axi_ethernetlite_0_0
port map (
ip2intc_irpt => axi_ethernetlite_0_ip2intc_irpt,
phy_col => axi_ethernetlite_0_MII_COL,
phy_crs => axi_ethernetlite_0_MII_CRS,
phy_dv => axi_ethernetlite_0_MII_RX_DV,
phy_mdc => axi_ethernetlite_0_MDIO_MDC,
phy_mdio_i => axi_ethernetlite_0_MDIO_MDIO_I,
phy_mdio_o => axi_ethernetlite_0_MDIO_MDIO_O,
phy_mdio_t => axi_ethernetlite_0_MDIO_MDIO_T,
phy_rst_n => NLW_axi_ethernetlite_0_phy_rst_n_UNCONNECTED,
phy_rx_clk => axi_ethernetlite_0_MII_RX_CLK,
phy_rx_data(3 downto 0) => axi_ethernetlite_0_MII_RXD(3 downto 0),
phy_rx_er => axi_ethernetlite_0_MII_RX_ER,
phy_tx_clk => axi_ethernetlite_0_MII_TX_CLK,
phy_tx_data(3 downto 0) => axi_ethernetlite_0_MII_TXD(3 downto 0),
phy_tx_en => axi_ethernetlite_0_MII_TX_EN,
s_axi_aclk => microblaze_0_Clk,
s_axi_araddr(12 downto 0) => microblaze_0_axi_periph_M02_AXI_ARADDR(12 downto 0),
s_axi_aresetn => rst_clk_wiz_1_100M_peripheral_aresetn(0),
s_axi_arready => microblaze_0_axi_periph_M02_AXI_ARREADY,
s_axi_arvalid => microblaze_0_axi_periph_M02_AXI_ARVALID,
s_axi_awaddr(12 downto 0) => microblaze_0_axi_periph_M02_AXI_AWADDR(12 downto 0),
s_axi_awready => microblaze_0_axi_periph_M02_AXI_AWREADY,
s_axi_awvalid => microblaze_0_axi_periph_M02_AXI_AWVALID,
s_axi_bready => microblaze_0_axi_periph_M02_AXI_BREADY,
s_axi_bresp(1 downto 0) => microblaze_0_axi_periph_M02_AXI_BRESP(1 downto 0),
s_axi_bvalid => microblaze_0_axi_periph_M02_AXI_BVALID,
s_axi_rdata(31 downto 0) => microblaze_0_axi_periph_M02_AXI_RDATA(31 downto 0),
s_axi_rready => microblaze_0_axi_periph_M02_AXI_RREADY,
s_axi_rresp(1 downto 0) => microblaze_0_axi_periph_M02_AXI_RRESP(1 downto 0),
s_axi_rvalid => microblaze_0_axi_periph_M02_AXI_RVALID,
s_axi_wdata(31 downto 0) => microblaze_0_axi_periph_M02_AXI_WDATA(31 downto 0),
s_axi_wready => microblaze_0_axi_periph_M02_AXI_WREADY,
s_axi_wstrb(3 downto 0) => microblaze_0_axi_periph_M02_AXI_WSTRB(3 downto 0),
s_axi_wvalid => microblaze_0_axi_periph_M02_AXI_WVALID
);
axi_mem_intercon: entity work.design_1_axi_mem_intercon_0
port map (
ACLK => microblaze_0_Clk,
ARESETN(0) => rst_clk_wiz_1_100M_interconnect_aresetn(0),
M00_ACLK => microblaze_0_Clk,
M00_ARESETN(0) => rst_clk_wiz_1_100M_peripheral_aresetn(0),
M00_AXI_araddr(31 downto 0) => axi_mem_intercon_M00_AXI_ARADDR(31 downto 0),
M00_AXI_arburst(1 downto 0) => axi_mem_intercon_M00_AXI_ARBURST(1 downto 0),
M00_AXI_arcache(3 downto 0) => axi_mem_intercon_M00_AXI_ARCACHE(3 downto 0),
M00_AXI_arid(0) => axi_mem_intercon_M00_AXI_ARID(0),
M00_AXI_arlen(7 downto 0) => axi_mem_intercon_M00_AXI_ARLEN(7 downto 0),
M00_AXI_arlock(0) => axi_mem_intercon_M00_AXI_ARLOCK(0),
M00_AXI_arprot(2 downto 0) => axi_mem_intercon_M00_AXI_ARPROT(2 downto 0),
M00_AXI_arready(0) => axi_mem_intercon_M00_AXI_ARREADY,
M00_AXI_arsize(2 downto 0) => axi_mem_intercon_M00_AXI_ARSIZE(2 downto 0),
M00_AXI_arvalid(0) => axi_mem_intercon_M00_AXI_ARVALID(0),
M00_AXI_awaddr(31 downto 0) => axi_mem_intercon_M00_AXI_AWADDR(31 downto 0),
M00_AXI_awburst(1 downto 0) => axi_mem_intercon_M00_AXI_AWBURST(1 downto 0),
M00_AXI_awcache(3 downto 0) => axi_mem_intercon_M00_AXI_AWCACHE(3 downto 0),
M00_AXI_awid(0) => axi_mem_intercon_M00_AXI_AWID(0),
M00_AXI_awlen(7 downto 0) => axi_mem_intercon_M00_AXI_AWLEN(7 downto 0),
M00_AXI_awlock(0) => axi_mem_intercon_M00_AXI_AWLOCK(0),
M00_AXI_awprot(2 downto 0) => axi_mem_intercon_M00_AXI_AWPROT(2 downto 0),
M00_AXI_awready(0) => axi_mem_intercon_M00_AXI_AWREADY,
M00_AXI_awsize(2 downto 0) => axi_mem_intercon_M00_AXI_AWSIZE(2 downto 0),
M00_AXI_awvalid(0) => axi_mem_intercon_M00_AXI_AWVALID(0),
M00_AXI_bid(0) => axi_mem_intercon_M00_AXI_BID(0),
M00_AXI_bready(0) => axi_mem_intercon_M00_AXI_BREADY(0),
M00_AXI_bresp(1 downto 0) => axi_mem_intercon_M00_AXI_BRESP(1 downto 0),
M00_AXI_bvalid(0) => axi_mem_intercon_M00_AXI_BVALID,
M00_AXI_rdata(31 downto 0) => axi_mem_intercon_M00_AXI_RDATA(31 downto 0),
M00_AXI_rid(0) => axi_mem_intercon_M00_AXI_RID(0),
M00_AXI_rlast(0) => axi_mem_intercon_M00_AXI_RLAST,
M00_AXI_rready(0) => axi_mem_intercon_M00_AXI_RREADY(0),
M00_AXI_rresp(1 downto 0) => axi_mem_intercon_M00_AXI_RRESP(1 downto 0),
M00_AXI_rvalid(0) => axi_mem_intercon_M00_AXI_RVALID,
M00_AXI_wdata(31 downto 0) => axi_mem_intercon_M00_AXI_WDATA(31 downto 0),
M00_AXI_wlast(0) => axi_mem_intercon_M00_AXI_WLAST(0),
M00_AXI_wready(0) => axi_mem_intercon_M00_AXI_WREADY,
M00_AXI_wstrb(3 downto 0) => axi_mem_intercon_M00_AXI_WSTRB(3 downto 0),
M00_AXI_wvalid(0) => axi_mem_intercon_M00_AXI_WVALID(0),
S00_ACLK => microblaze_0_Clk,
S00_ARESETN(0) => rst_clk_wiz_1_100M_peripheral_aresetn(0),
S00_AXI_araddr(31 downto 0) => microblaze_0_M_AXI_DC_ARADDR(31 downto 0),
S00_AXI_arburst(1 downto 0) => microblaze_0_M_AXI_DC_ARBURST(1 downto 0),
S00_AXI_arcache(3 downto 0) => microblaze_0_M_AXI_DC_ARCACHE(3 downto 0),
S00_AXI_arid(0) => microblaze_0_M_AXI_DC_ARID(0),
S00_AXI_arlen(7 downto 0) => microblaze_0_M_AXI_DC_ARLEN(7 downto 0),
S00_AXI_arlock(0) => microblaze_0_M_AXI_DC_ARLOCK,
S00_AXI_arprot(2 downto 0) => microblaze_0_M_AXI_DC_ARPROT(2 downto 0),
S00_AXI_arqos(3 downto 0) => microblaze_0_M_AXI_DC_ARQOS(3 downto 0),
S00_AXI_arready(0) => microblaze_0_M_AXI_DC_ARREADY(0),
S00_AXI_arsize(2 downto 0) => microblaze_0_M_AXI_DC_ARSIZE(2 downto 0),
S00_AXI_arvalid(0) => microblaze_0_M_AXI_DC_ARVALID,
S00_AXI_awaddr(31 downto 0) => microblaze_0_M_AXI_DC_AWADDR(31 downto 0),
S00_AXI_awburst(1 downto 0) => microblaze_0_M_AXI_DC_AWBURST(1 downto 0),
S00_AXI_awcache(3 downto 0) => microblaze_0_M_AXI_DC_AWCACHE(3 downto 0),
S00_AXI_awid(0) => microblaze_0_M_AXI_DC_AWID(0),
S00_AXI_awlen(7 downto 0) => microblaze_0_M_AXI_DC_AWLEN(7 downto 0),
S00_AXI_awlock(0) => microblaze_0_M_AXI_DC_AWLOCK,
S00_AXI_awprot(2 downto 0) => microblaze_0_M_AXI_DC_AWPROT(2 downto 0),
S00_AXI_awqos(3 downto 0) => microblaze_0_M_AXI_DC_AWQOS(3 downto 0),
S00_AXI_awready(0) => microblaze_0_M_AXI_DC_AWREADY(0),
S00_AXI_awsize(2 downto 0) => microblaze_0_M_AXI_DC_AWSIZE(2 downto 0),
S00_AXI_awvalid(0) => microblaze_0_M_AXI_DC_AWVALID,
S00_AXI_bid(0) => microblaze_0_M_AXI_DC_BID(0),
S00_AXI_bready(0) => microblaze_0_M_AXI_DC_BREADY,
S00_AXI_bresp(1 downto 0) => microblaze_0_M_AXI_DC_BRESP(1 downto 0),
S00_AXI_bvalid(0) => microblaze_0_M_AXI_DC_BVALID(0),
S00_AXI_rdata(31 downto 0) => microblaze_0_M_AXI_DC_RDATA(31 downto 0),
S00_AXI_rid(0) => microblaze_0_M_AXI_DC_RID(0),
S00_AXI_rlast(0) => microblaze_0_M_AXI_DC_RLAST(0),
S00_AXI_rready(0) => microblaze_0_M_AXI_DC_RREADY,
S00_AXI_rresp(1 downto 0) => microblaze_0_M_AXI_DC_RRESP(1 downto 0),
S00_AXI_rvalid(0) => microblaze_0_M_AXI_DC_RVALID(0),
S00_AXI_wdata(31 downto 0) => microblaze_0_M_AXI_DC_WDATA(31 downto 0),
S00_AXI_wlast(0) => microblaze_0_M_AXI_DC_WLAST,
S00_AXI_wready(0) => microblaze_0_M_AXI_DC_WREADY(0),
S00_AXI_wstrb(3 downto 0) => microblaze_0_M_AXI_DC_WSTRB(3 downto 0),
S00_AXI_wvalid(0) => microblaze_0_M_AXI_DC_WVALID,
S01_ACLK => microblaze_0_Clk,
S01_ARESETN(0) => rst_clk_wiz_1_100M_peripheral_aresetn(0),
S01_AXI_araddr(31 downto 0) => microblaze_0_M_AXI_IC_ARADDR(31 downto 0),
S01_AXI_arburst(1 downto 0) => microblaze_0_M_AXI_IC_ARBURST(1 downto 0),
S01_AXI_arcache(3 downto 0) => microblaze_0_M_AXI_IC_ARCACHE(3 downto 0),
S01_AXI_arid(0) => microblaze_0_M_AXI_IC_ARID(0),
S01_AXI_arlen(7 downto 0) => microblaze_0_M_AXI_IC_ARLEN(7 downto 0),
S01_AXI_arlock(0) => microblaze_0_M_AXI_IC_ARLOCK,
S01_AXI_arprot(2 downto 0) => microblaze_0_M_AXI_IC_ARPROT(2 downto 0),
S01_AXI_arqos(3 downto 0) => microblaze_0_M_AXI_IC_ARQOS(3 downto 0),
S01_AXI_arready(0) => microblaze_0_M_AXI_IC_ARREADY(0),
S01_AXI_arsize(2 downto 0) => microblaze_0_M_AXI_IC_ARSIZE(2 downto 0),
S01_AXI_arvalid(0) => microblaze_0_M_AXI_IC_ARVALID,
S01_AXI_awaddr(31 downto 0) => microblaze_0_M_AXI_IC_AWADDR(31 downto 0),
S01_AXI_awburst(1 downto 0) => microblaze_0_M_AXI_IC_AWBURST(1 downto 0),
S01_AXI_awcache(3 downto 0) => microblaze_0_M_AXI_IC_AWCACHE(3 downto 0),
S01_AXI_awid(0) => microblaze_0_M_AXI_IC_AWID(0),
S01_AXI_awlen(7 downto 0) => microblaze_0_M_AXI_IC_AWLEN(7 downto 0),
S01_AXI_awlock(0) => microblaze_0_M_AXI_IC_AWLOCK,
S01_AXI_awprot(2 downto 0) => microblaze_0_M_AXI_IC_AWPROT(2 downto 0),
S01_AXI_awqos(3 downto 0) => microblaze_0_M_AXI_IC_AWQOS(3 downto 0),
S01_AXI_awready(0) => microblaze_0_M_AXI_IC_AWREADY(0),
S01_AXI_awsize(2 downto 0) => microblaze_0_M_AXI_IC_AWSIZE(2 downto 0),
S01_AXI_awvalid(0) => microblaze_0_M_AXI_IC_AWVALID,
S01_AXI_bid(0) => microblaze_0_M_AXI_IC_BID(0),
S01_AXI_bready(0) => microblaze_0_M_AXI_IC_BREADY,
S01_AXI_bresp(1 downto 0) => microblaze_0_M_AXI_IC_BRESP(1 downto 0),
S01_AXI_bvalid(0) => microblaze_0_M_AXI_IC_BVALID(0),
S01_AXI_rdata(31 downto 0) => microblaze_0_M_AXI_IC_RDATA(31 downto 0),
S01_AXI_rid(0) => microblaze_0_M_AXI_IC_RID(0),
S01_AXI_rlast(0) => microblaze_0_M_AXI_IC_RLAST(0),
S01_AXI_rready(0) => microblaze_0_M_AXI_IC_RREADY,
S01_AXI_rresp(1 downto 0) => microblaze_0_M_AXI_IC_RRESP(1 downto 0),
S01_AXI_rvalid(0) => microblaze_0_M_AXI_IC_RVALID(0),
S01_AXI_wdata(31 downto 0) => microblaze_0_M_AXI_IC_WDATA(31 downto 0),
S01_AXI_wlast(0) => microblaze_0_M_AXI_IC_WLAST,
S01_AXI_wready(0) => microblaze_0_M_AXI_IC_WREADY(0),
S01_AXI_wstrb(3 downto 0) => microblaze_0_M_AXI_IC_WSTRB(3 downto 0),
S01_AXI_wvalid(0) => microblaze_0_M_AXI_IC_WVALID
);
axi_timer_0: component design_1_axi_timer_0_0
port map (
capturetrig0 => GND_1,
capturetrig1 => GND_1,
freeze => GND_1,
generateout0 => NLW_axi_timer_0_generateout0_UNCONNECTED,
generateout1 => NLW_axi_timer_0_generateout1_UNCONNECTED,
interrupt => axi_timer_0_interrupt,
pwm0 => NLW_axi_timer_0_pwm0_UNCONNECTED,
s_axi_aclk => microblaze_0_Clk,
s_axi_araddr(4 downto 0) => microblaze_0_axi_periph_M03_AXI_ARADDR(4 downto 0),
s_axi_aresetn => rst_clk_wiz_1_100M_peripheral_aresetn(0),
s_axi_arready => microblaze_0_axi_periph_M03_AXI_ARREADY,
s_axi_arvalid => microblaze_0_axi_periph_M03_AXI_ARVALID,
s_axi_awaddr(4 downto 0) => microblaze_0_axi_periph_M03_AXI_AWADDR(4 downto 0),
s_axi_awready => microblaze_0_axi_periph_M03_AXI_AWREADY,
s_axi_awvalid => microblaze_0_axi_periph_M03_AXI_AWVALID,
s_axi_bready => microblaze_0_axi_periph_M03_AXI_BREADY,
s_axi_bresp(1 downto 0) => microblaze_0_axi_periph_M03_AXI_BRESP(1 downto 0),
s_axi_bvalid => microblaze_0_axi_periph_M03_AXI_BVALID,
s_axi_rdata(31 downto 0) => microblaze_0_axi_periph_M03_AXI_RDATA(31 downto 0),
s_axi_rready => microblaze_0_axi_periph_M03_AXI_RREADY,
s_axi_rresp(1 downto 0) => microblaze_0_axi_periph_M03_AXI_RRESP(1 downto 0),
s_axi_rvalid => microblaze_0_axi_periph_M03_AXI_RVALID,
s_axi_wdata(31 downto 0) => microblaze_0_axi_periph_M03_AXI_WDATA(31 downto 0),
s_axi_wready => microblaze_0_axi_periph_M03_AXI_WREADY,
s_axi_wstrb(3 downto 0) => microblaze_0_axi_periph_M03_AXI_WSTRB(3 downto 0),
s_axi_wvalid => microblaze_0_axi_periph_M03_AXI_WVALID
);
axi_uartlite_0: component design_1_axi_uartlite_0_0
port map (
interrupt => NLW_axi_uartlite_0_interrupt_UNCONNECTED,
rx => axi_uartlite_0_UART_RxD,
s_axi_aclk => microblaze_0_Clk,
s_axi_araddr(3 downto 0) => microblaze_0_axi_periph_M01_AXI_ARADDR(3 downto 0),
s_axi_aresetn => rst_clk_wiz_1_100M_peripheral_aresetn(0),
s_axi_arready => microblaze_0_axi_periph_M01_AXI_ARREADY,
s_axi_arvalid => microblaze_0_axi_periph_M01_AXI_ARVALID,
s_axi_awaddr(3 downto 0) => microblaze_0_axi_periph_M01_AXI_AWADDR(3 downto 0),
s_axi_awready => microblaze_0_axi_periph_M01_AXI_AWREADY,
s_axi_awvalid => microblaze_0_axi_periph_M01_AXI_AWVALID,
s_axi_bready => microblaze_0_axi_periph_M01_AXI_BREADY,
s_axi_bresp(1 downto 0) => microblaze_0_axi_periph_M01_AXI_BRESP(1 downto 0),
s_axi_bvalid => microblaze_0_axi_periph_M01_AXI_BVALID,
s_axi_rdata(31 downto 0) => microblaze_0_axi_periph_M01_AXI_RDATA(31 downto 0),
s_axi_rready => microblaze_0_axi_periph_M01_AXI_RREADY,
s_axi_rresp(1 downto 0) => microblaze_0_axi_periph_M01_AXI_RRESP(1 downto 0),
s_axi_rvalid => microblaze_0_axi_periph_M01_AXI_RVALID,
s_axi_wdata(31 downto 0) => microblaze_0_axi_periph_M01_AXI_WDATA(31 downto 0),
s_axi_wready => microblaze_0_axi_periph_M01_AXI_WREADY,
s_axi_wstrb(3 downto 0) => microblaze_0_axi_periph_M01_AXI_WSTRB(3 downto 0),
s_axi_wvalid => microblaze_0_axi_periph_M01_AXI_WVALID,
tx => axi_uartlite_0_UART_TxD
);
clk_wiz_1: component design_1_clk_wiz_1_0
port map (
clk_in1 => sys_clock_1,
clk_out1 => microblaze_0_Clk,
clk_out2 => clk_wiz_1_clk_out2,
locked => clk_wiz_1_locked,
resetn => reset_1
);
mdm_1: component design_1_mdm_1_0
port map (
Dbg_Capture_0 => microblaze_0_debug_CAPTURE,
Dbg_Clk_0 => microblaze_0_debug_CLK,
Dbg_Reg_En_0(0 to 7) => microblaze_0_debug_REG_EN(0 to 7),
Dbg_Rst_0 => microblaze_0_debug_RST,
Dbg_Shift_0 => microblaze_0_debug_SHIFT,
Dbg_TDI_0 => microblaze_0_debug_TDI,
Dbg_TDO_0 => microblaze_0_debug_TDO,
Dbg_Update_0 => microblaze_0_debug_UPDATE,
Debug_SYS_Rst => mdm_1_debug_sys_rst
);
microblaze_0: component design_1_microblaze_0_0
port map (
Byte_Enable(0 to 3) => microblaze_0_dlmb_1_BE(0 to 3),
Clk => microblaze_0_Clk,
DCE => microblaze_0_dlmb_1_CE,
DReady => microblaze_0_dlmb_1_READY,
DUE => microblaze_0_dlmb_1_UE,
DWait => microblaze_0_dlmb_1_WAIT,
D_AS => microblaze_0_dlmb_1_ADDRSTROBE,
Data_Addr(0 to 31) => microblaze_0_dlmb_1_ABUS(0 to 31),
Data_Read(0 to 31) => microblaze_0_dlmb_1_READDBUS(0 to 31),
Data_Write(0 to 31) => microblaze_0_dlmb_1_WRITEDBUS(0 to 31),
Dbg_Capture => microblaze_0_debug_CAPTURE,
Dbg_Clk => microblaze_0_debug_CLK,
Dbg_Reg_En(0 to 7) => microblaze_0_debug_REG_EN(0 to 7),
Dbg_Shift => microblaze_0_debug_SHIFT,
Dbg_TDI => microblaze_0_debug_TDI,
Dbg_TDO => microblaze_0_debug_TDO,
Dbg_Update => microblaze_0_debug_UPDATE,
Debug_Rst => microblaze_0_debug_RST,
ICE => microblaze_0_ilmb_1_CE,
IFetch => microblaze_0_ilmb_1_READSTROBE,
IReady => microblaze_0_ilmb_1_READY,
IUE => microblaze_0_ilmb_1_UE,
IWAIT => microblaze_0_ilmb_1_WAIT,
I_AS => microblaze_0_ilmb_1_ADDRSTROBE,
Instr(0 to 31) => microblaze_0_ilmb_1_READDBUS(0 to 31),
Instr_Addr(0 to 31) => microblaze_0_ilmb_1_ABUS(0 to 31),
Interrupt => microblaze_0_interrupt_INTERRUPT,
Interrupt_Ack(0 to 1) => microblaze_0_interrupt_ACK(0 to 1),
Interrupt_Address(0) => microblaze_0_interrupt_ADDRESS(31),
Interrupt_Address(1) => microblaze_0_interrupt_ADDRESS(30),
Interrupt_Address(2) => microblaze_0_interrupt_ADDRESS(29),
Interrupt_Address(3) => microblaze_0_interrupt_ADDRESS(28),
Interrupt_Address(4) => microblaze_0_interrupt_ADDRESS(27),
Interrupt_Address(5) => microblaze_0_interrupt_ADDRESS(26),
Interrupt_Address(6) => microblaze_0_interrupt_ADDRESS(25),
Interrupt_Address(7) => microblaze_0_interrupt_ADDRESS(24),
Interrupt_Address(8) => microblaze_0_interrupt_ADDRESS(23),
Interrupt_Address(9) => microblaze_0_interrupt_ADDRESS(22),
Interrupt_Address(10) => microblaze_0_interrupt_ADDRESS(21),
Interrupt_Address(11) => microblaze_0_interrupt_ADDRESS(20),
Interrupt_Address(12) => microblaze_0_interrupt_ADDRESS(19),
Interrupt_Address(13) => microblaze_0_interrupt_ADDRESS(18),
Interrupt_Address(14) => microblaze_0_interrupt_ADDRESS(17),
Interrupt_Address(15) => microblaze_0_interrupt_ADDRESS(16),
Interrupt_Address(16) => microblaze_0_interrupt_ADDRESS(15),
Interrupt_Address(17) => microblaze_0_interrupt_ADDRESS(14),
Interrupt_Address(18) => microblaze_0_interrupt_ADDRESS(13),
Interrupt_Address(19) => microblaze_0_interrupt_ADDRESS(12),
Interrupt_Address(20) => microblaze_0_interrupt_ADDRESS(11),
Interrupt_Address(21) => microblaze_0_interrupt_ADDRESS(10),
Interrupt_Address(22) => microblaze_0_interrupt_ADDRESS(9),
Interrupt_Address(23) => microblaze_0_interrupt_ADDRESS(8),
Interrupt_Address(24) => microblaze_0_interrupt_ADDRESS(7),
Interrupt_Address(25) => microblaze_0_interrupt_ADDRESS(6),
Interrupt_Address(26) => microblaze_0_interrupt_ADDRESS(5),
Interrupt_Address(27) => microblaze_0_interrupt_ADDRESS(4),
Interrupt_Address(28) => microblaze_0_interrupt_ADDRESS(3),
Interrupt_Address(29) => microblaze_0_interrupt_ADDRESS(2),
Interrupt_Address(30) => microblaze_0_interrupt_ADDRESS(1),
Interrupt_Address(31) => microblaze_0_interrupt_ADDRESS(0),
M_AXI_DC_ARADDR(31 downto 0) => microblaze_0_M_AXI_DC_ARADDR(31 downto 0),
M_AXI_DC_ARBURST(1 downto 0) => microblaze_0_M_AXI_DC_ARBURST(1 downto 0),
M_AXI_DC_ARCACHE(3 downto 0) => microblaze_0_M_AXI_DC_ARCACHE(3 downto 0),
M_AXI_DC_ARID(0) => microblaze_0_M_AXI_DC_ARID(0),
M_AXI_DC_ARLEN(7 downto 0) => microblaze_0_M_AXI_DC_ARLEN(7 downto 0),
M_AXI_DC_ARLOCK => microblaze_0_M_AXI_DC_ARLOCK,
M_AXI_DC_ARPROT(2 downto 0) => microblaze_0_M_AXI_DC_ARPROT(2 downto 0),
M_AXI_DC_ARQOS(3 downto 0) => microblaze_0_M_AXI_DC_ARQOS(3 downto 0),
M_AXI_DC_ARREADY => microblaze_0_M_AXI_DC_ARREADY(0),
M_AXI_DC_ARSIZE(2 downto 0) => microblaze_0_M_AXI_DC_ARSIZE(2 downto 0),
M_AXI_DC_ARVALID => microblaze_0_M_AXI_DC_ARVALID,
M_AXI_DC_AWADDR(31 downto 0) => microblaze_0_M_AXI_DC_AWADDR(31 downto 0),
M_AXI_DC_AWBURST(1 downto 0) => microblaze_0_M_AXI_DC_AWBURST(1 downto 0),
M_AXI_DC_AWCACHE(3 downto 0) => microblaze_0_M_AXI_DC_AWCACHE(3 downto 0),
M_AXI_DC_AWID(0) => microblaze_0_M_AXI_DC_AWID(0),
M_AXI_DC_AWLEN(7 downto 0) => microblaze_0_M_AXI_DC_AWLEN(7 downto 0),
M_AXI_DC_AWLOCK => microblaze_0_M_AXI_DC_AWLOCK,
M_AXI_DC_AWPROT(2 downto 0) => microblaze_0_M_AXI_DC_AWPROT(2 downto 0),
M_AXI_DC_AWQOS(3 downto 0) => microblaze_0_M_AXI_DC_AWQOS(3 downto 0),
M_AXI_DC_AWREADY => microblaze_0_M_AXI_DC_AWREADY(0),
M_AXI_DC_AWSIZE(2 downto 0) => microblaze_0_M_AXI_DC_AWSIZE(2 downto 0),
M_AXI_DC_AWVALID => microblaze_0_M_AXI_DC_AWVALID,
M_AXI_DC_BID(0) => microblaze_0_M_AXI_DC_BID(0),
M_AXI_DC_BREADY => microblaze_0_M_AXI_DC_BREADY,
M_AXI_DC_BRESP(1 downto 0) => microblaze_0_M_AXI_DC_BRESP(1 downto 0),
M_AXI_DC_BVALID => microblaze_0_M_AXI_DC_BVALID(0),
M_AXI_DC_RDATA(31 downto 0) => microblaze_0_M_AXI_DC_RDATA(31 downto 0),
M_AXI_DC_RID(0) => microblaze_0_M_AXI_DC_RID(0),
M_AXI_DC_RLAST => microblaze_0_M_AXI_DC_RLAST(0),
M_AXI_DC_RREADY => microblaze_0_M_AXI_DC_RREADY,
M_AXI_DC_RRESP(1 downto 0) => microblaze_0_M_AXI_DC_RRESP(1 downto 0),
M_AXI_DC_RVALID => microblaze_0_M_AXI_DC_RVALID(0),
M_AXI_DC_WDATA(31 downto 0) => microblaze_0_M_AXI_DC_WDATA(31 downto 0),
M_AXI_DC_WLAST => microblaze_0_M_AXI_DC_WLAST,
M_AXI_DC_WREADY => microblaze_0_M_AXI_DC_WREADY(0),
M_AXI_DC_WSTRB(3 downto 0) => microblaze_0_M_AXI_DC_WSTRB(3 downto 0),
M_AXI_DC_WVALID => microblaze_0_M_AXI_DC_WVALID,
M_AXI_DP_ARADDR(31 downto 0) => microblaze_0_axi_dp_ARADDR(31 downto 0),
M_AXI_DP_ARPROT(2 downto 0) => microblaze_0_axi_dp_ARPROT(2 downto 0),
M_AXI_DP_ARREADY => microblaze_0_axi_dp_ARREADY(0),
M_AXI_DP_ARVALID => microblaze_0_axi_dp_ARVALID,
M_AXI_DP_AWADDR(31 downto 0) => microblaze_0_axi_dp_AWADDR(31 downto 0),
M_AXI_DP_AWPROT(2 downto 0) => microblaze_0_axi_dp_AWPROT(2 downto 0),
M_AXI_DP_AWREADY => microblaze_0_axi_dp_AWREADY(0),
M_AXI_DP_AWVALID => microblaze_0_axi_dp_AWVALID,
M_AXI_DP_BREADY => microblaze_0_axi_dp_BREADY,
M_AXI_DP_BRESP(1 downto 0) => microblaze_0_axi_dp_BRESP(1 downto 0),
M_AXI_DP_BVALID => microblaze_0_axi_dp_BVALID(0),
M_AXI_DP_RDATA(31 downto 0) => microblaze_0_axi_dp_RDATA(31 downto 0),
M_AXI_DP_RREADY => microblaze_0_axi_dp_RREADY,
M_AXI_DP_RRESP(1 downto 0) => microblaze_0_axi_dp_RRESP(1 downto 0),
M_AXI_DP_RVALID => microblaze_0_axi_dp_RVALID(0),
M_AXI_DP_WDATA(31 downto 0) => microblaze_0_axi_dp_WDATA(31 downto 0),
M_AXI_DP_WREADY => microblaze_0_axi_dp_WREADY(0),
M_AXI_DP_WSTRB(3 downto 0) => microblaze_0_axi_dp_WSTRB(3 downto 0),
M_AXI_DP_WVALID => microblaze_0_axi_dp_WVALID,
M_AXI_IC_ARADDR(31 downto 0) => microblaze_0_M_AXI_IC_ARADDR(31 downto 0),
M_AXI_IC_ARBURST(1 downto 0) => microblaze_0_M_AXI_IC_ARBURST(1 downto 0),
M_AXI_IC_ARCACHE(3 downto 0) => microblaze_0_M_AXI_IC_ARCACHE(3 downto 0),
M_AXI_IC_ARID(0) => microblaze_0_M_AXI_IC_ARID(0),
M_AXI_IC_ARLEN(7 downto 0) => microblaze_0_M_AXI_IC_ARLEN(7 downto 0),
M_AXI_IC_ARLOCK => microblaze_0_M_AXI_IC_ARLOCK,
M_AXI_IC_ARPROT(2 downto 0) => microblaze_0_M_AXI_IC_ARPROT(2 downto 0),
M_AXI_IC_ARQOS(3 downto 0) => microblaze_0_M_AXI_IC_ARQOS(3 downto 0),
M_AXI_IC_ARREADY => microblaze_0_M_AXI_IC_ARREADY(0),
M_AXI_IC_ARSIZE(2 downto 0) => microblaze_0_M_AXI_IC_ARSIZE(2 downto 0),
M_AXI_IC_ARVALID => microblaze_0_M_AXI_IC_ARVALID,
M_AXI_IC_AWADDR(31 downto 0) => microblaze_0_M_AXI_IC_AWADDR(31 downto 0),
M_AXI_IC_AWBURST(1 downto 0) => microblaze_0_M_AXI_IC_AWBURST(1 downto 0),
M_AXI_IC_AWCACHE(3 downto 0) => microblaze_0_M_AXI_IC_AWCACHE(3 downto 0),
M_AXI_IC_AWID(0) => microblaze_0_M_AXI_IC_AWID(0),
M_AXI_IC_AWLEN(7 downto 0) => microblaze_0_M_AXI_IC_AWLEN(7 downto 0),
M_AXI_IC_AWLOCK => microblaze_0_M_AXI_IC_AWLOCK,
M_AXI_IC_AWPROT(2 downto 0) => microblaze_0_M_AXI_IC_AWPROT(2 downto 0),
M_AXI_IC_AWQOS(3 downto 0) => microblaze_0_M_AXI_IC_AWQOS(3 downto 0),
M_AXI_IC_AWREADY => microblaze_0_M_AXI_IC_AWREADY(0),
M_AXI_IC_AWSIZE(2 downto 0) => microblaze_0_M_AXI_IC_AWSIZE(2 downto 0),
M_AXI_IC_AWVALID => microblaze_0_M_AXI_IC_AWVALID,
M_AXI_IC_BID(0) => microblaze_0_M_AXI_IC_BID(0),
M_AXI_IC_BREADY => microblaze_0_M_AXI_IC_BREADY,
M_AXI_IC_BRESP(1 downto 0) => microblaze_0_M_AXI_IC_BRESP(1 downto 0),
M_AXI_IC_BVALID => microblaze_0_M_AXI_IC_BVALID(0),
M_AXI_IC_RDATA(31 downto 0) => microblaze_0_M_AXI_IC_RDATA(31 downto 0),
M_AXI_IC_RID(0) => microblaze_0_M_AXI_IC_RID(0),
M_AXI_IC_RLAST => microblaze_0_M_AXI_IC_RLAST(0),
M_AXI_IC_RREADY => microblaze_0_M_AXI_IC_RREADY,
M_AXI_IC_RRESP(1 downto 0) => microblaze_0_M_AXI_IC_RRESP(1 downto 0),
M_AXI_IC_RVALID => microblaze_0_M_AXI_IC_RVALID(0),
M_AXI_IC_WDATA(31 downto 0) => microblaze_0_M_AXI_IC_WDATA(31 downto 0),
M_AXI_IC_WLAST => microblaze_0_M_AXI_IC_WLAST,
M_AXI_IC_WREADY => microblaze_0_M_AXI_IC_WREADY(0),
M_AXI_IC_WSTRB(3 downto 0) => microblaze_0_M_AXI_IC_WSTRB(3 downto 0),
M_AXI_IC_WVALID => microblaze_0_M_AXI_IC_WVALID,
Read_Strobe => microblaze_0_dlmb_1_READSTROBE,
Reset => rst_clk_wiz_1_100M_mb_reset,
Write_Strobe => microblaze_0_dlmb_1_WRITESTROBE
);
microblaze_0_axi_intc: component design_1_microblaze_0_axi_intc_0
port map (
interrupt_address(31 downto 0) => microblaze_0_interrupt_ADDRESS(31 downto 0),
intr(1 downto 0) => microblaze_0_intr(1 downto 0),
irq => microblaze_0_interrupt_INTERRUPT,
processor_ack(1) => microblaze_0_interrupt_ACK(0),
processor_ack(0) => microblaze_0_interrupt_ACK(1),
processor_clk => microblaze_0_Clk,
processor_rst => rst_clk_wiz_1_100M_mb_reset,
s_axi_aclk => microblaze_0_Clk,
s_axi_araddr(8 downto 0) => microblaze_0_intc_axi_ARADDR(8 downto 0),
s_axi_aresetn => rst_clk_wiz_1_100M_peripheral_aresetn(0),
s_axi_arready => microblaze_0_intc_axi_ARREADY,
s_axi_arvalid => microblaze_0_intc_axi_ARVALID,
s_axi_awaddr(8 downto 0) => microblaze_0_intc_axi_AWADDR(8 downto 0),
s_axi_awready => microblaze_0_intc_axi_AWREADY,
s_axi_awvalid => microblaze_0_intc_axi_AWVALID,
s_axi_bready => microblaze_0_intc_axi_BREADY,
s_axi_bresp(1 downto 0) => microblaze_0_intc_axi_BRESP(1 downto 0),
s_axi_bvalid => microblaze_0_intc_axi_BVALID,
s_axi_rdata(31 downto 0) => microblaze_0_intc_axi_RDATA(31 downto 0),
s_axi_rready => microblaze_0_intc_axi_RREADY,
s_axi_rresp(1 downto 0) => microblaze_0_intc_axi_RRESP(1 downto 0),
s_axi_rvalid => microblaze_0_intc_axi_RVALID,
s_axi_wdata(31 downto 0) => microblaze_0_intc_axi_WDATA(31 downto 0),
s_axi_wready => microblaze_0_intc_axi_WREADY,
s_axi_wstrb(3 downto 0) => microblaze_0_intc_axi_WSTRB(3 downto 0),
s_axi_wvalid => microblaze_0_intc_axi_WVALID
);
microblaze_0_axi_periph: entity work.design_1_microblaze_0_axi_periph_0
port map (
ACLK => microblaze_0_Clk,
ARESETN(0) => rst_clk_wiz_1_100M_interconnect_aresetn(0),
M00_ACLK => microblaze_0_Clk,
M00_ARESETN(0) => rst_clk_wiz_1_100M_peripheral_aresetn(0),
M00_AXI_araddr(8 downto 0) => microblaze_0_intc_axi_ARADDR(8 downto 0),
M00_AXI_arready => microblaze_0_intc_axi_ARREADY,
M00_AXI_arvalid => microblaze_0_intc_axi_ARVALID,
M00_AXI_awaddr(8 downto 0) => microblaze_0_intc_axi_AWADDR(8 downto 0),
M00_AXI_awready => microblaze_0_intc_axi_AWREADY,
M00_AXI_awvalid => microblaze_0_intc_axi_AWVALID,
M00_AXI_bready => microblaze_0_intc_axi_BREADY,
M00_AXI_bresp(1 downto 0) => microblaze_0_intc_axi_BRESP(1 downto 0),
M00_AXI_bvalid => microblaze_0_intc_axi_BVALID,
M00_AXI_rdata(31 downto 0) => microblaze_0_intc_axi_RDATA(31 downto 0),
M00_AXI_rready => microblaze_0_intc_axi_RREADY,
M00_AXI_rresp(1 downto 0) => microblaze_0_intc_axi_RRESP(1 downto 0),
M00_AXI_rvalid => microblaze_0_intc_axi_RVALID,
M00_AXI_wdata(31 downto 0) => microblaze_0_intc_axi_WDATA(31 downto 0),
M00_AXI_wready => microblaze_0_intc_axi_WREADY,
M00_AXI_wstrb(3 downto 0) => microblaze_0_intc_axi_WSTRB(3 downto 0),
M00_AXI_wvalid => microblaze_0_intc_axi_WVALID,
M01_ACLK => microblaze_0_Clk,
M01_ARESETN(0) => rst_clk_wiz_1_100M_peripheral_aresetn(0),
M01_AXI_araddr(3 downto 0) => microblaze_0_axi_periph_M01_AXI_ARADDR(3 downto 0),
M01_AXI_arready => microblaze_0_axi_periph_M01_AXI_ARREADY,
M01_AXI_arvalid => microblaze_0_axi_periph_M01_AXI_ARVALID,
M01_AXI_awaddr(3 downto 0) => microblaze_0_axi_periph_M01_AXI_AWADDR(3 downto 0),
M01_AXI_awready => microblaze_0_axi_periph_M01_AXI_AWREADY,
M01_AXI_awvalid => microblaze_0_axi_periph_M01_AXI_AWVALID,
M01_AXI_bready => microblaze_0_axi_periph_M01_AXI_BREADY,
M01_AXI_bresp(1 downto 0) => microblaze_0_axi_periph_M01_AXI_BRESP(1 downto 0),
M01_AXI_bvalid => microblaze_0_axi_periph_M01_AXI_BVALID,
M01_AXI_rdata(31 downto 0) => microblaze_0_axi_periph_M01_AXI_RDATA(31 downto 0),
M01_AXI_rready => microblaze_0_axi_periph_M01_AXI_RREADY,
M01_AXI_rresp(1 downto 0) => microblaze_0_axi_periph_M01_AXI_RRESP(1 downto 0),
M01_AXI_rvalid => microblaze_0_axi_periph_M01_AXI_RVALID,
M01_AXI_wdata(31 downto 0) => microblaze_0_axi_periph_M01_AXI_WDATA(31 downto 0),
M01_AXI_wready => microblaze_0_axi_periph_M01_AXI_WREADY,
M01_AXI_wstrb(3 downto 0) => microblaze_0_axi_periph_M01_AXI_WSTRB(3 downto 0),
M01_AXI_wvalid => microblaze_0_axi_periph_M01_AXI_WVALID,
M02_ACLK => microblaze_0_Clk,
M02_ARESETN(0) => rst_clk_wiz_1_100M_peripheral_aresetn(0),
M02_AXI_araddr(12 downto 0) => microblaze_0_axi_periph_M02_AXI_ARADDR(12 downto 0),
M02_AXI_arready => microblaze_0_axi_periph_M02_AXI_ARREADY,
M02_AXI_arvalid => microblaze_0_axi_periph_M02_AXI_ARVALID,
M02_AXI_awaddr(12 downto 0) => microblaze_0_axi_periph_M02_AXI_AWADDR(12 downto 0),
M02_AXI_awready => microblaze_0_axi_periph_M02_AXI_AWREADY,
M02_AXI_awvalid => microblaze_0_axi_periph_M02_AXI_AWVALID,
M02_AXI_bready => microblaze_0_axi_periph_M02_AXI_BREADY,
M02_AXI_bresp(1 downto 0) => microblaze_0_axi_periph_M02_AXI_BRESP(1 downto 0),
M02_AXI_bvalid => microblaze_0_axi_periph_M02_AXI_BVALID,
M02_AXI_rdata(31 downto 0) => microblaze_0_axi_periph_M02_AXI_RDATA(31 downto 0),
M02_AXI_rready => microblaze_0_axi_periph_M02_AXI_RREADY,
M02_AXI_rresp(1 downto 0) => microblaze_0_axi_periph_M02_AXI_RRESP(1 downto 0),
M02_AXI_rvalid => microblaze_0_axi_periph_M02_AXI_RVALID,
M02_AXI_wdata(31 downto 0) => microblaze_0_axi_periph_M02_AXI_WDATA(31 downto 0),
M02_AXI_wready => microblaze_0_axi_periph_M02_AXI_WREADY,
M02_AXI_wstrb(3 downto 0) => microblaze_0_axi_periph_M02_AXI_WSTRB(3 downto 0),
M02_AXI_wvalid => microblaze_0_axi_periph_M02_AXI_WVALID,
M03_ACLK => microblaze_0_Clk,
M03_ARESETN(0) => rst_clk_wiz_1_100M_peripheral_aresetn(0),
M03_AXI_araddr(4 downto 0) => microblaze_0_axi_periph_M03_AXI_ARADDR(4 downto 0),
M03_AXI_arready => microblaze_0_axi_periph_M03_AXI_ARREADY,
M03_AXI_arvalid => microblaze_0_axi_periph_M03_AXI_ARVALID,
M03_AXI_awaddr(4 downto 0) => microblaze_0_axi_periph_M03_AXI_AWADDR(4 downto 0),
M03_AXI_awready => microblaze_0_axi_periph_M03_AXI_AWREADY,
M03_AXI_awvalid => microblaze_0_axi_periph_M03_AXI_AWVALID,
M03_AXI_bready => microblaze_0_axi_periph_M03_AXI_BREADY,
M03_AXI_bresp(1 downto 0) => microblaze_0_axi_periph_M03_AXI_BRESP(1 downto 0),
M03_AXI_bvalid => microblaze_0_axi_periph_M03_AXI_BVALID,
M03_AXI_rdata(31 downto 0) => microblaze_0_axi_periph_M03_AXI_RDATA(31 downto 0),
M03_AXI_rready => microblaze_0_axi_periph_M03_AXI_RREADY,
M03_AXI_rresp(1 downto 0) => microblaze_0_axi_periph_M03_AXI_RRESP(1 downto 0),
M03_AXI_rvalid => microblaze_0_axi_periph_M03_AXI_RVALID,
M03_AXI_wdata(31 downto 0) => microblaze_0_axi_periph_M03_AXI_WDATA(31 downto 0),
M03_AXI_wready => microblaze_0_axi_periph_M03_AXI_WREADY,
M03_AXI_wstrb(3 downto 0) => microblaze_0_axi_periph_M03_AXI_WSTRB(3 downto 0),
M03_AXI_wvalid => microblaze_0_axi_periph_M03_AXI_WVALID,
S00_ACLK => microblaze_0_Clk,
S00_ARESETN(0) => rst_clk_wiz_1_100M_peripheral_aresetn(0),
S00_AXI_araddr(31 downto 0) => microblaze_0_axi_dp_ARADDR(31 downto 0),
S00_AXI_arprot(2 downto 0) => microblaze_0_axi_dp_ARPROT(2 downto 0),
S00_AXI_arready(0) => microblaze_0_axi_dp_ARREADY(0),
S00_AXI_arvalid(0) => microblaze_0_axi_dp_ARVALID,
S00_AXI_awaddr(31 downto 0) => microblaze_0_axi_dp_AWADDR(31 downto 0),
S00_AXI_awprot(2 downto 0) => microblaze_0_axi_dp_AWPROT(2 downto 0),
S00_AXI_awready(0) => microblaze_0_axi_dp_AWREADY(0),
S00_AXI_awvalid(0) => microblaze_0_axi_dp_AWVALID,
S00_AXI_bready(0) => microblaze_0_axi_dp_BREADY,
S00_AXI_bresp(1 downto 0) => microblaze_0_axi_dp_BRESP(1 downto 0),
S00_AXI_bvalid(0) => microblaze_0_axi_dp_BVALID(0),
S00_AXI_rdata(31 downto 0) => microblaze_0_axi_dp_RDATA(31 downto 0),
S00_AXI_rready(0) => microblaze_0_axi_dp_RREADY,
S00_AXI_rresp(1 downto 0) => microblaze_0_axi_dp_RRESP(1 downto 0),
S00_AXI_rvalid(0) => microblaze_0_axi_dp_RVALID(0),
S00_AXI_wdata(31 downto 0) => microblaze_0_axi_dp_WDATA(31 downto 0),
S00_AXI_wready(0) => microblaze_0_axi_dp_WREADY(0),
S00_AXI_wstrb(3 downto 0) => microblaze_0_axi_dp_WSTRB(3 downto 0),
S00_AXI_wvalid(0) => microblaze_0_axi_dp_WVALID
);
microblaze_0_local_memory: entity work.microblaze_0_local_memory_imp_1K0VQXK
port map (
DLMB_abus(0 to 31) => microblaze_0_dlmb_1_ABUS(0 to 31),
DLMB_addrstrobe => microblaze_0_dlmb_1_ADDRSTROBE,
DLMB_be(0 to 3) => microblaze_0_dlmb_1_BE(0 to 3),
DLMB_ce => microblaze_0_dlmb_1_CE,
DLMB_readdbus(0 to 31) => microblaze_0_dlmb_1_READDBUS(0 to 31),
DLMB_readstrobe => microblaze_0_dlmb_1_READSTROBE,
DLMB_ready => microblaze_0_dlmb_1_READY,
DLMB_ue => microblaze_0_dlmb_1_UE,
DLMB_wait => microblaze_0_dlmb_1_WAIT,
DLMB_writedbus(0 to 31) => microblaze_0_dlmb_1_WRITEDBUS(0 to 31),
DLMB_writestrobe => microblaze_0_dlmb_1_WRITESTROBE,
ILMB_abus(0 to 31) => microblaze_0_ilmb_1_ABUS(0 to 31),
ILMB_addrstrobe => microblaze_0_ilmb_1_ADDRSTROBE,
ILMB_ce => microblaze_0_ilmb_1_CE,
ILMB_readdbus(0 to 31) => microblaze_0_ilmb_1_READDBUS(0 to 31),
ILMB_readstrobe => microblaze_0_ilmb_1_READSTROBE,
ILMB_ready => microblaze_0_ilmb_1_READY,
ILMB_ue => microblaze_0_ilmb_1_UE,
ILMB_wait => microblaze_0_ilmb_1_WAIT,
LMB_Clk => microblaze_0_Clk,
SYS_Rst(0) => rst_clk_wiz_1_100M_bus_struct_reset(0)
);
microblaze_0_xlconcat: component design_1_microblaze_0_xlconcat_0
port map (
In0(0) => axi_timer_0_interrupt,
In1(0) => axi_ethernetlite_0_ip2intc_irpt,
dout(1 downto 0) => microblaze_0_intr(1 downto 0)
);
mii_to_rmii_0: component design_1_mii_to_rmii_0_0
port map (
mac2rmii_tx_en => axi_ethernetlite_0_MII_TX_EN,
mac2rmii_tx_er => GND_1,
mac2rmii_txd(3 downto 0) => axi_ethernetlite_0_MII_TXD(3 downto 0),
phy2rmii_crs_dv => mii_to_rmii_0_RMII_PHY_M_CRS_DV,
phy2rmii_rx_er => mii_to_rmii_0_RMII_PHY_M_RX_ER,
phy2rmii_rxd(1 downto 0) => mii_to_rmii_0_RMII_PHY_M_RXD(1 downto 0),
ref_clk => clk_wiz_1_clk_out2,
rmii2mac_col => axi_ethernetlite_0_MII_COL,
rmii2mac_crs => axi_ethernetlite_0_MII_CRS,
rmii2mac_rx_clk => axi_ethernetlite_0_MII_RX_CLK,
rmii2mac_rx_dv => axi_ethernetlite_0_MII_RX_DV,
rmii2mac_rx_er => axi_ethernetlite_0_MII_RX_ER,
rmii2mac_rxd(3 downto 0) => axi_ethernetlite_0_MII_RXD(3 downto 0),
rmii2mac_tx_clk => axi_ethernetlite_0_MII_TX_CLK,
rmii2phy_tx_en => mii_to_rmii_0_RMII_PHY_M_TX_EN,
rmii2phy_txd(1 downto 0) => mii_to_rmii_0_RMII_PHY_M_TXD(1 downto 0),
rst_n => reset_1
);
rst_clk_wiz_1_100M: component design_1_rst_clk_wiz_1_100M_0
port map (
aux_reset_in => VCC_1,
bus_struct_reset(0) => rst_clk_wiz_1_100M_bus_struct_reset(0),
dcm_locked => clk_wiz_1_locked,
ext_reset_in => reset_1,
interconnect_aresetn(0) => rst_clk_wiz_1_100M_interconnect_aresetn(0),
mb_debug_sys_rst => mdm_1_debug_sys_rst,
mb_reset => rst_clk_wiz_1_100M_mb_reset,
peripheral_aresetn(0) => rst_clk_wiz_1_100M_peripheral_aresetn(0),
peripheral_reset(0) => NLW_rst_clk_wiz_1_100M_peripheral_reset_UNCONNECTED(0),
slowest_sync_clk => microblaze_0_Clk
);
end STRUCTURE;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc3111.vhd,v 1.2 2001-10-26 16:29:51 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c05s02b00x00p06n01i03111ent_a IS
generic ( g1 : boolean );
port ( p1 : in Bit;
p2 : out Bit );
END c05s02b00x00p06n01i03111ent_a;
ARCHITECTURE c05s02b00x00p06n01i03111arch_a OF c05s02b00x00p06n01i03111ent_a IS
BEGIN
p2 <= p1 after 10 ns;
END c05s02b00x00p06n01i03111arch_a;
ENTITY c05s02b00x00p06n01i03111ent IS
END c05s02b00x00p06n01i03111ent;
ARCHITECTURE c05s02b00x00p06n01i03111arch OF c05s02b00x00p06n01i03111ent IS
signal s1 : Bit := '0';
signal s2 : Bit := '1';
component virtual
generic ( g1 : boolean );
port ( p1 : in Bit;
p2 : out Bit );
end component;
for u1 : virtual use entity work.c05s02b00x00p06n01i03111ent_a (c05s02b00x00p06n01i03111arch_a);
BEGIN
u1 : virtual
generic map ( true ) port map (s1, s2);
TESTING: PROCESS
BEGIN
wait for 50 ns;
assert NOT( s2 = s1 )
report "***PASSED TEST: c05s02b00x00p06n01i03111"
severity NOTE;
assert ( s2 = s1 )
report "***FAILED TEST: c05s02b00x00p06n01i03111 - Component instantiation test failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c05s02b00x00p06n01i03111arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc3111.vhd,v 1.2 2001-10-26 16:29:51 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c05s02b00x00p06n01i03111ent_a IS
generic ( g1 : boolean );
port ( p1 : in Bit;
p2 : out Bit );
END c05s02b00x00p06n01i03111ent_a;
ARCHITECTURE c05s02b00x00p06n01i03111arch_a OF c05s02b00x00p06n01i03111ent_a IS
BEGIN
p2 <= p1 after 10 ns;
END c05s02b00x00p06n01i03111arch_a;
ENTITY c05s02b00x00p06n01i03111ent IS
END c05s02b00x00p06n01i03111ent;
ARCHITECTURE c05s02b00x00p06n01i03111arch OF c05s02b00x00p06n01i03111ent IS
signal s1 : Bit := '0';
signal s2 : Bit := '1';
component virtual
generic ( g1 : boolean );
port ( p1 : in Bit;
p2 : out Bit );
end component;
for u1 : virtual use entity work.c05s02b00x00p06n01i03111ent_a (c05s02b00x00p06n01i03111arch_a);
BEGIN
u1 : virtual
generic map ( true ) port map (s1, s2);
TESTING: PROCESS
BEGIN
wait for 50 ns;
assert NOT( s2 = s1 )
report "***PASSED TEST: c05s02b00x00p06n01i03111"
severity NOTE;
assert ( s2 = s1 )
report "***FAILED TEST: c05s02b00x00p06n01i03111 - Component instantiation test failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c05s02b00x00p06n01i03111arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc3111.vhd,v 1.2 2001-10-26 16:29:51 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c05s02b00x00p06n01i03111ent_a IS
generic ( g1 : boolean );
port ( p1 : in Bit;
p2 : out Bit );
END c05s02b00x00p06n01i03111ent_a;
ARCHITECTURE c05s02b00x00p06n01i03111arch_a OF c05s02b00x00p06n01i03111ent_a IS
BEGIN
p2 <= p1 after 10 ns;
END c05s02b00x00p06n01i03111arch_a;
ENTITY c05s02b00x00p06n01i03111ent IS
END c05s02b00x00p06n01i03111ent;
ARCHITECTURE c05s02b00x00p06n01i03111arch OF c05s02b00x00p06n01i03111ent IS
signal s1 : Bit := '0';
signal s2 : Bit := '1';
component virtual
generic ( g1 : boolean );
port ( p1 : in Bit;
p2 : out Bit );
end component;
for u1 : virtual use entity work.c05s02b00x00p06n01i03111ent_a (c05s02b00x00p06n01i03111arch_a);
BEGIN
u1 : virtual
generic map ( true ) port map (s1, s2);
TESTING: PROCESS
BEGIN
wait for 50 ns;
assert NOT( s2 = s1 )
report "***PASSED TEST: c05s02b00x00p06n01i03111"
severity NOTE;
assert ( s2 = s1 )
report "***FAILED TEST: c05s02b00x00p06n01i03111 - Component instantiation test failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c05s02b00x00p06n01i03111arch;
|
------------------------------------------------------
-- Program-Memory
------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.numeric_std.all;
use std.textio.all;
-- Important NOTE:
-- ---------------
--
-- The ROM_BITS generic controls the size of the internal
-- ROM. The ROM is located in upper part of program memory
-- and is initialized by the given (intel-).hex-file.
-- If there's no such file, everything is filled up with
-- 'null'. Everything before the ROM is always nulled.
-- If you don't want a System-ROM, just set ROM_BITS to 0.
entity prgmem is
generic (
INIT_FILE_NAME : string; -- => init file for rom
PRGM_MEM : positive := 12; -- => 4k word
MEM_WIDTH : positive := 32
);
port (
-- common signals
clk : in std_logic; -- normal system clock
reset : in std_logic;
-- access (r)
addr : in std_logic_vector(PRGM_MEM-1 downto 0);
data : out std_logic_vector(MEM_WIDTH-1 downto 0)
);
end entity;
architecture Behavioral of prgmem is
-- some constants
constant MEM_DEPTH : positive := 2**PRGM_MEM;
-- constant MEM_WIDTH : positive := ;
-- constant ROM_DEPTH : positive := 2**ROM_BITS ;
-- constant ROM_POS : integer := rom_start(PRGM_MEM, ROM_BITS);
-- declare memory type
type MEM_TYPE is array(0 to MEM_DEPTH - 1) of std_logic_vector(MEM_WIDTH-1 downto 0);
type BYTE_STRING is array(1 downto 0) of character;
type WORD_STRING is array(3 downto 0) of character;
function CHAR_TO_INT ( char : in character) return integer is
variable r : integer := 0;
begin
case char is
when 'A' => r := 10;
when 'B' => r := 11;
when 'C' => r := 12;
when 'D' => r := 13;
when 'E' => r := 14;
when 'F' => r := 15;
when 'a' => r := 10;
when 'b' => r := 11;
when 'c' => r := 12;
when 'd' => r := 13;
when 'e' => r := 14;
when 'f' => r := 15;
when '1' => r := 1;
when '2' => r := 2;
when '3' => r := 3;
when '4' => r := 4;
when '5' => r := 5;
when '6' => r := 6;
when '7' => r := 7;
when '8' => r := 8;
when '9' => r := 9;
when others => null;
end case;
return r;
end function;
function BYTE_TO_INT ( bytechars : in string(1 to 2)) return integer is
begin
return CHAR_TO_INT(bytechars(1))*16+CHAR_TO_INT(bytechars(2));
end function;
function WORD_TO_INT ( wordchars : in string(1 to 4)) return integer is
begin
return BYTE_TO_INT(wordchars(1) & wordchars(2))*256+BYTE_TO_INT(wordchars(3) & wordchars(4));
end function;
-- function for loading the init values
impure function InitRamFromFile (file_name : in string) return MEM_TYPE is
FILE init_file : text;-- is in file_name;
variable rline : line;
variable memory : MEM_TYPE;
-- variable offs : integer := 0;
variable count : integer;
variable linemode : integer;
variable addr : integer;
variable tmp_chr : character;
variable tmp_byte : string(1 to 2);--BYTE_STRING;
variable tmp_word : string(1 to 4);--WORD_STRING;
variable tmp_addr : integer;
variable tmp_v : std_logic_vector(MEM_WIDTH-1 downto 0);
begin
-- first just null everything
for i in 0 to MEM_DEPTH-1 loop
memory(i) := (others => '0');
end loop;
file_open(init_file, file_name, READ_MODE);
-- read rom file
while (not endfile(init_file)) loop
readline (init_file, rline);
exit when endfile (init_file);
read (rline, tmp_chr);
if tmp_chr = ':' then --beginning of line is correct
--how much to read
read (rline, tmp_byte);
count := BYTE_TO_INT(tmp_byte);
--addr
read (rline, tmp_word);
addr := WORD_TO_INT(tmp_word);
--line mode
read (rline, tmp_byte);
linemode := BYTE_TO_INT(tmp_byte);
if linemode = 0 then
-- loop every PROGRAM-WORD
for i in 0 to (count/(MEM_WIDTH/8) - 1) loop
tmp_v := (others=>'0');
-- loop for every BYTE IN PROGRAM-WORD
for j in 0 to MEM_WIDTH/8-1 loop
read (rline, tmp_byte);
tmp_v((j+1)*8-1 downto j*8) := std_logic_vector(to_unsigned(BYTE_TO_INT(tmp_byte),8));
end loop;
-- store in memory
memory(addr/(MEM_WIDTH/8) + i) := tmp_v;
end loop;
end if;
end if;
end loop;
file_close(init_file);
return memory;
end function;
-- define memory and initialize it
signal memory : MEM_TYPE := InitRamFromFile(INIT_FILE_NAME);
signal mem_addr : std_logic_vector(PRGM_MEM-1 downto 0);
signal mem_doa : std_logic_vector(15 downto 0);
signal mem_we : std_logic;
-- output register
signal reg_cmd_out : std_logic_vector(MEM_WIDTH-1 downto 0);
signal reg_const_out : std_logic_vector(MEM_WIDTH-1 downto 0);
signal reg_lpmspm : std_logic_vector(MEM_WIDTH-1 downto 0);
begin
mem_addr <= addr;
---------------------------------------------------
-- infering the block ram
process(clk)
begin
if clk'event and clk = '1' then
data <= memory(to_integer(unsigned(addr)));
end if;
end process;
end architecture;
|
------------------------------------------------------
-- Program-Memory
------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.numeric_std.all;
use std.textio.all;
-- Important NOTE:
-- ---------------
--
-- The ROM_BITS generic controls the size of the internal
-- ROM. The ROM is located in upper part of program memory
-- and is initialized by the given (intel-).hex-file.
-- If there's no such file, everything is filled up with
-- 'null'. Everything before the ROM is always nulled.
-- If you don't want a System-ROM, just set ROM_BITS to 0.
entity prgmem is
generic (
INIT_FILE_NAME : string; -- => init file for rom
PRGM_MEM : positive := 12; -- => 4k word
MEM_WIDTH : positive := 32
);
port (
-- common signals
clk : in std_logic; -- normal system clock
reset : in std_logic;
-- access (r)
addr : in std_logic_vector(PRGM_MEM-1 downto 0);
data : out std_logic_vector(MEM_WIDTH-1 downto 0)
);
end entity;
architecture Behavioral of prgmem is
-- some constants
constant MEM_DEPTH : positive := 2**PRGM_MEM;
-- constant MEM_WIDTH : positive := ;
-- constant ROM_DEPTH : positive := 2**ROM_BITS ;
-- constant ROM_POS : integer := rom_start(PRGM_MEM, ROM_BITS);
-- declare memory type
type MEM_TYPE is array(0 to MEM_DEPTH - 1) of std_logic_vector(MEM_WIDTH-1 downto 0);
type BYTE_STRING is array(1 downto 0) of character;
type WORD_STRING is array(3 downto 0) of character;
function CHAR_TO_INT ( char : in character) return integer is
variable r : integer := 0;
begin
case char is
when 'A' => r := 10;
when 'B' => r := 11;
when 'C' => r := 12;
when 'D' => r := 13;
when 'E' => r := 14;
when 'F' => r := 15;
when 'a' => r := 10;
when 'b' => r := 11;
when 'c' => r := 12;
when 'd' => r := 13;
when 'e' => r := 14;
when 'f' => r := 15;
when '1' => r := 1;
when '2' => r := 2;
when '3' => r := 3;
when '4' => r := 4;
when '5' => r := 5;
when '6' => r := 6;
when '7' => r := 7;
when '8' => r := 8;
when '9' => r := 9;
when others => null;
end case;
return r;
end function;
function BYTE_TO_INT ( bytechars : in string(1 to 2)) return integer is
begin
return CHAR_TO_INT(bytechars(1))*16+CHAR_TO_INT(bytechars(2));
end function;
function WORD_TO_INT ( wordchars : in string(1 to 4)) return integer is
begin
return BYTE_TO_INT(wordchars(1) & wordchars(2))*256+BYTE_TO_INT(wordchars(3) & wordchars(4));
end function;
-- function for loading the init values
impure function InitRamFromFile (file_name : in string) return MEM_TYPE is
FILE init_file : text;-- is in file_name;
variable rline : line;
variable memory : MEM_TYPE;
-- variable offs : integer := 0;
variable count : integer;
variable linemode : integer;
variable addr : integer;
variable tmp_chr : character;
variable tmp_byte : string(1 to 2);--BYTE_STRING;
variable tmp_word : string(1 to 4);--WORD_STRING;
variable tmp_addr : integer;
variable tmp_v : std_logic_vector(MEM_WIDTH-1 downto 0);
begin
-- first just null everything
for i in 0 to MEM_DEPTH-1 loop
memory(i) := (others => '0');
end loop;
file_open(init_file, file_name, READ_MODE);
-- read rom file
while (not endfile(init_file)) loop
readline (init_file, rline);
exit when endfile (init_file);
read (rline, tmp_chr);
if tmp_chr = ':' then --beginning of line is correct
--how much to read
read (rline, tmp_byte);
count := BYTE_TO_INT(tmp_byte);
--addr
read (rline, tmp_word);
addr := WORD_TO_INT(tmp_word);
--line mode
read (rline, tmp_byte);
linemode := BYTE_TO_INT(tmp_byte);
if linemode = 0 then
-- loop every PROGRAM-WORD
for i in 0 to (count/(MEM_WIDTH/8) - 1) loop
tmp_v := (others=>'0');
-- loop for every BYTE IN PROGRAM-WORD
for j in 0 to MEM_WIDTH/8-1 loop
read (rline, tmp_byte);
tmp_v((j+1)*8-1 downto j*8) := std_logic_vector(to_unsigned(BYTE_TO_INT(tmp_byte),8));
end loop;
-- store in memory
memory(addr/(MEM_WIDTH/8) + i) := tmp_v;
end loop;
end if;
end if;
end loop;
file_close(init_file);
return memory;
end function;
-- define memory and initialize it
signal memory : MEM_TYPE := InitRamFromFile(INIT_FILE_NAME);
signal mem_addr : std_logic_vector(PRGM_MEM-1 downto 0);
signal mem_doa : std_logic_vector(15 downto 0);
signal mem_we : std_logic;
-- output register
signal reg_cmd_out : std_logic_vector(MEM_WIDTH-1 downto 0);
signal reg_const_out : std_logic_vector(MEM_WIDTH-1 downto 0);
signal reg_lpmspm : std_logic_vector(MEM_WIDTH-1 downto 0);
begin
mem_addr <= addr;
---------------------------------------------------
-- infering the block ram
process(clk)
begin
if clk'event and clk = '1' then
data <= memory(to_integer(unsigned(addr)));
end if;
end process;
end architecture;
|
------------------------------------------------------
-- Program-Memory
------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.numeric_std.all;
use std.textio.all;
-- Important NOTE:
-- ---------------
--
-- The ROM_BITS generic controls the size of the internal
-- ROM. The ROM is located in upper part of program memory
-- and is initialized by the given (intel-).hex-file.
-- If there's no such file, everything is filled up with
-- 'null'. Everything before the ROM is always nulled.
-- If you don't want a System-ROM, just set ROM_BITS to 0.
entity prgmem is
generic (
INIT_FILE_NAME : string; -- => init file for rom
PRGM_MEM : positive := 12; -- => 4k word
MEM_WIDTH : positive := 32
);
port (
-- common signals
clk : in std_logic; -- normal system clock
reset : in std_logic;
-- access (r)
addr : in std_logic_vector(PRGM_MEM-1 downto 0);
data : out std_logic_vector(MEM_WIDTH-1 downto 0)
);
end entity;
architecture Behavioral of prgmem is
-- some constants
constant MEM_DEPTH : positive := 2**PRGM_MEM;
-- constant MEM_WIDTH : positive := ;
-- constant ROM_DEPTH : positive := 2**ROM_BITS ;
-- constant ROM_POS : integer := rom_start(PRGM_MEM, ROM_BITS);
-- declare memory type
type MEM_TYPE is array(0 to MEM_DEPTH - 1) of std_logic_vector(MEM_WIDTH-1 downto 0);
type BYTE_STRING is array(1 downto 0) of character;
type WORD_STRING is array(3 downto 0) of character;
function CHAR_TO_INT ( char : in character) return integer is
variable r : integer := 0;
begin
case char is
when 'A' => r := 10;
when 'B' => r := 11;
when 'C' => r := 12;
when 'D' => r := 13;
when 'E' => r := 14;
when 'F' => r := 15;
when 'a' => r := 10;
when 'b' => r := 11;
when 'c' => r := 12;
when 'd' => r := 13;
when 'e' => r := 14;
when 'f' => r := 15;
when '1' => r := 1;
when '2' => r := 2;
when '3' => r := 3;
when '4' => r := 4;
when '5' => r := 5;
when '6' => r := 6;
when '7' => r := 7;
when '8' => r := 8;
when '9' => r := 9;
when others => null;
end case;
return r;
end function;
function BYTE_TO_INT ( bytechars : in string(1 to 2)) return integer is
begin
return CHAR_TO_INT(bytechars(1))*16+CHAR_TO_INT(bytechars(2));
end function;
function WORD_TO_INT ( wordchars : in string(1 to 4)) return integer is
begin
return BYTE_TO_INT(wordchars(1) & wordchars(2))*256+BYTE_TO_INT(wordchars(3) & wordchars(4));
end function;
-- function for loading the init values
impure function InitRamFromFile (file_name : in string) return MEM_TYPE is
FILE init_file : text;-- is in file_name;
variable rline : line;
variable memory : MEM_TYPE;
-- variable offs : integer := 0;
variable count : integer;
variable linemode : integer;
variable addr : integer;
variable tmp_chr : character;
variable tmp_byte : string(1 to 2);--BYTE_STRING;
variable tmp_word : string(1 to 4);--WORD_STRING;
variable tmp_addr : integer;
variable tmp_v : std_logic_vector(MEM_WIDTH-1 downto 0);
begin
-- first just null everything
for i in 0 to MEM_DEPTH-1 loop
memory(i) := (others => '0');
end loop;
file_open(init_file, file_name, READ_MODE);
-- read rom file
while (not endfile(init_file)) loop
readline (init_file, rline);
exit when endfile (init_file);
read (rline, tmp_chr);
if tmp_chr = ':' then --beginning of line is correct
--how much to read
read (rline, tmp_byte);
count := BYTE_TO_INT(tmp_byte);
--addr
read (rline, tmp_word);
addr := WORD_TO_INT(tmp_word);
--line mode
read (rline, tmp_byte);
linemode := BYTE_TO_INT(tmp_byte);
if linemode = 0 then
-- loop every PROGRAM-WORD
for i in 0 to (count/(MEM_WIDTH/8) - 1) loop
tmp_v := (others=>'0');
-- loop for every BYTE IN PROGRAM-WORD
for j in 0 to MEM_WIDTH/8-1 loop
read (rline, tmp_byte);
tmp_v((j+1)*8-1 downto j*8) := std_logic_vector(to_unsigned(BYTE_TO_INT(tmp_byte),8));
end loop;
-- store in memory
memory(addr/(MEM_WIDTH/8) + i) := tmp_v;
end loop;
end if;
end if;
end loop;
file_close(init_file);
return memory;
end function;
-- define memory and initialize it
signal memory : MEM_TYPE := InitRamFromFile(INIT_FILE_NAME);
signal mem_addr : std_logic_vector(PRGM_MEM-1 downto 0);
signal mem_doa : std_logic_vector(15 downto 0);
signal mem_we : std_logic;
-- output register
signal reg_cmd_out : std_logic_vector(MEM_WIDTH-1 downto 0);
signal reg_const_out : std_logic_vector(MEM_WIDTH-1 downto 0);
signal reg_lpmspm : std_logic_vector(MEM_WIDTH-1 downto 0);
begin
mem_addr <= addr;
---------------------------------------------------
-- infering the block ram
process(clk)
begin
if clk'event and clk = '1' then
data <= memory(to_integer(unsigned(addr)));
end if;
end process;
end architecture;
|
-------------------------------------------------------------------------------
-- Copyright (C) 2021 Nick Gasson
--
-- Licensed under the Apache License, Version 2.0 (the "License");
-- you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at
--
-- http://www.apache.org/licenses/LICENSE-2.0
--
-- Unless required by applicable law or agreed to in writing, software
-- distributed under the License is distributed on an "AS IS" BASIS,
-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and
-- limitations under the License.
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- This package provides VHDL-1993 compatibility wrappers for future standard
-- revisions.
-------------------------------------------------------------------------------
package polyfill is
function to_string (value : real; spec : string) return string;
function to_string (value : integer) return string;
function to_hstring (value : bit_vector) return string;
function to_ostring (value : bit_vector) return string;
function maximum (x, y : integer) return integer;
function minimum (x, y : integer) return integer;
end package;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity implied is
port (
inst : in std_logic_vector(7 downto 0);
enable : in std_logic;
c_in : in std_logic;
i_in : in std_logic;
n_in : in std_logic;
z_in : in std_logic;
d_in : in std_logic;
v_in : in std_logic;
reg_a : in std_logic_vector(7 downto 0);
reg_x : in std_logic_vector(7 downto 0);
reg_y : in std_logic_vector(7 downto 0);
reg_s : in std_logic_vector(7 downto 0);
shift_data : in std_logic_vector(7 downto 0);
c_out : out std_logic;
i_out : out std_logic;
n_out : out std_logic;
z_out : out std_logic;
d_out : out std_logic;
v_out : out std_logic;
set_a : out std_logic;
set_x : out std_logic;
set_y : out std_logic;
set_s : out std_logic;
data_out : out std_logic_vector(7 downto 0));
end implied;
architecture gideon of implied is
type t_int4_array is array(natural range <>) of integer range 0 to 4;
-- ROMS for the upper (negative) implied instructions
constant reg_sel_rom : t_int4_array(0 to 15) := ( 2,0,2,1,1,0,1,1,2,0,2,1,1,3,1,1 ); -- 0=A, 1=X, 2=Y, 3=S
-- DTIITTDNTCCSTTNN
-- EANNXAEOYLLEXSOO
-- YYYXAXXPAVDDSXPP
--
-- 8ACE8ACE9BDF9BDF
-- 8888AAAA8888AAAA
--
-- YAYXXAXXYAYXXSXX
constant decr_rom : std_logic_vector(0 to 15) := "1000001000000000";
constant incr_rom : std_logic_vector(0 to 15) := "0011000000000000";
constant nz_flags : std_logic_vector(0 to 15) := "1111111010000100";
constant v_flag : std_logic_vector(0 to 15) := "0000000001000000";
constant d_flag : std_logic_vector(0 to 15) := "0000000000110000";
constant set_a_rom : std_logic_vector(0 to 15) := "0000100010000000";
constant set_x_rom : std_logic_vector(0 to 15) := "0001011000000100";
constant set_y_rom : std_logic_vector(0 to 15) := "1110000000000000";
constant set_s_rom : std_logic_vector(0 to 15) := "0000000000001000";
-- ROMS for the lower (positive) implied instructions
-- PPPPARLRCSCSNNNN
-- HLHLSOSOLELEOOOO
-- PPAALLRRCCIIPPPP
-- 0246024613571357
-- 8888AAAA8888AAAA
constant c_flag : std_logic_vector(0 to 15) := "0000000011000000";
constant i_flag : std_logic_vector(0 to 15) := "0000000000110000";
constant set_a_low : std_logic_vector(0 to 15) := "0001111100000000";
signal selected_reg : std_logic_vector(7 downto 0) := X"00";
signal operation : integer range 0 to 15;
signal reg_sel : integer range 0 to 3;
signal result : std_logic_vector(7 downto 0) := X"00";
signal add : std_logic_vector(7 downto 0) := X"00";
signal carry : std_logic := '0';
signal zero : std_logic := '0';
signal do_nz : std_logic := '0';
signal n_hi : std_logic;
signal z_hi : std_logic;
signal v_hi : std_logic;
signal d_hi : std_logic;
signal n_lo : std_logic;
signal z_lo : std_logic;
signal c_lo : std_logic;
signal i_lo : std_logic;
begin
operation <= conv_integer(inst(4) & inst(1) & inst(6 downto 5));
reg_sel <= reg_sel_rom(operation);
with reg_sel select selected_reg <=
reg_a when 0,
reg_x when 1,
reg_y when 2,
reg_s when others;
add <= (others => decr_rom(operation));
carry <= incr_rom(operation);
result <= (selected_reg + add + carry) when inst(7)='1' else shift_data;
zero <= '1' when result = X"00" else '0';
data_out <= result;
do_nz <= enable and ((nz_flags(operation) and inst(7)) or (set_a_low(operation) and not inst(7)));
v_hi <= '0' when enable='1' and v_flag(operation)='1' else v_in;
d_hi <= inst(5) when enable='1' and d_flag(operation)='1' else d_in;
-- in high, C and I are never set
c_lo <= inst(5) when enable='1' and c_flag(operation)='1' else c_in;
i_lo <= inst(5) when enable='1' and i_flag(operation)='1' else i_in;
-- in low, V and D are never set
set_a <= enable and ((set_a_rom(operation) and inst(7)) or (set_a_low(operation) and not inst(7)));
set_x <= enable and set_x_rom(operation) and inst(7);
set_y <= enable and set_y_rom(operation) and inst(7);
set_s <= enable and set_s_rom(operation) and inst(7);
c_out <= c_in when inst(7)='1' else c_lo; -- C can only be set in lo
i_out <= i_in when inst(7)='1' else i_lo; -- I can only be set in lo
v_out <= v_hi when inst(7)='1' else v_in; -- V can only be set in hi
d_out <= d_hi when inst(7)='1' else d_in; -- D can only be set in hi
n_out <= result(7) when do_nz='1' else n_in;
z_out <= zero when do_nz='1' else z_in; -- Z can only be set in hi
end gideon;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity implied is
port (
inst : in std_logic_vector(7 downto 0);
enable : in std_logic;
c_in : in std_logic;
i_in : in std_logic;
n_in : in std_logic;
z_in : in std_logic;
d_in : in std_logic;
v_in : in std_logic;
reg_a : in std_logic_vector(7 downto 0);
reg_x : in std_logic_vector(7 downto 0);
reg_y : in std_logic_vector(7 downto 0);
reg_s : in std_logic_vector(7 downto 0);
shift_data : in std_logic_vector(7 downto 0);
c_out : out std_logic;
i_out : out std_logic;
n_out : out std_logic;
z_out : out std_logic;
d_out : out std_logic;
v_out : out std_logic;
set_a : out std_logic;
set_x : out std_logic;
set_y : out std_logic;
set_s : out std_logic;
data_out : out std_logic_vector(7 downto 0));
end implied;
architecture gideon of implied is
type t_int4_array is array(natural range <>) of integer range 0 to 4;
-- ROMS for the upper (negative) implied instructions
constant reg_sel_rom : t_int4_array(0 to 15) := ( 2,0,2,1,1,0,1,1,2,0,2,1,1,3,1,1 ); -- 0=A, 1=X, 2=Y, 3=S
-- DTIITTDNTCCSTTNN
-- EANNXAEOYLLEXSOO
-- YYYXAXXPAVDDSXPP
--
-- 8ACE8ACE9BDF9BDF
-- 8888AAAA8888AAAA
--
-- YAYXXAXXYAYXXSXX
constant decr_rom : std_logic_vector(0 to 15) := "1000001000000000";
constant incr_rom : std_logic_vector(0 to 15) := "0011000000000000";
constant nz_flags : std_logic_vector(0 to 15) := "1111111010000100";
constant v_flag : std_logic_vector(0 to 15) := "0000000001000000";
constant d_flag : std_logic_vector(0 to 15) := "0000000000110000";
constant set_a_rom : std_logic_vector(0 to 15) := "0000100010000000";
constant set_x_rom : std_logic_vector(0 to 15) := "0001011000000100";
constant set_y_rom : std_logic_vector(0 to 15) := "1110000000000000";
constant set_s_rom : std_logic_vector(0 to 15) := "0000000000001000";
-- ROMS for the lower (positive) implied instructions
-- PPPPARLRCSCSNNNN
-- HLHLSOSOLELEOOOO
-- PPAALLRRCCIIPPPP
-- 0246024613571357
-- 8888AAAA8888AAAA
constant c_flag : std_logic_vector(0 to 15) := "0000000011000000";
constant i_flag : std_logic_vector(0 to 15) := "0000000000110000";
constant set_a_low : std_logic_vector(0 to 15) := "0001111100000000";
signal selected_reg : std_logic_vector(7 downto 0) := X"00";
signal operation : integer range 0 to 15;
signal reg_sel : integer range 0 to 3;
signal result : std_logic_vector(7 downto 0) := X"00";
signal add : std_logic_vector(7 downto 0) := X"00";
signal carry : std_logic := '0';
signal zero : std_logic := '0';
signal do_nz : std_logic := '0';
signal n_hi : std_logic;
signal z_hi : std_logic;
signal v_hi : std_logic;
signal d_hi : std_logic;
signal n_lo : std_logic;
signal z_lo : std_logic;
signal c_lo : std_logic;
signal i_lo : std_logic;
begin
operation <= conv_integer(inst(4) & inst(1) & inst(6 downto 5));
reg_sel <= reg_sel_rom(operation);
with reg_sel select selected_reg <=
reg_a when 0,
reg_x when 1,
reg_y when 2,
reg_s when others;
add <= (others => decr_rom(operation));
carry <= incr_rom(operation);
result <= (selected_reg + add + carry) when inst(7)='1' else shift_data;
zero <= '1' when result = X"00" else '0';
data_out <= result;
do_nz <= enable and ((nz_flags(operation) and inst(7)) or (set_a_low(operation) and not inst(7)));
v_hi <= '0' when enable='1' and v_flag(operation)='1' else v_in;
d_hi <= inst(5) when enable='1' and d_flag(operation)='1' else d_in;
-- in high, C and I are never set
c_lo <= inst(5) when enable='1' and c_flag(operation)='1' else c_in;
i_lo <= inst(5) when enable='1' and i_flag(operation)='1' else i_in;
-- in low, V and D are never set
set_a <= enable and ((set_a_rom(operation) and inst(7)) or (set_a_low(operation) and not inst(7)));
set_x <= enable and set_x_rom(operation) and inst(7);
set_y <= enable and set_y_rom(operation) and inst(7);
set_s <= enable and set_s_rom(operation) and inst(7);
c_out <= c_in when inst(7)='1' else c_lo; -- C can only be set in lo
i_out <= i_in when inst(7)='1' else i_lo; -- I can only be set in lo
v_out <= v_hi when inst(7)='1' else v_in; -- V can only be set in hi
d_out <= d_hi when inst(7)='1' else d_in; -- D can only be set in hi
n_out <= result(7) when do_nz='1' else n_in;
z_out <= zero when do_nz='1' else z_in; -- Z can only be set in hi
end gideon;
|
-- ======================================================================
-- DES encryption/decryption
-- algorithm according to FIPS 46-3 specification
-- Copyright (C) 2007 Torsten Meissner
-------------------------------------------------------------------------
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
-- ======================================================================
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.des_pkg.all;
entity des is
generic (
design_type : string := "ITER"
);
port (
reset_i : in std_logic; -- async reset
clk_i : in std_logic; -- clock
mode_i : in std_logic; -- des-modus: 0 = encrypt, 1 = decrypt
key_i : in std_logic_vector(0 to 63); -- key input
data_i : in std_logic_vector(0 to 63); -- data input
valid_i : in std_logic; -- input key/data valid
accept_o : out std_logic; -- input accept
data_o : out std_logic_vector(0 to 63); -- data output
valid_o : out std_logic; -- output data valid flag
accept_i : in std_logic -- output accept
);
end entity des;
architecture rtl of des is
begin
PipeG : if design_type = "PIPE" generate
begin
crypt : process (clk_i, reset_i) is
-- variables for key calculation
variable c0 : std_logic_vector(0 to 27) := (others => '0');
variable c1 : std_logic_vector(0 to 27) := (others => '0');
variable c2 : std_logic_vector(0 to 27) := (others => '0');
variable c3 : std_logic_vector(0 to 27) := (others => '0');
variable c4 : std_logic_vector(0 to 27) := (others => '0');
variable c5 : std_logic_vector(0 to 27) := (others => '0');
variable c6 : std_logic_vector(0 to 27) := (others => '0');
variable c7 : std_logic_vector(0 to 27) := (others => '0');
variable c8 : std_logic_vector(0 to 27) := (others => '0');
variable c9 : std_logic_vector(0 to 27) := (others => '0');
variable c10 : std_logic_vector(0 to 27) := (others => '0');
variable c11 : std_logic_vector(0 to 27) := (others => '0');
variable c12 : std_logic_vector(0 to 27) := (others => '0');
variable c13 : std_logic_vector(0 to 27) := (others => '0');
variable c14 : std_logic_vector(0 to 27) := (others => '0');
variable c15 : std_logic_vector(0 to 27) := (others => '0');
variable c16 : std_logic_vector(0 to 27) := (others => '0');
variable d0 : std_logic_vector(0 to 27) := (others => '0');
variable d1 : std_logic_vector(0 to 27) := (others => '0');
variable d2 : std_logic_vector(0 to 27) := (others => '0');
variable d3 : std_logic_vector(0 to 27) := (others => '0');
variable d4 : std_logic_vector(0 to 27) := (others => '0');
variable d5 : std_logic_vector(0 to 27) := (others => '0');
variable d6 : std_logic_vector(0 to 27) := (others => '0');
variable d7 : std_logic_vector(0 to 27) := (others => '0');
variable d8 : std_logic_vector(0 to 27) := (others => '0');
variable d9 : std_logic_vector(0 to 27) := (others => '0');
variable d10 : std_logic_vector(0 to 27) := (others => '0');
variable d11 : std_logic_vector(0 to 27) := (others => '0');
variable d12 : std_logic_vector(0 to 27) := (others => '0');
variable d13 : std_logic_vector(0 to 27) := (others => '0');
variable d14 : std_logic_vector(0 to 27) := (others => '0');
variable d15 : std_logic_vector(0 to 27) := (others => '0');
variable d16 : std_logic_vector(0 to 27) := (others => '0');
-- key variables
variable key1 : std_logic_vector(0 to 47) := (others => '0');
variable key2 : std_logic_vector(0 to 47) := (others => '0');
variable key3 : std_logic_vector(0 to 47) := (others => '0');
variable key4 : std_logic_vector(0 to 47) := (others => '0');
variable key5 : std_logic_vector(0 to 47) := (others => '0');
variable key6 : std_logic_vector(0 to 47) := (others => '0');
variable key7 : std_logic_vector(0 to 47) := (others => '0');
variable key8 : std_logic_vector(0 to 47) := (others => '0');
variable key9 : std_logic_vector(0 to 47) := (others => '0');
variable key10 : std_logic_vector(0 to 47) := (others => '0');
variable key11 : std_logic_vector(0 to 47) := (others => '0');
variable key12 : std_logic_vector(0 to 47) := (others => '0');
variable key13 : std_logic_vector(0 to 47) := (others => '0');
variable key14 : std_logic_vector(0 to 47) := (others => '0');
variable key15 : std_logic_vector(0 to 47) := (others => '0');
variable key16 : std_logic_vector(0 to 47) := (others => '0');
-- variables for left & right data blocks
variable l0 : std_logic_vector( 0 to 31) := (others => '0');
variable l1 : std_logic_vector( 0 to 31) := (others => '0');
variable l2 : std_logic_vector( 0 to 31) := (others => '0');
variable l3 : std_logic_vector( 0 to 31) := (others => '0');
variable l4 : std_logic_vector( 0 to 31) := (others => '0');
variable l5 : std_logic_vector( 0 to 31) := (others => '0');
variable l6 : std_logic_vector( 0 to 31) := (others => '0');
variable l7 : std_logic_vector( 0 to 31) := (others => '0');
variable l8 : std_logic_vector( 0 to 31) := (others => '0');
variable l9 : std_logic_vector( 0 to 31) := (others => '0');
variable l10 : std_logic_vector( 0 to 31) := (others => '0');
variable l11 : std_logic_vector( 0 to 31) := (others => '0');
variable l12 : std_logic_vector( 0 to 31) := (others => '0');
variable l13 : std_logic_vector( 0 to 31) := (others => '0');
variable l14 : std_logic_vector( 0 to 31) := (others => '0');
variable l15 : std_logic_vector( 0 to 31) := (others => '0');
variable l16 : std_logic_vector( 0 to 31) := (others => '0');
variable r0 : std_logic_vector( 0 to 31) := (others => '0');
variable r1 : std_logic_vector( 0 to 31) := (others => '0');
variable r2 : std_logic_vector( 0 to 31) := (others => '0');
variable r3 : std_logic_vector( 0 to 31) := (others => '0');
variable r4 : std_logic_vector( 0 to 31) := (others => '0');
variable r5 : std_logic_vector( 0 to 31) := (others => '0');
variable r6 : std_logic_vector( 0 to 31) := (others => '0');
variable r7 : std_logic_vector( 0 to 31) := (others => '0');
variable r8 : std_logic_vector( 0 to 31) := (others => '0');
variable r9 : std_logic_vector( 0 to 31) := (others => '0');
variable r10 : std_logic_vector( 0 to 31) := (others => '0');
variable r11 : std_logic_vector( 0 to 31) := (others => '0');
variable r12 : std_logic_vector( 0 to 31) := (others => '0');
variable r13 : std_logic_vector( 0 to 31) := (others => '0');
variable r14 : std_logic_vector( 0 to 31) := (others => '0');
variable r15 : std_logic_vector( 0 to 31) := (others => '0');
variable r16 : std_logic_vector( 0 to 31) := (others => '0');
-- variables for mode & valid shift registers
variable mode : std_logic_vector(0 to 16) := (others => '0');
variable valid : std_logic_vector(0 to 17) := (others => '0');
begin
if(reset_i = '0') then
data_o <= (others => '0');
valid_o <= '0';
elsif rising_edge( clk_i ) then
-- shift registers
valid(1 to 17) := valid(0 to 16);
valid(0) := valid_i;
mode(1 to 16) := mode(0 to 15);
mode(0) := mode_i;
-- output stage
accept_o <= '1';
valid_o <= valid(17);
data_o <= ipn( ( r16 & l16 ) );
-- 16. stage
if mode(16) = '0' then
c16 := c15(1 to 27) & c15(0);
d16 := d15(1 to 27) & d15(0);
else
c16 := c15(27) & c15(0 to 26);
d16 := d15(27) & d15(0 to 26);
end if;
key16 := pc2( ( c16 & d16 ) );
l16 := r15;
r16 := l15 xor ( f( r15, key16 ) );
-- 15. stage
if mode(15) = '0' then
c15 := c14(2 to 27) & c14(0 to 1);
d15 := d14(2 to 27) & d14(0 to 1);
else
c15 := c14(26 to 27) & c14(0 to 25);
d15 := d14(26 to 27) & d14(0 to 25);
end if;
key15 := pc2( ( c15 & d15 ) );
l15 := r14;
r15 := l14 xor ( f( r14, key15 ) );
-- 14. stage
if mode(14) = '0' then
c14 := c13(2 to 27) & c13(0 to 1);
d14 := d13(2 to 27) & d13(0 to 1);
else
c14 := c13(26 to 27) & c13(0 to 25);
d14 := d13(26 to 27) & d13(0 to 25);
end if;
key14 := pc2( ( c14 & d14 ) );
l14 := r13;
r14 := l13 xor ( f( r13, key14 ) );
-- 13. stage
if mode(13) = '0' then
c13 := c12(2 to 27) & c12(0 to 1);
d13 := d12(2 to 27) & d12(0 to 1);
else
c13 := c12(26 to 27) & c12(0 to 25);
d13 := d12(26 to 27) & d12(0 to 25);
end if;
key13 := pc2( ( c13 & d13 ) );
l13 := r12;
r13 := l12 xor ( f( r12, key13 ) );
-- 12. stage
if mode(12) = '0' then
c12 := c11(2 to 27) & c11(0 to 1);
d12 := d11(2 to 27) & d11(0 to 1);
else
c12 := c11(26 to 27) & c11(0 to 25);
d12 := d11(26 to 27) & d11(0 to 25);
end if;
key12 := pc2( ( c12 & d12 ) );
l12 := r11;
r12 := l11 xor ( f( r11, key12 ) );
-- 11. stage
if mode(11) = '0' then
c11 := c10(2 to 27) & c10(0 to 1);
d11 := d10(2 to 27) & d10(0 to 1);
else
c11 := c10(26 to 27) & c10(0 to 25);
d11 := d10(26 to 27) & d10(0 to 25);
end if;
key11 := pc2( ( c11 & d11 ) );
l11 := r10;
r11 := l10 xor ( f( r10, key11 ) );
-- 10. stage
if mode(10) = '0' then
c10 := c9(2 to 27) & c9(0 to 1);
d10 := d9(2 to 27) & d9(0 to 1);
else
c10 := c9(26 to 27) & c9(0 to 25);
d10 := d9(26 to 27) & d9(0 to 25);
end if;
key10 := pc2( ( c10 & d10 ) );
l10 := r9;
r10 := l9 xor ( f( r9, key10 ) );
-- 9. stage
if mode(9) = '0' then
c9 := c8(1 to 27) & c8(0);
d9 := d8(1 to 27) & d8(0);
else
c9 := c8(27) & c8(0 to 26);
d9 := d8(27) & d8(0 to 26);
end if;
key9 := pc2( ( c9 & d9 ) );
l9 := r8;
r9 := l8 xor ( f( r8, key9 ) );
-- 8. stage
if mode(8) = '0' then
c8 := c7(2 to 27) & c7(0 to 1);
d8 := d7(2 to 27) & d7(0 to 1);
else
c8 := c7(26 to 27) & c7(0 to 25);
d8 := d7(26 to 27) & d7(0 to 25);
end if;
key8 := pc2( ( c8 & d8 ) );
l8 := r7;
r8 := l7 xor ( f( r7, key8 ) );
-- 7. stage
if mode(7) = '0' then
c7 := c6(2 to 27) & c6(0 to 1);
d7 := d6(2 to 27) & d6(0 to 1);
else
c7 := c6(26 to 27) & c6(0 to 25);
d7 := d6(26 to 27) & d6(0 to 25);
end if;
key7 := pc2( ( c7 & d7 ) );
l7 := r6;
r7 := l6 xor ( f( r6, key7 ) );
-- 6. stage
if mode(6) = '0' then
c6 := c5(2 to 27) & c5(0 to 1);
d6 := d5(2 to 27) & d5(0 to 1);
else
c6 := c5(26 to 27) & c5(0 to 25);
d6 := d5(26 to 27) & d5(0 to 25);
end if;
key6 := pc2( ( c6 & d6 ) );
l6 := r5;
r6 := l5 xor ( f( r5, key6 ) );
-- 5. stage
if mode(5) = '0' then
c5 := c4(2 to 27) & c4(0 to 1);
d5 := d4(2 to 27) & d4(0 to 1);
else
c5 := c4(26 to 27) & c4(0 to 25);
d5 := d4(26 to 27) & d4(0 to 25);
end if;
key5 := pc2( ( c5 & d5 ) );
l5 := r4;
r5 := l4 xor ( f( r4, key5 ) );
-- 4. stage
if mode(4) = '0' then
c4 := c3(2 to 27) & c3(0 to 1);
d4 := d3(2 to 27) & d3(0 to 1);
else
c4 := c3(26 to 27) & c3(0 to 25);
d4 := d3(26 to 27) & d3(0 to 25);
end if;
key4 := pc2( ( c4 & d4 ) );
l4 := r3;
r4 := l3 xor ( f( r3, key4 ) );
-- 3. stage
if mode(3) = '0' then
c3 := c2(2 to 27) & c2(0 to 1);
d3 := d2(2 to 27) & d2(0 to 1);
else
c3 := c2(26 to 27) & c2(0 to 25);
d3 := d2(26 to 27) & d2(0 to 25);
end if;
key3 := pc2( ( c3 & d3 ) );
l3 := r2;
r3 := l2 xor ( f( r2, key3 ) );
-- 2. stage
if mode(2) = '0' then
c2 := c1(1 to 27) & c1(0);
d2 := d1(1 to 27) & d1(0);
else
c2 := c1(27) & c1(0 to 26);
d2 := d1(27) & d1(0 to 26);
end if;
key2 := pc2( ( c2 & d2 ) );
l2 := r1;
r2 := l1 xor ( f( r1, key2 ) );
-- 1. stage
if mode(1) = '0' then
c1 := c0(1 to 27) & c0(0);
d1 := d0(1 to 27) & d0(0);
else
c1 := c0;
d1 := d0;
end if;
key1 := pc2( ( c1 & d1 ) );
l1 := r0;
r1 := l0 xor ( f( r0, key1 ) );
-- input stage
l0 := ip( data_i )(0 to 31);
r0 := ip( data_i )(32 to 63);
c0 := pc1_c( key_i );
d0 := pc1_d( key_i );
end if;
end process crypt;
end generate PipeG;
AreaG : if design_type = "ITER" generate
signal s_accept : std_logic;
signal s_valid : std_logic;
signal s_l : std_logic_vector( 0 to 31);
signal s_r : std_logic_vector( 0 to 31);
begin
cryptP : process (clk_i, reset_i) is
variable v_c : std_logic_vector(0 to 27);
variable v_d : std_logic_vector(0 to 27);
variable v_key : std_logic_vector(0 to 47);
variable v_mode : std_logic;
variable v_rnd_cnt : natural;
begin
if(reset_i = '0') then
v_c := (others => '0');
v_d := (others => '0');
v_key := (others => '0');
s_l <= (others => '0');
s_r <= (others => '0');
v_rnd_cnt := 0;
v_mode := '0';
s_accept <= '0';
s_valid <= '0';
elsif rising_edge(clk_i) then
case v_rnd_cnt is
-- input stage
when 0 =>
s_accept <= '1';
s_valid <= '0';
if (valid_i = '1' and s_accept = '1') then
s_accept <= '0';
s_valid <= '0';
s_l <= ip(data_i)(0 to 31);
s_r <= ip(data_i)(32 to 63);
v_c := pc1_c(key_i);
v_d := pc1_d(key_i);
v_mode := mode_i;
v_rnd_cnt := v_rnd_cnt + 1;
end if;
-- stage 1
when 1 =>
if (v_mode = '0') then
v_c := v_c(1 to 27) & v_c(0);
v_d := v_d(1 to 27) & v_d(0);
end if;
v_key := pc2((v_c & v_d));
s_l <= s_r;
s_r <= s_l xor (f(s_r, v_key));
v_rnd_cnt := v_rnd_cnt + 1;
when 2 =>
if (v_mode = '0') then
v_c := v_c(1 to 27) & v_c(0);
v_d := v_d(1 to 27) & v_d(0);
else
v_c := v_c(27) & v_c(0 to 26);
v_d := v_d(27) & v_d(0 to 26);
end if;
v_key := pc2((v_c & v_d));
s_l <= s_r;
s_r <= s_l xor (f(s_r, v_key));
v_rnd_cnt := v_rnd_cnt + 1;
when 3 =>
if (v_mode = '0') then
v_c := v_c(2 to 27) & v_c(0 to 1);
v_d := v_d(2 to 27) & v_d(0 to 1);
else
v_c := v_c(26 to 27) & v_c(0 to 25);
v_d := v_d(26 to 27) & v_d(0 to 25);
end if;
v_key := pc2( ( v_c & v_d ) );
s_l <= s_r;
s_r <= s_l xor ( f( s_r, v_key ) );
v_rnd_cnt := v_rnd_cnt + 1;
when 4 =>
if (v_mode = '0') then
v_c := v_c(2 to 27) & v_c(0 to 1);
v_d := v_d(2 to 27) & v_d(0 to 1);
else
v_c := v_c(26 to 27) & v_c(0 to 25);
v_d := v_d(26 to 27) & v_d(0 to 25);
end if;
v_key := pc2( ( v_c & v_d ) );
s_l <= s_r;
s_r <= s_l xor ( f( s_r, v_key ) );
v_rnd_cnt := v_rnd_cnt + 1;
when 5 =>
if (v_mode = '0') then
v_c := v_c(2 to 27) & v_c(0 to 1);
v_d := v_d(2 to 27) & v_d(0 to 1);
else
v_c := v_c(26 to 27) & v_c(0 to 25);
v_d := v_d(26 to 27) & v_d(0 to 25);
end if;
v_key := pc2( ( v_c & v_d ) );
s_l <= s_r;
s_r <= s_l xor ( f( s_r, v_key ) );
v_rnd_cnt := v_rnd_cnt + 1;
when 6 =>
if (v_mode = '0') then
v_c := v_c(2 to 27) & v_c(0 to 1);
v_d := v_d(2 to 27) & v_d(0 to 1);
else
v_c := v_c(26 to 27) & v_c(0 to 25);
v_d := v_d(26 to 27) & v_d(0 to 25);
end if;
v_key := pc2( ( v_c & v_d ) );
s_l <= s_r;
s_r <= s_l xor ( f( s_r, v_key ) );
v_rnd_cnt := v_rnd_cnt + 1;
when 7 =>
if (v_mode = '0') then
v_c := v_c(2 to 27) & v_c(0 to 1);
v_d := v_d(2 to 27) & v_d(0 to 1);
else
v_c := v_c(26 to 27) & v_c(0 to 25);
v_d := v_d(26 to 27) & v_d(0 to 25);
end if;
v_key := pc2( ( v_c & v_d ) );
s_l <= s_r;
s_r <= s_l xor ( f( s_r, v_key ) );
v_rnd_cnt := v_rnd_cnt + 1;
when 8 =>
if (v_mode = '0') then
v_c := v_c(2 to 27) & v_c(0 to 1);
v_d := v_d(2 to 27) & v_d(0 to 1);
else
v_c := v_c(26 to 27) & v_c(0 to 25);
v_d := v_d(26 to 27) & v_d(0 to 25);
end if;
v_key := pc2( ( v_c & v_d ) );
s_l <= s_r;
s_r <= s_l xor ( f( s_r, v_key ) );
v_rnd_cnt := v_rnd_cnt + 1;
when 9 =>
if (v_mode = '0') then
v_c := v_c(1 to 27) & v_c(0);
v_d := v_d(1 to 27) & v_d(0);
else
v_c := v_c(27) & v_c(0 to 26);
v_d := v_d(27) & v_d(0 to 26);
end if;
v_key := pc2( ( v_c & v_d ) );
s_l <= s_r;
s_r <= s_l xor ( f( s_r, v_key ) );
v_rnd_cnt := v_rnd_cnt + 1;
when 10 =>
if (v_mode = '0') then
v_c := v_c(2 to 27) & v_c(0 to 1);
v_d := v_d(2 to 27) & v_d(0 to 1);
else
v_c := v_c(26 to 27) & v_c(0 to 25);
v_d := v_d(26 to 27) & v_d(0 to 25);
end if;
v_key := pc2( ( v_c & v_d ) );
s_l <= s_r;
s_r <= s_l xor ( f( s_r, v_key ) );
v_rnd_cnt := v_rnd_cnt + 1;
when 11 =>
-- 11. stage
if (v_mode = '0') then
v_c := v_c(2 to 27) & v_c(0 to 1);
v_d := v_d(2 to 27) & v_d(0 to 1);
else
v_c := v_c(26 to 27) & v_c(0 to 25);
v_d := v_d(26 to 27) & v_d(0 to 25);
end if;
v_key := pc2( ( v_c & v_d ) );
s_l <= s_r;
s_r <= s_l xor ( f( s_r, v_key ) );
v_rnd_cnt := v_rnd_cnt + 1;
when 12 =>
if (v_mode = '0') then
v_c := v_c(2 to 27) & v_c(0 to 1);
v_d := v_d(2 to 27) & v_d(0 to 1);
else
v_c := v_c(26 to 27) & v_c(0 to 25);
v_d := v_d(26 to 27) & v_d(0 to 25);
end if;
v_key := pc2( ( v_c & v_d ) );
s_l <= s_r;
s_r <= s_l xor ( f( s_r, v_key ) );
v_rnd_cnt := v_rnd_cnt + 1;
when 13 =>
if (v_mode = '0') then
v_c := v_c(2 to 27) & v_c(0 to 1);
v_d := v_d(2 to 27) & v_d(0 to 1);
else
v_c := v_c(26 to 27) & v_c(0 to 25);
v_d := v_d(26 to 27) & v_d(0 to 25);
end if;
v_key := pc2( ( v_c & v_d ) );
s_l <= s_r;
s_r <= s_l xor ( f( s_r, v_key ) );
v_rnd_cnt := v_rnd_cnt + 1;
when 14 =>
if (v_mode = '0') then
v_c := v_c(2 to 27) & v_c(0 to 1);
v_d := v_d(2 to 27) & v_d(0 to 1);
else
v_c := v_c(26 to 27) & v_c(0 to 25);
v_d := v_d(26 to 27) & v_d(0 to 25);
end if;
v_key := pc2( ( v_c & v_d ) );
s_l <= s_r;
s_r <= s_l xor ( f( s_r, v_key ) );
v_rnd_cnt := v_rnd_cnt + 1;
when 15 =>
if (v_mode = '0') then
v_c := v_c(2 to 27) & v_c(0 to 1);
v_d := v_d(2 to 27) & v_d(0 to 1);
else
v_c := v_c(26 to 27) & v_c(0 to 25);
v_d := v_d(26 to 27) & v_d(0 to 25);
end if;
v_key := pc2( ( v_c & v_d ) );
s_l <= s_r;
s_r <= s_l xor ( f( s_r, v_key ) );
v_rnd_cnt := v_rnd_cnt + 1;
when 16 =>
if (v_mode = '0') then
v_c := v_c(1 to 27) & v_c(0);
v_d := v_d(1 to 27) & v_d(0);
else
v_c := v_c(27) & v_c(0 to 26);
v_d := v_d(27) & v_d(0 to 26);
end if;
v_key := pc2( ( v_c & v_d ) );
s_l <= s_r;
s_r <= s_l xor ( f( s_r, v_key ) );
v_rnd_cnt := v_rnd_cnt + 1;
when 17 =>
s_valid <= '1';
if (s_valid = '1') then
if(accept_i = '1') then
s_valid <= '0';
v_rnd_cnt := 0;
end if;
end if;
when others =>
null;
end case;
end if;
end process cryptP;
valid_o <= s_valid;
accept_o <= s_accept;
data_o <= ipn(s_r & s_l) when s_valid = '1' else (others => '0');
end generate AreaG;
end architecture rtl;
|
use std.textio.all;
package issue284_pkg is
procedure check_it;
end package issue284_pkg;
package body issue284_pkg is
file my_file : text;
procedure check_it is
variable contents : line;
begin
file_open(my_file, "test.txt", WRITE_MODE);
write(contents, string'("hello"));
writeline(my_file, contents);
file_close(my_file);
end procedure check_it;
end package body issue284_pkg;
entity issue284 is
end entity issue284;
use work.issue284_pkg.all;
architecture test of issue284 is
begin
process
begin
check_it;
wait;
end process;
end architecture test;
|
use std.textio.all;
package issue284_pkg is
procedure check_it;
end package issue284_pkg;
package body issue284_pkg is
file my_file : text;
procedure check_it is
variable contents : line;
begin
file_open(my_file, "test.txt", WRITE_MODE);
write(contents, string'("hello"));
writeline(my_file, contents);
file_close(my_file);
end procedure check_it;
end package body issue284_pkg;
entity issue284 is
end entity issue284;
use work.issue284_pkg.all;
architecture test of issue284 is
begin
process
begin
check_it;
wait;
end process;
end architecture test;
|
use std.textio.all;
package issue284_pkg is
procedure check_it;
end package issue284_pkg;
package body issue284_pkg is
file my_file : text;
procedure check_it is
variable contents : line;
begin
file_open(my_file, "test.txt", WRITE_MODE);
write(contents, string'("hello"));
writeline(my_file, contents);
file_close(my_file);
end procedure check_it;
end package body issue284_pkg;
entity issue284 is
end entity issue284;
use work.issue284_pkg.all;
architecture test of issue284 is
begin
process
begin
check_it;
wait;
end process;
end architecture test;
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:axi_cdma:4.1
-- IP Revision: 14
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY axi_cdma_v4_1_14;
USE axi_cdma_v4_1_14.axi_cdma;
ENTITY design_1_axi_cdma_0_3 IS
PORT (
m_axi_aclk : IN STD_LOGIC;
s_axi_lite_aclk : IN STD_LOGIC;
s_axi_lite_aresetn : IN STD_LOGIC;
cdma_introut : OUT STD_LOGIC;
s_axi_lite_awready : OUT STD_LOGIC;
s_axi_lite_awvalid : IN STD_LOGIC;
s_axi_lite_awaddr : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
s_axi_lite_wready : OUT STD_LOGIC;
s_axi_lite_wvalid : IN STD_LOGIC;
s_axi_lite_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_lite_bready : IN STD_LOGIC;
s_axi_lite_bvalid : OUT STD_LOGIC;
s_axi_lite_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_lite_arready : OUT STD_LOGIC;
s_axi_lite_arvalid : IN STD_LOGIC;
s_axi_lite_araddr : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
s_axi_lite_rready : IN STD_LOGIC;
s_axi_lite_rvalid : OUT STD_LOGIC;
s_axi_lite_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_lite_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_arready : IN STD_LOGIC;
m_axi_arvalid : OUT STD_LOGIC;
m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_rready : OUT STD_LOGIC;
m_axi_rvalid : IN STD_LOGIC;
m_axi_rdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_rlast : IN STD_LOGIC;
m_axi_awready : IN STD_LOGIC;
m_axi_awvalid : OUT STD_LOGIC;
m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_wready : IN STD_LOGIC;
m_axi_wvalid : OUT STD_LOGIC;
m_axi_wdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_wstrb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_wlast : OUT STD_LOGIC;
m_axi_bready : OUT STD_LOGIC;
m_axi_bvalid : IN STD_LOGIC;
m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
cdma_tvect_out : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END design_1_axi_cdma_0_3;
ARCHITECTURE design_1_axi_cdma_0_3_arch OF design_1_axi_cdma_0_3 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_1_axi_cdma_0_3_arch: ARCHITECTURE IS "yes";
COMPONENT axi_cdma IS
GENERIC (
C_S_AXI_LITE_ADDR_WIDTH : INTEGER;
C_S_AXI_LITE_DATA_WIDTH : INTEGER;
C_AXI_LITE_IS_ASYNC : INTEGER;
C_M_AXI_ADDR_WIDTH : INTEGER;
C_M_AXI_DATA_WIDTH : INTEGER;
C_M_AXI_MAX_BURST_LEN : INTEGER;
C_INCLUDE_DRE : INTEGER;
C_USE_DATAMOVER_LITE : INTEGER;
C_READ_ADDR_PIPE_DEPTH : INTEGER;
C_WRITE_ADDR_PIPE_DEPTH : INTEGER;
C_INCLUDE_SF : INTEGER;
C_INCLUDE_SG : INTEGER;
C_M_AXI_SG_ADDR_WIDTH : INTEGER;
C_M_AXI_SG_DATA_WIDTH : INTEGER;
C_DLYTMR_RESOLUTION : INTEGER;
C_FAMILY : STRING
);
PORT (
m_axi_aclk : IN STD_LOGIC;
s_axi_lite_aclk : IN STD_LOGIC;
s_axi_lite_aresetn : IN STD_LOGIC;
cdma_introut : OUT STD_LOGIC;
s_axi_lite_awready : OUT STD_LOGIC;
s_axi_lite_awvalid : IN STD_LOGIC;
s_axi_lite_awaddr : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
s_axi_lite_wready : OUT STD_LOGIC;
s_axi_lite_wvalid : IN STD_LOGIC;
s_axi_lite_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_lite_bready : IN STD_LOGIC;
s_axi_lite_bvalid : OUT STD_LOGIC;
s_axi_lite_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_lite_arready : OUT STD_LOGIC;
s_axi_lite_arvalid : IN STD_LOGIC;
s_axi_lite_araddr : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
s_axi_lite_rready : IN STD_LOGIC;
s_axi_lite_rvalid : OUT STD_LOGIC;
s_axi_lite_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_lite_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_arready : IN STD_LOGIC;
m_axi_arvalid : OUT STD_LOGIC;
m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_rready : OUT STD_LOGIC;
m_axi_rvalid : IN STD_LOGIC;
m_axi_rdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_rlast : IN STD_LOGIC;
m_axi_awready : IN STD_LOGIC;
m_axi_awvalid : OUT STD_LOGIC;
m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_wready : IN STD_LOGIC;
m_axi_wvalid : OUT STD_LOGIC;
m_axi_wdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_wstrb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_wlast : OUT STD_LOGIC;
m_axi_bready : OUT STD_LOGIC;
m_axi_bvalid : IN STD_LOGIC;
m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_sg_awready : IN STD_LOGIC;
m_axi_sg_awvalid : OUT STD_LOGIC;
m_axi_sg_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_sg_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_sg_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_sg_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_sg_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_sg_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_sg_wready : IN STD_LOGIC;
m_axi_sg_wvalid : OUT STD_LOGIC;
m_axi_sg_wdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_sg_wstrb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_sg_wlast : OUT STD_LOGIC;
m_axi_sg_bready : OUT STD_LOGIC;
m_axi_sg_bvalid : IN STD_LOGIC;
m_axi_sg_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_sg_arready : IN STD_LOGIC;
m_axi_sg_arvalid : OUT STD_LOGIC;
m_axi_sg_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_sg_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_sg_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_sg_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_sg_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_sg_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_sg_rready : OUT STD_LOGIC;
m_axi_sg_rvalid : IN STD_LOGIC;
m_axi_sg_rdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_sg_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_sg_rlast : IN STD_LOGIC;
cdma_tvect_out : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END COMPONENT axi_cdma;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF design_1_axi_cdma_0_3_arch: ARCHITECTURE IS "axi_cdma,Vivado 2017.3";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF design_1_axi_cdma_0_3_arch : ARCHITECTURE IS "design_1_axi_cdma_0_3,axi_cdma,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF design_1_axi_cdma_0_3_arch: ARCHITECTURE IS "design_1_axi_cdma_0_3,axi_cdma,{x_ipProduct=Vivado 2017.3,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=axi_cdma,x_ipVersion=4.1,x_ipCoreRevision=14,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_S_AXI_LITE_ADDR_WIDTH=6,C_S_AXI_LITE_DATA_WIDTH=32,C_AXI_LITE_IS_ASYNC=0,C_M_AXI_ADDR_WIDTH=32,C_M_AXI_DATA_WIDTH=32,C_M_AXI_MAX_BURST_LEN=256,C_INCLUDE_DRE=0,C_USE_DATAMOVER_LITE=0,C_READ_ADDR_PIPE_DEPTH=4,C_WRITE_ADDR_PIPE_DEPTH=4,C_INCLUDE_SF=0,C_INCLUDE_SG=0,C_M_AXI_SG_ADDR_WIDTH=32,C_M_AXI_SG_DATA_WI" &
"DTH=32,C_DLYTMR_RESOLUTION=256,C_FAMILY=zynq}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_PARAMETER : STRING;
ATTRIBUTE X_INTERFACE_INFO OF m_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI BRESP";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI BVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI BREADY";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_wlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI WLAST";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI WSTRB";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI WDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI WVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI WREADY";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_awcache: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI AWCACHE";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_awprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI AWPROT";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_awburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI AWBURST";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_awsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI AWSIZE";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_awlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI AWLEN";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI AWADDR";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI AWVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI AWREADY";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_rlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI RLAST";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI RRESP";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI RDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI RVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI RREADY";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_arcache: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI ARCACHE";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_arprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI ARPROT";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_arburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI ARBURST";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_arsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI ARSIZE";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_arlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI ARLEN";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI ARADDR";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI ARVALID";
ATTRIBUTE X_INTERFACE_PARAMETER OF m_axi_arready: SIGNAL IS "XIL_INTERFACENAME M_AXI, SUPPORTS_NARROW_BURST 0, NUM_READ_OUTSTANDING 2, NUM_WRITE_OUTSTANDING 2, DATA_WIDTH 32, PROTOCOL AXI4, FREQ_HZ 100000000, ID_WIDTH 0, ADDR_WIDTH 32, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 0, HAS_LOCK 0, HAS_PROT 1, HAS_CACHE 1, HAS_QOS 0, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, MAX_BURST_LENGTH 256, PHASE 0.000, CLK_DOMAIN design_1_processing_system7_0_0_FCLK_CLK0, NUM_READ_THREADS 1, NUM_WRITE_THREADS 1, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI ARREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE ARADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE ARVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE ARREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE BRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE BVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE BREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE WDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE WVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE WREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE AWADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE AWVALID";
ATTRIBUTE X_INTERFACE_PARAMETER OF s_axi_lite_awready: SIGNAL IS "XIL_INTERFACENAME S_AXI_LITE, DATA_WIDTH 32, PROTOCOL AXI4LITE, FREQ_HZ 100000000, ID_WIDTH 0, ADDR_WIDTH 6, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 0, HAS_LOCK 0, HAS_PROT 0, HAS_CACHE 0, HAS_QOS 0, HAS_REGION 0, HAS_WSTRB 0, HAS_BRESP 1, HAS_RRESP 1, SUPPORTS_NARROW_BURST 0, NUM_READ_OUTSTANDING 2, NUM_WRITE_OUTSTANDING 2, MAX_BURST_LENGTH 1, PHASE 0.000, CLK_DOMAIN design_1_processing_system7_0_0_FCLK_CLK0, NUM_READ_THREADS 1, NUM_WRITE_THREADS 1, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE AWREADY";
ATTRIBUTE X_INTERFACE_PARAMETER OF cdma_introut: SIGNAL IS "XIL_INTERFACENAME CDMA_INTERRUPT, SENSITIVITY LEVEL_HIGH, PortWidth 1";
ATTRIBUTE X_INTERFACE_INFO OF cdma_introut: SIGNAL IS "xilinx.com:signal:interrupt:1.0 CDMA_INTERRUPT INTERRUPT";
ATTRIBUTE X_INTERFACE_PARAMETER OF s_axi_lite_aresetn: SIGNAL IS "XIL_INTERFACENAME AXI_RESETN, POLARITY ACTIVE_LOW";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 AXI_RESETN RST";
ATTRIBUTE X_INTERFACE_PARAMETER OF s_axi_lite_aclk: SIGNAL IS "XIL_INTERFACENAME S_AXI_LITE_ACLK, ASSOCIATED_BUSIF S_AXI_LITE, ASSOCIATED_RESET s_axi_lite_aresetn, FREQ_HZ 100000000, PHASE 0.000, CLK_DOMAIN design_1_processing_system7_0_0_FCLK_CLK0";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 S_AXI_LITE_ACLK CLK";
ATTRIBUTE X_INTERFACE_PARAMETER OF m_axi_aclk: SIGNAL IS "XIL_INTERFACENAME M_AXI_ACLK, ASSOCIATED_BUSIF M_AXI:M_AXI_SG, FREQ_HZ 100000000, PHASE 0.000, CLK_DOMAIN design_1_processing_system7_0_0_FCLK_CLK0";
ATTRIBUTE X_INTERFACE_INFO OF m_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 M_AXI_ACLK CLK";
BEGIN
U0 : axi_cdma
GENERIC MAP (
C_S_AXI_LITE_ADDR_WIDTH => 6,
C_S_AXI_LITE_DATA_WIDTH => 32,
C_AXI_LITE_IS_ASYNC => 0,
C_M_AXI_ADDR_WIDTH => 32,
C_M_AXI_DATA_WIDTH => 32,
C_M_AXI_MAX_BURST_LEN => 256,
C_INCLUDE_DRE => 0,
C_USE_DATAMOVER_LITE => 0,
C_READ_ADDR_PIPE_DEPTH => 4,
C_WRITE_ADDR_PIPE_DEPTH => 4,
C_INCLUDE_SF => 0,
C_INCLUDE_SG => 0,
C_M_AXI_SG_ADDR_WIDTH => 32,
C_M_AXI_SG_DATA_WIDTH => 32,
C_DLYTMR_RESOLUTION => 256,
C_FAMILY => "zynq"
)
PORT MAP (
m_axi_aclk => m_axi_aclk,
s_axi_lite_aclk => s_axi_lite_aclk,
s_axi_lite_aresetn => s_axi_lite_aresetn,
cdma_introut => cdma_introut,
s_axi_lite_awready => s_axi_lite_awready,
s_axi_lite_awvalid => s_axi_lite_awvalid,
s_axi_lite_awaddr => s_axi_lite_awaddr,
s_axi_lite_wready => s_axi_lite_wready,
s_axi_lite_wvalid => s_axi_lite_wvalid,
s_axi_lite_wdata => s_axi_lite_wdata,
s_axi_lite_bready => s_axi_lite_bready,
s_axi_lite_bvalid => s_axi_lite_bvalid,
s_axi_lite_bresp => s_axi_lite_bresp,
s_axi_lite_arready => s_axi_lite_arready,
s_axi_lite_arvalid => s_axi_lite_arvalid,
s_axi_lite_araddr => s_axi_lite_araddr,
s_axi_lite_rready => s_axi_lite_rready,
s_axi_lite_rvalid => s_axi_lite_rvalid,
s_axi_lite_rdata => s_axi_lite_rdata,
s_axi_lite_rresp => s_axi_lite_rresp,
m_axi_arready => m_axi_arready,
m_axi_arvalid => m_axi_arvalid,
m_axi_araddr => m_axi_araddr,
m_axi_arlen => m_axi_arlen,
m_axi_arsize => m_axi_arsize,
m_axi_arburst => m_axi_arburst,
m_axi_arprot => m_axi_arprot,
m_axi_arcache => m_axi_arcache,
m_axi_rready => m_axi_rready,
m_axi_rvalid => m_axi_rvalid,
m_axi_rdata => m_axi_rdata,
m_axi_rresp => m_axi_rresp,
m_axi_rlast => m_axi_rlast,
m_axi_awready => m_axi_awready,
m_axi_awvalid => m_axi_awvalid,
m_axi_awaddr => m_axi_awaddr,
m_axi_awlen => m_axi_awlen,
m_axi_awsize => m_axi_awsize,
m_axi_awburst => m_axi_awburst,
m_axi_awprot => m_axi_awprot,
m_axi_awcache => m_axi_awcache,
m_axi_wready => m_axi_wready,
m_axi_wvalid => m_axi_wvalid,
m_axi_wdata => m_axi_wdata,
m_axi_wstrb => m_axi_wstrb,
m_axi_wlast => m_axi_wlast,
m_axi_bready => m_axi_bready,
m_axi_bvalid => m_axi_bvalid,
m_axi_bresp => m_axi_bresp,
m_axi_sg_awready => '0',
m_axi_sg_wready => '0',
m_axi_sg_bvalid => '0',
m_axi_sg_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_sg_arready => '0',
m_axi_sg_rvalid => '0',
m_axi_sg_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
m_axi_sg_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_sg_rlast => '0',
cdma_tvect_out => cdma_tvect_out
);
END design_1_axi_cdma_0_3_arch;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YdBG68RFwokFzFKR7nrliDOlnj+kk1DIHHuLOj0ERcmliOs+5nUCq2+I7g3RQADo/tw/5hYyhBvA
zkhz98SR0w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j+3SDUDDyQpwaJ4UUg1tCPqwSzhuWj7b+1nfm4NSTDcl723o9USSuBxoK+76BYb4n4RIJlaApOB1
xNyJ3TdKE3+cTmvo0JV4qZ/XJHZylmoeBz0Fa0FUE6qNsZHsOrUGeTkEzZcF/sKG+/yE9U7pWoo2
Vf/+czWAwGAF78M7eU0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dHFWCJwUkRx0aWaiHT7rUDSuriVubrVt+dyN4XxebufJxmziEPnQW6R0Shvp3t9Rs28T0a6gDRTE
Yey998iArVO7WMLyPrC3VVfJAlUGA4SKXhclRes7vUyAWd942ytCM7CkgL8iKyxoTg6xgfAfb1bS
qKlQxP6Oh4UUMDF+wHj+TqR8Ri90ha2TKZ3vmzrYqXnqSsrUSiRPehahCB1EUZH03X39IWPBz/gL
jGMIzAwNsIFGk7JDXQ1D3yAeTLNRd0NJcbaXnRrczJd53QGhqk8FqQ8ewybUbV9d8utygp25BGSo
JL4M04pk4WhIMGjsQFVvSMt/b7bkXT5sNjgvEw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j4+Cq5t9jDH4b+tKONxSAQvUQSuEe6vmG/Fza6ThabY69JhhPiAIpyWkTk3pwrTii4y4QnUfomhd
SpT3nUMfy+A+uQihmL10hDFp3fMr/mfz4mde6CKmvH7NBA3c08FvVPiEDiRWg9D4PMn2iWzltZXB
4rCaDADltHHwoyn39vQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rVRC062BQnogimrJCqwTEd8ZOGC7aEi0dcp+jm3FwXnyuOU7ngEQ72N9ueAz6dcDQv3DZdlM5Zpu
mki8lLpfaQs1vszYHih3xmyOKcPdFqNb7otiQtmfyooFn6V5ZzK3hmaEFLqj6FRatAy4p2bTO2IO
DA4XayojNrn5+ADoj7yOj5hGWjrRbETfJQITMA+za7Lsu3ffroRuir2hOhDcPmOAKk0H+lG5J1/3
RGecNjZ6Px0gG+x+xDpWTFC6jSut/l9G+mYe7pWxhvOjNbfbpsKsCOeVD+NqT73v3Y/PYgd0cpBf
50bH+PiZYS93G80CmpaHUds75mMSCwIL/D9CJw==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
JPTsEMu7ivOCw+Wjrjiy3x7HTmU1csA0FSpSPA+aeDgUayQeahYc14vUAhzbnZvlrLWhUndZeDwo
3cAy7kv7lvuDgocp3TSVIEbRQbQQWEnF+lxyUk5f0XyY/eVMSaOdgfv7M/nPZJE52DlORF+IQ2B5
avNqsEHw/CM8qQX5A8/J21vVstkC1xfP6MseeK4nr+VBWZve6RaXZD+6i7X+GWzwfH0yO1tffjCQ
H2lhqG43HLBWd55LVB1ZVs7xPRYuRyrN4FLml3VvHtEXu8GA90OPGbzeuJuoiHDz5lmGgVEy6uNR
6ovEb87b8tpmnAZWAth8fcRz2a8gu2NtJmEg+g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5392)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YdBG68RFwokFzFKR7nrliDOlnj+kk1DIHHuLOj0ERcmliOs+5nUCq2+I7g3RQADo/tw/5hYyhBvA
zkhz98SR0w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j+3SDUDDyQpwaJ4UUg1tCPqwSzhuWj7b+1nfm4NSTDcl723o9USSuBxoK+76BYb4n4RIJlaApOB1
xNyJ3TdKE3+cTmvo0JV4qZ/XJHZylmoeBz0Fa0FUE6qNsZHsOrUGeTkEzZcF/sKG+/yE9U7pWoo2
Vf/+czWAwGAF78M7eU0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dHFWCJwUkRx0aWaiHT7rUDSuriVubrVt+dyN4XxebufJxmziEPnQW6R0Shvp3t9Rs28T0a6gDRTE
Yey998iArVO7WMLyPrC3VVfJAlUGA4SKXhclRes7vUyAWd942ytCM7CkgL8iKyxoTg6xgfAfb1bS
qKlQxP6Oh4UUMDF+wHj+TqR8Ri90ha2TKZ3vmzrYqXnqSsrUSiRPehahCB1EUZH03X39IWPBz/gL
jGMIzAwNsIFGk7JDXQ1D3yAeTLNRd0NJcbaXnRrczJd53QGhqk8FqQ8ewybUbV9d8utygp25BGSo
JL4M04pk4WhIMGjsQFVvSMt/b7bkXT5sNjgvEw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j4+Cq5t9jDH4b+tKONxSAQvUQSuEe6vmG/Fza6ThabY69JhhPiAIpyWkTk3pwrTii4y4QnUfomhd
SpT3nUMfy+A+uQihmL10hDFp3fMr/mfz4mde6CKmvH7NBA3c08FvVPiEDiRWg9D4PMn2iWzltZXB
4rCaDADltHHwoyn39vQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rVRC062BQnogimrJCqwTEd8ZOGC7aEi0dcp+jm3FwXnyuOU7ngEQ72N9ueAz6dcDQv3DZdlM5Zpu
mki8lLpfaQs1vszYHih3xmyOKcPdFqNb7otiQtmfyooFn6V5ZzK3hmaEFLqj6FRatAy4p2bTO2IO
DA4XayojNrn5+ADoj7yOj5hGWjrRbETfJQITMA+za7Lsu3ffroRuir2hOhDcPmOAKk0H+lG5J1/3
RGecNjZ6Px0gG+x+xDpWTFC6jSut/l9G+mYe7pWxhvOjNbfbpsKsCOeVD+NqT73v3Y/PYgd0cpBf
50bH+PiZYS93G80CmpaHUds75mMSCwIL/D9CJw==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
JPTsEMu7ivOCw+Wjrjiy3x7HTmU1csA0FSpSPA+aeDgUayQeahYc14vUAhzbnZvlrLWhUndZeDwo
3cAy7kv7lvuDgocp3TSVIEbRQbQQWEnF+lxyUk5f0XyY/eVMSaOdgfv7M/nPZJE52DlORF+IQ2B5
avNqsEHw/CM8qQX5A8/J21vVstkC1xfP6MseeK4nr+VBWZve6RaXZD+6i7X+GWzwfH0yO1tffjCQ
H2lhqG43HLBWd55LVB1ZVs7xPRYuRyrN4FLml3VvHtEXu8GA90OPGbzeuJuoiHDz5lmGgVEy6uNR
6ovEb87b8tpmnAZWAth8fcRz2a8gu2NtJmEg+g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5392)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YdBG68RFwokFzFKR7nrliDOlnj+kk1DIHHuLOj0ERcmliOs+5nUCq2+I7g3RQADo/tw/5hYyhBvA
zkhz98SR0w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j+3SDUDDyQpwaJ4UUg1tCPqwSzhuWj7b+1nfm4NSTDcl723o9USSuBxoK+76BYb4n4RIJlaApOB1
xNyJ3TdKE3+cTmvo0JV4qZ/XJHZylmoeBz0Fa0FUE6qNsZHsOrUGeTkEzZcF/sKG+/yE9U7pWoo2
Vf/+czWAwGAF78M7eU0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dHFWCJwUkRx0aWaiHT7rUDSuriVubrVt+dyN4XxebufJxmziEPnQW6R0Shvp3t9Rs28T0a6gDRTE
Yey998iArVO7WMLyPrC3VVfJAlUGA4SKXhclRes7vUyAWd942ytCM7CkgL8iKyxoTg6xgfAfb1bS
qKlQxP6Oh4UUMDF+wHj+TqR8Ri90ha2TKZ3vmzrYqXnqSsrUSiRPehahCB1EUZH03X39IWPBz/gL
jGMIzAwNsIFGk7JDXQ1D3yAeTLNRd0NJcbaXnRrczJd53QGhqk8FqQ8ewybUbV9d8utygp25BGSo
JL4M04pk4WhIMGjsQFVvSMt/b7bkXT5sNjgvEw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j4+Cq5t9jDH4b+tKONxSAQvUQSuEe6vmG/Fza6ThabY69JhhPiAIpyWkTk3pwrTii4y4QnUfomhd
SpT3nUMfy+A+uQihmL10hDFp3fMr/mfz4mde6CKmvH7NBA3c08FvVPiEDiRWg9D4PMn2iWzltZXB
4rCaDADltHHwoyn39vQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rVRC062BQnogimrJCqwTEd8ZOGC7aEi0dcp+jm3FwXnyuOU7ngEQ72N9ueAz6dcDQv3DZdlM5Zpu
mki8lLpfaQs1vszYHih3xmyOKcPdFqNb7otiQtmfyooFn6V5ZzK3hmaEFLqj6FRatAy4p2bTO2IO
DA4XayojNrn5+ADoj7yOj5hGWjrRbETfJQITMA+za7Lsu3ffroRuir2hOhDcPmOAKk0H+lG5J1/3
RGecNjZ6Px0gG+x+xDpWTFC6jSut/l9G+mYe7pWxhvOjNbfbpsKsCOeVD+NqT73v3Y/PYgd0cpBf
50bH+PiZYS93G80CmpaHUds75mMSCwIL/D9CJw==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
JPTsEMu7ivOCw+Wjrjiy3x7HTmU1csA0FSpSPA+aeDgUayQeahYc14vUAhzbnZvlrLWhUndZeDwo
3cAy7kv7lvuDgocp3TSVIEbRQbQQWEnF+lxyUk5f0XyY/eVMSaOdgfv7M/nPZJE52DlORF+IQ2B5
avNqsEHw/CM8qQX5A8/J21vVstkC1xfP6MseeK4nr+VBWZve6RaXZD+6i7X+GWzwfH0yO1tffjCQ
H2lhqG43HLBWd55LVB1ZVs7xPRYuRyrN4FLml3VvHtEXu8GA90OPGbzeuJuoiHDz5lmGgVEy6uNR
6ovEb87b8tpmnAZWAth8fcRz2a8gu2NtJmEg+g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5392)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YdBG68RFwokFzFKR7nrliDOlnj+kk1DIHHuLOj0ERcmliOs+5nUCq2+I7g3RQADo/tw/5hYyhBvA
zkhz98SR0w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j+3SDUDDyQpwaJ4UUg1tCPqwSzhuWj7b+1nfm4NSTDcl723o9USSuBxoK+76BYb4n4RIJlaApOB1
xNyJ3TdKE3+cTmvo0JV4qZ/XJHZylmoeBz0Fa0FUE6qNsZHsOrUGeTkEzZcF/sKG+/yE9U7pWoo2
Vf/+czWAwGAF78M7eU0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dHFWCJwUkRx0aWaiHT7rUDSuriVubrVt+dyN4XxebufJxmziEPnQW6R0Shvp3t9Rs28T0a6gDRTE
Yey998iArVO7WMLyPrC3VVfJAlUGA4SKXhclRes7vUyAWd942ytCM7CkgL8iKyxoTg6xgfAfb1bS
qKlQxP6Oh4UUMDF+wHj+TqR8Ri90ha2TKZ3vmzrYqXnqSsrUSiRPehahCB1EUZH03X39IWPBz/gL
jGMIzAwNsIFGk7JDXQ1D3yAeTLNRd0NJcbaXnRrczJd53QGhqk8FqQ8ewybUbV9d8utygp25BGSo
JL4M04pk4WhIMGjsQFVvSMt/b7bkXT5sNjgvEw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j4+Cq5t9jDH4b+tKONxSAQvUQSuEe6vmG/Fza6ThabY69JhhPiAIpyWkTk3pwrTii4y4QnUfomhd
SpT3nUMfy+A+uQihmL10hDFp3fMr/mfz4mde6CKmvH7NBA3c08FvVPiEDiRWg9D4PMn2iWzltZXB
4rCaDADltHHwoyn39vQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rVRC062BQnogimrJCqwTEd8ZOGC7aEi0dcp+jm3FwXnyuOU7ngEQ72N9ueAz6dcDQv3DZdlM5Zpu
mki8lLpfaQs1vszYHih3xmyOKcPdFqNb7otiQtmfyooFn6V5ZzK3hmaEFLqj6FRatAy4p2bTO2IO
DA4XayojNrn5+ADoj7yOj5hGWjrRbETfJQITMA+za7Lsu3ffroRuir2hOhDcPmOAKk0H+lG5J1/3
RGecNjZ6Px0gG+x+xDpWTFC6jSut/l9G+mYe7pWxhvOjNbfbpsKsCOeVD+NqT73v3Y/PYgd0cpBf
50bH+PiZYS93G80CmpaHUds75mMSCwIL/D9CJw==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
JPTsEMu7ivOCw+Wjrjiy3x7HTmU1csA0FSpSPA+aeDgUayQeahYc14vUAhzbnZvlrLWhUndZeDwo
3cAy7kv7lvuDgocp3TSVIEbRQbQQWEnF+lxyUk5f0XyY/eVMSaOdgfv7M/nPZJE52DlORF+IQ2B5
avNqsEHw/CM8qQX5A8/J21vVstkC1xfP6MseeK4nr+VBWZve6RaXZD+6i7X+GWzwfH0yO1tffjCQ
H2lhqG43HLBWd55LVB1ZVs7xPRYuRyrN4FLml3VvHtEXu8GA90OPGbzeuJuoiHDz5lmGgVEy6uNR
6ovEb87b8tpmnAZWAth8fcRz2a8gu2NtJmEg+g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5392)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YdBG68RFwokFzFKR7nrliDOlnj+kk1DIHHuLOj0ERcmliOs+5nUCq2+I7g3RQADo/tw/5hYyhBvA
zkhz98SR0w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j+3SDUDDyQpwaJ4UUg1tCPqwSzhuWj7b+1nfm4NSTDcl723o9USSuBxoK+76BYb4n4RIJlaApOB1
xNyJ3TdKE3+cTmvo0JV4qZ/XJHZylmoeBz0Fa0FUE6qNsZHsOrUGeTkEzZcF/sKG+/yE9U7pWoo2
Vf/+czWAwGAF78M7eU0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dHFWCJwUkRx0aWaiHT7rUDSuriVubrVt+dyN4XxebufJxmziEPnQW6R0Shvp3t9Rs28T0a6gDRTE
Yey998iArVO7WMLyPrC3VVfJAlUGA4SKXhclRes7vUyAWd942ytCM7CkgL8iKyxoTg6xgfAfb1bS
qKlQxP6Oh4UUMDF+wHj+TqR8Ri90ha2TKZ3vmzrYqXnqSsrUSiRPehahCB1EUZH03X39IWPBz/gL
jGMIzAwNsIFGk7JDXQ1D3yAeTLNRd0NJcbaXnRrczJd53QGhqk8FqQ8ewybUbV9d8utygp25BGSo
JL4M04pk4WhIMGjsQFVvSMt/b7bkXT5sNjgvEw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j4+Cq5t9jDH4b+tKONxSAQvUQSuEe6vmG/Fza6ThabY69JhhPiAIpyWkTk3pwrTii4y4QnUfomhd
SpT3nUMfy+A+uQihmL10hDFp3fMr/mfz4mde6CKmvH7NBA3c08FvVPiEDiRWg9D4PMn2iWzltZXB
4rCaDADltHHwoyn39vQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rVRC062BQnogimrJCqwTEd8ZOGC7aEi0dcp+jm3FwXnyuOU7ngEQ72N9ueAz6dcDQv3DZdlM5Zpu
mki8lLpfaQs1vszYHih3xmyOKcPdFqNb7otiQtmfyooFn6V5ZzK3hmaEFLqj6FRatAy4p2bTO2IO
DA4XayojNrn5+ADoj7yOj5hGWjrRbETfJQITMA+za7Lsu3ffroRuir2hOhDcPmOAKk0H+lG5J1/3
RGecNjZ6Px0gG+x+xDpWTFC6jSut/l9G+mYe7pWxhvOjNbfbpsKsCOeVD+NqT73v3Y/PYgd0cpBf
50bH+PiZYS93G80CmpaHUds75mMSCwIL/D9CJw==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
JPTsEMu7ivOCw+Wjrjiy3x7HTmU1csA0FSpSPA+aeDgUayQeahYc14vUAhzbnZvlrLWhUndZeDwo
3cAy7kv7lvuDgocp3TSVIEbRQbQQWEnF+lxyUk5f0XyY/eVMSaOdgfv7M/nPZJE52DlORF+IQ2B5
avNqsEHw/CM8qQX5A8/J21vVstkC1xfP6MseeK4nr+VBWZve6RaXZD+6i7X+GWzwfH0yO1tffjCQ
H2lhqG43HLBWd55LVB1ZVs7xPRYuRyrN4FLml3VvHtEXu8GA90OPGbzeuJuoiHDz5lmGgVEy6uNR
6ovEb87b8tpmnAZWAth8fcRz2a8gu2NtJmEg+g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5392)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YdBG68RFwokFzFKR7nrliDOlnj+kk1DIHHuLOj0ERcmliOs+5nUCq2+I7g3RQADo/tw/5hYyhBvA
zkhz98SR0w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j+3SDUDDyQpwaJ4UUg1tCPqwSzhuWj7b+1nfm4NSTDcl723o9USSuBxoK+76BYb4n4RIJlaApOB1
xNyJ3TdKE3+cTmvo0JV4qZ/XJHZylmoeBz0Fa0FUE6qNsZHsOrUGeTkEzZcF/sKG+/yE9U7pWoo2
Vf/+czWAwGAF78M7eU0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dHFWCJwUkRx0aWaiHT7rUDSuriVubrVt+dyN4XxebufJxmziEPnQW6R0Shvp3t9Rs28T0a6gDRTE
Yey998iArVO7WMLyPrC3VVfJAlUGA4SKXhclRes7vUyAWd942ytCM7CkgL8iKyxoTg6xgfAfb1bS
qKlQxP6Oh4UUMDF+wHj+TqR8Ri90ha2TKZ3vmzrYqXnqSsrUSiRPehahCB1EUZH03X39IWPBz/gL
jGMIzAwNsIFGk7JDXQ1D3yAeTLNRd0NJcbaXnRrczJd53QGhqk8FqQ8ewybUbV9d8utygp25BGSo
JL4M04pk4WhIMGjsQFVvSMt/b7bkXT5sNjgvEw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j4+Cq5t9jDH4b+tKONxSAQvUQSuEe6vmG/Fza6ThabY69JhhPiAIpyWkTk3pwrTii4y4QnUfomhd
SpT3nUMfy+A+uQihmL10hDFp3fMr/mfz4mde6CKmvH7NBA3c08FvVPiEDiRWg9D4PMn2iWzltZXB
4rCaDADltHHwoyn39vQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rVRC062BQnogimrJCqwTEd8ZOGC7aEi0dcp+jm3FwXnyuOU7ngEQ72N9ueAz6dcDQv3DZdlM5Zpu
mki8lLpfaQs1vszYHih3xmyOKcPdFqNb7otiQtmfyooFn6V5ZzK3hmaEFLqj6FRatAy4p2bTO2IO
DA4XayojNrn5+ADoj7yOj5hGWjrRbETfJQITMA+za7Lsu3ffroRuir2hOhDcPmOAKk0H+lG5J1/3
RGecNjZ6Px0gG+x+xDpWTFC6jSut/l9G+mYe7pWxhvOjNbfbpsKsCOeVD+NqT73v3Y/PYgd0cpBf
50bH+PiZYS93G80CmpaHUds75mMSCwIL/D9CJw==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
JPTsEMu7ivOCw+Wjrjiy3x7HTmU1csA0FSpSPA+aeDgUayQeahYc14vUAhzbnZvlrLWhUndZeDwo
3cAy7kv7lvuDgocp3TSVIEbRQbQQWEnF+lxyUk5f0XyY/eVMSaOdgfv7M/nPZJE52DlORF+IQ2B5
avNqsEHw/CM8qQX5A8/J21vVstkC1xfP6MseeK4nr+VBWZve6RaXZD+6i7X+GWzwfH0yO1tffjCQ
H2lhqG43HLBWd55LVB1ZVs7xPRYuRyrN4FLml3VvHtEXu8GA90OPGbzeuJuoiHDz5lmGgVEy6uNR
6ovEb87b8tpmnAZWAth8fcRz2a8gu2NtJmEg+g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5392)
`protect data_block
lHJV8fpNPaAZNP6iIPw0amUkAWeoac9F6Mn9lqxif19WHqLYLbQfJDRFOYN3pCmfdj64L9W/N1Ow
zUiFQ1Qn+/Po9LRxFhRjke+zlOLKcgmrz8Wsv/OJc4VSamOJ3dkTyGAaIz6iZjWAIfa3F20xboAz
QXBZHDMkFizWW6XCIBYurqj2WtAKSTo+Bn8syqerOb95UCNUITtoJ+4Ks9EWEUZ1S3AZ55HmtpfK
LybQ1/3Y6BdftkTr+jYn82lsEtYcZAhoxy4mD9Xafm1r7qcWxCRWhADW8LcZuOzFjH2Ppfz0ekQ4
2RYH0EMznWeFwuD9Zw9al80EuiW9Ua2WZSWij+5Tz+ria8QZ8bK6Wy/0J/+TX0BK6W8eBFVDLS7O
ync3GB+NMqTQa+CrDizkGVwKy0xiLspHkFF7qbRo1Hobt+3IBuFY1TLxGyaw/4iAp3TlQK9tLrYr
mCrBNRY0VvxkATuvpzYLQohRxqSd0kaY8gB1TeioNqP9mbysOa5WhVoasQAm9ZFO3dpzn1gk9Y+T
TjFjIzBsbELJlGenqcnZHlRY/zkuHXLnEqe+u2rsDfvD52AVJ9cr38Rb8Kk/Bc+eT3XZgrJ2bHlo
th4a75jw6wpEW2XabxTuE9rrlu5wY0Y5yfnNT6L8hi9URS5Q6N7eogvyGgppZktebcu7KlH6m9WM
RzYA8VNFwZSyXztX6Cml6BCcjERze9zHEznyaBoF3+uq9Y+bKpo037rLMDFhNOAyWcTdQF+w3+1n
KL28hwybFXjHjPlMiKdQU/Y/JkLDGzmLwVIi1C4NPJAfwGK8m+o2AEt9ZqGuGQPmiDqyNb4alRCL
/cjQHSIBx/BLHlpgwyPdS3hvGL6AbsaF3iErUBofmAzgXdo6/go0WkB6rBoqzxSiNPXRcVMdkdLV
u9XE02h/IvPQHWPB2e5LkoP+CT9iqF/JLaBwpQrG0fTxo+Pyyihl4h+o7b8gi164OJHTuKvGXWkI
bWaWaAEJ/CUXa5YjptXRicoW79TOwOwr5+95Eg/LpJayX7kZJgzqSISA7s0wo5URvsGmo7m3pz6E
p8pSfsWN3yJdPaes1adJkdbFzSNPw3SM9zgX22XZpW8U5DkQPGTu1TnRPxP6ZrNFOsBY2vvWj8F8
lYYVtw3LyGjW/YZwpB6V2QIureUbc1B96PTdnfqNr51/yVeuJEvkIEDSiu8MVJY2EJb/lEymD+w5
my/QRktpsR1KpFBklwnU3sXrUM51EjMfa9+yUaLN0R46kkkyLO+zb/DyHrXKeTY7rEYNUQnJpbGz
meMs+Rg+STAh/W+qQJyyJuz2tmxJI3bQPxl/1VFukYQJ/jdjgQfv1k8dtAdhobqLfO7bKwAzyz1h
y8bVBoEPWhzP2/Y0Z+B/JzRqvPpjNjs/Tx2M+ct09FolbxbskWvJt/Sesoh8Q9jDdhyZYGGuFyu7
55NK2jVYDt31YiVx9crEtLQ2lTyxm3erg1ez7Y36EwakRCFAZluocIeR9JgZ7js0LfdhbzzPe71b
p8e26QIF4Aycf8RSTejeav3/rzqyNmod6d4MH5LQ9ELziIcO1aBFcqFh8DHPN2CuoCVfnc3U0Tzi
DxpnXeBY4A+Rhe6uyu+byxuKNXvODb65fpFW/anQGkuEC+tp10XTq+6e5C/U/vPz+Gj1WFJrrzNp
k6xQH7s2GhF3tQ5W8ohJ7uvz8rpgis2QhreFJq9lhYSd+KJdaba1jZ+hAkIfJupdX4kMwfsxm/wn
5fnROcs+fMh7EwCbCSKuhNUiSx09OgudttRQGJSMABuUtsQzk7zX2PD6mY1cHynlp5dysZGXewqR
nAlJUuOAVbI73ZgG6dFCaVvbtgFGA+nf165ezt8VAamFVk5QnQHLdeLIhcp1AZQnH/ZjcMDl4oPl
pfLeOGUawoXtbdVURjICdFtJ8q6ChzsSDCMxuoF31FuXwP5pnGryzLiDuSnu2HHkoxIMcigxnyLD
TtefsxEwHLN+hVCrPCAAdNSIhddZ3bYiPk7lAMiv6BCxYDZGS9ZCM1cOSyucG7h3RdnQwg3A5uXO
SfaNEJlHtDMx4cucuENWxdybS+2ncYQF6fj1Fu0V7pYaYxViGda3gUhogY92s6649b5YAxESFyjg
lc5N9ZnOvQwpLzq9YKo4gfuXOJ1ZwbFPC7AsJpLI5RE97q78ajbqUqL7Aty67J63isNL8rkyQYWE
Q8su5MdBrzNeuGr8ExqF9PauDPluq/uTXAjfmCq6YUZotPV+6wKQSTYMSB1DuH4GXoRhGGIrwLH1
bgHNSWJr22pAZ8WoeHCvA774DRXPCDLHcKwBWLn3bQaIr8s76UTM61ii4eQ4/BOQhOOOW8UJFhXn
jDhByLQ2cRVkFIvNSCT20uFXwmV0zg2ba2tqO39eTBzRw8khvUsEExT0pHCemE3O1YCaFr5vBwSw
e1vxC3+AgIKIoKRU5pabirpjYeBT1+suWRwh4N4S344al5vL38TbuZG/o97tM0SZrDK8w+43gZzI
65yq4JKALCnx6NEIcqdMUvTq+wyyyt1g12c0dVUGGLQNaqiTWFOeCSI9UUKKGVQ3B8KSH6AhxeYS
gV0vNz1Q6oSp37/8qp5WCug8iMTcJc8byxf2ta84Ia1hJVN0LAQt2bKmCbEHgTLZ993g/8Qzkiq2
iaS78ZCzGRr39mWx/xl5KV3Zkfy/sz3/g5q4Zgwm4GvsHF4g6XBURE85FbMAikPLMhFFO3ebUSkH
u+DMoyuRqqmBkP8JxlkF/TaeIvZVHPXTKQ2j/zPsyAfWNMpnGu9ZKDsKuFP09SuaYYiPI6ev2l7S
SiyDxNSIiVR5xXoInO/7+nftScRIrAlDV5PMsbNryxPAgDF66zwrR7+PGs6HPt8WCuZ0uceYjl8J
D1yUA+BKkPDWCYsOEs9ABg/uRQFXVpYsg4SkW+tzw1u9gx5oa3nG2a/gNa1jei7maAG8AJC12obj
JCMCqAOVSP9yRjtQ0KBl6cJomFkQMSJcAKnpTAgRrB4TMUst34Nyxfum9b/Vel39/WXD0A6DjJ1f
O1q+SJQH7BQw/af+vLthdAhLxJIu2kY18gVfROWqP7/0a3ud0aHh78wWa9tQOg4f7EzgYx24L8gp
8ilAHOxg5iqw4RiWt4x0ocLVU+SdILKDjjyXuMxK2aBnKvr0h13NtMVUvF5CGDkwKrbmVQ64ZLHG
r4WGgqkzI3CuRFcarI5OOuRfoIUf6mK0nv3TbhGvr4Xilg1QxK/dRqQe8AziO+mKWAKZIWSBR0fE
nPvKHUkg9PB27PqPxrOOJa1qO5orSG5FB9QtWdL4BgqPU1+dYkFzbAKpXKcBqUMfS+Q16ptdxyi6
30j2PHUkM1JyVp+oIczWGmV/YiPXuQZ+cUdDu31IURvGmju6L0S60cAzthhROczysBr+HeRkgtP4
U4szYmOHC+iu7RSCV0Q8qDfwtD80Mex4aNknYed7U5MP/6OFRZOLnuguS5ARJ9JR6+ONnQSXv/Rw
X5RN3pWpjbrjKqRAodrXJDDbajJaP2BxEcoZhSlRh2mXa9iZsI4k+rjdAAZQc0Ql9dkz9nQ+kNXC
myXMN7A/mc4cipHUnwZgVNseIm1rijaOWeQ0p1MSprxEJ3azfcY6GGRB89N0b0F64mZG9UOnfwzW
HUZf+IGwIDR7YiC+HbfZ9mYjJCn69uocWOjkUxZxTqprwQlYNBKXWQ3k5OWUUpliRoqFc/IFqu0l
FPdgsXNBKqPf2VryDrVfOkFH09w0kvUVFsTmbz1Q/dYjC+fvcjTm42eJ666ZRDnDO2FQo/e7He02
MhjiRxK0rD1LNaaXhHbdPrASFi0X82oyukdFm6aNpJUN/SOySZL8qmpWlirog/IOk8c8A366IBif
16NM+F22rwqKszcVSIyfj1s3VmpAvCS26+8SsqLHlIB2fF6VCbd+MkaHVVkXj+GN8SuYtm3LOyyX
trRlhI6Ra+FlZzFaegz46OsCY+lTZ5RNAdfsY/aERCq0s01Jl6/7E60w86UwoI7yb/0B5T0jI3Fh
GqgJbDaPUHd8GXADlEhjI5Y1aSIeMtnvDv0ih9YaGOIKaeFwG4dlxHCp7JZTXotzvqFQSTEeI9UA
Zw+wrBaH4U5J+jk/v0M6VM23FFGstcJUaajfs+1bKITYr2fVpM0U7D/O34NgE4xz6bFCaKmwcrJp
IotEIMaBWWhLsAx4pTBtI4FQf6NmV6UNkQMRkXXDI1Fns3ooL2vZKgV5ZI9FrOOzTOtHdOuPTNwb
xHywEkliqIQYMRQxjwlDzluAW0JOEL+1MZuxN+WYJGVy1KmDDx6k1uhGuU16PxIymfjq4NdhuBXk
0SZPsfHMW20nhmyTH8t4s8b5UpuCujzMHS30yDiVltFfmyEdGOZC1TDJ8G0ylFxAgfBNbagk/sec
W1tQLmq4HKrPJP9quRzDgVLkqvurF9/LKtwLyNIrgEy6MjY+gNip9JlOYTOM8aW0RqB5zqb75H0j
MrRKei3vBC4uMjByhL3vqfMlyAwOuLD55ON5fPVmnNPHdNcbKnpWsxSIJvPIIZ8uenIgK+Jqm19C
G0yxkWS4Mh0cS31CnCErCbdqRTN0Y9xvd8smrHLDnhO/FbFznpwAFsdpVr2wFeKZ9nxXD18548DL
NtFXoVGX1H6xivhMOLPVJk6O8F3VZz75sKlrPfpEjZNGb027y7XXqV9DSuATDNHqi4PIJ8tsxTZ3
3xCV0k/rDCNyUAtF9PcxAD0hM/B88jFWemIuImWu9NIhDB8IOPDbiRz9tfisL7TovI5GP9WDO9kR
06IADYSMvcCK7YOfHqkRit2EoYt7q0Uvt7aTC3floc+PMFqhxH+KNFcjPG20xyY37lATb38gf0N+
t5KK27ggPyu+o3PET1N5bq1FO7eg9BnAokyrfBFiYasqW4w7qQ9znWCmOX6iBuy5nPh06XAYdyNs
uLlR7ordHKC8/AfHlMR4Bq/3Yq0Ysm3WGjIjT1RljdvuOCDh+Nnt+dAny0rFHPnW1XYszTQaz6CU
Ugh/tZuWAaEWvkJkqprxA0IdmNaWwaTgCpdOOT5llUxqK2DkvTUkFNlOK7YkS2Z+pJTt/dGfwGsI
vAaRBq4Ks1FoQd4DYG2tTmksrKn96Fj+jKPO1WoWYnmauqVa/WSMMy4m0XV+e1CoN+sT1kbsP+u+
RRDWq0TNi46P5m/tNwjzQmghzBEko22Wx30R+rSRbq31290qulNeZ4o7+jQ0xNVoedLJNIFzgkBq
YsH2SFXtallxUfZdA+TiVTXFAnO9KN5+LFteu2PrwJ3wXWpIViUx0mNbqtDmA5DMGvm8fc54mxde
sa66svggXx4jggmRS5YHRhadubTPJMwH1+XIDCpWFlJA1bMM+KpjBgPo0CysgjheKzuXXlKCrF98
0whmmFm9AAFNUaESjbi30jQfa5XK0Z6H0GVO0FRP96TS4ICjxldUUJny5s4Ys0/zjDbR+e2vGePj
Gtoqz4Gi4HUNLJbsI0fHFgSt1iRjHL2Ol+LUKqhyax+y/eToEHOMoYZffBpyXSo5mgnkXDlM/yRz
2X3YBnNhVLr5o1KrKzgxES/kii8MNq3h/OUBnvsB/vfJHxnoZ+IQnuNaFWUxgFYrMlRdWeCCL1VJ
+XMcKoePJGVheIGGbxRPYi6GxVj7nD5WXFy6P5QlrdgKDlGRhdwgsw2OH62kikx684AN+8A8hTCu
Fw0wr/EvRLvSXc5X8BX0graSdvQTcy7MBv6ENfe7dWyhY3z+boFPwUPj9D9/fjJRnxvjbe6oLTcH
Ggiz1j0yvtVdzRs+K4+Wx2uMcc84mndMKb8ojPHwqwhaK+iL/D6nDwA1hGkcFAG/CbS0XlqxyIi/
KYfga8bJGO55q6FZ/2KnEFb5ozzM+P11rJFHapGV6prtyxxnHN+MJ0JGNNNAHt2qDXwNa9gM+eAx
WG9Fxtb1Qa2KoRJ2OZvR06RT5L1It4N7qiVdhlsr9hzdnsGdrC1BASzVxEhCboQrLArBE8wL0aU/
Nw3y2AEWRcHyQL+Mv249kj9WDlMdCzZbk3udlmdxrk49b6MsW6zrDWgfDVDvCxNbmwe4r9gM0SM0
q3mHwDnwiQkflQsEYclcswKlGlDaxgeZ+zBQwYwqi1cs5go5VA5Eq8ndenu+NFTFef4Kx0aOO7YK
5dM5sC0puyAl/oniVzrKRJ+DnETU86QFAAa2N4CpCAvsodb3s83cfkSOJacDGXzL2bZhamYWVYYu
Md2jMrM85u+LlHAWzQ0LqCTkI0O8W0ssK1jX6m2maaxUv1TC6B3a2erW2xV/RkbyX1Q5aOfkDVu7
/vJk2mqGjgIgr/uq6fN+xO7G02X2EUki3yCrYpboRnnCjHm/hOhcoL1RXwpy0JrnFaCw9S69f05Z
niYpcjQ+dCT20+BCNb+GufFYvcJ3mb4oCtEb6HOIw4SVjTfTNOzfPn8v+QBdD059+Byd45Upm60r
Pqw397KrE8GRgs0O9/bcGhVUHMnVPBJjHoIs+o4x0IrAezuyhhfgOz6RqZtgxcp9DWHUNGWJCmeu
kUZdyWIb69TywZFNyenWcmMS7BrEsdAbemoenmWxcT/F7mhtuoNFV/dVSc+JnKJV2jKXk43Apa4z
YgiTTro34u3MnS6vMqGgdwcnEshVBfU7hUcZJhRMsWd2faQTKZfAQWZujNJCRY7rUAwtOUq0pPth
XCJKKapx59tbD6sN+H97jH+UBDis519y7Vk4A/h6qKdzAAYDk+8L1sbxiLpuJvy8EyK+iEqpN99s
+l2yfzz3q+pQ/mZ1t/Gh1lFiL75mshwsWRRNpySkiPrhnqtsp1sjlbzcfCRSUcIHmFkS7sZ2++ET
uZM+1C1TKMusoriq3YjBtzTSOosS2MygpZwFQURuwGReUtNS+Iy26g9gHnvptGXKUaXMMTGsJbPg
p7eIse1WY+6t0NbFTw0K0BPKhWXHTNPE8jAOZa51gGFVo5xoW54GZe98yQYviChEvLWNaU+ARwFP
K4REOg7KOIz4dEu93rSUs8ns1KaaECbV9dXLXe/EnNLYnxUaXcjRjCmBEHaHdu58fu5i+gpgNyA8
UisuaIUgtRCq/eu3ejkwvCkt0bdlvuHq6GWcqFuZuAvFz+GHJTLqtWC2tnbq7RScINiOvf4fRCgK
XxwNaiGxAr+4waSppxQ4TY9TWCTB1F0JQP49nPGJ6JUgUA==
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YdBG68RFwokFzFKR7nrliDOlnj+kk1DIHHuLOj0ERcmliOs+5nUCq2+I7g3RQADo/tw/5hYyhBvA
zkhz98SR0w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j+3SDUDDyQpwaJ4UUg1tCPqwSzhuWj7b+1nfm4NSTDcl723o9USSuBxoK+76BYb4n4RIJlaApOB1
xNyJ3TdKE3+cTmvo0JV4qZ/XJHZylmoeBz0Fa0FUE6qNsZHsOrUGeTkEzZcF/sKG+/yE9U7pWoo2
Vf/+czWAwGAF78M7eU0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dHFWCJwUkRx0aWaiHT7rUDSuriVubrVt+dyN4XxebufJxmziEPnQW6R0Shvp3t9Rs28T0a6gDRTE
Yey998iArVO7WMLyPrC3VVfJAlUGA4SKXhclRes7vUyAWd942ytCM7CkgL8iKyxoTg6xgfAfb1bS
qKlQxP6Oh4UUMDF+wHj+TqR8Ri90ha2TKZ3vmzrYqXnqSsrUSiRPehahCB1EUZH03X39IWPBz/gL
jGMIzAwNsIFGk7JDXQ1D3yAeTLNRd0NJcbaXnRrczJd53QGhqk8FqQ8ewybUbV9d8utygp25BGSo
JL4M04pk4WhIMGjsQFVvSMt/b7bkXT5sNjgvEw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j4+Cq5t9jDH4b+tKONxSAQvUQSuEe6vmG/Fza6ThabY69JhhPiAIpyWkTk3pwrTii4y4QnUfomhd
SpT3nUMfy+A+uQihmL10hDFp3fMr/mfz4mde6CKmvH7NBA3c08FvVPiEDiRWg9D4PMn2iWzltZXB
4rCaDADltHHwoyn39vQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rVRC062BQnogimrJCqwTEd8ZOGC7aEi0dcp+jm3FwXnyuOU7ngEQ72N9ueAz6dcDQv3DZdlM5Zpu
mki8lLpfaQs1vszYHih3xmyOKcPdFqNb7otiQtmfyooFn6V5ZzK3hmaEFLqj6FRatAy4p2bTO2IO
DA4XayojNrn5+ADoj7yOj5hGWjrRbETfJQITMA+za7Lsu3ffroRuir2hOhDcPmOAKk0H+lG5J1/3
RGecNjZ6Px0gG+x+xDpWTFC6jSut/l9G+mYe7pWxhvOjNbfbpsKsCOeVD+NqT73v3Y/PYgd0cpBf
50bH+PiZYS93G80CmpaHUds75mMSCwIL/D9CJw==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
JPTsEMu7ivOCw+Wjrjiy3x7HTmU1csA0FSpSPA+aeDgUayQeahYc14vUAhzbnZvlrLWhUndZeDwo
3cAy7kv7lvuDgocp3TSVIEbRQbQQWEnF+lxyUk5f0XyY/eVMSaOdgfv7M/nPZJE52DlORF+IQ2B5
avNqsEHw/CM8qQX5A8/J21vVstkC1xfP6MseeK4nr+VBWZve6RaXZD+6i7X+GWzwfH0yO1tffjCQ
H2lhqG43HLBWd55LVB1ZVs7xPRYuRyrN4FLml3VvHtEXu8GA90OPGbzeuJuoiHDz5lmGgVEy6uNR
6ovEb87b8tpmnAZWAth8fcRz2a8gu2NtJmEg+g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5392)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YdBG68RFwokFzFKR7nrliDOlnj+kk1DIHHuLOj0ERcmliOs+5nUCq2+I7g3RQADo/tw/5hYyhBvA
zkhz98SR0w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j+3SDUDDyQpwaJ4UUg1tCPqwSzhuWj7b+1nfm4NSTDcl723o9USSuBxoK+76BYb4n4RIJlaApOB1
xNyJ3TdKE3+cTmvo0JV4qZ/XJHZylmoeBz0Fa0FUE6qNsZHsOrUGeTkEzZcF/sKG+/yE9U7pWoo2
Vf/+czWAwGAF78M7eU0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dHFWCJwUkRx0aWaiHT7rUDSuriVubrVt+dyN4XxebufJxmziEPnQW6R0Shvp3t9Rs28T0a6gDRTE
Yey998iArVO7WMLyPrC3VVfJAlUGA4SKXhclRes7vUyAWd942ytCM7CkgL8iKyxoTg6xgfAfb1bS
qKlQxP6Oh4UUMDF+wHj+TqR8Ri90ha2TKZ3vmzrYqXnqSsrUSiRPehahCB1EUZH03X39IWPBz/gL
jGMIzAwNsIFGk7JDXQ1D3yAeTLNRd0NJcbaXnRrczJd53QGhqk8FqQ8ewybUbV9d8utygp25BGSo
JL4M04pk4WhIMGjsQFVvSMt/b7bkXT5sNjgvEw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j4+Cq5t9jDH4b+tKONxSAQvUQSuEe6vmG/Fza6ThabY69JhhPiAIpyWkTk3pwrTii4y4QnUfomhd
SpT3nUMfy+A+uQihmL10hDFp3fMr/mfz4mde6CKmvH7NBA3c08FvVPiEDiRWg9D4PMn2iWzltZXB
4rCaDADltHHwoyn39vQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rVRC062BQnogimrJCqwTEd8ZOGC7aEi0dcp+jm3FwXnyuOU7ngEQ72N9ueAz6dcDQv3DZdlM5Zpu
mki8lLpfaQs1vszYHih3xmyOKcPdFqNb7otiQtmfyooFn6V5ZzK3hmaEFLqj6FRatAy4p2bTO2IO
DA4XayojNrn5+ADoj7yOj5hGWjrRbETfJQITMA+za7Lsu3ffroRuir2hOhDcPmOAKk0H+lG5J1/3
RGecNjZ6Px0gG+x+xDpWTFC6jSut/l9G+mYe7pWxhvOjNbfbpsKsCOeVD+NqT73v3Y/PYgd0cpBf
50bH+PiZYS93G80CmpaHUds75mMSCwIL/D9CJw==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
JPTsEMu7ivOCw+Wjrjiy3x7HTmU1csA0FSpSPA+aeDgUayQeahYc14vUAhzbnZvlrLWhUndZeDwo
3cAy7kv7lvuDgocp3TSVIEbRQbQQWEnF+lxyUk5f0XyY/eVMSaOdgfv7M/nPZJE52DlORF+IQ2B5
avNqsEHw/CM8qQX5A8/J21vVstkC1xfP6MseeK4nr+VBWZve6RaXZD+6i7X+GWzwfH0yO1tffjCQ
H2lhqG43HLBWd55LVB1ZVs7xPRYuRyrN4FLml3VvHtEXu8GA90OPGbzeuJuoiHDz5lmGgVEy6uNR
6ovEb87b8tpmnAZWAth8fcRz2a8gu2NtJmEg+g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5392)
`protect data_block
lHJV8fpNPaAZNP6iIPw0amUkAWeoac9F6Mn9lqxif19WHqLYLbQfJDRFOYN3pCmfdj64L9W/N1Ow
zUiFQ1Qn+/Po9LRxFhRjke+zlOLKcgmrz8Wsv/OJc4VSamOJ3dkTyGAaIz6iZjWAIfa3F20xboAz
QXBZHDMkFizWW6XCIBYurqj2WtAKSTo+Bn8syqerOb95UCNUITtoJ+4Ks9EWEUZ1S3AZ55HmtpfK
LybQ1/3Y6BdftkTr+jYn82lsEtYcZAhoxy4mD9Xafm1r7qcWxCRWhADW8LcZuOzFjH2Ppfz0ekQ4
2RYH0EMznWeFwuD9Zw9al80EuiW9Ua2WZSWij+5Tz+ria8QZ8bK6Wy/0J/+TX0BK6W8eBFVDLS7O
ync3GB+NMqTQa+CrDizkGVwKy0xiLspHkFF7qbRo1Hobt+3IBuFY1TLxGyaw/4iAp3TlQK9tLrYr
mCrBNRY0VvxkATuvpzYLQohRxqSd0kaY8gB1TeioNqP9mbysOa5WhVoasQAm9ZFO3dpzn1gk9Y+T
TjFjIzBsbELJlGenqcnZHlRY/zkuHXLnEqe+u2rsDfvD52AVJ9cr38Rb8Kk/Bc+eT3XZgrJ2bHlo
th4a75jw6wpEW2XabxTuE9rrlu5wY0Y5yfnNT6L8hi9URS5Q6N7eogvyGgppZktebcu7KlH6m9WM
RzYA8VNFwZSyXztX6Cml6BCcjERze9zHEznyaBoF3+uq9Y+bKpo037rLMDFhNOAyWcTdQF+w3+1n
KL28hwybFXjHjPlMiKdQU/Y/JkLDGzmLwVIi1C4NPJAfwGK8m+o2AEt9ZqGuGQPmiDqyNb4alRCL
/cjQHSIBx/BLHlpgwyPdS3hvGL6AbsaF3iErUBofmAzgXdo6/go0WkB6rBoqzxSiNPXRcVMdkdLV
u9XE02h/IvPQHWPB2e5LkoP+CT9iqF/JLaBwpQrG0fTxo+Pyyihl4h+o7b8gi164OJHTuKvGXWkI
bWaWaAEJ/CUXa5YjptXRicoW79TOwOwr5+95Eg/LpJayX7kZJgzqSISA7s0wo5URvsGmo7m3pz6E
p8pSfsWN3yJdPaes1adJkdbFzSNPw3SM9zgX22XZpW8U5DkQPGTu1TnRPxP6ZrNFOsBY2vvWj8F8
lYYVtw3LyGjW/YZwpB6V2QIureUbc1B96PTdnfqNr51/yVeuJEvkIEDSiu8MVJY2EJb/lEymD+w5
my/QRktpsR1KpFBklwnU3sXrUM51EjMfa9+yUaLN0R46kkkyLO+zb/DyHrXKeTY7rEYNUQnJpbGz
meMs+Rg+STAh/W+qQJyyJuz2tmxJI3bQPxl/1VFukYQJ/jdjgQfv1k8dtAdhobqLfO7bKwAzyz1h
y8bVBoEPWhzP2/Y0Z+B/JzRqvPpjNjs/Tx2M+ct09FolbxbskWvJt/Sesoh8Q9jDdhyZYGGuFyu7
55NK2jVYDt31YiVx9crEtLQ2lTyxm3erg1ez7Y36EwakRCFAZluocIeR9JgZ7js0LfdhbzzPe71b
p8e26QIF4Aycf8RSTejeav3/rzqyNmod6d4MH5LQ9ELziIcO1aBFcqFh8DHPN2CuoCVfnc3U0Tzi
DxpnXeBY4A+Rhe6uyu+byxuKNXvODb65fpFW/anQGkuEC+tp10XTq+6e5C/U/vPz+Gj1WFJrrzNp
k6xQH7s2GhF3tQ5W8ohJ7uvz8rpgis2QhreFJq9lhYSd+KJdaba1jZ+hAkIfJupdX4kMwfsxm/wn
5fnROcs+fMh7EwCbCSKuhNUiSx09OgudttRQGJSMABuUtsQzk7zX2PD6mY1cHynlp5dysZGXewqR
nAlJUuOAVbI73ZgG6dFCaVvbtgFGA+nf165ezt8VAamFVk5QnQHLdeLIhcp1AZQnH/ZjcMDl4oPl
pfLeOGUawoXtbdVURjICdFtJ8q6ChzsSDCMxuoF31FuXwP5pnGryzLiDuSnu2HHkoxIMcigxnyLD
TtefsxEwHLN+hVCrPCAAdNSIhddZ3bYiPk7lAMiv6BCxYDZGS9ZCM1cOSyucG7h3RdnQwg3A5uXO
SfaNEJlHtDMx4cucuENWxdybS+2ncYQF6fj1Fu0V7pYaYxViGda3gUhogY92s6649b5YAxESFyjg
lc5N9ZnOvQwpLzq9YKo4gfuXOJ1ZwbFPC7AsJpLI5RE97q78ajbqUqL7Aty67J63isNL8rkyQYWE
Q8su5MdBrzNeuGr8ExqF9PauDPluq/uTXAjfmCq6YUZotPV+6wKQSTYMSB1DuH4GXoRhGGIrwLH1
bgHNSWJr22pAZ8WoeHCvA774DRXPCDLHcKwBWLn3bQaIr8s76UTM61ii4eQ4/BOQhOOOW8UJFhXn
jDhByLQ2cRVkFIvNSCT20uFXwmV0zg2ba2tqO39eTBzRw8khvUsEExT0pHCemE3O1YCaFr5vBwSw
e1vxC3+AgIKIoKRU5pabirpjYeBT1+suWRwh4N4S344al5vL38TbuZG/o97tM0SZrDK8w+43gZzI
65yq4JKALCnx6NEIcqdMUvTq+wyyyt1g12c0dVUGGLQNaqiTWFOeCSI9UUKKGVQ3B8KSH6AhxeYS
gV0vNz1Q6oSp37/8qp5WCug8iMTcJc8byxf2ta84Ia1hJVN0LAQt2bKmCbEHgTLZ993g/8Qzkiq2
iaS78ZCzGRr39mWx/xl5KV3Zkfy/sz3/g5q4Zgwm4GvsHF4g6XBURE85FbMAikPLMhFFO3ebUSkH
u+DMoyuRqqmBkP8JxlkF/TaeIvZVHPXTKQ2j/zPsyAfWNMpnGu9ZKDsKuFP09SuaYYiPI6ev2l7S
SiyDxNSIiVR5xXoInO/7+nftScRIrAlDV5PMsbNryxPAgDF66zwrR7+PGs6HPt8WCuZ0uceYjl8J
D1yUA+BKkPDWCYsOEs9ABg/uRQFXVpYsg4SkW+tzw1u9gx5oa3nG2a/gNa1jei7maAG8AJC12obj
JCMCqAOVSP9yRjtQ0KBl6cJomFkQMSJcAKnpTAgRrB4TMUst34Nyxfum9b/Vel39/WXD0A6DjJ1f
O1q+SJQH7BQw/af+vLthdAhLxJIu2kY18gVfROWqP7/0a3ud0aHh78wWa9tQOg4f7EzgYx24L8gp
8ilAHOxg5iqw4RiWt4x0ocLVU+SdILKDjjyXuMxK2aBnKvr0h13NtMVUvF5CGDkwKrbmVQ64ZLHG
r4WGgqkzI3CuRFcarI5OOuRfoIUf6mK0nv3TbhGvr4Xilg1QxK/dRqQe8AziO+mKWAKZIWSBR0fE
nPvKHUkg9PB27PqPxrOOJa1qO5orSG5FB9QtWdL4BgqPU1+dYkFzbAKpXKcBqUMfS+Q16ptdxyi6
30j2PHUkM1JyVp+oIczWGmV/YiPXuQZ+cUdDu31IURvGmju6L0S60cAzthhROczysBr+HeRkgtP4
U4szYmOHC+iu7RSCV0Q8qDfwtD80Mex4aNknYed7U5MP/6OFRZOLnuguS5ARJ9JR6+ONnQSXv/Rw
X5RN3pWpjbrjKqRAodrXJDDbajJaP2BxEcoZhSlRh2mXa9iZsI4k+rjdAAZQc0Ql9dkz9nQ+kNXC
myXMN7A/mc4cipHUnwZgVNseIm1rijaOWeQ0p1MSprxEJ3azfcY6GGRB89N0b0F64mZG9UOnfwzW
HUZf+IGwIDR7YiC+HbfZ9mYjJCn69uocWOjkUxZxTqprwQlYNBKXWQ3k5OWUUpliRoqFc/IFqu0l
FPdgsXNBKqPf2VryDrVfOkFH09w0kvUVFsTmbz1Q/dYjC+fvcjTm42eJ666ZRDnDO2FQo/e7He02
MhjiRxK0rD1LNaaXhHbdPrASFi0X82oyukdFm6aNpJUN/SOySZL8qmpWlirog/IOk8c8A366IBif
16NM+F22rwqKszcVSIyfj1s3VmpAvCS26+8SsqLHlIB2fF6VCbd+MkaHVVkXj+GN8SuYtm3LOyyX
trRlhI6Ra+FlZzFaegz46OsCY+lTZ5RNAdfsY/aERCq0s01Jl6/7E60w86UwoI7yb/0B5T0jI3Fh
GqgJbDaPUHd8GXADlEhjI5Y1aSIeMtnvDv0ih9YaGOIKaeFwG4dlxHCp7JZTXotzvqFQSTEeI9UA
Zw+wrBaH4U5J+jk/v0M6VM23FFGstcJUaajfs+1bKITYr2fVpM0U7D/O34NgE4xz6bFCaKmwcrJp
IotEIMaBWWhLsAx4pTBtI4FQf6NmV6UNkQMRkXXDI1Fns3ooL2vZKgV5ZI9FrOOzTOtHdOuPTNwb
xHywEkliqIQYMRQxjwlDzluAW0JOEL+1MZuxN+WYJGVy1KmDDx6k1uhGuU16PxIymfjq4NdhuBXk
0SZPsfHMW20nhmyTH8t4s8b5UpuCujzMHS30yDiVltFfmyEdGOZC1TDJ8G0ylFxAgfBNbagk/sec
W1tQLmq4HKrPJP9quRzDgVLkqvurF9/LKtwLyNIrgEy6MjY+gNip9JlOYTOM8aW0RqB5zqb75H0j
MrRKei3vBC4uMjByhL3vqfMlyAwOuLD55ON5fPVmnNPHdNcbKnpWsxSIJvPIIZ8uenIgK+Jqm19C
G0yxkWS4Mh0cS31CnCErCbdqRTN0Y9xvd8smrHLDnhO/FbFznpwAFsdpVr2wFeKZ9nxXD18548DL
NtFXoVGX1H6xivhMOLPVJk6O8F3VZz75sKlrPfpEjZNGb027y7XXqV9DSuATDNHqi4PIJ8tsxTZ3
3xCV0k/rDCNyUAtF9PcxAD0hM/B88jFWemIuImWu9NIhDB8IOPDbiRz9tfisL7TovI5GP9WDO9kR
06IADYSMvcCK7YOfHqkRit2EoYt7q0Uvt7aTC3floc+PMFqhxH+KNFcjPG20xyY37lATb38gf0N+
t5KK27ggPyu+o3PET1N5bq1FO7eg9BnAokyrfBFiYasqW4w7qQ9znWCmOX6iBuy5nPh06XAYdyNs
uLlR7ordHKC8/AfHlMR4Bq/3Yq0Ysm3WGjIjT1RljdvuOCDh+Nnt+dAny0rFHPnW1XYszTQaz6CU
Ugh/tZuWAaEWvkJkqprxA0IdmNaWwaTgCpdOOT5llUxqK2DkvTUkFNlOK7YkS2Z+pJTt/dGfwGsI
vAaRBq4Ks1FoQd4DYG2tTmksrKn96Fj+jKPO1WoWYnmauqVa/WSMMy4m0XV+e1CoN+sT1kbsP+u+
RRDWq0TNi46P5m/tNwjzQmghzBEko22Wx30R+rSRbq31290qulNeZ4o7+jQ0xNVoedLJNIFzgkBq
YsH2SFXtallxUfZdA+TiVTXFAnO9KN5+LFteu2PrwJ3wXWpIViUx0mNbqtDmA5DMGvm8fc54mxde
sa66svggXx4jggmRS5YHRhadubTPJMwH1+XIDCpWFlJA1bMM+KpjBgPo0CysgjheKzuXXlKCrF98
0whmmFm9AAFNUaESjbi30jQfa5XK0Z6H0GVO0FRP96TS4ICjxldUUJny5s4Ys0/zjDbR+e2vGePj
Gtoqz4Gi4HUNLJbsI0fHFgSt1iRjHL2Ol+LUKqhyax+y/eToEHOMoYZffBpyXSo5mgnkXDlM/yRz
2X3YBnNhVLr5o1KrKzgxES/kii8MNq3h/OUBnvsB/vfJHxnoZ+IQnuNaFWUxgFYrMlRdWeCCL1VJ
+XMcKoePJGVheIGGbxRPYi6GxVj7nD5WXFy6P5QlrdgKDlGRhdwgsw2OH62kikx684AN+8A8hTCu
Fw0wr/EvRLvSXc5X8BX0graSdvQTcy7MBv6ENfe7dWyhY3z+boFPwUPj9D9/fjJRnxvjbe6oLTcH
Ggiz1j0yvtVdzRs+K4+Wx2uMcc84mndMKb8ojPHwqwhaK+iL/D6nDwA1hGkcFAG/CbS0XlqxyIi/
KYfga8bJGO55q6FZ/2KnEFb5ozzM+P11rJFHapGV6prtyxxnHN+MJ0JGNNNAHt2qDXwNa9gM+eAx
WG9Fxtb1Qa2KoRJ2OZvR06RT5L1It4N7qiVdhlsr9hzdnsGdrC1BASzVxEhCboQrLArBE8wL0aU/
Nw3y2AEWRcHyQL+Mv249kj9WDlMdCzZbk3udlmdxrk49b6MsW6zrDWgfDVDvCxNbmwe4r9gM0SM0
q3mHwDnwiQkflQsEYclcswKlGlDaxgeZ+zBQwYwqi1cs5go5VA5Eq8ndenu+NFTFef4Kx0aOO7YK
5dM5sC0puyAl/oniVzrKRJ+DnETU86QFAAa2N4CpCAvsodb3s83cfkSOJacDGXzL2bZhamYWVYYu
Md2jMrM85u+LlHAWzQ0LqCTkI0O8W0ssK1jX6m2maaxUv1TC6B3a2erW2xV/RkbyX1Q5aOfkDVu7
/vJk2mqGjgIgr/uq6fN+xO7G02X2EUki3yCrYpboRnnCjHm/hOhcoL1RXwpy0JrnFaCw9S69f05Z
niYpcjQ+dCT20+BCNb+GufFYvcJ3mb4oCtEb6HOIw4SVjTfTNOzfPn8v+QBdD059+Byd45Upm60r
Pqw397KrE8GRgs0O9/bcGhVUHMnVPBJjHoIs+o4x0IrAezuyhhfgOz6RqZtgxcp9DWHUNGWJCmeu
kUZdyWIb69TywZFNyenWcmMS7BrEsdAbemoenmWxcT/F7mhtuoNFV/dVSc+JnKJV2jKXk43Apa4z
YgiTTro34u3MnS6vMqGgdwcnEshVBfU7hUcZJhRMsWd2faQTKZfAQWZujNJCRY7rUAwtOUq0pPth
XCJKKapx59tbD6sN+H97jH+UBDis519y7Vk4A/h6qKdzAAYDk+8L1sbxiLpuJvy8EyK+iEqpN99s
+l2yfzz3q+pQ/mZ1t/Gh1lFiL75mshwsWRRNpySkiPrhnqtsp1sjlbzcfCRSUcIHmFkS7sZ2++ET
uZM+1C1TKMusoriq3YjBtzTSOosS2MygpZwFQURuwGReUtNS+Iy26g9gHnvptGXKUaXMMTGsJbPg
p7eIse1WY+6t0NbFTw0K0BPKhWXHTNPE8jAOZa51gGFVo5xoW54GZe98yQYviChEvLWNaU+ARwFP
K4REOg7KOIz4dEu93rSUs8ns1KaaECbV9dXLXe/EnNLYnxUaXcjRjCmBEHaHdu58fu5i+gpgNyA8
UisuaIUgtRCq/eu3ejkwvCkt0bdlvuHq6GWcqFuZuAvFz+GHJTLqtWC2tnbq7RScINiOvf4fRCgK
XxwNaiGxAr+4waSppxQ4TY9TWCTB1F0JQP49nPGJ6JUgUA==
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YdBG68RFwokFzFKR7nrliDOlnj+kk1DIHHuLOj0ERcmliOs+5nUCq2+I7g3RQADo/tw/5hYyhBvA
zkhz98SR0w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j+3SDUDDyQpwaJ4UUg1tCPqwSzhuWj7b+1nfm4NSTDcl723o9USSuBxoK+76BYb4n4RIJlaApOB1
xNyJ3TdKE3+cTmvo0JV4qZ/XJHZylmoeBz0Fa0FUE6qNsZHsOrUGeTkEzZcF/sKG+/yE9U7pWoo2
Vf/+czWAwGAF78M7eU0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dHFWCJwUkRx0aWaiHT7rUDSuriVubrVt+dyN4XxebufJxmziEPnQW6R0Shvp3t9Rs28T0a6gDRTE
Yey998iArVO7WMLyPrC3VVfJAlUGA4SKXhclRes7vUyAWd942ytCM7CkgL8iKyxoTg6xgfAfb1bS
qKlQxP6Oh4UUMDF+wHj+TqR8Ri90ha2TKZ3vmzrYqXnqSsrUSiRPehahCB1EUZH03X39IWPBz/gL
jGMIzAwNsIFGk7JDXQ1D3yAeTLNRd0NJcbaXnRrczJd53QGhqk8FqQ8ewybUbV9d8utygp25BGSo
JL4M04pk4WhIMGjsQFVvSMt/b7bkXT5sNjgvEw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j4+Cq5t9jDH4b+tKONxSAQvUQSuEe6vmG/Fza6ThabY69JhhPiAIpyWkTk3pwrTii4y4QnUfomhd
SpT3nUMfy+A+uQihmL10hDFp3fMr/mfz4mde6CKmvH7NBA3c08FvVPiEDiRWg9D4PMn2iWzltZXB
4rCaDADltHHwoyn39vQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rVRC062BQnogimrJCqwTEd8ZOGC7aEi0dcp+jm3FwXnyuOU7ngEQ72N9ueAz6dcDQv3DZdlM5Zpu
mki8lLpfaQs1vszYHih3xmyOKcPdFqNb7otiQtmfyooFn6V5ZzK3hmaEFLqj6FRatAy4p2bTO2IO
DA4XayojNrn5+ADoj7yOj5hGWjrRbETfJQITMA+za7Lsu3ffroRuir2hOhDcPmOAKk0H+lG5J1/3
RGecNjZ6Px0gG+x+xDpWTFC6jSut/l9G+mYe7pWxhvOjNbfbpsKsCOeVD+NqT73v3Y/PYgd0cpBf
50bH+PiZYS93G80CmpaHUds75mMSCwIL/D9CJw==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
JPTsEMu7ivOCw+Wjrjiy3x7HTmU1csA0FSpSPA+aeDgUayQeahYc14vUAhzbnZvlrLWhUndZeDwo
3cAy7kv7lvuDgocp3TSVIEbRQbQQWEnF+lxyUk5f0XyY/eVMSaOdgfv7M/nPZJE52DlORF+IQ2B5
avNqsEHw/CM8qQX5A8/J21vVstkC1xfP6MseeK4nr+VBWZve6RaXZD+6i7X+GWzwfH0yO1tffjCQ
H2lhqG43HLBWd55LVB1ZVs7xPRYuRyrN4FLml3VvHtEXu8GA90OPGbzeuJuoiHDz5lmGgVEy6uNR
6ovEb87b8tpmnAZWAth8fcRz2a8gu2NtJmEg+g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5392)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YdBG68RFwokFzFKR7nrliDOlnj+kk1DIHHuLOj0ERcmliOs+5nUCq2+I7g3RQADo/tw/5hYyhBvA
zkhz98SR0w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j+3SDUDDyQpwaJ4UUg1tCPqwSzhuWj7b+1nfm4NSTDcl723o9USSuBxoK+76BYb4n4RIJlaApOB1
xNyJ3TdKE3+cTmvo0JV4qZ/XJHZylmoeBz0Fa0FUE6qNsZHsOrUGeTkEzZcF/sKG+/yE9U7pWoo2
Vf/+czWAwGAF78M7eU0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dHFWCJwUkRx0aWaiHT7rUDSuriVubrVt+dyN4XxebufJxmziEPnQW6R0Shvp3t9Rs28T0a6gDRTE
Yey998iArVO7WMLyPrC3VVfJAlUGA4SKXhclRes7vUyAWd942ytCM7CkgL8iKyxoTg6xgfAfb1bS
qKlQxP6Oh4UUMDF+wHj+TqR8Ri90ha2TKZ3vmzrYqXnqSsrUSiRPehahCB1EUZH03X39IWPBz/gL
jGMIzAwNsIFGk7JDXQ1D3yAeTLNRd0NJcbaXnRrczJd53QGhqk8FqQ8ewybUbV9d8utygp25BGSo
JL4M04pk4WhIMGjsQFVvSMt/b7bkXT5sNjgvEw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j4+Cq5t9jDH4b+tKONxSAQvUQSuEe6vmG/Fza6ThabY69JhhPiAIpyWkTk3pwrTii4y4QnUfomhd
SpT3nUMfy+A+uQihmL10hDFp3fMr/mfz4mde6CKmvH7NBA3c08FvVPiEDiRWg9D4PMn2iWzltZXB
4rCaDADltHHwoyn39vQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rVRC062BQnogimrJCqwTEd8ZOGC7aEi0dcp+jm3FwXnyuOU7ngEQ72N9ueAz6dcDQv3DZdlM5Zpu
mki8lLpfaQs1vszYHih3xmyOKcPdFqNb7otiQtmfyooFn6V5ZzK3hmaEFLqj6FRatAy4p2bTO2IO
DA4XayojNrn5+ADoj7yOj5hGWjrRbETfJQITMA+za7Lsu3ffroRuir2hOhDcPmOAKk0H+lG5J1/3
RGecNjZ6Px0gG+x+xDpWTFC6jSut/l9G+mYe7pWxhvOjNbfbpsKsCOeVD+NqT73v3Y/PYgd0cpBf
50bH+PiZYS93G80CmpaHUds75mMSCwIL/D9CJw==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
JPTsEMu7ivOCw+Wjrjiy3x7HTmU1csA0FSpSPA+aeDgUayQeahYc14vUAhzbnZvlrLWhUndZeDwo
3cAy7kv7lvuDgocp3TSVIEbRQbQQWEnF+lxyUk5f0XyY/eVMSaOdgfv7M/nPZJE52DlORF+IQ2B5
avNqsEHw/CM8qQX5A8/J21vVstkC1xfP6MseeK4nr+VBWZve6RaXZD+6i7X+GWzwfH0yO1tffjCQ
H2lhqG43HLBWd55LVB1ZVs7xPRYuRyrN4FLml3VvHtEXu8GA90OPGbzeuJuoiHDz5lmGgVEy6uNR
6ovEb87b8tpmnAZWAth8fcRz2a8gu2NtJmEg+g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5392)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YdBG68RFwokFzFKR7nrliDOlnj+kk1DIHHuLOj0ERcmliOs+5nUCq2+I7g3RQADo/tw/5hYyhBvA
zkhz98SR0w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j+3SDUDDyQpwaJ4UUg1tCPqwSzhuWj7b+1nfm4NSTDcl723o9USSuBxoK+76BYb4n4RIJlaApOB1
xNyJ3TdKE3+cTmvo0JV4qZ/XJHZylmoeBz0Fa0FUE6qNsZHsOrUGeTkEzZcF/sKG+/yE9U7pWoo2
Vf/+czWAwGAF78M7eU0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dHFWCJwUkRx0aWaiHT7rUDSuriVubrVt+dyN4XxebufJxmziEPnQW6R0Shvp3t9Rs28T0a6gDRTE
Yey998iArVO7WMLyPrC3VVfJAlUGA4SKXhclRes7vUyAWd942ytCM7CkgL8iKyxoTg6xgfAfb1bS
qKlQxP6Oh4UUMDF+wHj+TqR8Ri90ha2TKZ3vmzrYqXnqSsrUSiRPehahCB1EUZH03X39IWPBz/gL
jGMIzAwNsIFGk7JDXQ1D3yAeTLNRd0NJcbaXnRrczJd53QGhqk8FqQ8ewybUbV9d8utygp25BGSo
JL4M04pk4WhIMGjsQFVvSMt/b7bkXT5sNjgvEw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j4+Cq5t9jDH4b+tKONxSAQvUQSuEe6vmG/Fza6ThabY69JhhPiAIpyWkTk3pwrTii4y4QnUfomhd
SpT3nUMfy+A+uQihmL10hDFp3fMr/mfz4mde6CKmvH7NBA3c08FvVPiEDiRWg9D4PMn2iWzltZXB
4rCaDADltHHwoyn39vQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rVRC062BQnogimrJCqwTEd8ZOGC7aEi0dcp+jm3FwXnyuOU7ngEQ72N9ueAz6dcDQv3DZdlM5Zpu
mki8lLpfaQs1vszYHih3xmyOKcPdFqNb7otiQtmfyooFn6V5ZzK3hmaEFLqj6FRatAy4p2bTO2IO
DA4XayojNrn5+ADoj7yOj5hGWjrRbETfJQITMA+za7Lsu3ffroRuir2hOhDcPmOAKk0H+lG5J1/3
RGecNjZ6Px0gG+x+xDpWTFC6jSut/l9G+mYe7pWxhvOjNbfbpsKsCOeVD+NqT73v3Y/PYgd0cpBf
50bH+PiZYS93G80CmpaHUds75mMSCwIL/D9CJw==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
JPTsEMu7ivOCw+Wjrjiy3x7HTmU1csA0FSpSPA+aeDgUayQeahYc14vUAhzbnZvlrLWhUndZeDwo
3cAy7kv7lvuDgocp3TSVIEbRQbQQWEnF+lxyUk5f0XyY/eVMSaOdgfv7M/nPZJE52DlORF+IQ2B5
avNqsEHw/CM8qQX5A8/J21vVstkC1xfP6MseeK4nr+VBWZve6RaXZD+6i7X+GWzwfH0yO1tffjCQ
H2lhqG43HLBWd55LVB1ZVs7xPRYuRyrN4FLml3VvHtEXu8GA90OPGbzeuJuoiHDz5lmGgVEy6uNR
6ovEb87b8tpmnAZWAth8fcRz2a8gu2NtJmEg+g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5392)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YdBG68RFwokFzFKR7nrliDOlnj+kk1DIHHuLOj0ERcmliOs+5nUCq2+I7g3RQADo/tw/5hYyhBvA
zkhz98SR0w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j+3SDUDDyQpwaJ4UUg1tCPqwSzhuWj7b+1nfm4NSTDcl723o9USSuBxoK+76BYb4n4RIJlaApOB1
xNyJ3TdKE3+cTmvo0JV4qZ/XJHZylmoeBz0Fa0FUE6qNsZHsOrUGeTkEzZcF/sKG+/yE9U7pWoo2
Vf/+czWAwGAF78M7eU0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dHFWCJwUkRx0aWaiHT7rUDSuriVubrVt+dyN4XxebufJxmziEPnQW6R0Shvp3t9Rs28T0a6gDRTE
Yey998iArVO7WMLyPrC3VVfJAlUGA4SKXhclRes7vUyAWd942ytCM7CkgL8iKyxoTg6xgfAfb1bS
qKlQxP6Oh4UUMDF+wHj+TqR8Ri90ha2TKZ3vmzrYqXnqSsrUSiRPehahCB1EUZH03X39IWPBz/gL
jGMIzAwNsIFGk7JDXQ1D3yAeTLNRd0NJcbaXnRrczJd53QGhqk8FqQ8ewybUbV9d8utygp25BGSo
JL4M04pk4WhIMGjsQFVvSMt/b7bkXT5sNjgvEw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j4+Cq5t9jDH4b+tKONxSAQvUQSuEe6vmG/Fza6ThabY69JhhPiAIpyWkTk3pwrTii4y4QnUfomhd
SpT3nUMfy+A+uQihmL10hDFp3fMr/mfz4mde6CKmvH7NBA3c08FvVPiEDiRWg9D4PMn2iWzltZXB
4rCaDADltHHwoyn39vQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rVRC062BQnogimrJCqwTEd8ZOGC7aEi0dcp+jm3FwXnyuOU7ngEQ72N9ueAz6dcDQv3DZdlM5Zpu
mki8lLpfaQs1vszYHih3xmyOKcPdFqNb7otiQtmfyooFn6V5ZzK3hmaEFLqj6FRatAy4p2bTO2IO
DA4XayojNrn5+ADoj7yOj5hGWjrRbETfJQITMA+za7Lsu3ffroRuir2hOhDcPmOAKk0H+lG5J1/3
RGecNjZ6Px0gG+x+xDpWTFC6jSut/l9G+mYe7pWxhvOjNbfbpsKsCOeVD+NqT73v3Y/PYgd0cpBf
50bH+PiZYS93G80CmpaHUds75mMSCwIL/D9CJw==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
JPTsEMu7ivOCw+Wjrjiy3x7HTmU1csA0FSpSPA+aeDgUayQeahYc14vUAhzbnZvlrLWhUndZeDwo
3cAy7kv7lvuDgocp3TSVIEbRQbQQWEnF+lxyUk5f0XyY/eVMSaOdgfv7M/nPZJE52DlORF+IQ2B5
avNqsEHw/CM8qQX5A8/J21vVstkC1xfP6MseeK4nr+VBWZve6RaXZD+6i7X+GWzwfH0yO1tffjCQ
H2lhqG43HLBWd55LVB1ZVs7xPRYuRyrN4FLml3VvHtEXu8GA90OPGbzeuJuoiHDz5lmGgVEy6uNR
6ovEb87b8tpmnAZWAth8fcRz2a8gu2NtJmEg+g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5392)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YdBG68RFwokFzFKR7nrliDOlnj+kk1DIHHuLOj0ERcmliOs+5nUCq2+I7g3RQADo/tw/5hYyhBvA
zkhz98SR0w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j+3SDUDDyQpwaJ4UUg1tCPqwSzhuWj7b+1nfm4NSTDcl723o9USSuBxoK+76BYb4n4RIJlaApOB1
xNyJ3TdKE3+cTmvo0JV4qZ/XJHZylmoeBz0Fa0FUE6qNsZHsOrUGeTkEzZcF/sKG+/yE9U7pWoo2
Vf/+czWAwGAF78M7eU0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dHFWCJwUkRx0aWaiHT7rUDSuriVubrVt+dyN4XxebufJxmziEPnQW6R0Shvp3t9Rs28T0a6gDRTE
Yey998iArVO7WMLyPrC3VVfJAlUGA4SKXhclRes7vUyAWd942ytCM7CkgL8iKyxoTg6xgfAfb1bS
qKlQxP6Oh4UUMDF+wHj+TqR8Ri90ha2TKZ3vmzrYqXnqSsrUSiRPehahCB1EUZH03X39IWPBz/gL
jGMIzAwNsIFGk7JDXQ1D3yAeTLNRd0NJcbaXnRrczJd53QGhqk8FqQ8ewybUbV9d8utygp25BGSo
JL4M04pk4WhIMGjsQFVvSMt/b7bkXT5sNjgvEw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j4+Cq5t9jDH4b+tKONxSAQvUQSuEe6vmG/Fza6ThabY69JhhPiAIpyWkTk3pwrTii4y4QnUfomhd
SpT3nUMfy+A+uQihmL10hDFp3fMr/mfz4mde6CKmvH7NBA3c08FvVPiEDiRWg9D4PMn2iWzltZXB
4rCaDADltHHwoyn39vQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rVRC062BQnogimrJCqwTEd8ZOGC7aEi0dcp+jm3FwXnyuOU7ngEQ72N9ueAz6dcDQv3DZdlM5Zpu
mki8lLpfaQs1vszYHih3xmyOKcPdFqNb7otiQtmfyooFn6V5ZzK3hmaEFLqj6FRatAy4p2bTO2IO
DA4XayojNrn5+ADoj7yOj5hGWjrRbETfJQITMA+za7Lsu3ffroRuir2hOhDcPmOAKk0H+lG5J1/3
RGecNjZ6Px0gG+x+xDpWTFC6jSut/l9G+mYe7pWxhvOjNbfbpsKsCOeVD+NqT73v3Y/PYgd0cpBf
50bH+PiZYS93G80CmpaHUds75mMSCwIL/D9CJw==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
JPTsEMu7ivOCw+Wjrjiy3x7HTmU1csA0FSpSPA+aeDgUayQeahYc14vUAhzbnZvlrLWhUndZeDwo
3cAy7kv7lvuDgocp3TSVIEbRQbQQWEnF+lxyUk5f0XyY/eVMSaOdgfv7M/nPZJE52DlORF+IQ2B5
avNqsEHw/CM8qQX5A8/J21vVstkC1xfP6MseeK4nr+VBWZve6RaXZD+6i7X+GWzwfH0yO1tffjCQ
H2lhqG43HLBWd55LVB1ZVs7xPRYuRyrN4FLml3VvHtEXu8GA90OPGbzeuJuoiHDz5lmGgVEy6uNR
6ovEb87b8tpmnAZWAth8fcRz2a8gu2NtJmEg+g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5392)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YdBG68RFwokFzFKR7nrliDOlnj+kk1DIHHuLOj0ERcmliOs+5nUCq2+I7g3RQADo/tw/5hYyhBvA
zkhz98SR0w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j+3SDUDDyQpwaJ4UUg1tCPqwSzhuWj7b+1nfm4NSTDcl723o9USSuBxoK+76BYb4n4RIJlaApOB1
xNyJ3TdKE3+cTmvo0JV4qZ/XJHZylmoeBz0Fa0FUE6qNsZHsOrUGeTkEzZcF/sKG+/yE9U7pWoo2
Vf/+czWAwGAF78M7eU0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dHFWCJwUkRx0aWaiHT7rUDSuriVubrVt+dyN4XxebufJxmziEPnQW6R0Shvp3t9Rs28T0a6gDRTE
Yey998iArVO7WMLyPrC3VVfJAlUGA4SKXhclRes7vUyAWd942ytCM7CkgL8iKyxoTg6xgfAfb1bS
qKlQxP6Oh4UUMDF+wHj+TqR8Ri90ha2TKZ3vmzrYqXnqSsrUSiRPehahCB1EUZH03X39IWPBz/gL
jGMIzAwNsIFGk7JDXQ1D3yAeTLNRd0NJcbaXnRrczJd53QGhqk8FqQ8ewybUbV9d8utygp25BGSo
JL4M04pk4WhIMGjsQFVvSMt/b7bkXT5sNjgvEw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j4+Cq5t9jDH4b+tKONxSAQvUQSuEe6vmG/Fza6ThabY69JhhPiAIpyWkTk3pwrTii4y4QnUfomhd
SpT3nUMfy+A+uQihmL10hDFp3fMr/mfz4mde6CKmvH7NBA3c08FvVPiEDiRWg9D4PMn2iWzltZXB
4rCaDADltHHwoyn39vQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rVRC062BQnogimrJCqwTEd8ZOGC7aEi0dcp+jm3FwXnyuOU7ngEQ72N9ueAz6dcDQv3DZdlM5Zpu
mki8lLpfaQs1vszYHih3xmyOKcPdFqNb7otiQtmfyooFn6V5ZzK3hmaEFLqj6FRatAy4p2bTO2IO
DA4XayojNrn5+ADoj7yOj5hGWjrRbETfJQITMA+za7Lsu3ffroRuir2hOhDcPmOAKk0H+lG5J1/3
RGecNjZ6Px0gG+x+xDpWTFC6jSut/l9G+mYe7pWxhvOjNbfbpsKsCOeVD+NqT73v3Y/PYgd0cpBf
50bH+PiZYS93G80CmpaHUds75mMSCwIL/D9CJw==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
JPTsEMu7ivOCw+Wjrjiy3x7HTmU1csA0FSpSPA+aeDgUayQeahYc14vUAhzbnZvlrLWhUndZeDwo
3cAy7kv7lvuDgocp3TSVIEbRQbQQWEnF+lxyUk5f0XyY/eVMSaOdgfv7M/nPZJE52DlORF+IQ2B5
avNqsEHw/CM8qQX5A8/J21vVstkC1xfP6MseeK4nr+VBWZve6RaXZD+6i7X+GWzwfH0yO1tffjCQ
H2lhqG43HLBWd55LVB1ZVs7xPRYuRyrN4FLml3VvHtEXu8GA90OPGbzeuJuoiHDz5lmGgVEy6uNR
6ovEb87b8tpmnAZWAth8fcRz2a8gu2NtJmEg+g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5392)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YdBG68RFwokFzFKR7nrliDOlnj+kk1DIHHuLOj0ERcmliOs+5nUCq2+I7g3RQADo/tw/5hYyhBvA
zkhz98SR0w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j+3SDUDDyQpwaJ4UUg1tCPqwSzhuWj7b+1nfm4NSTDcl723o9USSuBxoK+76BYb4n4RIJlaApOB1
xNyJ3TdKE3+cTmvo0JV4qZ/XJHZylmoeBz0Fa0FUE6qNsZHsOrUGeTkEzZcF/sKG+/yE9U7pWoo2
Vf/+czWAwGAF78M7eU0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dHFWCJwUkRx0aWaiHT7rUDSuriVubrVt+dyN4XxebufJxmziEPnQW6R0Shvp3t9Rs28T0a6gDRTE
Yey998iArVO7WMLyPrC3VVfJAlUGA4SKXhclRes7vUyAWd942ytCM7CkgL8iKyxoTg6xgfAfb1bS
qKlQxP6Oh4UUMDF+wHj+TqR8Ri90ha2TKZ3vmzrYqXnqSsrUSiRPehahCB1EUZH03X39IWPBz/gL
jGMIzAwNsIFGk7JDXQ1D3yAeTLNRd0NJcbaXnRrczJd53QGhqk8FqQ8ewybUbV9d8utygp25BGSo
JL4M04pk4WhIMGjsQFVvSMt/b7bkXT5sNjgvEw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j4+Cq5t9jDH4b+tKONxSAQvUQSuEe6vmG/Fza6ThabY69JhhPiAIpyWkTk3pwrTii4y4QnUfomhd
SpT3nUMfy+A+uQihmL10hDFp3fMr/mfz4mde6CKmvH7NBA3c08FvVPiEDiRWg9D4PMn2iWzltZXB
4rCaDADltHHwoyn39vQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rVRC062BQnogimrJCqwTEd8ZOGC7aEi0dcp+jm3FwXnyuOU7ngEQ72N9ueAz6dcDQv3DZdlM5Zpu
mki8lLpfaQs1vszYHih3xmyOKcPdFqNb7otiQtmfyooFn6V5ZzK3hmaEFLqj6FRatAy4p2bTO2IO
DA4XayojNrn5+ADoj7yOj5hGWjrRbETfJQITMA+za7Lsu3ffroRuir2hOhDcPmOAKk0H+lG5J1/3
RGecNjZ6Px0gG+x+xDpWTFC6jSut/l9G+mYe7pWxhvOjNbfbpsKsCOeVD+NqT73v3Y/PYgd0cpBf
50bH+PiZYS93G80CmpaHUds75mMSCwIL/D9CJw==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
JPTsEMu7ivOCw+Wjrjiy3x7HTmU1csA0FSpSPA+aeDgUayQeahYc14vUAhzbnZvlrLWhUndZeDwo
3cAy7kv7lvuDgocp3TSVIEbRQbQQWEnF+lxyUk5f0XyY/eVMSaOdgfv7M/nPZJE52DlORF+IQ2B5
avNqsEHw/CM8qQX5A8/J21vVstkC1xfP6MseeK4nr+VBWZve6RaXZD+6i7X+GWzwfH0yO1tffjCQ
H2lhqG43HLBWd55LVB1ZVs7xPRYuRyrN4FLml3VvHtEXu8GA90OPGbzeuJuoiHDz5lmGgVEy6uNR
6ovEb87b8tpmnAZWAth8fcRz2a8gu2NtJmEg+g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5392)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YdBG68RFwokFzFKR7nrliDOlnj+kk1DIHHuLOj0ERcmliOs+5nUCq2+I7g3RQADo/tw/5hYyhBvA
zkhz98SR0w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j+3SDUDDyQpwaJ4UUg1tCPqwSzhuWj7b+1nfm4NSTDcl723o9USSuBxoK+76BYb4n4RIJlaApOB1
xNyJ3TdKE3+cTmvo0JV4qZ/XJHZylmoeBz0Fa0FUE6qNsZHsOrUGeTkEzZcF/sKG+/yE9U7pWoo2
Vf/+czWAwGAF78M7eU0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dHFWCJwUkRx0aWaiHT7rUDSuriVubrVt+dyN4XxebufJxmziEPnQW6R0Shvp3t9Rs28T0a6gDRTE
Yey998iArVO7WMLyPrC3VVfJAlUGA4SKXhclRes7vUyAWd942ytCM7CkgL8iKyxoTg6xgfAfb1bS
qKlQxP6Oh4UUMDF+wHj+TqR8Ri90ha2TKZ3vmzrYqXnqSsrUSiRPehahCB1EUZH03X39IWPBz/gL
jGMIzAwNsIFGk7JDXQ1D3yAeTLNRd0NJcbaXnRrczJd53QGhqk8FqQ8ewybUbV9d8utygp25BGSo
JL4M04pk4WhIMGjsQFVvSMt/b7bkXT5sNjgvEw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j4+Cq5t9jDH4b+tKONxSAQvUQSuEe6vmG/Fza6ThabY69JhhPiAIpyWkTk3pwrTii4y4QnUfomhd
SpT3nUMfy+A+uQihmL10hDFp3fMr/mfz4mde6CKmvH7NBA3c08FvVPiEDiRWg9D4PMn2iWzltZXB
4rCaDADltHHwoyn39vQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rVRC062BQnogimrJCqwTEd8ZOGC7aEi0dcp+jm3FwXnyuOU7ngEQ72N9ueAz6dcDQv3DZdlM5Zpu
mki8lLpfaQs1vszYHih3xmyOKcPdFqNb7otiQtmfyooFn6V5ZzK3hmaEFLqj6FRatAy4p2bTO2IO
DA4XayojNrn5+ADoj7yOj5hGWjrRbETfJQITMA+za7Lsu3ffroRuir2hOhDcPmOAKk0H+lG5J1/3
RGecNjZ6Px0gG+x+xDpWTFC6jSut/l9G+mYe7pWxhvOjNbfbpsKsCOeVD+NqT73v3Y/PYgd0cpBf
50bH+PiZYS93G80CmpaHUds75mMSCwIL/D9CJw==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
JPTsEMu7ivOCw+Wjrjiy3x7HTmU1csA0FSpSPA+aeDgUayQeahYc14vUAhzbnZvlrLWhUndZeDwo
3cAy7kv7lvuDgocp3TSVIEbRQbQQWEnF+lxyUk5f0XyY/eVMSaOdgfv7M/nPZJE52DlORF+IQ2B5
avNqsEHw/CM8qQX5A8/J21vVstkC1xfP6MseeK4nr+VBWZve6RaXZD+6i7X+GWzwfH0yO1tffjCQ
H2lhqG43HLBWd55LVB1ZVs7xPRYuRyrN4FLml3VvHtEXu8GA90OPGbzeuJuoiHDz5lmGgVEy6uNR
6ovEb87b8tpmnAZWAth8fcRz2a8gu2NtJmEg+g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5392)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YdBG68RFwokFzFKR7nrliDOlnj+kk1DIHHuLOj0ERcmliOs+5nUCq2+I7g3RQADo/tw/5hYyhBvA
zkhz98SR0w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j+3SDUDDyQpwaJ4UUg1tCPqwSzhuWj7b+1nfm4NSTDcl723o9USSuBxoK+76BYb4n4RIJlaApOB1
xNyJ3TdKE3+cTmvo0JV4qZ/XJHZylmoeBz0Fa0FUE6qNsZHsOrUGeTkEzZcF/sKG+/yE9U7pWoo2
Vf/+czWAwGAF78M7eU0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dHFWCJwUkRx0aWaiHT7rUDSuriVubrVt+dyN4XxebufJxmziEPnQW6R0Shvp3t9Rs28T0a6gDRTE
Yey998iArVO7WMLyPrC3VVfJAlUGA4SKXhclRes7vUyAWd942ytCM7CkgL8iKyxoTg6xgfAfb1bS
qKlQxP6Oh4UUMDF+wHj+TqR8Ri90ha2TKZ3vmzrYqXnqSsrUSiRPehahCB1EUZH03X39IWPBz/gL
jGMIzAwNsIFGk7JDXQ1D3yAeTLNRd0NJcbaXnRrczJd53QGhqk8FqQ8ewybUbV9d8utygp25BGSo
JL4M04pk4WhIMGjsQFVvSMt/b7bkXT5sNjgvEw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j4+Cq5t9jDH4b+tKONxSAQvUQSuEe6vmG/Fza6ThabY69JhhPiAIpyWkTk3pwrTii4y4QnUfomhd
SpT3nUMfy+A+uQihmL10hDFp3fMr/mfz4mde6CKmvH7NBA3c08FvVPiEDiRWg9D4PMn2iWzltZXB
4rCaDADltHHwoyn39vQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rVRC062BQnogimrJCqwTEd8ZOGC7aEi0dcp+jm3FwXnyuOU7ngEQ72N9ueAz6dcDQv3DZdlM5Zpu
mki8lLpfaQs1vszYHih3xmyOKcPdFqNb7otiQtmfyooFn6V5ZzK3hmaEFLqj6FRatAy4p2bTO2IO
DA4XayojNrn5+ADoj7yOj5hGWjrRbETfJQITMA+za7Lsu3ffroRuir2hOhDcPmOAKk0H+lG5J1/3
RGecNjZ6Px0gG+x+xDpWTFC6jSut/l9G+mYe7pWxhvOjNbfbpsKsCOeVD+NqT73v3Y/PYgd0cpBf
50bH+PiZYS93G80CmpaHUds75mMSCwIL/D9CJw==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
JPTsEMu7ivOCw+Wjrjiy3x7HTmU1csA0FSpSPA+aeDgUayQeahYc14vUAhzbnZvlrLWhUndZeDwo
3cAy7kv7lvuDgocp3TSVIEbRQbQQWEnF+lxyUk5f0XyY/eVMSaOdgfv7M/nPZJE52DlORF+IQ2B5
avNqsEHw/CM8qQX5A8/J21vVstkC1xfP6MseeK4nr+VBWZve6RaXZD+6i7X+GWzwfH0yO1tffjCQ
H2lhqG43HLBWd55LVB1ZVs7xPRYuRyrN4FLml3VvHtEXu8GA90OPGbzeuJuoiHDz5lmGgVEy6uNR
6ovEb87b8tpmnAZWAth8fcRz2a8gu2NtJmEg+g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5392)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YdBG68RFwokFzFKR7nrliDOlnj+kk1DIHHuLOj0ERcmliOs+5nUCq2+I7g3RQADo/tw/5hYyhBvA
zkhz98SR0w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j+3SDUDDyQpwaJ4UUg1tCPqwSzhuWj7b+1nfm4NSTDcl723o9USSuBxoK+76BYb4n4RIJlaApOB1
xNyJ3TdKE3+cTmvo0JV4qZ/XJHZylmoeBz0Fa0FUE6qNsZHsOrUGeTkEzZcF/sKG+/yE9U7pWoo2
Vf/+czWAwGAF78M7eU0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dHFWCJwUkRx0aWaiHT7rUDSuriVubrVt+dyN4XxebufJxmziEPnQW6R0Shvp3t9Rs28T0a6gDRTE
Yey998iArVO7WMLyPrC3VVfJAlUGA4SKXhclRes7vUyAWd942ytCM7CkgL8iKyxoTg6xgfAfb1bS
qKlQxP6Oh4UUMDF+wHj+TqR8Ri90ha2TKZ3vmzrYqXnqSsrUSiRPehahCB1EUZH03X39IWPBz/gL
jGMIzAwNsIFGk7JDXQ1D3yAeTLNRd0NJcbaXnRrczJd53QGhqk8FqQ8ewybUbV9d8utygp25BGSo
JL4M04pk4WhIMGjsQFVvSMt/b7bkXT5sNjgvEw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j4+Cq5t9jDH4b+tKONxSAQvUQSuEe6vmG/Fza6ThabY69JhhPiAIpyWkTk3pwrTii4y4QnUfomhd
SpT3nUMfy+A+uQihmL10hDFp3fMr/mfz4mde6CKmvH7NBA3c08FvVPiEDiRWg9D4PMn2iWzltZXB
4rCaDADltHHwoyn39vQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rVRC062BQnogimrJCqwTEd8ZOGC7aEi0dcp+jm3FwXnyuOU7ngEQ72N9ueAz6dcDQv3DZdlM5Zpu
mki8lLpfaQs1vszYHih3xmyOKcPdFqNb7otiQtmfyooFn6V5ZzK3hmaEFLqj6FRatAy4p2bTO2IO
DA4XayojNrn5+ADoj7yOj5hGWjrRbETfJQITMA+za7Lsu3ffroRuir2hOhDcPmOAKk0H+lG5J1/3
RGecNjZ6Px0gG+x+xDpWTFC6jSut/l9G+mYe7pWxhvOjNbfbpsKsCOeVD+NqT73v3Y/PYgd0cpBf
50bH+PiZYS93G80CmpaHUds75mMSCwIL/D9CJw==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
JPTsEMu7ivOCw+Wjrjiy3x7HTmU1csA0FSpSPA+aeDgUayQeahYc14vUAhzbnZvlrLWhUndZeDwo
3cAy7kv7lvuDgocp3TSVIEbRQbQQWEnF+lxyUk5f0XyY/eVMSaOdgfv7M/nPZJE52DlORF+IQ2B5
avNqsEHw/CM8qQX5A8/J21vVstkC1xfP6MseeK4nr+VBWZve6RaXZD+6i7X+GWzwfH0yO1tffjCQ
H2lhqG43HLBWd55LVB1ZVs7xPRYuRyrN4FLml3VvHtEXu8GA90OPGbzeuJuoiHDz5lmGgVEy6uNR
6ovEb87b8tpmnAZWAth8fcRz2a8gu2NtJmEg+g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5392)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YdBG68RFwokFzFKR7nrliDOlnj+kk1DIHHuLOj0ERcmliOs+5nUCq2+I7g3RQADo/tw/5hYyhBvA
zkhz98SR0w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j+3SDUDDyQpwaJ4UUg1tCPqwSzhuWj7b+1nfm4NSTDcl723o9USSuBxoK+76BYb4n4RIJlaApOB1
xNyJ3TdKE3+cTmvo0JV4qZ/XJHZylmoeBz0Fa0FUE6qNsZHsOrUGeTkEzZcF/sKG+/yE9U7pWoo2
Vf/+czWAwGAF78M7eU0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dHFWCJwUkRx0aWaiHT7rUDSuriVubrVt+dyN4XxebufJxmziEPnQW6R0Shvp3t9Rs28T0a6gDRTE
Yey998iArVO7WMLyPrC3VVfJAlUGA4SKXhclRes7vUyAWd942ytCM7CkgL8iKyxoTg6xgfAfb1bS
qKlQxP6Oh4UUMDF+wHj+TqR8Ri90ha2TKZ3vmzrYqXnqSsrUSiRPehahCB1EUZH03X39IWPBz/gL
jGMIzAwNsIFGk7JDXQ1D3yAeTLNRd0NJcbaXnRrczJd53QGhqk8FqQ8ewybUbV9d8utygp25BGSo
JL4M04pk4WhIMGjsQFVvSMt/b7bkXT5sNjgvEw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j4+Cq5t9jDH4b+tKONxSAQvUQSuEe6vmG/Fza6ThabY69JhhPiAIpyWkTk3pwrTii4y4QnUfomhd
SpT3nUMfy+A+uQihmL10hDFp3fMr/mfz4mde6CKmvH7NBA3c08FvVPiEDiRWg9D4PMn2iWzltZXB
4rCaDADltHHwoyn39vQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rVRC062BQnogimrJCqwTEd8ZOGC7aEi0dcp+jm3FwXnyuOU7ngEQ72N9ueAz6dcDQv3DZdlM5Zpu
mki8lLpfaQs1vszYHih3xmyOKcPdFqNb7otiQtmfyooFn6V5ZzK3hmaEFLqj6FRatAy4p2bTO2IO
DA4XayojNrn5+ADoj7yOj5hGWjrRbETfJQITMA+za7Lsu3ffroRuir2hOhDcPmOAKk0H+lG5J1/3
RGecNjZ6Px0gG+x+xDpWTFC6jSut/l9G+mYe7pWxhvOjNbfbpsKsCOeVD+NqT73v3Y/PYgd0cpBf
50bH+PiZYS93G80CmpaHUds75mMSCwIL/D9CJw==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
JPTsEMu7ivOCw+Wjrjiy3x7HTmU1csA0FSpSPA+aeDgUayQeahYc14vUAhzbnZvlrLWhUndZeDwo
3cAy7kv7lvuDgocp3TSVIEbRQbQQWEnF+lxyUk5f0XyY/eVMSaOdgfv7M/nPZJE52DlORF+IQ2B5
avNqsEHw/CM8qQX5A8/J21vVstkC1xfP6MseeK4nr+VBWZve6RaXZD+6i7X+GWzwfH0yO1tffjCQ
H2lhqG43HLBWd55LVB1ZVs7xPRYuRyrN4FLml3VvHtEXu8GA90OPGbzeuJuoiHDz5lmGgVEy6uNR
6ovEb87b8tpmnAZWAth8fcRz2a8gu2NtJmEg+g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5392)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YdBG68RFwokFzFKR7nrliDOlnj+kk1DIHHuLOj0ERcmliOs+5nUCq2+I7g3RQADo/tw/5hYyhBvA
zkhz98SR0w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j+3SDUDDyQpwaJ4UUg1tCPqwSzhuWj7b+1nfm4NSTDcl723o9USSuBxoK+76BYb4n4RIJlaApOB1
xNyJ3TdKE3+cTmvo0JV4qZ/XJHZylmoeBz0Fa0FUE6qNsZHsOrUGeTkEzZcF/sKG+/yE9U7pWoo2
Vf/+czWAwGAF78M7eU0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dHFWCJwUkRx0aWaiHT7rUDSuriVubrVt+dyN4XxebufJxmziEPnQW6R0Shvp3t9Rs28T0a6gDRTE
Yey998iArVO7WMLyPrC3VVfJAlUGA4SKXhclRes7vUyAWd942ytCM7CkgL8iKyxoTg6xgfAfb1bS
qKlQxP6Oh4UUMDF+wHj+TqR8Ri90ha2TKZ3vmzrYqXnqSsrUSiRPehahCB1EUZH03X39IWPBz/gL
jGMIzAwNsIFGk7JDXQ1D3yAeTLNRd0NJcbaXnRrczJd53QGhqk8FqQ8ewybUbV9d8utygp25BGSo
JL4M04pk4WhIMGjsQFVvSMt/b7bkXT5sNjgvEw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j4+Cq5t9jDH4b+tKONxSAQvUQSuEe6vmG/Fza6ThabY69JhhPiAIpyWkTk3pwrTii4y4QnUfomhd
SpT3nUMfy+A+uQihmL10hDFp3fMr/mfz4mde6CKmvH7NBA3c08FvVPiEDiRWg9D4PMn2iWzltZXB
4rCaDADltHHwoyn39vQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rVRC062BQnogimrJCqwTEd8ZOGC7aEi0dcp+jm3FwXnyuOU7ngEQ72N9ueAz6dcDQv3DZdlM5Zpu
mki8lLpfaQs1vszYHih3xmyOKcPdFqNb7otiQtmfyooFn6V5ZzK3hmaEFLqj6FRatAy4p2bTO2IO
DA4XayojNrn5+ADoj7yOj5hGWjrRbETfJQITMA+za7Lsu3ffroRuir2hOhDcPmOAKk0H+lG5J1/3
RGecNjZ6Px0gG+x+xDpWTFC6jSut/l9G+mYe7pWxhvOjNbfbpsKsCOeVD+NqT73v3Y/PYgd0cpBf
50bH+PiZYS93G80CmpaHUds75mMSCwIL/D9CJw==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
JPTsEMu7ivOCw+Wjrjiy3x7HTmU1csA0FSpSPA+aeDgUayQeahYc14vUAhzbnZvlrLWhUndZeDwo
3cAy7kv7lvuDgocp3TSVIEbRQbQQWEnF+lxyUk5f0XyY/eVMSaOdgfv7M/nPZJE52DlORF+IQ2B5
avNqsEHw/CM8qQX5A8/J21vVstkC1xfP6MseeK4nr+VBWZve6RaXZD+6i7X+GWzwfH0yO1tffjCQ
H2lhqG43HLBWd55LVB1ZVs7xPRYuRyrN4FLml3VvHtEXu8GA90OPGbzeuJuoiHDz5lmGgVEy6uNR
6ovEb87b8tpmnAZWAth8fcRz2a8gu2NtJmEg+g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5392)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YdBG68RFwokFzFKR7nrliDOlnj+kk1DIHHuLOj0ERcmliOs+5nUCq2+I7g3RQADo/tw/5hYyhBvA
zkhz98SR0w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j+3SDUDDyQpwaJ4UUg1tCPqwSzhuWj7b+1nfm4NSTDcl723o9USSuBxoK+76BYb4n4RIJlaApOB1
xNyJ3TdKE3+cTmvo0JV4qZ/XJHZylmoeBz0Fa0FUE6qNsZHsOrUGeTkEzZcF/sKG+/yE9U7pWoo2
Vf/+czWAwGAF78M7eU0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dHFWCJwUkRx0aWaiHT7rUDSuriVubrVt+dyN4XxebufJxmziEPnQW6R0Shvp3t9Rs28T0a6gDRTE
Yey998iArVO7WMLyPrC3VVfJAlUGA4SKXhclRes7vUyAWd942ytCM7CkgL8iKyxoTg6xgfAfb1bS
qKlQxP6Oh4UUMDF+wHj+TqR8Ri90ha2TKZ3vmzrYqXnqSsrUSiRPehahCB1EUZH03X39IWPBz/gL
jGMIzAwNsIFGk7JDXQ1D3yAeTLNRd0NJcbaXnRrczJd53QGhqk8FqQ8ewybUbV9d8utygp25BGSo
JL4M04pk4WhIMGjsQFVvSMt/b7bkXT5sNjgvEw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j4+Cq5t9jDH4b+tKONxSAQvUQSuEe6vmG/Fza6ThabY69JhhPiAIpyWkTk3pwrTii4y4QnUfomhd
SpT3nUMfy+A+uQihmL10hDFp3fMr/mfz4mde6CKmvH7NBA3c08FvVPiEDiRWg9D4PMn2iWzltZXB
4rCaDADltHHwoyn39vQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rVRC062BQnogimrJCqwTEd8ZOGC7aEi0dcp+jm3FwXnyuOU7ngEQ72N9ueAz6dcDQv3DZdlM5Zpu
mki8lLpfaQs1vszYHih3xmyOKcPdFqNb7otiQtmfyooFn6V5ZzK3hmaEFLqj6FRatAy4p2bTO2IO
DA4XayojNrn5+ADoj7yOj5hGWjrRbETfJQITMA+za7Lsu3ffroRuir2hOhDcPmOAKk0H+lG5J1/3
RGecNjZ6Px0gG+x+xDpWTFC6jSut/l9G+mYe7pWxhvOjNbfbpsKsCOeVD+NqT73v3Y/PYgd0cpBf
50bH+PiZYS93G80CmpaHUds75mMSCwIL/D9CJw==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
JPTsEMu7ivOCw+Wjrjiy3x7HTmU1csA0FSpSPA+aeDgUayQeahYc14vUAhzbnZvlrLWhUndZeDwo
3cAy7kv7lvuDgocp3TSVIEbRQbQQWEnF+lxyUk5f0XyY/eVMSaOdgfv7M/nPZJE52DlORF+IQ2B5
avNqsEHw/CM8qQX5A8/J21vVstkC1xfP6MseeK4nr+VBWZve6RaXZD+6i7X+GWzwfH0yO1tffjCQ
H2lhqG43HLBWd55LVB1ZVs7xPRYuRyrN4FLml3VvHtEXu8GA90OPGbzeuJuoiHDz5lmGgVEy6uNR
6ovEb87b8tpmnAZWAth8fcRz2a8gu2NtJmEg+g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5392)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YdBG68RFwokFzFKR7nrliDOlnj+kk1DIHHuLOj0ERcmliOs+5nUCq2+I7g3RQADo/tw/5hYyhBvA
zkhz98SR0w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j+3SDUDDyQpwaJ4UUg1tCPqwSzhuWj7b+1nfm4NSTDcl723o9USSuBxoK+76BYb4n4RIJlaApOB1
xNyJ3TdKE3+cTmvo0JV4qZ/XJHZylmoeBz0Fa0FUE6qNsZHsOrUGeTkEzZcF/sKG+/yE9U7pWoo2
Vf/+czWAwGAF78M7eU0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dHFWCJwUkRx0aWaiHT7rUDSuriVubrVt+dyN4XxebufJxmziEPnQW6R0Shvp3t9Rs28T0a6gDRTE
Yey998iArVO7WMLyPrC3VVfJAlUGA4SKXhclRes7vUyAWd942ytCM7CkgL8iKyxoTg6xgfAfb1bS
qKlQxP6Oh4UUMDF+wHj+TqR8Ri90ha2TKZ3vmzrYqXnqSsrUSiRPehahCB1EUZH03X39IWPBz/gL
jGMIzAwNsIFGk7JDXQ1D3yAeTLNRd0NJcbaXnRrczJd53QGhqk8FqQ8ewybUbV9d8utygp25BGSo
JL4M04pk4WhIMGjsQFVvSMt/b7bkXT5sNjgvEw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j4+Cq5t9jDH4b+tKONxSAQvUQSuEe6vmG/Fza6ThabY69JhhPiAIpyWkTk3pwrTii4y4QnUfomhd
SpT3nUMfy+A+uQihmL10hDFp3fMr/mfz4mde6CKmvH7NBA3c08FvVPiEDiRWg9D4PMn2iWzltZXB
4rCaDADltHHwoyn39vQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rVRC062BQnogimrJCqwTEd8ZOGC7aEi0dcp+jm3FwXnyuOU7ngEQ72N9ueAz6dcDQv3DZdlM5Zpu
mki8lLpfaQs1vszYHih3xmyOKcPdFqNb7otiQtmfyooFn6V5ZzK3hmaEFLqj6FRatAy4p2bTO2IO
DA4XayojNrn5+ADoj7yOj5hGWjrRbETfJQITMA+za7Lsu3ffroRuir2hOhDcPmOAKk0H+lG5J1/3
RGecNjZ6Px0gG+x+xDpWTFC6jSut/l9G+mYe7pWxhvOjNbfbpsKsCOeVD+NqT73v3Y/PYgd0cpBf
50bH+PiZYS93G80CmpaHUds75mMSCwIL/D9CJw==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
JPTsEMu7ivOCw+Wjrjiy3x7HTmU1csA0FSpSPA+aeDgUayQeahYc14vUAhzbnZvlrLWhUndZeDwo
3cAy7kv7lvuDgocp3TSVIEbRQbQQWEnF+lxyUk5f0XyY/eVMSaOdgfv7M/nPZJE52DlORF+IQ2B5
avNqsEHw/CM8qQX5A8/J21vVstkC1xfP6MseeK4nr+VBWZve6RaXZD+6i7X+GWzwfH0yO1tffjCQ
H2lhqG43HLBWd55LVB1ZVs7xPRYuRyrN4FLml3VvHtEXu8GA90OPGbzeuJuoiHDz5lmGgVEy6uNR
6ovEb87b8tpmnAZWAth8fcRz2a8gu2NtJmEg+g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5392)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YdBG68RFwokFzFKR7nrliDOlnj+kk1DIHHuLOj0ERcmliOs+5nUCq2+I7g3RQADo/tw/5hYyhBvA
zkhz98SR0w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j+3SDUDDyQpwaJ4UUg1tCPqwSzhuWj7b+1nfm4NSTDcl723o9USSuBxoK+76BYb4n4RIJlaApOB1
xNyJ3TdKE3+cTmvo0JV4qZ/XJHZylmoeBz0Fa0FUE6qNsZHsOrUGeTkEzZcF/sKG+/yE9U7pWoo2
Vf/+czWAwGAF78M7eU0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dHFWCJwUkRx0aWaiHT7rUDSuriVubrVt+dyN4XxebufJxmziEPnQW6R0Shvp3t9Rs28T0a6gDRTE
Yey998iArVO7WMLyPrC3VVfJAlUGA4SKXhclRes7vUyAWd942ytCM7CkgL8iKyxoTg6xgfAfb1bS
qKlQxP6Oh4UUMDF+wHj+TqR8Ri90ha2TKZ3vmzrYqXnqSsrUSiRPehahCB1EUZH03X39IWPBz/gL
jGMIzAwNsIFGk7JDXQ1D3yAeTLNRd0NJcbaXnRrczJd53QGhqk8FqQ8ewybUbV9d8utygp25BGSo
JL4M04pk4WhIMGjsQFVvSMt/b7bkXT5sNjgvEw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j4+Cq5t9jDH4b+tKONxSAQvUQSuEe6vmG/Fza6ThabY69JhhPiAIpyWkTk3pwrTii4y4QnUfomhd
SpT3nUMfy+A+uQihmL10hDFp3fMr/mfz4mde6CKmvH7NBA3c08FvVPiEDiRWg9D4PMn2iWzltZXB
4rCaDADltHHwoyn39vQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rVRC062BQnogimrJCqwTEd8ZOGC7aEi0dcp+jm3FwXnyuOU7ngEQ72N9ueAz6dcDQv3DZdlM5Zpu
mki8lLpfaQs1vszYHih3xmyOKcPdFqNb7otiQtmfyooFn6V5ZzK3hmaEFLqj6FRatAy4p2bTO2IO
DA4XayojNrn5+ADoj7yOj5hGWjrRbETfJQITMA+za7Lsu3ffroRuir2hOhDcPmOAKk0H+lG5J1/3
RGecNjZ6Px0gG+x+xDpWTFC6jSut/l9G+mYe7pWxhvOjNbfbpsKsCOeVD+NqT73v3Y/PYgd0cpBf
50bH+PiZYS93G80CmpaHUds75mMSCwIL/D9CJw==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
JPTsEMu7ivOCw+Wjrjiy3x7HTmU1csA0FSpSPA+aeDgUayQeahYc14vUAhzbnZvlrLWhUndZeDwo
3cAy7kv7lvuDgocp3TSVIEbRQbQQWEnF+lxyUk5f0XyY/eVMSaOdgfv7M/nPZJE52DlORF+IQ2B5
avNqsEHw/CM8qQX5A8/J21vVstkC1xfP6MseeK4nr+VBWZve6RaXZD+6i7X+GWzwfH0yO1tffjCQ
H2lhqG43HLBWd55LVB1ZVs7xPRYuRyrN4FLml3VvHtEXu8GA90OPGbzeuJuoiHDz5lmGgVEy6uNR
6ovEb87b8tpmnAZWAth8fcRz2a8gu2NtJmEg+g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5392)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YdBG68RFwokFzFKR7nrliDOlnj+kk1DIHHuLOj0ERcmliOs+5nUCq2+I7g3RQADo/tw/5hYyhBvA
zkhz98SR0w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j+3SDUDDyQpwaJ4UUg1tCPqwSzhuWj7b+1nfm4NSTDcl723o9USSuBxoK+76BYb4n4RIJlaApOB1
xNyJ3TdKE3+cTmvo0JV4qZ/XJHZylmoeBz0Fa0FUE6qNsZHsOrUGeTkEzZcF/sKG+/yE9U7pWoo2
Vf/+czWAwGAF78M7eU0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dHFWCJwUkRx0aWaiHT7rUDSuriVubrVt+dyN4XxebufJxmziEPnQW6R0Shvp3t9Rs28T0a6gDRTE
Yey998iArVO7WMLyPrC3VVfJAlUGA4SKXhclRes7vUyAWd942ytCM7CkgL8iKyxoTg6xgfAfb1bS
qKlQxP6Oh4UUMDF+wHj+TqR8Ri90ha2TKZ3vmzrYqXnqSsrUSiRPehahCB1EUZH03X39IWPBz/gL
jGMIzAwNsIFGk7JDXQ1D3yAeTLNRd0NJcbaXnRrczJd53QGhqk8FqQ8ewybUbV9d8utygp25BGSo
JL4M04pk4WhIMGjsQFVvSMt/b7bkXT5sNjgvEw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j4+Cq5t9jDH4b+tKONxSAQvUQSuEe6vmG/Fza6ThabY69JhhPiAIpyWkTk3pwrTii4y4QnUfomhd
SpT3nUMfy+A+uQihmL10hDFp3fMr/mfz4mde6CKmvH7NBA3c08FvVPiEDiRWg9D4PMn2iWzltZXB
4rCaDADltHHwoyn39vQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rVRC062BQnogimrJCqwTEd8ZOGC7aEi0dcp+jm3FwXnyuOU7ngEQ72N9ueAz6dcDQv3DZdlM5Zpu
mki8lLpfaQs1vszYHih3xmyOKcPdFqNb7otiQtmfyooFn6V5ZzK3hmaEFLqj6FRatAy4p2bTO2IO
DA4XayojNrn5+ADoj7yOj5hGWjrRbETfJQITMA+za7Lsu3ffroRuir2hOhDcPmOAKk0H+lG5J1/3
RGecNjZ6Px0gG+x+xDpWTFC6jSut/l9G+mYe7pWxhvOjNbfbpsKsCOeVD+NqT73v3Y/PYgd0cpBf
50bH+PiZYS93G80CmpaHUds75mMSCwIL/D9CJw==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
JPTsEMu7ivOCw+Wjrjiy3x7HTmU1csA0FSpSPA+aeDgUayQeahYc14vUAhzbnZvlrLWhUndZeDwo
3cAy7kv7lvuDgocp3TSVIEbRQbQQWEnF+lxyUk5f0XyY/eVMSaOdgfv7M/nPZJE52DlORF+IQ2B5
avNqsEHw/CM8qQX5A8/J21vVstkC1xfP6MseeK4nr+VBWZve6RaXZD+6i7X+GWzwfH0yO1tffjCQ
H2lhqG43HLBWd55LVB1ZVs7xPRYuRyrN4FLml3VvHtEXu8GA90OPGbzeuJuoiHDz5lmGgVEy6uNR
6ovEb87b8tpmnAZWAth8fcRz2a8gu2NtJmEg+g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5392)
`protect data_block
lHJV8fpNPaAZNP6iIPw0amUkAWeoac9F6Mn9lqxif19WHqLYLbQfJDRFOYN3pCmfdj64L9W/N1Ow
zUiFQ1Qn+/Po9LRxFhRjke+zlOLKcgmrz8Wsv/OJc4VSamOJ3dkTyGAaIz6iZjWAIfa3F20xboAz
QXBZHDMkFizWW6XCIBYurqj2WtAKSTo+Bn8syqerOb95UCNUITtoJ+4Ks9EWEUZ1S3AZ55HmtpfK
LybQ1/3Y6BdftkTr+jYn82lsEtYcZAhoxy4mD9Xafm1r7qcWxCRWhADW8LcZuOzFjH2Ppfz0ekQ4
2RYH0EMznWeFwuD9Zw9al80EuiW9Ua2WZSWij+5Tz+ria8QZ8bK6Wy/0J/+TX0BK6W8eBFVDLS7O
ync3GB+NMqTQa+CrDizkGVwKy0xiLspHkFF7qbRo1Hobt+3IBuFY1TLxGyaw/4iAp3TlQK9tLrYr
mCrBNRY0VvxkATuvpzYLQohRxqSd0kaY8gB1TeioNqP9mbysOa5WhVoasQAm9ZFO3dpzn1gk9Y+T
TjFjIzBsbELJlGenqcnZHlRY/zkuHXLnEqe+u2rsDfvD52AVJ9cr38Rb8Kk/Bc+eT3XZgrJ2bHlo
th4a75jw6wpEW2XabxTuE9rrlu5wY0Y5yfnNT6L8hi9URS5Q6N7eogvyGgppZktebcu7KlH6m9WM
RzYA8VNFwZSyXztX6Cml6BCcjERze9zHEznyaBoF3+uq9Y+bKpo037rLMDFhNOAyWcTdQF+w3+1n
KL28hwybFXjHjPlMiKdQU/Y/JkLDGzmLwVIi1C4NPJAfwGK8m+o2AEt9ZqGuGQPmiDqyNb4alRCL
/cjQHSIBx/BLHlpgwyPdS3hvGL6AbsaF3iErUBofmAzgXdo6/go0WkB6rBoqzxSiNPXRcVMdkdLV
u9XE02h/IvPQHWPB2e5LkoP+CT9iqF/JLaBwpQrG0fTxo+Pyyihl4h+o7b8gi164OJHTuKvGXWkI
bWaWaAEJ/CUXa5YjptXRicoW79TOwOwr5+95Eg/LpJayX7kZJgzqSISA7s0wo5URvsGmo7m3pz6E
p8pSfsWN3yJdPaes1adJkdbFzSNPw3SM9zgX22XZpW8U5DkQPGTu1TnRPxP6ZrNFOsBY2vvWj8F8
lYYVtw3LyGjW/YZwpB6V2QIureUbc1B96PTdnfqNr51/yVeuJEvkIEDSiu8MVJY2EJb/lEymD+w5
my/QRktpsR1KpFBklwnU3sXrUM51EjMfa9+yUaLN0R46kkkyLO+zb/DyHrXKeTY7rEYNUQnJpbGz
meMs+Rg+STAh/W+qQJyyJuz2tmxJI3bQPxl/1VFukYQJ/jdjgQfv1k8dtAdhobqLfO7bKwAzyz1h
y8bVBoEPWhzP2/Y0Z+B/JzRqvPpjNjs/Tx2M+ct09FolbxbskWvJt/Sesoh8Q9jDdhyZYGGuFyu7
55NK2jVYDt31YiVx9crEtLQ2lTyxm3erg1ez7Y36EwakRCFAZluocIeR9JgZ7js0LfdhbzzPe71b
p8e26QIF4Aycf8RSTejeav3/rzqyNmod6d4MH5LQ9ELziIcO1aBFcqFh8DHPN2CuoCVfnc3U0Tzi
DxpnXeBY4A+Rhe6uyu+byxuKNXvODb65fpFW/anQGkuEC+tp10XTq+6e5C/U/vPz+Gj1WFJrrzNp
k6xQH7s2GhF3tQ5W8ohJ7uvz8rpgis2QhreFJq9lhYSd+KJdaba1jZ+hAkIfJupdX4kMwfsxm/wn
5fnROcs+fMh7EwCbCSKuhNUiSx09OgudttRQGJSMABuUtsQzk7zX2PD6mY1cHynlp5dysZGXewqR
nAlJUuOAVbI73ZgG6dFCaVvbtgFGA+nf165ezt8VAamFVk5QnQHLdeLIhcp1AZQnH/ZjcMDl4oPl
pfLeOGUawoXtbdVURjICdFtJ8q6ChzsSDCMxuoF31FuXwP5pnGryzLiDuSnu2HHkoxIMcigxnyLD
TtefsxEwHLN+hVCrPCAAdNSIhddZ3bYiPk7lAMiv6BCxYDZGS9ZCM1cOSyucG7h3RdnQwg3A5uXO
SfaNEJlHtDMx4cucuENWxdybS+2ncYQF6fj1Fu0V7pYaYxViGda3gUhogY92s6649b5YAxESFyjg
lc5N9ZnOvQwpLzq9YKo4gfuXOJ1ZwbFPC7AsJpLI5RE97q78ajbqUqL7Aty67J63isNL8rkyQYWE
Q8su5MdBrzNeuGr8ExqF9PauDPluq/uTXAjfmCq6YUZotPV+6wKQSTYMSB1DuH4GXoRhGGIrwLH1
bgHNSWJr22pAZ8WoeHCvA774DRXPCDLHcKwBWLn3bQaIr8s76UTM61ii4eQ4/BOQhOOOW8UJFhXn
jDhByLQ2cRVkFIvNSCT20uFXwmV0zg2ba2tqO39eTBzRw8khvUsEExT0pHCemE3O1YCaFr5vBwSw
e1vxC3+AgIKIoKRU5pabirpjYeBT1+suWRwh4N4S344al5vL38TbuZG/o97tM0SZrDK8w+43gZzI
65yq4JKALCnx6NEIcqdMUvTq+wyyyt1g12c0dVUGGLQNaqiTWFOeCSI9UUKKGVQ3B8KSH6AhxeYS
gV0vNz1Q6oSp37/8qp5WCug8iMTcJc8byxf2ta84Ia1hJVN0LAQt2bKmCbEHgTLZ993g/8Qzkiq2
iaS78ZCzGRr39mWx/xl5KV3Zkfy/sz3/g5q4Zgwm4GvsHF4g6XBURE85FbMAikPLMhFFO3ebUSkH
u+DMoyuRqqmBkP8JxlkF/TaeIvZVHPXTKQ2j/zPsyAfWNMpnGu9ZKDsKuFP09SuaYYiPI6ev2l7S
SiyDxNSIiVR5xXoInO/7+nftScRIrAlDV5PMsbNryxPAgDF66zwrR7+PGs6HPt8WCuZ0uceYjl8J
D1yUA+BKkPDWCYsOEs9ABg/uRQFXVpYsg4SkW+tzw1u9gx5oa3nG2a/gNa1jei7maAG8AJC12obj
JCMCqAOVSP9yRjtQ0KBl6cJomFkQMSJcAKnpTAgRrB4TMUst34Nyxfum9b/Vel39/WXD0A6DjJ1f
O1q+SJQH7BQw/af+vLthdAhLxJIu2kY18gVfROWqP7/0a3ud0aHh78wWa9tQOg4f7EzgYx24L8gp
8ilAHOxg5iqw4RiWt4x0ocLVU+SdILKDjjyXuMxK2aBnKvr0h13NtMVUvF5CGDkwKrbmVQ64ZLHG
r4WGgqkzI3CuRFcarI5OOuRfoIUf6mK0nv3TbhGvr4Xilg1QxK/dRqQe8AziO+mKWAKZIWSBR0fE
nPvKHUkg9PB27PqPxrOOJa1qO5orSG5FB9QtWdL4BgqPU1+dYkFzbAKpXKcBqUMfS+Q16ptdxyi6
30j2PHUkM1JyVp+oIczWGmV/YiPXuQZ+cUdDu31IURvGmju6L0S60cAzthhROczysBr+HeRkgtP4
U4szYmOHC+iu7RSCV0Q8qDfwtD80Mex4aNknYed7U5MP/6OFRZOLnuguS5ARJ9JR6+ONnQSXv/Rw
X5RN3pWpjbrjKqRAodrXJDDbajJaP2BxEcoZhSlRh2mXa9iZsI4k+rjdAAZQc0Ql9dkz9nQ+kNXC
myXMN7A/mc4cipHUnwZgVNseIm1rijaOWeQ0p1MSprxEJ3azfcY6GGRB89N0b0F64mZG9UOnfwzW
HUZf+IGwIDR7YiC+HbfZ9mYjJCn69uocWOjkUxZxTqprwQlYNBKXWQ3k5OWUUpliRoqFc/IFqu0l
FPdgsXNBKqPf2VryDrVfOkFH09w0kvUVFsTmbz1Q/dYjC+fvcjTm42eJ666ZRDnDO2FQo/e7He02
MhjiRxK0rD1LNaaXhHbdPrASFi0X82oyukdFm6aNpJUN/SOySZL8qmpWlirog/IOk8c8A366IBif
16NM+F22rwqKszcVSIyfj1s3VmpAvCS26+8SsqLHlIB2fF6VCbd+MkaHVVkXj+GN8SuYtm3LOyyX
trRlhI6Ra+FlZzFaegz46OsCY+lTZ5RNAdfsY/aERCq0s01Jl6/7E60w86UwoI7yb/0B5T0jI3Fh
GqgJbDaPUHd8GXADlEhjI5Y1aSIeMtnvDv0ih9YaGOIKaeFwG4dlxHCp7JZTXotzvqFQSTEeI9UA
Zw+wrBaH4U5J+jk/v0M6VM23FFGstcJUaajfs+1bKITYr2fVpM0U7D/O34NgE4xz6bFCaKmwcrJp
IotEIMaBWWhLsAx4pTBtI4FQf6NmV6UNkQMRkXXDI1Fns3ooL2vZKgV5ZI9FrOOzTOtHdOuPTNwb
xHywEkliqIQYMRQxjwlDzluAW0JOEL+1MZuxN+WYJGVy1KmDDx6k1uhGuU16PxIymfjq4NdhuBXk
0SZPsfHMW20nhmyTH8t4s8b5UpuCujzMHS30yDiVltFfmyEdGOZC1TDJ8G0ylFxAgfBNbagk/sec
W1tQLmq4HKrPJP9quRzDgVLkqvurF9/LKtwLyNIrgEy6MjY+gNip9JlOYTOM8aW0RqB5zqb75H0j
MrRKei3vBC4uMjByhL3vqfMlyAwOuLD55ON5fPVmnNPHdNcbKnpWsxSIJvPIIZ8uenIgK+Jqm19C
G0yxkWS4Mh0cS31CnCErCbdqRTN0Y9xvd8smrHLDnhO/FbFznpwAFsdpVr2wFeKZ9nxXD18548DL
NtFXoVGX1H6xivhMOLPVJk6O8F3VZz75sKlrPfpEjZNGb027y7XXqV9DSuATDNHqi4PIJ8tsxTZ3
3xCV0k/rDCNyUAtF9PcxAD0hM/B88jFWemIuImWu9NIhDB8IOPDbiRz9tfisL7TovI5GP9WDO9kR
06IADYSMvcCK7YOfHqkRit2EoYt7q0Uvt7aTC3floc+PMFqhxH+KNFcjPG20xyY37lATb38gf0N+
t5KK27ggPyu+o3PET1N5bq1FO7eg9BnAokyrfBFiYasqW4w7qQ9znWCmOX6iBuy5nPh06XAYdyNs
uLlR7ordHKC8/AfHlMR4Bq/3Yq0Ysm3WGjIjT1RljdvuOCDh+Nnt+dAny0rFHPnW1XYszTQaz6CU
Ugh/tZuWAaEWvkJkqprxA0IdmNaWwaTgCpdOOT5llUxqK2DkvTUkFNlOK7YkS2Z+pJTt/dGfwGsI
vAaRBq4Ks1FoQd4DYG2tTmksrKn96Fj+jKPO1WoWYnmauqVa/WSMMy4m0XV+e1CoN+sT1kbsP+u+
RRDWq0TNi46P5m/tNwjzQmghzBEko22Wx30R+rSRbq31290qulNeZ4o7+jQ0xNVoedLJNIFzgkBq
YsH2SFXtallxUfZdA+TiVTXFAnO9KN5+LFteu2PrwJ3wXWpIViUx0mNbqtDmA5DMGvm8fc54mxde
sa66svggXx4jggmRS5YHRhadubTPJMwH1+XIDCpWFlJA1bMM+KpjBgPo0CysgjheKzuXXlKCrF98
0whmmFm9AAFNUaESjbi30jQfa5XK0Z6H0GVO0FRP96TS4ICjxldUUJny5s4Ys0/zjDbR+e2vGePj
Gtoqz4Gi4HUNLJbsI0fHFgSt1iRjHL2Ol+LUKqhyax+y/eToEHOMoYZffBpyXSo5mgnkXDlM/yRz
2X3YBnNhVLr5o1KrKzgxES/kii8MNq3h/OUBnvsB/vfJHxnoZ+IQnuNaFWUxgFYrMlRdWeCCL1VJ
+XMcKoePJGVheIGGbxRPYi6GxVj7nD5WXFy6P5QlrdgKDlGRhdwgsw2OH62kikx684AN+8A8hTCu
Fw0wr/EvRLvSXc5X8BX0graSdvQTcy7MBv6ENfe7dWyhY3z+boFPwUPj9D9/fjJRnxvjbe6oLTcH
Ggiz1j0yvtVdzRs+K4+Wx2uMcc84mndMKb8ojPHwqwhaK+iL/D6nDwA1hGkcFAG/CbS0XlqxyIi/
KYfga8bJGO55q6FZ/2KnEFb5ozzM+P11rJFHapGV6prtyxxnHN+MJ0JGNNNAHt2qDXwNa9gM+eAx
WG9Fxtb1Qa2KoRJ2OZvR06RT5L1It4N7qiVdhlsr9hzdnsGdrC1BASzVxEhCboQrLArBE8wL0aU/
Nw3y2AEWRcHyQL+Mv249kj9WDlMdCzZbk3udlmdxrk49b6MsW6zrDWgfDVDvCxNbmwe4r9gM0SM0
q3mHwDnwiQkflQsEYclcswKlGlDaxgeZ+zBQwYwqi1cs5go5VA5Eq8ndenu+NFTFef4Kx0aOO7YK
5dM5sC0puyAl/oniVzrKRJ+DnETU86QFAAa2N4CpCAvsodb3s83cfkSOJacDGXzL2bZhamYWVYYu
Md2jMrM85u+LlHAWzQ0LqCTkI0O8W0ssK1jX6m2maaxUv1TC6B3a2erW2xV/RkbyX1Q5aOfkDVu7
/vJk2mqGjgIgr/uq6fN+xO7G02X2EUki3yCrYpboRnnCjHm/hOhcoL1RXwpy0JrnFaCw9S69f05Z
niYpcjQ+dCT20+BCNb+GufFYvcJ3mb4oCtEb6HOIw4SVjTfTNOzfPn8v+QBdD059+Byd45Upm60r
Pqw397KrE8GRgs0O9/bcGhVUHMnVPBJjHoIs+o4x0IrAezuyhhfgOz6RqZtgxcp9DWHUNGWJCmeu
kUZdyWIb69TywZFNyenWcmMS7BrEsdAbemoenmWxcT/F7mhtuoNFV/dVSc+JnKJV2jKXk43Apa4z
YgiTTro34u3MnS6vMqGgdwcnEshVBfU7hUcZJhRMsWd2faQTKZfAQWZujNJCRY7rUAwtOUq0pPth
XCJKKapx59tbD6sN+H97jH+UBDis519y7Vk4A/h6qKdzAAYDk+8L1sbxiLpuJvy8EyK+iEqpN99s
+l2yfzz3q+pQ/mZ1t/Gh1lFiL75mshwsWRRNpySkiPrhnqtsp1sjlbzcfCRSUcIHmFkS7sZ2++ET
uZM+1C1TKMusoriq3YjBtzTSOosS2MygpZwFQURuwGReUtNS+Iy26g9gHnvptGXKUaXMMTGsJbPg
p7eIse1WY+6t0NbFTw0K0BPKhWXHTNPE8jAOZa51gGFVo5xoW54GZe98yQYviChEvLWNaU+ARwFP
K4REOg7KOIz4dEu93rSUs8ns1KaaECbV9dXLXe/EnNLYnxUaXcjRjCmBEHaHdu58fu5i+gpgNyA8
UisuaIUgtRCq/eu3ejkwvCkt0bdlvuHq6GWcqFuZuAvFz+GHJTLqtWC2tnbq7RScINiOvf4fRCgK
XxwNaiGxAr+4waSppxQ4TY9TWCTB1F0JQP49nPGJ6JUgUA==
`protect end_protected
|
-- ----------------------------------------------------------------------------
-- Title : Standard VITAL Memory Package
-- :
-- Library : Vital_Memory
-- :
-- Developers : IEEE DASC Timing Working Group (TWG), PAR 1076.4
-- : Ekambaram Balaji, LSI Logic Corporation
-- : Jose De Castro, Consultant
-- : Prakash Bare, GDA Technologies
-- : William Yam, LSI Logic Corporation
-- : Dennis Brophy, Model Technology
-- :
-- Purpose : This packages defines standard types, constants, functions
-- : and procedures for use in developing ASIC memory models.
-- :
-- ----------------------------------------------------------------------------
--
-- ----------------------------------------------------------------------------
-- Modification History :
-- ----------------------------------------------------------------------------
-- Ver:|Auth:| Date:| Changes Made:
-- 0.1 | eb |071796| First prototye as part of VITAL memory proposal
-- 0.2 | jdc |012897| Initial prototyping with proposed MTM scheme
-- 0.3 | jdc |090297| Extensive updates for TAG review (functional)
-- 0.4 | eb |091597| Changed naming conventions for VitalMemoryTable
-- | | | Added interface of VitalMemoryCrossPorts() &
-- | | | VitalMemoryViolation().
-- 0.5 | jdc |092997| Completed naming changes thoughout package body.
-- | | | Testing with simgle port test model looks ok.
-- 0.6 | jdc |121797| Major updates to the packages:
-- | | | - Implement VitalMemoryCrossPorts()
-- | | | - Use new VitalAddressValueType
-- | | | - Use new VitalCrossPortModeType enum
-- | | | - Overloading without SamePort args
-- | | | - Honor erroneous address values
-- | | | - Honor ports disabled with 'Z'
-- | | | - Implement implicit read 'M' table symbol
-- | | | - Cleanup buses to use (H DOWNTO L)
-- | | | - Message control via MsgOn,HeaderMsg,PortName
-- | | | - Tested with 1P1RW,2P2RW,4P2R2W,4P4RW cases
-- 0.7 | jdc |052698| Bug fixes to the packages:
-- | | | - Fix failure with negative Address values
-- | | | - Added debug messages for VMT table search
-- | | | - Remove 'S' for action column (only 's')
-- | | | - Remove 's' for response column (only 'S')
-- | | | - Remove 'X' for action and response columns
-- 0.8 | jdc |061298| Implemented VitalMemoryViolation()
-- | | | - Minimal functionality violation tables
-- | | | - Missing:
-- | | | - Cannot handle wide violation variables
-- | | | - Cannot handle sub-word cases
-- | | | Fixed IIC version of MemoryMatch
-- | | | Fixed 'M' vs 'm' switched on debug output
-- | | | TO BE DONE:
-- | | | - Implement 'd' corrupting a single bit
-- | | | - Implement 'D' corrupting a single bit
-- 0.9 |eb/sc|080498| Added UNDEF value for VitalPortFlagType
-- 0.10|eb/sc|080798| Added CORRUPT value for VitalPortFlagType
-- 0.11|eb/sc|081798| Added overloaded function interface for
-- | | | VitalDeclareMemory
-- 0.14| jdc |113198| Merging of memory functionality and version
-- | | | 1.4 9/17/98 of timing package from Prakash
-- 0.15| jdc |120198| Major development of VMV functionality
-- 0.16| jdc |120298| Complete VMV functionlality for initial testing
-- | | | - New ViolationTableCorruptMask() procedure
-- | | | - New MemoryTableCorruptMask() procedure
-- | | | - HandleMemoryAction():
-- | | | - Removed DataOutBus bogus output
-- | | | - Replaced DataOutTmp with DataInTmp
-- | | | - Added CorruptMask input handling
-- | | | - Implemented 'd','D' using CorruptMask
-- | | | - CorruptMask on 'd','C','L','D','E'
-- | | | - CorruptMask ignored on 'c','l','e'
-- | | | - Changed 'l','d','e' to set PortFlag to CORRUPT
-- | | | - Changed 'L','D','E' to set PortFlag to CORRUPT
-- | | | - Changed 'c','l','d','e' to ignore HighBit, LowBit
-- | | | - Changed 'C','L','D','E' to use HighBit, LowBit
-- | | | - HandleDataAction():
-- | | | - Added CorruptMask input handling
-- | | | - Implemented 'd','D' using CorruptMask
-- | | | - CorruptMask on 'd','C','L','D','E'
-- | | | - CorruptMask ignored on 'l','e'
-- | | | - Changed 'l','d','e' to set PortFlag to CORRUPT
-- | | | - Changed 'L','D','E' to set PortFlag to CORRUPT
-- | | | - Changed 'l','d','e' to ignore HighBit, LowBit
-- | | | - Changed 'L','D','E' to use HighBit, LowBit
-- | | | - MemoryTableLookUp():
-- | | | - Added MsgOn table debug output
-- | | | - Uses new MemoryTableCorruptMask()
-- | | | - ViolationTableLookUp():
-- | | | - Uses new ViolationTableCorruptMask()
-- 0.17| jdc |120898| - Added VitalMemoryViolationSymbolType,
-- | | | VitalMemoryViolationTableType data
-- | | | types but not used yet (need to discuss)
-- | | | - Added overload for VitalMemoryViolation()
-- | | | which does not have array flags
-- | | | - Bug fixes for VMV functionality:
-- | | | - ViolationTableLookUp() not handling '-' in
-- | | | scalar violation matching
-- | | | - VitalMemoryViolation() now normalizes
-- | | | VFlagArrayTmp'LEFT as LSB before calling
-- | | | ViolationTableLookUp() for proper scanning
-- | | | - ViolationTableCorruptMask() had to remove
-- | | | normalization of CorruptMaskTmp and
-- | | | ViolMaskTmp for proper MSB:LSB corruption
-- | | | - HandleMemoryAction(), HandleDataAction()
-- | | | - Removed 'D','E' since not being used
-- | | | - Use XOR instead of OR for corrupt masks
-- | | | - Now 'd' is sensitive to HighBit, LowBit
-- | | | - Fixed LowBit overflow in bit writeable case
-- | | | - MemoryTableCorruptMask()
-- | | | - ViolationTableCorruptMask()
-- | | | - VitalMemoryTable()
-- | | | - VitalMemoryCrossPorts()
-- | | | - Fixed VitalMemoryViolation() failing on
-- | | | error AddressValue from earlier VMT()
-- | | | - Minor cleanup of code formatting
-- 0.18| jdc |032599| - In VitalDeclareMemory()
-- | | | - Added BinaryLoadFile formal arg and
-- | | | modified LoadMemory() to handle bin
-- | | | - Added NOCHANGE to VitalPortFlagType
-- | | | - For VitalCrossPortModeType
-- | | | - Added CpContention enum
-- | | | - In HandleDataAction()
-- | | | - Set PortFlag := NOCHANGE for 'S'
-- | | | - In HandleMemoryAction()
-- | | | - Set PortFlag := NOCHANGE for 's'
-- | | | - In VitalMemoryTable() and
-- | | | VitalMemoryViolation()
-- | | | - Honor PortFlag = NOCHANGE returned
-- | | | from HandleMemoryAction()
-- | | | - In VitalMemoryCrossPorts()
-- | | | - Fixed Address = AddressJ for all
-- | | | conditions of DoWrCont & DoCpRead
-- | | | - Handle CpContention like WrContOnly
-- | | | under CpReadOnly conditions, with
-- | | | associated memory message changes
-- | | | - Handle PortFlag = NOCHANGE like
-- | | | PortFlag = READ for actions
-- | | | - Modeling change:
-- | | | - Need to init PortFlag every delta
-- | | | PortFlag_A := (OTHES => UNDEF);
-- | | | - Updated InternalTimingCheck code
-- 0.19| jdc |042599| - Fixes for bit-writeable cases
-- | | | - Check PortFlag after HandleDataAction
-- | | | in VitalMemoryViolation()
-- 0.20| jdc |042599| - Merge PortFlag changes from Prakash
-- | | | and Willian:
-- | | | VitalMemorySchedulePathDelay()
-- | | | VitalMemoryExpandPortFlag()
-- 0.21| jdc |072199| - Changed VitalCrossPortModeType enums,
-- | | | added new CpReadAndReadContention.
-- | | | - Fixed VitalMemoryCrossPorts() parameter
-- | | | SamePortFlag to INOUT so that it can
-- | | | set CORRUPT or READ value.
-- | | | - Fixed VitalMemoryTable() where PortFlag
-- | | | setting by HandleDataAction() is being
-- | | | ignored when HandleMemoryAction() sets
-- | | | PortFlagTmp to NOCHANGE.
-- | | | - Fixed VitalMemoryViolation() to set
-- | | | all bits of PortFlag when violating.
-- 0.22| jdc |072399| - Added HIGHZ to PortFlagType. HandleData
-- | | | checks whether the previous state is HIGHZ.
-- | | | If yes then portFlag should be NOCHANGE
-- | | | for VMPD to ignore IORetain corruption.
-- | | | The idea is that the first Z should be
-- | | | propagated but later ones should be ignored.
-- | | |
-- 0.23| jdc |100499| - Took code checked in by Dennis 09/28/99
-- | | | - Changed VitalPortFlagType to record of
-- | | | new VitalPortStateType to hold current,
-- | | | previous values and separate disable.
-- | | | Also created VitalDefaultPortFlag const.
-- | | | Removed usage of PortFlag NOCHANGE
-- | | | - VitalMemoryTable() changes:
-- | | | Optimized return when all curr = prev
-- | | | AddressValue is now INOUT to optimize
-- | | | Transfer PF.MemoryCurrent to MemoryPrevious
-- | | | Transfer PF.DataCurrent to DataPrevious
-- | | | Reset PF.OutputDisable to FALSE
-- | | | Expects PortFlag init in declaration
-- | | | No need to init PortFlag every delta
-- | | | - VitalMemorySchedulePathDelay() changes:
-- | | | Initialize with VitalDefaultPortFlag
-- | | | Check PortFlag.OutputDisable
-- | | | - HandleMemoryAction() changes:
-- | | | Set value of PortFlag.MemoryCurrent
-- | | | Never set PortFlag.OutputDisable
-- | | | - HandleDataAction() changes:
-- | | | Set value of PortFlag.DataCurrent
-- | | | Set PortFlag.DataCurrent for HIGHZ
-- | | | - VitalMemoryCrossPorts() changes:
-- | | | Check/set value of PF.MemoryCurrent
-- | | | Check value of PF.OutputDisable
-- | | | - VitalMemoryViolation() changes:
-- | | | Fixed bug - not reading inout PF value
-- | | | Clean up setting of PortFlag
-- 0.24| jdc |100899| - Modified update of PF.OutputDisable
-- | | | to correctly accomodate 2P1W1R case:
-- | | | the read port should not exhibit
-- | | | IO retain corrupt when reading
-- | | | addr unrelated to addr being written.
-- 0.25| jdc |100999| - VitalMemoryViolation() change:
-- | | | Fixed bug with RDNWR mode incorrectly
-- | | | updating the PF.OutputDisable
-- 0.26| jdc |100999| - VitalMemoryCrossPorts() change:
-- | | | Fixed bugs with update of PF
-- 0.27| jdc |101499| - VitalMemoryCrossPorts() change:
-- | | | Added DoRdWrCont message (ErrMcpRdWrCo,
-- | | | Memory cross port read/write data only
-- | | | contention)
-- | | | - VitalMemoryTable() change:
-- | | | Set PF.OutputDisable := TRUE for the
-- | | | optimized cases.
-- 0.28| pb |112399| - Added 8 VMPD procedures for vector
-- | | | PathCondition support. Now the total
-- | | | number of overloadings for VMPD is 24.
-- | | | - Number of overloadings for SetupHold
-- | | | procedures increased to 5. Scalar violations
-- | | | are not supported anymore. Vector checkEnabled
-- | | | support is provided through the new overloading
-- 0.29| jdc |120999| - HandleMemoryAction() HandleDataAction()
-- | | | Reinstated 'D' and 'E' actions but
-- | | | with new PortFlagType
-- | | | - Updated file handling syntax, must compile
-- | | | with -93 syntax now.
-- 0.30| jdc |022300| - Formated for 80 column max width
-- ----------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.Vital_Timing.ALL;
USE IEEE.Vital_Primitives.ALL;
LIBRARY STD;
USE STD.TEXTIO.ALL;
PACKAGE Vital_Memory IS
-- ----------------------------------------------------------------------------
-- Timing Section
-- ----------------------------------------------------------------------------
-- ----------------------------------------------------------------------------
-- Types and constants for Memory timing procedures
-- ----------------------------------------------------------------------------
TYPE VitalMemoryArcType IS (ParallelArc, CrossArc, SubwordArc);
TYPE OutputRetainBehaviorType IS (BitCorrupt, WordCorrupt);
TYPE VitalMemoryMsgFormatType IS (Vector, Scalar, VectorEnum);
TYPE X01ArrayT IS ARRAY (NATURAL RANGE <> ) OF X01;
TYPE X01ArrayPT IS ACCESS X01ArrayT;
TYPE VitalMemoryViolationType IS ACCESS X01ArrayT;
CONSTANT DefaultNumBitsPerSubword : INTEGER := -1;
-- Data type storing path delay and schedule information for output bits
TYPE VitalMemoryScheduleDataType IS RECORD
OutputData : std_ulogic;
NumBitsPerSubWord : INTEGER;
ScheduleTime : TIME;
ScheduleValue : std_ulogic;
LastOutputValue : std_ulogic;
PropDelay : TIME;
OutputRetainDelay : TIME;
InputAge : TIME;
END RECORD;
TYPE VitalMemoryTimingDataType IS RECORD
NotFirstFlag : BOOLEAN;
RefLast : X01;
RefTime : TIME;
HoldEn : BOOLEAN;
TestLast : std_ulogic;
TestTime : TIME;
SetupEn : BOOLEAN;
TestLastA : VitalLogicArrayPT;
TestTimeA : VitalTimeArrayPT;
RefLastA : X01ArrayPT;
RefTimeA : VitalTimeArrayPT;
HoldEnA : VitalBoolArrayPT;
SetupEnA : VitalBoolArrayPT;
END RECORD;
TYPE VitalPeriodDataArrayType IS ARRAY (NATURAL RANGE <>) OF
VitalPeriodDataType;
-- Data type storing path delay and schedule information for output
-- vectors
TYPE VitalMemoryScheduleDataVectorType IS ARRAY (NATURAL RANGE <> ) OF
VitalMemoryScheduleDataType;
-- VitalPortFlagType records runtime mode of port sub-word slices
-- TYPE VitalPortFlagType IS (
-- UNDEF,
-- READ,
-- WRITE,
-- CORRUPT,
-- HIGHZ,
-- NOCHANGE
-- );
-- VitalPortFlagType records runtime mode of port sub-word slices
TYPE VitalPortStateType IS (
UNDEF,
READ,
WRITE,
CORRUPT,
HIGHZ
);
TYPE VitalPortFlagType IS RECORD
MemoryCurrent : VitalPortStateType;
MemoryPrevious : VitalPortStateType;
DataCurrent : VitalPortStateType;
DataPrevious : VitalPortStateType;
OutputDisable : BOOLEAN;
END RECORD;
CONSTANT VitalDefaultPortFlag : VitalPortFlagType := (
MemoryCurrent => READ,
MemoryPrevious => UNDEF,
DataCurrent => READ,
DataPrevious => UNDEF,
OutputDisable => FALSE
);
-- VitalPortFlagVectorType to be same width i as enables of a port
-- or j multiples thereof, where j is the number of cross ports
TYPE VitalPortFlagVectorType IS
ARRAY (NATURAL RANGE <>) OF VitalPortFlagType;
-- ----------------------------------------------------------------------------
-- Functions : VitalMemory path delay procedures
-- - VitalMemoryInitPathDelay
-- - VitalMemoryAddPathDelay
-- - VitalMemorySchedulePathDelay
--
-- Description: VitalMemoryInitPathDelay, VitalMemoryAddPathDelay and
-- VitalMemorySchedulePathDelay are Level 1 routines used
-- for selecting the propagation delay paths based on
-- path condition, transition type and delay values and
-- schedule a new output value.
--
-- Following features are implemented in these procedures:
-- o condition dependent path selection
-- o Transition dependent delay selection
-- o shortest delay path selection from multiple
-- candidate paths
-- o Scheduling of the computed values on the specified
-- signal.
-- o output retain behavior if outputRetain flag is set
-- o output mapping to alternate strengths to model
-- pull-up, pull-down etc.
--
-- <More details to be added here>
--
-- Following is information on overloading of the procedures.
--
-- VitalMemoryInitPathDelay is overloaded for ScheduleDataArray and
-- OutputDataArray
--
-- ----------------------------------------------------------------------------
-- ScheduleDataArray OutputDataArray
-- ----------------------------------------------------------------------------
-- Scalar Scalar
-- Vector Vector
-- ----------------------------------------------------------------------------
--
--
-- VitalMemoryAddPathDelay is overloaded for ScheduleDataArray,
-- PathDelayArray, InputSignal and delaytype.
--
-- ----------------------------------------------------------------------------
-- DelayType InputSignal ScheduleData PathDelay
-- Array Array
-- ----------------------------------------------------------------------------
-- VitalDelayType Scalar Scalar Scalar
-- VitalDelayType Scalar Vector Vector
-- VitalDelayType Vector Scalar Vector
-- VitalDelayType Vector Vector Vector
-- VitalDelayType01 Scalar Scalar Scalar
-- VitalDelayType01 Scalar Vector Vector
-- VitalDelayType01 Vector Scalar Vector
-- VitalDelayType01 Vector Vector Vector
-- VitalDelayType01Z Scalar Scalar Scalar
-- VitalDelayType01Z Scalar Vector Vector
-- VitalDelayType01Z Vector Scalar Vector
-- VitalDelayType01Z Vector Vector Vector
-- VitalDelayType01XZ Scalar Scalar Scalar
-- VitalDelayType01XZ Scalar Vector Vector
-- VitalDelayType01XZ Vector Scalar Vector
-- VitalDelayType01XZ Vector Vector Vector
-- ----------------------------------------------------------------------------
--
--
-- VitalMemorySchedulePathDelay is overloaded for ScheduleDataArray,
-- and OutSignal
--
-- ----------------------------------------------------------------------------
-- OutSignal ScheduleDataArray
-- ----------------------------------------------------------------------------
-- Scalar Scalar
-- Vector Vector
-- ----------------------------------------------------------------------------
--
-- Procedure Declarations:
--
--
-- Function : VitalMemoryInitPathDelay
--
-- Arguments:
--
-- INOUT Type Description
--
-- ScheduleDataArray/ VitalMemoryScheduleDataVectorType/
-- ScheduleData VitalMemoryScheduleDataType
-- Internal data variable for
-- storing delay and schedule
-- information for each output bit
--
--
-- IN
--
-- OutputDataArray/ STD_LOGIC_VECTOR/Array containing current output
-- OutputData STD_ULOGIC value
--
--
-- NumBitsPerSubWord INTEGER Number of bits per subword.
-- Default value of this argument
-- is DefaultNumBitsPerSubword
-- which is interpreted as no
-- subwords
--
-- ----------------------------------------------------------------------------
--
--
-- ScheduleDataArray - Vector
-- OutputDataArray - Vector
--
PROCEDURE VitalMemoryInitPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
VARIABLE OutputDataArray : IN STD_LOGIC_VECTOR;
CONSTANT NumBitsPerSubWord : IN INTEGER := DefaultNumBitsPerSubword
);
--
-- ScheduleDataArray - Scalar
-- OutputDataArray - Scalar
--
PROCEDURE VitalMemoryInitPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
VARIABLE OutputData : IN STD_ULOGIC
);
-- ----------------------------------------------------------------------------
--
-- Function : VitalMemoryAddPathDelay
--
-- Arguments
--
-- INOUT Type Description
--
-- ScheduleDataArray/ VitalMemoryScheduleDataVectorType/
-- ScheduleData VitalMemoryScheduleDataType
-- Internal data variable for
-- storing delay and schedule
-- information for each output bit
--
-- InputChangeTimeArray/ VitaltimeArrayT/Time
-- InputChangeTime Holds the time since the last
-- input change
--
-- IN
--
-- InputSignal STD_LOGIC_VECTOR
-- STD_ULOGIC/ Array holding the input value
--
-- OutputSignalName STRING The output signal name
--
-- PathDelayArray/ VitalDelayArrayType01ZX,
-- PathDelay VitalDelayArrayType01Z,
-- VitalDelayArrayType01,
-- VitalDelayArrayType/
-- VitalDelayType01ZX,
-- VitalDelayType01Z,
-- VitalDelayType01,
-- VitalDelayType Array of delay values
--
-- ArcType VitalMemoryArcType
-- Indicates the Path type. This
-- can be SubwordArc, CrossArc or
-- ParallelArc
--
-- PathCondition BOOLEAN If True, the transition in
-- the corresponding input signal
-- is considered while
-- caluculating the prop. delay
-- else the transition is ignored.
--
-- OutputRetainFlag BOOLEAN If specified TRUE,output retain
-- (hold) behavior is implemented.
--
-- ----------------------------------------------------------------------------
--
-- #1
-- DelayType - VitalDelayType
-- Input - Scalar
-- Output - Scalar
-- Delay - Scalar
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelay : IN VitalDelayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #2
-- DelayType - VitalDelayType
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #3
-- DelayType - VitalDelayType
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray: IN VitalBoolArrayT
);
-- #4
-- DelayType - VitalDelayType
-- Input - Vector
-- Output - Scalar
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #5
-- DelayType - VitalDelayType
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #6
-- DelayType - VitalDelayType
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray : IN VitalBoolArrayT
);
-- #7
-- DelayType - VitalDelayType01
-- Input - Scalar
-- Output - Scalar
-- Delay - Scalar
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelay : IN VitalDelayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #8
-- DelayType - VitalDelayType01
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #9
-- DelayType - VitalDelayType01
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray: IN VitalBoolArrayT
);
-- #10
-- DelayType - VitalDelayType01
-- Input - Vector
-- Output - Scalar
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #11
-- DelayType - VitalDelayType01
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #12
-- DelayType - VitalDelayType01
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray : IN VitalBoolArrayT
);
-- #13
-- DelayType - VitalDelayType01Z
-- Input - Scalar
-- Output - Scalar
-- Delay - Scalar
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelay : IN VitalDelayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE
);
-- #14
-- DelayType - VitalDelayType01Z
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE
);
-- #15
-- DelayType - VitalDelayType01Z
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray: IN VitalBoolArrayT;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE
);
-- #16
-- DelayType - VitalDelayType01Z
-- Input - Vector
-- Output - Scalar
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt
);
-- #17
-- DelayType - VitalDelayType01Z
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt
);
-- #18
-- DelayType - VitalDelayType01Z
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray : IN VitalBoolArrayT;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt
);
-- #19
-- DelayType - VitalDelayType01ZX
-- Input - Scalar
-- Output - Scalar
-- Delay - Scalar
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelay : IN VitalDelayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE
);
-- #20
-- DelayType - VitalDelayType01ZX
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE
);
-- #21
-- DelayType - VitalDelayType01ZX
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray: IN VitalBoolArrayT;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE
);
-- #22
-- DelayType - VitalDelayType01ZX
-- Input - Vector
-- Output - Scalar
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt
);
-- #23
-- DelayType - VitalDelayType01ZX
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt
);
-- #24
-- DelayType - VitalDelayType01ZX
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray : IN VitalBoolArrayT;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt
);
-- ----------------------------------------------------------------------------
--
-- Function : VitalMemorySchedulePathDelay
--
-- Arguments:
--
-- OUT Type Description
-- OutSignal STD_LOGIC_VECTOR/ The output signal for
-- STD_ULOGIC scheduling
--
-- IN
-- OutputSignalName STRING The name of the output signal
--
-- IN
-- PortFlag VitalPortFlagType Port flag variable from
-- functional procedures
--
-- IN
-- OutputMap VitalOutputMapType For VitalPathDelay01Z, the
-- output can be mapped to
-- alternate strengths to model
-- tri-state devices, pull-ups
-- and pull-downs.
--
-- INOUT
-- ScheduleDataArray/ VitalMemoryScheduleDataVectorType/
-- ScheduleData VitalMemoryScheduleDataType
-- Internal data variable for
-- storing delay and schedule
-- information for each
-- output bit
--
-- ----------------------------------------------------------------------------
--
-- ScheduleDataArray - Vector
-- OutputSignal - Vector
--
PROCEDURE VitalMemorySchedulePathDelay (
SIGNAL OutSignal : OUT std_logic_vector;
CONSTANT OutputSignalName : IN STRING := "";
CONSTANT PortFlag : IN VitalPortFlagType := VitalDefaultPortFlag;
CONSTANT OutputMap : IN VitalOutputMapType := VitalDefaultOutputMap;
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType
);
--
-- ScheduleDataArray - Vector
-- OutputSignal - Vector
--
PROCEDURE VitalMemorySchedulePathDelay (
SIGNAL OutSignal : OUT std_logic_vector;
CONSTANT OutputSignalName : IN STRING := "";
CONSTANT PortFlag : IN VitalPortFlagVectorType;
CONSTANT OutputMap : IN VitalOutputMapType := VitalDefaultOutputMap;
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType
);
--
-- ScheduleDataArray - Scalar
-- OutputSignal - Scalar
--
PROCEDURE VitalMemorySchedulePathDelay (
SIGNAL OutSignal : OUT std_ulogic;
CONSTANT OutputSignalName : IN STRING := "";
CONSTANT PortFlag : IN VitalPortFlagType := VitalDefaultPortFlag;
CONSTANT OutputMap : IN VitalOutputMapType := VitalDefaultOutputMap;
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType
);
-- ----------------------------------------------------------------------------
FUNCTION VitalMemoryTimingDataInit RETURN VitalMemoryTimingDataType;
-- ----------------------------------------------------------------------------
--
-- Function Name: VitalMemorySetupHoldCheck
--
-- Description: The VitalMemorySetupHoldCheck procedure detects a setup or a
-- hold violation on the input test signal with respect
-- to the corresponding input reference signal. The timing
-- constraints are specified through parameters
-- representing the high and low values for the setup and
-- hold values for the setup and hold times. This
-- procedure assumes non-negative values for setup and hold
-- timing constraints.
--
-- It is assumed that negative timing constraints
-- are handled by internally delaying the test or
-- reference signals. Negative setup times result in
-- a delayed reference signal. Negative hold times
-- result in a delayed test signal. Furthermore, the
-- delays and constraints associated with these and
-- other signals may need to be appropriately
-- adjusted so that all constraint intervals overlap
-- the delayed reference signals and all constraint
-- values (with respect to the delayed signals) are
-- non-negative.
--
-- This function is overloaded based on the input
-- TestSignal and reference signals. Parallel, Subword and
-- Cross Arc relationships between test and reference
-- signals are supported.
--
-- TestSignal XXXXXXXXXXXX____________________________XXXXXXXXXXXXXXXXXXXXXX
-- :
-- : -->| error region |<--
-- :
-- _______________________________
-- RefSignal \______________________________
-- : | | |
-- : | -->| |<-- thold
-- : -->| tsetup |<--
--
-- Arguments:
--
-- IN Type Description
-- TestSignal std_logic_vector Value of test signal
-- TestSignalName STRING Name of test signal
-- TestDelay VitalDelayArrayType Model's internal delay associated
-- with TestSignal
-- RefSignal std_ulogic Value of reference signal
-- std_logic_vector
-- RefSignalName STRING Name of reference signal
-- RefDelay TIME Model's internal delay associated
-- VitalDelayArrayType with RefSignal
-- SetupHigh VitalDelayArrayType Absolute minimum time duration
-- before the transition of RefSignal
-- for which transitions of
-- TestSignal are allowed to proceed
-- to the "1" state without causing
-- a setup violation.
-- SetupLow VitalDelayArrayType Absolute minimum time duration
-- before the transition of RefSignal
-- for which transitions of
-- TestSignal are allowed to proceed
-- to the "0" state without causing
-- a setup violation.
-- HoldHigh VitalDelayArrayType Absolute minimum time duration
-- after the transition of RefSignal
-- for which transitions of
-- TestSignal are allowed to
-- proceed to the "1" state without
-- causing a hold violation.
-- HoldLow VitalDelayArrayType Absolute minimum time duration
-- after the transition of RefSignal
-- for which transitions of
-- TestSignal are allowed to
-- proceed to the "0" state without
-- causing a hold violation.
-- CheckEnabled BOOLEAN Check performed if TRUE.
-- RefTransition VitalEdgeSymbolType
-- Reference edge specified. Events
-- on the RefSignal which match the
-- edge spec. are used as reference
-- edges.
-- ArcType VitalMemoryArcType
-- NumBitsPerSubWord INTEGER
-- HeaderMsg STRING String that will accompany any
-- assertion messages produced.
-- XOn BOOLEAN If TRUE, Violation output
-- parameter is set to "X".
-- Otherwise, Violation is always
-- set to "0."
-- MsgOn BOOLEAN If TRUE, set and hold violation
-- message will be generated.
-- Otherwise, no messages are
-- generated, even upon violations.
-- MsgSeverity SEVERITY_LEVEL Severity level for the assertion.
-- MsgFormat VitalMemoryMsgFormatType
-- Format of the Test/Reference
-- signals in violation messages.
--
-- INOUT
-- TimingData VitalMemoryTimingDataType
-- VitalMemorySetupHoldCheck information
-- storage area. This is used
-- internally to detect reference
-- edges and record the time of the
-- last edge.
--
-- OUT
-- Violation X01 This is the violation flag returned.
-- X01ArrayT Overloaded for array type.
--
--
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_ulogic;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN TIME := 0 ns;
SIGNAL RefSignal : IN std_ulogic;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN TIME := 0 ns;
CONSTANT SetupHigh : IN VitalDelayType;
CONSTANT SetupLow : IN VitalDelayType;
CONSTANT HoldHigh : IN VitalDelayType;
CONSTANT HoldLow : IN VitalDelayType;
CONSTANT CheckEnabled : IN VitalBoolArrayT;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
);
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
SIGNAL RefSignal : IN std_ulogic;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN TIME := 0 ns;
CONSTANT SetupHigh : IN VitalDelayArrayType;
CONSTANT SetupLow : IN VitalDelayArrayType;
CONSTANT HoldHigh : IN VitalDelayArrayType;
CONSTANT HoldLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
);
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
SIGNAL RefSignal : IN std_ulogic;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN TIME := 0 ns;
CONSTANT SetupHigh : IN VitalDelayArrayType;
CONSTANT SetupLow : IN VitalDelayArrayType;
CONSTANT HoldHigh : IN VitalDelayArrayType;
CONSTANT HoldLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN VitalBoolArrayT;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT NumBitsPerSubWord : IN INTEGER := 1;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
);
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
SIGNAL RefSignal : IN std_logic_vector;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN VitalDelayArrayType;
CONSTANT SetupHigh : IN VitalDelayArrayType;
CONSTANT SetupLow : IN VitalDelayArrayType;
CONSTANT HoldHigh : IN VitalDelayArrayType;
CONSTANT HoldLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT NumBitsPerSubWord : IN INTEGER := 1;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
);
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
SIGNAL RefSignal : IN std_logic_vector;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN VitalDelayArrayType;
CONSTANT SetupHigh : IN VitalDelayArrayType;
CONSTANT SetupLow : IN VitalDelayArrayType;
CONSTANT HoldHigh : IN VitalDelayArrayType;
CONSTANT HoldLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN VitalBoolArrayT;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT NumBitsPerSubWord : IN INTEGER := 1;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
);
--------------- following are not needed --------------------------
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
SIGNAL RefSignal : IN std_ulogic;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN TIME := 0 ns;
CONSTANT SetupHigh : IN VitalDelayArrayType;
CONSTANT SetupLow : IN VitalDelayArrayType;
CONSTANT HoldHigh : IN VitalDelayArrayType;
CONSTANT HoldLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
);
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
SIGNAL RefSignal : IN std_logic_vector;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN VitalDelayArrayType;
CONSTANT SetupHigh : IN VitalDelayArrayType;
CONSTANT SetupLow : IN VitalDelayArrayType;
CONSTANT HoldHigh : IN VitalDelayArrayType;
CONSTANT HoldLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT NumBitsPerSubWord : IN INTEGER := 1;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
);
-- ----------------------------------------------------------------------------
--
-- Function Name: VitalPeriodPulseCheck
--
-- Description: VitalPeriodPulseCheck checks for minimum and maximum
-- periodicity and pulse width for "1" and "0" values of
-- the input test signal. The timing constraint is
-- specified through parameters representing the minimal
-- period between successive rising and falling edges of
-- the input test signal and the minimum pulse widths
-- associated with high and low values.
--
-- VitalPeriodCheck's accepts rising and falling edges
-- from 1 and 0 as well as transitions to and from 'X.'
--
-- _______________ __________
-- ____________| |_______|
--
-- |<--- pw_hi --->|
-- |<-------- period ----->|
-- -->| pw_lo |<--
--
-- Arguments:
-- IN Type Description
-- TestSignal std_logic_vector Value of test signal
-- TestSignalName STRING Name of the test signal
-- TestDelay VitalDelayArrayType
-- Model's internal delay associated
-- with TestSignal
-- Period VitalDelayArrayType
-- Minimum period allowed between
-- consecutive rising ('P') or
-- falling ('F') transitions.
-- PulseWidthHigh VitalDelayArrayType
-- Minimum time allowed for a high
-- pulse ('1' or 'H')
-- PulseWidthLow VitalDelayArrayType
-- Minimum time allowed for a low
-- pulse ('0' or 'L')
-- CheckEnabled BOOLEAN Check performed if TRUE.
-- HeaderMsg STRING String that will accompany any
-- assertion messages produced.
-- XOn BOOLEAN If TRUE, Violation output parameter
-- is set to "X". Otherwise, Violation
-- is always set to "0."
-- MsgOn BOOLEAN If TRUE, period/pulse violation
-- message will be generated.
-- Otherwise, no messages are generated,
-- even though a violation is detected.
-- MsgSeverity SEVERITY_LEVEL Severity level for the assertion.
-- MsgFormat VitalMemoryMsgFormatType
-- Format of the Test/Reference signals
-- in violation messages.
--
-- INOUT
-- PeriodData VitalPeriodDataArrayType
-- VitalPeriodPulseCheck information
-- storage area. This is used
-- internally to detect reference edges
-- and record the pulse and period
-- times.
-- OUT
-- Violation X01 This is the violation flag returned.
-- X01ArrayT Overloaded for array type.
--
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemoryPeriodPulseCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE PeriodData : INOUT VitalPeriodDataArrayType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
CONSTANT Period : IN VitalDelayArrayType;
CONSTANT PulseWidthHigh : IN VitalDelayArrayType;
CONSTANT PulseWidthLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType
);
PROCEDURE VitalMemoryPeriodPulseCheck (
VARIABLE Violation : OUT X01;
VARIABLE PeriodData : INOUT VitalPeriodDataArrayType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
CONSTANT Period : IN VitalDelayArrayType;
CONSTANT PulseWidthHigh : IN VitalDelayArrayType;
CONSTANT PulseWidthLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType
);
-- ----------------------------------------------------------------------------
-- Functionality Section
-- ----------------------------------------------------------------------------
-- ----------------------------------------------------------------------------
-- All Memory Types and Record definitions.
-- ----------------------------------------------------------------------------
TYPE MemoryWordType IS ARRAY (NATURAL RANGE <>) OF UX01;
TYPE MemoryWordPtr IS ACCESS MemoryWordType;
TYPE MemoryArrayType IS ARRAY (NATURAL RANGE <>) OF MemoryWordPtr;
TYPE MemoryArrayPtrType IS ACCESS MemoryArrayType;
TYPE VitalMemoryArrayRecType IS
RECORD
NoOfWords : POSITIVE;
NoOfBitsPerWord : POSITIVE;
NoOfBitsPerSubWord : POSITIVE;
NoOfBitsPerEnable : POSITIVE;
MemoryArrayPtr : MemoryArrayPtrType;
END RECORD;
TYPE VitalMemoryDataType IS ACCESS VitalMemoryArrayRecType;
TYPE VitalTimingDataVectorType IS
ARRAY (NATURAL RANGE <>) OF VitalTimingDataType;
TYPE VitalMemoryViolFlagSizeType IS ARRAY (NATURAL RANGE <>) OF INTEGER;
-- ----------------------------------------------------------------------------
-- Symbol Literals used for Memory Table Modeling
-- ----------------------------------------------------------------------------
-- Symbol literals from '/' to 'S' are closely related to MemoryTableMatch
-- lookup matching and the order cannot be arbitrarily changed.
-- The remaining symbol literals are interpreted directly and matchting is
-- handled in the MemoryMatch procedure itself.
TYPE VitalMemorySymbolType IS (
'/', -- 0 -> 1
'\', -- 1 -> 0
'P', -- Union of '/' and '^' (any edge to 1)
'N', -- Union of '\' and 'v' (any edge to 0)
'r', -- 0 -> X
'f', -- 1 -> X
'p', -- Union of '/' and 'r' (any edge from 0)
'n', -- Union of '\' and 'f' (any edge from 1)
'R', -- Union of '^' and 'p' (any possible rising edge)
'F', -- Union of 'v' and 'n' (any possible falling edge)
'^', -- X -> 1
'v', -- X -> 0
'E', -- Union of 'v' and '^' (any edge from X)
'A', -- Union of 'r' and '^' (rising edge to or from 'X')
'D', -- Union of 'f' and 'v' (falling edge to or from 'X')
'*', -- Union of 'R' and 'F' (any edge)
'X', -- Unknown level
'0', -- low level
'1', -- high level
'-', -- don't care
'B', -- 0 or 1
'Z', -- High Impedance
'S', -- steady value
'g', -- Good address (no transition)
'u', -- Unknown address (no transition)
'i', -- Invalid address (no transition)
'G', -- Good address (with transition)
'U', -- Unknown address (with transition)
'I', -- Invalid address (with transition)
'w', -- Write data to memory
's', -- Retain previous memory contents
'c', -- Corrupt entire memory with 'X'
'l', -- Corrupt a word in memory with 'X'
'd', -- Corrupt a single bit in memory with 'X'
'e', -- Corrupt a word with 'X' based on data in
'C', -- Corrupt a sub-word entire memory with 'X'
'L', -- Corrupt a sub-word in memory with 'X'
-- The following entries are commented since their
-- interpretation overlap with existing definitions.
-- 'D', -- Corrupt a single bit of a sub-word with 'X'
-- 'E', -- Corrupt a sub-word with 'X' based on datain
'M', -- Implicit read data from memory
'm', -- Read data from memory
't' -- Immediate assign/transfer data in
);
TYPE VitalMemoryTableType IS ARRAY ( NATURAL RANGE <>, NATURAL RANGE <> )
OF VitalMemorySymbolType;
TYPE VitalMemoryViolationSymbolType IS (
'X', -- Unknown level
'0', -- low level
'-' -- don't care
);
TYPE VitalMemoryViolationTableType IS
ARRAY ( NATURAL RANGE <>, NATURAL RANGE <> )
OF VitalMemoryViolationSymbolType;
TYPE VitalPortType IS (
UNDEF,
READ,
WRITE,
RDNWR
);
TYPE VitalCrossPortModeType IS (
CpRead, -- CpReadOnly,
WriteContention, -- WrContOnly,
ReadWriteContention, -- CpContention
CpReadAndWriteContention, -- WrContAndCpRead,
CpReadAndReadContention
);
SUBTYPE VitalAddressValueType IS INTEGER;
TYPE VitalAddressValueVectorType IS
ARRAY (NATURAL RANGE <>) OF VitalAddressValueType;
-- ----------------------------------------------------------------------------
-- Procedure: VitalDeclareMemory
-- Parameters: NoOfWords - Number of words in the memory
-- NoOfBitsPerWord - Number of bits per word in memory
-- NoOfBitsPerSubWord - Number of bits per sub word
-- MemoryLoadFile - Name of data file to load
-- Description: This function is intended to be used to initialize
-- memory data declarations, i.e. to be executed duing
-- simulation elaboration time. Handles the allocation
-- and initialization of memory for the memory data.
-- Default NoOfBitsPerSubWord is NoOfBits.
-- ----------------------------------------------------------------------------
IMPURE FUNCTION VitalDeclareMemory (
CONSTANT NoOfWords : IN POSITIVE;
CONSTANT NoOfBitsPerWord : IN POSITIVE;
CONSTANT NoOfBitsPerSubWord : IN POSITIVE;
CONSTANT MemoryLoadFile : IN string := "";
CONSTANT BinaryLoadFile : IN BOOLEAN := FALSE
) RETURN VitalMemoryDataType;
IMPURE FUNCTION VitalDeclareMemory (
CONSTANT NoOfWords : IN POSITIVE;
CONSTANT NoOfBitsPerWord : IN POSITIVE;
CONSTANT MemoryLoadFile : IN string := "";
CONSTANT BinaryLoadFile : IN BOOLEAN := FALSE
) RETURN VitalMemoryDataType;
-- ----------------------------------------------------------------------------
-- Procedure: VitalMemoryTable
-- Parameters: DataOutBus - Output candidate zero delay data bus out
-- MemoryData - Pointer to memory data structure
-- PrevControls - Previous data in for edge detection
-- PrevEnableBus - Previous enables for edge detection
-- PrevDataInBus - Previous data bus for edge detection
-- PrevAddressBus - Previous address bus for edge detection
-- PortFlag - Indicates port operating mode
-- PortFlagArray - Vector form of PortFlag for sub-word
-- Controls - Agregate of scalar control lines
-- EnableBus - Concatenation of vector control lines
-- DataInBus - Input value of data bus in
-- AddressBus - Input value of address bus in
-- AddressValue - Decoded value of the AddressBus
-- MemoryTable - Input memory action table
-- PortType - The type of port (currently not used)
-- PortName - Port name string for messages
-- HeaderMsg - Header string for messages
-- MsgOn - Control the generation of messages
-- MsgSeverity - Control level of message generation
-- Description: This procedure implements the majority of the memory
-- modeling functionality via lookup of the memory action
-- tables and performing the specified actions if matches
-- are found, or the default actions otherwise. The
-- overloadings are provided for the word and sub-word
-- (using the EnableBus and PortFlagArray arguments) addressing
-- cases.
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemoryTable (
VARIABLE DataOutBus : INOUT std_logic_vector;
VARIABLE MemoryData : INOUT VitalMemoryDataType;
VARIABLE PrevControls : INOUT std_logic_vector;
VARIABLE PrevDataInBus : INOUT std_logic_vector;
VARIABLE PrevAddressBus : INOUT std_logic_vector;
VARIABLE PortFlag : INOUT VitalPortFlagVectorType;
CONSTANT Controls : IN std_logic_vector;
CONSTANT DataInBus : IN std_logic_vector;
CONSTANT AddressBus : IN std_logic_vector;
VARIABLE AddressValue : INOUT VitalAddressValueType;
CONSTANT MemoryTable : IN VitalMemoryTableType;
CONSTANT PortType : IN VitalPortType := UNDEF;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING
);
PROCEDURE VitalMemoryTable (
VARIABLE DataOutBus : INOUT std_logic_vector;
VARIABLE MemoryData : INOUT VitalMemoryDataType;
VARIABLE PrevControls : INOUT std_logic_vector;
VARIABLE PrevEnableBus : INOUT std_logic_vector;
VARIABLE PrevDataInBus : INOUT std_logic_vector;
VARIABLE PrevAddressBus : INOUT std_logic_vector;
VARIABLE PortFlagArray : INOUT VitalPortFlagVectorType;
CONSTANT Controls : IN std_logic_vector;
CONSTANT EnableBus : IN std_logic_vector;
CONSTANT DataInBus : IN std_logic_vector;
CONSTANT AddressBus : IN std_logic_vector;
VARIABLE AddressValue : INOUT VitalAddressValueType;
CONSTANT MemoryTable : IN VitalMemoryTableType;
CONSTANT PortType : IN VitalPortType := UNDEF;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING
);
-- ----------------------------------------------------------------------------
-- Procedure: VitalMemoryCrossPorts
-- Parameters: DataOutBus - Output candidate zero delay data bus out
-- MemoryData - Pointer to memory data structure
-- SamePortFlag - Operating mode for same port
-- SamePortAddressValue - Decoded AddressBus for same port
-- CrossPortFlagArray - Operating modes for cross ports
-- CrossPortAddressArray - Decoded AddressBus for cross ports
-- CrossPortMode - Write contention and crossport read control
-- PortName - Port name string for messages
-- HeaderMsg - Header string for messages
-- MsgOn - Control the generation of messages
--
-- Description: These procedures control the effect of memory operations
-- on a given port due to operations on other ports in a
-- multi-port memory.
-- This includes data write through when reading and writing
-- to the same address, as well as write contention when
-- there are multiple write to the same address.
-- If addresses do not match then data bus is unchanged.
-- The DataOutBus can be diabled with 'Z' value.
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemoryCrossPorts (
VARIABLE DataOutBus : INOUT std_logic_vector;
VARIABLE MemoryData : INOUT VitalMemoryDataType;
VARIABLE SamePortFlag : INOUT VitalPortFlagVectorType;
CONSTANT SamePortAddressValue : IN VitalAddressValueType;
CONSTANT CrossPortFlagArray : IN VitalPortFlagVectorType;
CONSTANT CrossPortAddressArray : IN VitalAddressValueVectorType;
CONSTANT CrossPortMode : IN VitalCrossPortModeType
:= CpReadAndWriteContention;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE
) ;
PROCEDURE VitalMemoryCrossPorts (
VARIABLE MemoryData : INOUT VitalMemoryDataType;
CONSTANT CrossPortFlagArray : IN VitalPortFlagVectorType;
CONSTANT CrossPortAddressArray : IN VitalAddressValueVectorType;
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE
) ;
-- ----------------------------------------------------------------------------
-- Procedure: VitalMemoryViolation
-- Parameters: DataOutBus - Output zero delay data bus out
-- MemoryData - Pointer to memory data structure
-- PortFlag - Indicates port operating mode
-- DataInBus - Input value of data bus in
-- AddressValue - Decoded value of the AddressBus
-- ViolationFlags - Aggregate of scalar violation vars
-- ViolationFlagsArray - Concatenation of vector violation vars
-- ViolationTable - Input memory violation table
-- PortType - The type of port (currently not used)
-- PortName - Port name string for messages
-- HeaderMsg - Header string for messages
-- MsgOn - Control the generation of messages
-- MsgSeverity - Control level of message generation
-- Description: This procedure is intended to implement all actions on the
-- memory contents and data out bus as a result of timing viols.
-- It uses the memory action table to perform various corruption
-- policies specified by the user.
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemoryViolation (
VARIABLE DataOutBus : INOUT std_logic_vector;
VARIABLE MemoryData : INOUT VitalMemoryDataType;
VARIABLE PortFlag : INOUT VitalPortFlagVectorType;
CONSTANT DataInBus : IN std_logic_vector;
CONSTANT AddressValue : IN VitalAddressValueType;
CONSTANT ViolationFlags : IN std_logic_vector;
CONSTANT ViolationFlagsArray : IN X01ArrayT;
CONSTANT ViolationSizesArray : IN VitalMemoryViolFlagSizeType;
CONSTANT ViolationTable : IN VitalMemoryTableType;
CONSTANT PortType : IN VitalPortType;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING
) ;
PROCEDURE VitalMemoryViolation (
VARIABLE DataOutBus : INOUT std_logic_vector;
VARIABLE MemoryData : INOUT VitalMemoryDataType;
VARIABLE PortFlag : INOUT VitalPortFlagVectorType;
CONSTANT DataInBus : IN std_logic_vector;
CONSTANT AddressValue : IN VitalAddressValueType;
CONSTANT ViolationFlags : IN std_logic_vector;
CONSTANT ViolationTable : IN VitalMemoryTableType;
CONSTANT PortType : IN VitalPortType;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING
) ;
END Vital_Memory;
|
-- ----------------------------------------------------------------------------
-- Title : Standard VITAL Memory Package
-- :
-- Library : Vital_Memory
-- :
-- Developers : IEEE DASC Timing Working Group (TWG), PAR 1076.4
-- : Ekambaram Balaji, LSI Logic Corporation
-- : Jose De Castro, Consultant
-- : Prakash Bare, GDA Technologies
-- : William Yam, LSI Logic Corporation
-- : Dennis Brophy, Model Technology
-- :
-- Purpose : This packages defines standard types, constants, functions
-- : and procedures for use in developing ASIC memory models.
-- :
-- ----------------------------------------------------------------------------
--
-- ----------------------------------------------------------------------------
-- Modification History :
-- ----------------------------------------------------------------------------
-- Ver:|Auth:| Date:| Changes Made:
-- 0.1 | eb |071796| First prototye as part of VITAL memory proposal
-- 0.2 | jdc |012897| Initial prototyping with proposed MTM scheme
-- 0.3 | jdc |090297| Extensive updates for TAG review (functional)
-- 0.4 | eb |091597| Changed naming conventions for VitalMemoryTable
-- | | | Added interface of VitalMemoryCrossPorts() &
-- | | | VitalMemoryViolation().
-- 0.5 | jdc |092997| Completed naming changes thoughout package body.
-- | | | Testing with simgle port test model looks ok.
-- 0.6 | jdc |121797| Major updates to the packages:
-- | | | - Implement VitalMemoryCrossPorts()
-- | | | - Use new VitalAddressValueType
-- | | | - Use new VitalCrossPortModeType enum
-- | | | - Overloading without SamePort args
-- | | | - Honor erroneous address values
-- | | | - Honor ports disabled with 'Z'
-- | | | - Implement implicit read 'M' table symbol
-- | | | - Cleanup buses to use (H DOWNTO L)
-- | | | - Message control via MsgOn,HeaderMsg,PortName
-- | | | - Tested with 1P1RW,2P2RW,4P2R2W,4P4RW cases
-- 0.7 | jdc |052698| Bug fixes to the packages:
-- | | | - Fix failure with negative Address values
-- | | | - Added debug messages for VMT table search
-- | | | - Remove 'S' for action column (only 's')
-- | | | - Remove 's' for response column (only 'S')
-- | | | - Remove 'X' for action and response columns
-- 0.8 | jdc |061298| Implemented VitalMemoryViolation()
-- | | | - Minimal functionality violation tables
-- | | | - Missing:
-- | | | - Cannot handle wide violation variables
-- | | | - Cannot handle sub-word cases
-- | | | Fixed IIC version of MemoryMatch
-- | | | Fixed 'M' vs 'm' switched on debug output
-- | | | TO BE DONE:
-- | | | - Implement 'd' corrupting a single bit
-- | | | - Implement 'D' corrupting a single bit
-- 0.9 |eb/sc|080498| Added UNDEF value for VitalPortFlagType
-- 0.10|eb/sc|080798| Added CORRUPT value for VitalPortFlagType
-- 0.11|eb/sc|081798| Added overloaded function interface for
-- | | | VitalDeclareMemory
-- 0.14| jdc |113198| Merging of memory functionality and version
-- | | | 1.4 9/17/98 of timing package from Prakash
-- 0.15| jdc |120198| Major development of VMV functionality
-- 0.16| jdc |120298| Complete VMV functionlality for initial testing
-- | | | - New ViolationTableCorruptMask() procedure
-- | | | - New MemoryTableCorruptMask() procedure
-- | | | - HandleMemoryAction():
-- | | | - Removed DataOutBus bogus output
-- | | | - Replaced DataOutTmp with DataInTmp
-- | | | - Added CorruptMask input handling
-- | | | - Implemented 'd','D' using CorruptMask
-- | | | - CorruptMask on 'd','C','L','D','E'
-- | | | - CorruptMask ignored on 'c','l','e'
-- | | | - Changed 'l','d','e' to set PortFlag to CORRUPT
-- | | | - Changed 'L','D','E' to set PortFlag to CORRUPT
-- | | | - Changed 'c','l','d','e' to ignore HighBit, LowBit
-- | | | - Changed 'C','L','D','E' to use HighBit, LowBit
-- | | | - HandleDataAction():
-- | | | - Added CorruptMask input handling
-- | | | - Implemented 'd','D' using CorruptMask
-- | | | - CorruptMask on 'd','C','L','D','E'
-- | | | - CorruptMask ignored on 'l','e'
-- | | | - Changed 'l','d','e' to set PortFlag to CORRUPT
-- | | | - Changed 'L','D','E' to set PortFlag to CORRUPT
-- | | | - Changed 'l','d','e' to ignore HighBit, LowBit
-- | | | - Changed 'L','D','E' to use HighBit, LowBit
-- | | | - MemoryTableLookUp():
-- | | | - Added MsgOn table debug output
-- | | | - Uses new MemoryTableCorruptMask()
-- | | | - ViolationTableLookUp():
-- | | | - Uses new ViolationTableCorruptMask()
-- 0.17| jdc |120898| - Added VitalMemoryViolationSymbolType,
-- | | | VitalMemoryViolationTableType data
-- | | | types but not used yet (need to discuss)
-- | | | - Added overload for VitalMemoryViolation()
-- | | | which does not have array flags
-- | | | - Bug fixes for VMV functionality:
-- | | | - ViolationTableLookUp() not handling '-' in
-- | | | scalar violation matching
-- | | | - VitalMemoryViolation() now normalizes
-- | | | VFlagArrayTmp'LEFT as LSB before calling
-- | | | ViolationTableLookUp() for proper scanning
-- | | | - ViolationTableCorruptMask() had to remove
-- | | | normalization of CorruptMaskTmp and
-- | | | ViolMaskTmp for proper MSB:LSB corruption
-- | | | - HandleMemoryAction(), HandleDataAction()
-- | | | - Removed 'D','E' since not being used
-- | | | - Use XOR instead of OR for corrupt masks
-- | | | - Now 'd' is sensitive to HighBit, LowBit
-- | | | - Fixed LowBit overflow in bit writeable case
-- | | | - MemoryTableCorruptMask()
-- | | | - ViolationTableCorruptMask()
-- | | | - VitalMemoryTable()
-- | | | - VitalMemoryCrossPorts()
-- | | | - Fixed VitalMemoryViolation() failing on
-- | | | error AddressValue from earlier VMT()
-- | | | - Minor cleanup of code formatting
-- 0.18| jdc |032599| - In VitalDeclareMemory()
-- | | | - Added BinaryLoadFile formal arg and
-- | | | modified LoadMemory() to handle bin
-- | | | - Added NOCHANGE to VitalPortFlagType
-- | | | - For VitalCrossPortModeType
-- | | | - Added CpContention enum
-- | | | - In HandleDataAction()
-- | | | - Set PortFlag := NOCHANGE for 'S'
-- | | | - In HandleMemoryAction()
-- | | | - Set PortFlag := NOCHANGE for 's'
-- | | | - In VitalMemoryTable() and
-- | | | VitalMemoryViolation()
-- | | | - Honor PortFlag = NOCHANGE returned
-- | | | from HandleMemoryAction()
-- | | | - In VitalMemoryCrossPorts()
-- | | | - Fixed Address = AddressJ for all
-- | | | conditions of DoWrCont & DoCpRead
-- | | | - Handle CpContention like WrContOnly
-- | | | under CpReadOnly conditions, with
-- | | | associated memory message changes
-- | | | - Handle PortFlag = NOCHANGE like
-- | | | PortFlag = READ for actions
-- | | | - Modeling change:
-- | | | - Need to init PortFlag every delta
-- | | | PortFlag_A := (OTHES => UNDEF);
-- | | | - Updated InternalTimingCheck code
-- 0.19| jdc |042599| - Fixes for bit-writeable cases
-- | | | - Check PortFlag after HandleDataAction
-- | | | in VitalMemoryViolation()
-- 0.20| jdc |042599| - Merge PortFlag changes from Prakash
-- | | | and Willian:
-- | | | VitalMemorySchedulePathDelay()
-- | | | VitalMemoryExpandPortFlag()
-- 0.21| jdc |072199| - Changed VitalCrossPortModeType enums,
-- | | | added new CpReadAndReadContention.
-- | | | - Fixed VitalMemoryCrossPorts() parameter
-- | | | SamePortFlag to INOUT so that it can
-- | | | set CORRUPT or READ value.
-- | | | - Fixed VitalMemoryTable() where PortFlag
-- | | | setting by HandleDataAction() is being
-- | | | ignored when HandleMemoryAction() sets
-- | | | PortFlagTmp to NOCHANGE.
-- | | | - Fixed VitalMemoryViolation() to set
-- | | | all bits of PortFlag when violating.
-- 0.22| jdc |072399| - Added HIGHZ to PortFlagType. HandleData
-- | | | checks whether the previous state is HIGHZ.
-- | | | If yes then portFlag should be NOCHANGE
-- | | | for VMPD to ignore IORetain corruption.
-- | | | The idea is that the first Z should be
-- | | | propagated but later ones should be ignored.
-- | | |
-- 0.23| jdc |100499| - Took code checked in by Dennis 09/28/99
-- | | | - Changed VitalPortFlagType to record of
-- | | | new VitalPortStateType to hold current,
-- | | | previous values and separate disable.
-- | | | Also created VitalDefaultPortFlag const.
-- | | | Removed usage of PortFlag NOCHANGE
-- | | | - VitalMemoryTable() changes:
-- | | | Optimized return when all curr = prev
-- | | | AddressValue is now INOUT to optimize
-- | | | Transfer PF.MemoryCurrent to MemoryPrevious
-- | | | Transfer PF.DataCurrent to DataPrevious
-- | | | Reset PF.OutputDisable to FALSE
-- | | | Expects PortFlag init in declaration
-- | | | No need to init PortFlag every delta
-- | | | - VitalMemorySchedulePathDelay() changes:
-- | | | Initialize with VitalDefaultPortFlag
-- | | | Check PortFlag.OutputDisable
-- | | | - HandleMemoryAction() changes:
-- | | | Set value of PortFlag.MemoryCurrent
-- | | | Never set PortFlag.OutputDisable
-- | | | - HandleDataAction() changes:
-- | | | Set value of PortFlag.DataCurrent
-- | | | Set PortFlag.DataCurrent for HIGHZ
-- | | | - VitalMemoryCrossPorts() changes:
-- | | | Check/set value of PF.MemoryCurrent
-- | | | Check value of PF.OutputDisable
-- | | | - VitalMemoryViolation() changes:
-- | | | Fixed bug - not reading inout PF value
-- | | | Clean up setting of PortFlag
-- 0.24| jdc |100899| - Modified update of PF.OutputDisable
-- | | | to correctly accomodate 2P1W1R case:
-- | | | the read port should not exhibit
-- | | | IO retain corrupt when reading
-- | | | addr unrelated to addr being written.
-- 0.25| jdc |100999| - VitalMemoryViolation() change:
-- | | | Fixed bug with RDNWR mode incorrectly
-- | | | updating the PF.OutputDisable
-- 0.26| jdc |100999| - VitalMemoryCrossPorts() change:
-- | | | Fixed bugs with update of PF
-- 0.27| jdc |101499| - VitalMemoryCrossPorts() change:
-- | | | Added DoRdWrCont message (ErrMcpRdWrCo,
-- | | | Memory cross port read/write data only
-- | | | contention)
-- | | | - VitalMemoryTable() change:
-- | | | Set PF.OutputDisable := TRUE for the
-- | | | optimized cases.
-- 0.28| pb |112399| - Added 8 VMPD procedures for vector
-- | | | PathCondition support. Now the total
-- | | | number of overloadings for VMPD is 24.
-- | | | - Number of overloadings for SetupHold
-- | | | procedures increased to 5. Scalar violations
-- | | | are not supported anymore. Vector checkEnabled
-- | | | support is provided through the new overloading
-- 0.29| jdc |120999| - HandleMemoryAction() HandleDataAction()
-- | | | Reinstated 'D' and 'E' actions but
-- | | | with new PortFlagType
-- | | | - Updated file handling syntax, must compile
-- | | | with -93 syntax now.
-- 0.30| jdc |022300| - Formated for 80 column max width
-- ----------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.Vital_Timing.ALL;
USE IEEE.Vital_Primitives.ALL;
LIBRARY STD;
USE STD.TEXTIO.ALL;
PACKAGE Vital_Memory IS
-- ----------------------------------------------------------------------------
-- Timing Section
-- ----------------------------------------------------------------------------
-- ----------------------------------------------------------------------------
-- Types and constants for Memory timing procedures
-- ----------------------------------------------------------------------------
TYPE VitalMemoryArcType IS (ParallelArc, CrossArc, SubwordArc);
TYPE OutputRetainBehaviorType IS (BitCorrupt, WordCorrupt);
TYPE VitalMemoryMsgFormatType IS (Vector, Scalar, VectorEnum);
TYPE X01ArrayT IS ARRAY (NATURAL RANGE <> ) OF X01;
TYPE X01ArrayPT IS ACCESS X01ArrayT;
TYPE VitalMemoryViolationType IS ACCESS X01ArrayT;
CONSTANT DefaultNumBitsPerSubword : INTEGER := -1;
-- Data type storing path delay and schedule information for output bits
TYPE VitalMemoryScheduleDataType IS RECORD
OutputData : std_ulogic;
NumBitsPerSubWord : INTEGER;
ScheduleTime : TIME;
ScheduleValue : std_ulogic;
LastOutputValue : std_ulogic;
PropDelay : TIME;
OutputRetainDelay : TIME;
InputAge : TIME;
END RECORD;
TYPE VitalMemoryTimingDataType IS RECORD
NotFirstFlag : BOOLEAN;
RefLast : X01;
RefTime : TIME;
HoldEn : BOOLEAN;
TestLast : std_ulogic;
TestTime : TIME;
SetupEn : BOOLEAN;
TestLastA : VitalLogicArrayPT;
TestTimeA : VitalTimeArrayPT;
RefLastA : X01ArrayPT;
RefTimeA : VitalTimeArrayPT;
HoldEnA : VitalBoolArrayPT;
SetupEnA : VitalBoolArrayPT;
END RECORD;
TYPE VitalPeriodDataArrayType IS ARRAY (NATURAL RANGE <>) OF
VitalPeriodDataType;
-- Data type storing path delay and schedule information for output
-- vectors
TYPE VitalMemoryScheduleDataVectorType IS ARRAY (NATURAL RANGE <> ) OF
VitalMemoryScheduleDataType;
-- VitalPortFlagType records runtime mode of port sub-word slices
-- TYPE VitalPortFlagType IS (
-- UNDEF,
-- READ,
-- WRITE,
-- CORRUPT,
-- HIGHZ,
-- NOCHANGE
-- );
-- VitalPortFlagType records runtime mode of port sub-word slices
TYPE VitalPortStateType IS (
UNDEF,
READ,
WRITE,
CORRUPT,
HIGHZ
);
TYPE VitalPortFlagType IS RECORD
MemoryCurrent : VitalPortStateType;
MemoryPrevious : VitalPortStateType;
DataCurrent : VitalPortStateType;
DataPrevious : VitalPortStateType;
OutputDisable : BOOLEAN;
END RECORD;
CONSTANT VitalDefaultPortFlag : VitalPortFlagType := (
MemoryCurrent => READ,
MemoryPrevious => UNDEF,
DataCurrent => READ,
DataPrevious => UNDEF,
OutputDisable => FALSE
);
-- VitalPortFlagVectorType to be same width i as enables of a port
-- or j multiples thereof, where j is the number of cross ports
TYPE VitalPortFlagVectorType IS
ARRAY (NATURAL RANGE <>) OF VitalPortFlagType;
-- ----------------------------------------------------------------------------
-- Functions : VitalMemory path delay procedures
-- - VitalMemoryInitPathDelay
-- - VitalMemoryAddPathDelay
-- - VitalMemorySchedulePathDelay
--
-- Description: VitalMemoryInitPathDelay, VitalMemoryAddPathDelay and
-- VitalMemorySchedulePathDelay are Level 1 routines used
-- for selecting the propagation delay paths based on
-- path condition, transition type and delay values and
-- schedule a new output value.
--
-- Following features are implemented in these procedures:
-- o condition dependent path selection
-- o Transition dependent delay selection
-- o shortest delay path selection from multiple
-- candidate paths
-- o Scheduling of the computed values on the specified
-- signal.
-- o output retain behavior if outputRetain flag is set
-- o output mapping to alternate strengths to model
-- pull-up, pull-down etc.
--
-- <More details to be added here>
--
-- Following is information on overloading of the procedures.
--
-- VitalMemoryInitPathDelay is overloaded for ScheduleDataArray and
-- OutputDataArray
--
-- ----------------------------------------------------------------------------
-- ScheduleDataArray OutputDataArray
-- ----------------------------------------------------------------------------
-- Scalar Scalar
-- Vector Vector
-- ----------------------------------------------------------------------------
--
--
-- VitalMemoryAddPathDelay is overloaded for ScheduleDataArray,
-- PathDelayArray, InputSignal and delaytype.
--
-- ----------------------------------------------------------------------------
-- DelayType InputSignal ScheduleData PathDelay
-- Array Array
-- ----------------------------------------------------------------------------
-- VitalDelayType Scalar Scalar Scalar
-- VitalDelayType Scalar Vector Vector
-- VitalDelayType Vector Scalar Vector
-- VitalDelayType Vector Vector Vector
-- VitalDelayType01 Scalar Scalar Scalar
-- VitalDelayType01 Scalar Vector Vector
-- VitalDelayType01 Vector Scalar Vector
-- VitalDelayType01 Vector Vector Vector
-- VitalDelayType01Z Scalar Scalar Scalar
-- VitalDelayType01Z Scalar Vector Vector
-- VitalDelayType01Z Vector Scalar Vector
-- VitalDelayType01Z Vector Vector Vector
-- VitalDelayType01XZ Scalar Scalar Scalar
-- VitalDelayType01XZ Scalar Vector Vector
-- VitalDelayType01XZ Vector Scalar Vector
-- VitalDelayType01XZ Vector Vector Vector
-- ----------------------------------------------------------------------------
--
--
-- VitalMemorySchedulePathDelay is overloaded for ScheduleDataArray,
-- and OutSignal
--
-- ----------------------------------------------------------------------------
-- OutSignal ScheduleDataArray
-- ----------------------------------------------------------------------------
-- Scalar Scalar
-- Vector Vector
-- ----------------------------------------------------------------------------
--
-- Procedure Declarations:
--
--
-- Function : VitalMemoryInitPathDelay
--
-- Arguments:
--
-- INOUT Type Description
--
-- ScheduleDataArray/ VitalMemoryScheduleDataVectorType/
-- ScheduleData VitalMemoryScheduleDataType
-- Internal data variable for
-- storing delay and schedule
-- information for each output bit
--
--
-- IN
--
-- OutputDataArray/ STD_LOGIC_VECTOR/Array containing current output
-- OutputData STD_ULOGIC value
--
--
-- NumBitsPerSubWord INTEGER Number of bits per subword.
-- Default value of this argument
-- is DefaultNumBitsPerSubword
-- which is interpreted as no
-- subwords
--
-- ----------------------------------------------------------------------------
--
--
-- ScheduleDataArray - Vector
-- OutputDataArray - Vector
--
PROCEDURE VitalMemoryInitPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
VARIABLE OutputDataArray : IN STD_LOGIC_VECTOR;
CONSTANT NumBitsPerSubWord : IN INTEGER := DefaultNumBitsPerSubword
);
--
-- ScheduleDataArray - Scalar
-- OutputDataArray - Scalar
--
PROCEDURE VitalMemoryInitPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
VARIABLE OutputData : IN STD_ULOGIC
);
-- ----------------------------------------------------------------------------
--
-- Function : VitalMemoryAddPathDelay
--
-- Arguments
--
-- INOUT Type Description
--
-- ScheduleDataArray/ VitalMemoryScheduleDataVectorType/
-- ScheduleData VitalMemoryScheduleDataType
-- Internal data variable for
-- storing delay and schedule
-- information for each output bit
--
-- InputChangeTimeArray/ VitaltimeArrayT/Time
-- InputChangeTime Holds the time since the last
-- input change
--
-- IN
--
-- InputSignal STD_LOGIC_VECTOR
-- STD_ULOGIC/ Array holding the input value
--
-- OutputSignalName STRING The output signal name
--
-- PathDelayArray/ VitalDelayArrayType01ZX,
-- PathDelay VitalDelayArrayType01Z,
-- VitalDelayArrayType01,
-- VitalDelayArrayType/
-- VitalDelayType01ZX,
-- VitalDelayType01Z,
-- VitalDelayType01,
-- VitalDelayType Array of delay values
--
-- ArcType VitalMemoryArcType
-- Indicates the Path type. This
-- can be SubwordArc, CrossArc or
-- ParallelArc
--
-- PathCondition BOOLEAN If True, the transition in
-- the corresponding input signal
-- is considered while
-- caluculating the prop. delay
-- else the transition is ignored.
--
-- OutputRetainFlag BOOLEAN If specified TRUE,output retain
-- (hold) behavior is implemented.
--
-- ----------------------------------------------------------------------------
--
-- #1
-- DelayType - VitalDelayType
-- Input - Scalar
-- Output - Scalar
-- Delay - Scalar
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelay : IN VitalDelayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #2
-- DelayType - VitalDelayType
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #3
-- DelayType - VitalDelayType
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray: IN VitalBoolArrayT
);
-- #4
-- DelayType - VitalDelayType
-- Input - Vector
-- Output - Scalar
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #5
-- DelayType - VitalDelayType
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #6
-- DelayType - VitalDelayType
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray : IN VitalBoolArrayT
);
-- #7
-- DelayType - VitalDelayType01
-- Input - Scalar
-- Output - Scalar
-- Delay - Scalar
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelay : IN VitalDelayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #8
-- DelayType - VitalDelayType01
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #9
-- DelayType - VitalDelayType01
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray: IN VitalBoolArrayT
);
-- #10
-- DelayType - VitalDelayType01
-- Input - Vector
-- Output - Scalar
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #11
-- DelayType - VitalDelayType01
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #12
-- DelayType - VitalDelayType01
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray : IN VitalBoolArrayT
);
-- #13
-- DelayType - VitalDelayType01Z
-- Input - Scalar
-- Output - Scalar
-- Delay - Scalar
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelay : IN VitalDelayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE
);
-- #14
-- DelayType - VitalDelayType01Z
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE
);
-- #15
-- DelayType - VitalDelayType01Z
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray: IN VitalBoolArrayT;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE
);
-- #16
-- DelayType - VitalDelayType01Z
-- Input - Vector
-- Output - Scalar
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt
);
-- #17
-- DelayType - VitalDelayType01Z
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt
);
-- #18
-- DelayType - VitalDelayType01Z
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray : IN VitalBoolArrayT;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt
);
-- #19
-- DelayType - VitalDelayType01ZX
-- Input - Scalar
-- Output - Scalar
-- Delay - Scalar
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelay : IN VitalDelayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE
);
-- #20
-- DelayType - VitalDelayType01ZX
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE
);
-- #21
-- DelayType - VitalDelayType01ZX
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray: IN VitalBoolArrayT;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE
);
-- #22
-- DelayType - VitalDelayType01ZX
-- Input - Vector
-- Output - Scalar
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt
);
-- #23
-- DelayType - VitalDelayType01ZX
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt
);
-- #24
-- DelayType - VitalDelayType01ZX
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray : IN VitalBoolArrayT;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt
);
-- ----------------------------------------------------------------------------
--
-- Function : VitalMemorySchedulePathDelay
--
-- Arguments:
--
-- OUT Type Description
-- OutSignal STD_LOGIC_VECTOR/ The output signal for
-- STD_ULOGIC scheduling
--
-- IN
-- OutputSignalName STRING The name of the output signal
--
-- IN
-- PortFlag VitalPortFlagType Port flag variable from
-- functional procedures
--
-- IN
-- OutputMap VitalOutputMapType For VitalPathDelay01Z, the
-- output can be mapped to
-- alternate strengths to model
-- tri-state devices, pull-ups
-- and pull-downs.
--
-- INOUT
-- ScheduleDataArray/ VitalMemoryScheduleDataVectorType/
-- ScheduleData VitalMemoryScheduleDataType
-- Internal data variable for
-- storing delay and schedule
-- information for each
-- output bit
--
-- ----------------------------------------------------------------------------
--
-- ScheduleDataArray - Vector
-- OutputSignal - Vector
--
PROCEDURE VitalMemorySchedulePathDelay (
SIGNAL OutSignal : OUT std_logic_vector;
CONSTANT OutputSignalName : IN STRING := "";
CONSTANT PortFlag : IN VitalPortFlagType := VitalDefaultPortFlag;
CONSTANT OutputMap : IN VitalOutputMapType := VitalDefaultOutputMap;
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType
);
--
-- ScheduleDataArray - Vector
-- OutputSignal - Vector
--
PROCEDURE VitalMemorySchedulePathDelay (
SIGNAL OutSignal : OUT std_logic_vector;
CONSTANT OutputSignalName : IN STRING := "";
CONSTANT PortFlag : IN VitalPortFlagVectorType;
CONSTANT OutputMap : IN VitalOutputMapType := VitalDefaultOutputMap;
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType
);
--
-- ScheduleDataArray - Scalar
-- OutputSignal - Scalar
--
PROCEDURE VitalMemorySchedulePathDelay (
SIGNAL OutSignal : OUT std_ulogic;
CONSTANT OutputSignalName : IN STRING := "";
CONSTANT PortFlag : IN VitalPortFlagType := VitalDefaultPortFlag;
CONSTANT OutputMap : IN VitalOutputMapType := VitalDefaultOutputMap;
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType
);
-- ----------------------------------------------------------------------------
FUNCTION VitalMemoryTimingDataInit RETURN VitalMemoryTimingDataType;
-- ----------------------------------------------------------------------------
--
-- Function Name: VitalMemorySetupHoldCheck
--
-- Description: The VitalMemorySetupHoldCheck procedure detects a setup or a
-- hold violation on the input test signal with respect
-- to the corresponding input reference signal. The timing
-- constraints are specified through parameters
-- representing the high and low values for the setup and
-- hold values for the setup and hold times. This
-- procedure assumes non-negative values for setup and hold
-- timing constraints.
--
-- It is assumed that negative timing constraints
-- are handled by internally delaying the test or
-- reference signals. Negative setup times result in
-- a delayed reference signal. Negative hold times
-- result in a delayed test signal. Furthermore, the
-- delays and constraints associated with these and
-- other signals may need to be appropriately
-- adjusted so that all constraint intervals overlap
-- the delayed reference signals and all constraint
-- values (with respect to the delayed signals) are
-- non-negative.
--
-- This function is overloaded based on the input
-- TestSignal and reference signals. Parallel, Subword and
-- Cross Arc relationships between test and reference
-- signals are supported.
--
-- TestSignal XXXXXXXXXXXX____________________________XXXXXXXXXXXXXXXXXXXXXX
-- :
-- : -->| error region |<--
-- :
-- _______________________________
-- RefSignal \______________________________
-- : | | |
-- : | -->| |<-- thold
-- : -->| tsetup |<--
--
-- Arguments:
--
-- IN Type Description
-- TestSignal std_logic_vector Value of test signal
-- TestSignalName STRING Name of test signal
-- TestDelay VitalDelayArrayType Model's internal delay associated
-- with TestSignal
-- RefSignal std_ulogic Value of reference signal
-- std_logic_vector
-- RefSignalName STRING Name of reference signal
-- RefDelay TIME Model's internal delay associated
-- VitalDelayArrayType with RefSignal
-- SetupHigh VitalDelayArrayType Absolute minimum time duration
-- before the transition of RefSignal
-- for which transitions of
-- TestSignal are allowed to proceed
-- to the "1" state without causing
-- a setup violation.
-- SetupLow VitalDelayArrayType Absolute minimum time duration
-- before the transition of RefSignal
-- for which transitions of
-- TestSignal are allowed to proceed
-- to the "0" state without causing
-- a setup violation.
-- HoldHigh VitalDelayArrayType Absolute minimum time duration
-- after the transition of RefSignal
-- for which transitions of
-- TestSignal are allowed to
-- proceed to the "1" state without
-- causing a hold violation.
-- HoldLow VitalDelayArrayType Absolute minimum time duration
-- after the transition of RefSignal
-- for which transitions of
-- TestSignal are allowed to
-- proceed to the "0" state without
-- causing a hold violation.
-- CheckEnabled BOOLEAN Check performed if TRUE.
-- RefTransition VitalEdgeSymbolType
-- Reference edge specified. Events
-- on the RefSignal which match the
-- edge spec. are used as reference
-- edges.
-- ArcType VitalMemoryArcType
-- NumBitsPerSubWord INTEGER
-- HeaderMsg STRING String that will accompany any
-- assertion messages produced.
-- XOn BOOLEAN If TRUE, Violation output
-- parameter is set to "X".
-- Otherwise, Violation is always
-- set to "0."
-- MsgOn BOOLEAN If TRUE, set and hold violation
-- message will be generated.
-- Otherwise, no messages are
-- generated, even upon violations.
-- MsgSeverity SEVERITY_LEVEL Severity level for the assertion.
-- MsgFormat VitalMemoryMsgFormatType
-- Format of the Test/Reference
-- signals in violation messages.
--
-- INOUT
-- TimingData VitalMemoryTimingDataType
-- VitalMemorySetupHoldCheck information
-- storage area. This is used
-- internally to detect reference
-- edges and record the time of the
-- last edge.
--
-- OUT
-- Violation X01 This is the violation flag returned.
-- X01ArrayT Overloaded for array type.
--
--
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_ulogic;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN TIME := 0 ns;
SIGNAL RefSignal : IN std_ulogic;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN TIME := 0 ns;
CONSTANT SetupHigh : IN VitalDelayType;
CONSTANT SetupLow : IN VitalDelayType;
CONSTANT HoldHigh : IN VitalDelayType;
CONSTANT HoldLow : IN VitalDelayType;
CONSTANT CheckEnabled : IN VitalBoolArrayT;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
);
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
SIGNAL RefSignal : IN std_ulogic;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN TIME := 0 ns;
CONSTANT SetupHigh : IN VitalDelayArrayType;
CONSTANT SetupLow : IN VitalDelayArrayType;
CONSTANT HoldHigh : IN VitalDelayArrayType;
CONSTANT HoldLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
);
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
SIGNAL RefSignal : IN std_ulogic;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN TIME := 0 ns;
CONSTANT SetupHigh : IN VitalDelayArrayType;
CONSTANT SetupLow : IN VitalDelayArrayType;
CONSTANT HoldHigh : IN VitalDelayArrayType;
CONSTANT HoldLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN VitalBoolArrayT;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT NumBitsPerSubWord : IN INTEGER := 1;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
);
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
SIGNAL RefSignal : IN std_logic_vector;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN VitalDelayArrayType;
CONSTANT SetupHigh : IN VitalDelayArrayType;
CONSTANT SetupLow : IN VitalDelayArrayType;
CONSTANT HoldHigh : IN VitalDelayArrayType;
CONSTANT HoldLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT NumBitsPerSubWord : IN INTEGER := 1;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
);
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
SIGNAL RefSignal : IN std_logic_vector;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN VitalDelayArrayType;
CONSTANT SetupHigh : IN VitalDelayArrayType;
CONSTANT SetupLow : IN VitalDelayArrayType;
CONSTANT HoldHigh : IN VitalDelayArrayType;
CONSTANT HoldLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN VitalBoolArrayT;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT NumBitsPerSubWord : IN INTEGER := 1;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
);
--------------- following are not needed --------------------------
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
SIGNAL RefSignal : IN std_ulogic;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN TIME := 0 ns;
CONSTANT SetupHigh : IN VitalDelayArrayType;
CONSTANT SetupLow : IN VitalDelayArrayType;
CONSTANT HoldHigh : IN VitalDelayArrayType;
CONSTANT HoldLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
);
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
SIGNAL RefSignal : IN std_logic_vector;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN VitalDelayArrayType;
CONSTANT SetupHigh : IN VitalDelayArrayType;
CONSTANT SetupLow : IN VitalDelayArrayType;
CONSTANT HoldHigh : IN VitalDelayArrayType;
CONSTANT HoldLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT NumBitsPerSubWord : IN INTEGER := 1;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
);
-- ----------------------------------------------------------------------------
--
-- Function Name: VitalPeriodPulseCheck
--
-- Description: VitalPeriodPulseCheck checks for minimum and maximum
-- periodicity and pulse width for "1" and "0" values of
-- the input test signal. The timing constraint is
-- specified through parameters representing the minimal
-- period between successive rising and falling edges of
-- the input test signal and the minimum pulse widths
-- associated with high and low values.
--
-- VitalPeriodCheck's accepts rising and falling edges
-- from 1 and 0 as well as transitions to and from 'X.'
--
-- _______________ __________
-- ____________| |_______|
--
-- |<--- pw_hi --->|
-- |<-------- period ----->|
-- -->| pw_lo |<--
--
-- Arguments:
-- IN Type Description
-- TestSignal std_logic_vector Value of test signal
-- TestSignalName STRING Name of the test signal
-- TestDelay VitalDelayArrayType
-- Model's internal delay associated
-- with TestSignal
-- Period VitalDelayArrayType
-- Minimum period allowed between
-- consecutive rising ('P') or
-- falling ('F') transitions.
-- PulseWidthHigh VitalDelayArrayType
-- Minimum time allowed for a high
-- pulse ('1' or 'H')
-- PulseWidthLow VitalDelayArrayType
-- Minimum time allowed for a low
-- pulse ('0' or 'L')
-- CheckEnabled BOOLEAN Check performed if TRUE.
-- HeaderMsg STRING String that will accompany any
-- assertion messages produced.
-- XOn BOOLEAN If TRUE, Violation output parameter
-- is set to "X". Otherwise, Violation
-- is always set to "0."
-- MsgOn BOOLEAN If TRUE, period/pulse violation
-- message will be generated.
-- Otherwise, no messages are generated,
-- even though a violation is detected.
-- MsgSeverity SEVERITY_LEVEL Severity level for the assertion.
-- MsgFormat VitalMemoryMsgFormatType
-- Format of the Test/Reference signals
-- in violation messages.
--
-- INOUT
-- PeriodData VitalPeriodDataArrayType
-- VitalPeriodPulseCheck information
-- storage area. This is used
-- internally to detect reference edges
-- and record the pulse and period
-- times.
-- OUT
-- Violation X01 This is the violation flag returned.
-- X01ArrayT Overloaded for array type.
--
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemoryPeriodPulseCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE PeriodData : INOUT VitalPeriodDataArrayType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
CONSTANT Period : IN VitalDelayArrayType;
CONSTANT PulseWidthHigh : IN VitalDelayArrayType;
CONSTANT PulseWidthLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType
);
PROCEDURE VitalMemoryPeriodPulseCheck (
VARIABLE Violation : OUT X01;
VARIABLE PeriodData : INOUT VitalPeriodDataArrayType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
CONSTANT Period : IN VitalDelayArrayType;
CONSTANT PulseWidthHigh : IN VitalDelayArrayType;
CONSTANT PulseWidthLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType
);
-- ----------------------------------------------------------------------------
-- Functionality Section
-- ----------------------------------------------------------------------------
-- ----------------------------------------------------------------------------
-- All Memory Types and Record definitions.
-- ----------------------------------------------------------------------------
TYPE MemoryWordType IS ARRAY (NATURAL RANGE <>) OF UX01;
TYPE MemoryWordPtr IS ACCESS MemoryWordType;
TYPE MemoryArrayType IS ARRAY (NATURAL RANGE <>) OF MemoryWordPtr;
TYPE MemoryArrayPtrType IS ACCESS MemoryArrayType;
TYPE VitalMemoryArrayRecType IS
RECORD
NoOfWords : POSITIVE;
NoOfBitsPerWord : POSITIVE;
NoOfBitsPerSubWord : POSITIVE;
NoOfBitsPerEnable : POSITIVE;
MemoryArrayPtr : MemoryArrayPtrType;
END RECORD;
TYPE VitalMemoryDataType IS ACCESS VitalMemoryArrayRecType;
TYPE VitalTimingDataVectorType IS
ARRAY (NATURAL RANGE <>) OF VitalTimingDataType;
TYPE VitalMemoryViolFlagSizeType IS ARRAY (NATURAL RANGE <>) OF INTEGER;
-- ----------------------------------------------------------------------------
-- Symbol Literals used for Memory Table Modeling
-- ----------------------------------------------------------------------------
-- Symbol literals from '/' to 'S' are closely related to MemoryTableMatch
-- lookup matching and the order cannot be arbitrarily changed.
-- The remaining symbol literals are interpreted directly and matchting is
-- handled in the MemoryMatch procedure itself.
TYPE VitalMemorySymbolType IS (
'/', -- 0 -> 1
'\', -- 1 -> 0
'P', -- Union of '/' and '^' (any edge to 1)
'N', -- Union of '\' and 'v' (any edge to 0)
'r', -- 0 -> X
'f', -- 1 -> X
'p', -- Union of '/' and 'r' (any edge from 0)
'n', -- Union of '\' and 'f' (any edge from 1)
'R', -- Union of '^' and 'p' (any possible rising edge)
'F', -- Union of 'v' and 'n' (any possible falling edge)
'^', -- X -> 1
'v', -- X -> 0
'E', -- Union of 'v' and '^' (any edge from X)
'A', -- Union of 'r' and '^' (rising edge to or from 'X')
'D', -- Union of 'f' and 'v' (falling edge to or from 'X')
'*', -- Union of 'R' and 'F' (any edge)
'X', -- Unknown level
'0', -- low level
'1', -- high level
'-', -- don't care
'B', -- 0 or 1
'Z', -- High Impedance
'S', -- steady value
'g', -- Good address (no transition)
'u', -- Unknown address (no transition)
'i', -- Invalid address (no transition)
'G', -- Good address (with transition)
'U', -- Unknown address (with transition)
'I', -- Invalid address (with transition)
'w', -- Write data to memory
's', -- Retain previous memory contents
'c', -- Corrupt entire memory with 'X'
'l', -- Corrupt a word in memory with 'X'
'd', -- Corrupt a single bit in memory with 'X'
'e', -- Corrupt a word with 'X' based on data in
'C', -- Corrupt a sub-word entire memory with 'X'
'L', -- Corrupt a sub-word in memory with 'X'
-- The following entries are commented since their
-- interpretation overlap with existing definitions.
-- 'D', -- Corrupt a single bit of a sub-word with 'X'
-- 'E', -- Corrupt a sub-word with 'X' based on datain
'M', -- Implicit read data from memory
'm', -- Read data from memory
't' -- Immediate assign/transfer data in
);
TYPE VitalMemoryTableType IS ARRAY ( NATURAL RANGE <>, NATURAL RANGE <> )
OF VitalMemorySymbolType;
TYPE VitalMemoryViolationSymbolType IS (
'X', -- Unknown level
'0', -- low level
'-' -- don't care
);
TYPE VitalMemoryViolationTableType IS
ARRAY ( NATURAL RANGE <>, NATURAL RANGE <> )
OF VitalMemoryViolationSymbolType;
TYPE VitalPortType IS (
UNDEF,
READ,
WRITE,
RDNWR
);
TYPE VitalCrossPortModeType IS (
CpRead, -- CpReadOnly,
WriteContention, -- WrContOnly,
ReadWriteContention, -- CpContention
CpReadAndWriteContention, -- WrContAndCpRead,
CpReadAndReadContention
);
SUBTYPE VitalAddressValueType IS INTEGER;
TYPE VitalAddressValueVectorType IS
ARRAY (NATURAL RANGE <>) OF VitalAddressValueType;
-- ----------------------------------------------------------------------------
-- Procedure: VitalDeclareMemory
-- Parameters: NoOfWords - Number of words in the memory
-- NoOfBitsPerWord - Number of bits per word in memory
-- NoOfBitsPerSubWord - Number of bits per sub word
-- MemoryLoadFile - Name of data file to load
-- Description: This function is intended to be used to initialize
-- memory data declarations, i.e. to be executed duing
-- simulation elaboration time. Handles the allocation
-- and initialization of memory for the memory data.
-- Default NoOfBitsPerSubWord is NoOfBits.
-- ----------------------------------------------------------------------------
IMPURE FUNCTION VitalDeclareMemory (
CONSTANT NoOfWords : IN POSITIVE;
CONSTANT NoOfBitsPerWord : IN POSITIVE;
CONSTANT NoOfBitsPerSubWord : IN POSITIVE;
CONSTANT MemoryLoadFile : IN string := "";
CONSTANT BinaryLoadFile : IN BOOLEAN := FALSE
) RETURN VitalMemoryDataType;
IMPURE FUNCTION VitalDeclareMemory (
CONSTANT NoOfWords : IN POSITIVE;
CONSTANT NoOfBitsPerWord : IN POSITIVE;
CONSTANT MemoryLoadFile : IN string := "";
CONSTANT BinaryLoadFile : IN BOOLEAN := FALSE
) RETURN VitalMemoryDataType;
-- ----------------------------------------------------------------------------
-- Procedure: VitalMemoryTable
-- Parameters: DataOutBus - Output candidate zero delay data bus out
-- MemoryData - Pointer to memory data structure
-- PrevControls - Previous data in for edge detection
-- PrevEnableBus - Previous enables for edge detection
-- PrevDataInBus - Previous data bus for edge detection
-- PrevAddressBus - Previous address bus for edge detection
-- PortFlag - Indicates port operating mode
-- PortFlagArray - Vector form of PortFlag for sub-word
-- Controls - Agregate of scalar control lines
-- EnableBus - Concatenation of vector control lines
-- DataInBus - Input value of data bus in
-- AddressBus - Input value of address bus in
-- AddressValue - Decoded value of the AddressBus
-- MemoryTable - Input memory action table
-- PortType - The type of port (currently not used)
-- PortName - Port name string for messages
-- HeaderMsg - Header string for messages
-- MsgOn - Control the generation of messages
-- MsgSeverity - Control level of message generation
-- Description: This procedure implements the majority of the memory
-- modeling functionality via lookup of the memory action
-- tables and performing the specified actions if matches
-- are found, or the default actions otherwise. The
-- overloadings are provided for the word and sub-word
-- (using the EnableBus and PortFlagArray arguments) addressing
-- cases.
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemoryTable (
VARIABLE DataOutBus : INOUT std_logic_vector;
VARIABLE MemoryData : INOUT VitalMemoryDataType;
VARIABLE PrevControls : INOUT std_logic_vector;
VARIABLE PrevDataInBus : INOUT std_logic_vector;
VARIABLE PrevAddressBus : INOUT std_logic_vector;
VARIABLE PortFlag : INOUT VitalPortFlagVectorType;
CONSTANT Controls : IN std_logic_vector;
CONSTANT DataInBus : IN std_logic_vector;
CONSTANT AddressBus : IN std_logic_vector;
VARIABLE AddressValue : INOUT VitalAddressValueType;
CONSTANT MemoryTable : IN VitalMemoryTableType;
CONSTANT PortType : IN VitalPortType := UNDEF;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING
);
PROCEDURE VitalMemoryTable (
VARIABLE DataOutBus : INOUT std_logic_vector;
VARIABLE MemoryData : INOUT VitalMemoryDataType;
VARIABLE PrevControls : INOUT std_logic_vector;
VARIABLE PrevEnableBus : INOUT std_logic_vector;
VARIABLE PrevDataInBus : INOUT std_logic_vector;
VARIABLE PrevAddressBus : INOUT std_logic_vector;
VARIABLE PortFlagArray : INOUT VitalPortFlagVectorType;
CONSTANT Controls : IN std_logic_vector;
CONSTANT EnableBus : IN std_logic_vector;
CONSTANT DataInBus : IN std_logic_vector;
CONSTANT AddressBus : IN std_logic_vector;
VARIABLE AddressValue : INOUT VitalAddressValueType;
CONSTANT MemoryTable : IN VitalMemoryTableType;
CONSTANT PortType : IN VitalPortType := UNDEF;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING
);
-- ----------------------------------------------------------------------------
-- Procedure: VitalMemoryCrossPorts
-- Parameters: DataOutBus - Output candidate zero delay data bus out
-- MemoryData - Pointer to memory data structure
-- SamePortFlag - Operating mode for same port
-- SamePortAddressValue - Decoded AddressBus for same port
-- CrossPortFlagArray - Operating modes for cross ports
-- CrossPortAddressArray - Decoded AddressBus for cross ports
-- CrossPortMode - Write contention and crossport read control
-- PortName - Port name string for messages
-- HeaderMsg - Header string for messages
-- MsgOn - Control the generation of messages
--
-- Description: These procedures control the effect of memory operations
-- on a given port due to operations on other ports in a
-- multi-port memory.
-- This includes data write through when reading and writing
-- to the same address, as well as write contention when
-- there are multiple write to the same address.
-- If addresses do not match then data bus is unchanged.
-- The DataOutBus can be diabled with 'Z' value.
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemoryCrossPorts (
VARIABLE DataOutBus : INOUT std_logic_vector;
VARIABLE MemoryData : INOUT VitalMemoryDataType;
VARIABLE SamePortFlag : INOUT VitalPortFlagVectorType;
CONSTANT SamePortAddressValue : IN VitalAddressValueType;
CONSTANT CrossPortFlagArray : IN VitalPortFlagVectorType;
CONSTANT CrossPortAddressArray : IN VitalAddressValueVectorType;
CONSTANT CrossPortMode : IN VitalCrossPortModeType
:= CpReadAndWriteContention;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE
) ;
PROCEDURE VitalMemoryCrossPorts (
VARIABLE MemoryData : INOUT VitalMemoryDataType;
CONSTANT CrossPortFlagArray : IN VitalPortFlagVectorType;
CONSTANT CrossPortAddressArray : IN VitalAddressValueVectorType;
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE
) ;
-- ----------------------------------------------------------------------------
-- Procedure: VitalMemoryViolation
-- Parameters: DataOutBus - Output zero delay data bus out
-- MemoryData - Pointer to memory data structure
-- PortFlag - Indicates port operating mode
-- DataInBus - Input value of data bus in
-- AddressValue - Decoded value of the AddressBus
-- ViolationFlags - Aggregate of scalar violation vars
-- ViolationFlagsArray - Concatenation of vector violation vars
-- ViolationTable - Input memory violation table
-- PortType - The type of port (currently not used)
-- PortName - Port name string for messages
-- HeaderMsg - Header string for messages
-- MsgOn - Control the generation of messages
-- MsgSeverity - Control level of message generation
-- Description: This procedure is intended to implement all actions on the
-- memory contents and data out bus as a result of timing viols.
-- It uses the memory action table to perform various corruption
-- policies specified by the user.
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemoryViolation (
VARIABLE DataOutBus : INOUT std_logic_vector;
VARIABLE MemoryData : INOUT VitalMemoryDataType;
VARIABLE PortFlag : INOUT VitalPortFlagVectorType;
CONSTANT DataInBus : IN std_logic_vector;
CONSTANT AddressValue : IN VitalAddressValueType;
CONSTANT ViolationFlags : IN std_logic_vector;
CONSTANT ViolationFlagsArray : IN X01ArrayT;
CONSTANT ViolationSizesArray : IN VitalMemoryViolFlagSizeType;
CONSTANT ViolationTable : IN VitalMemoryTableType;
CONSTANT PortType : IN VitalPortType;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING
) ;
PROCEDURE VitalMemoryViolation (
VARIABLE DataOutBus : INOUT std_logic_vector;
VARIABLE MemoryData : INOUT VitalMemoryDataType;
VARIABLE PortFlag : INOUT VitalPortFlagVectorType;
CONSTANT DataInBus : IN std_logic_vector;
CONSTANT AddressValue : IN VitalAddressValueType;
CONSTANT ViolationFlags : IN std_logic_vector;
CONSTANT ViolationTable : IN VitalMemoryTableType;
CONSTANT PortType : IN VitalPortType;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING
) ;
END Vital_Memory;
|
-- ----------------------------------------------------------------------------
-- Title : Standard VITAL Memory Package
-- :
-- Library : Vital_Memory
-- :
-- Developers : IEEE DASC Timing Working Group (TWG), PAR 1076.4
-- : Ekambaram Balaji, LSI Logic Corporation
-- : Jose De Castro, Consultant
-- : Prakash Bare, GDA Technologies
-- : William Yam, LSI Logic Corporation
-- : Dennis Brophy, Model Technology
-- :
-- Purpose : This packages defines standard types, constants, functions
-- : and procedures for use in developing ASIC memory models.
-- :
-- ----------------------------------------------------------------------------
--
-- ----------------------------------------------------------------------------
-- Modification History :
-- ----------------------------------------------------------------------------
-- Ver:|Auth:| Date:| Changes Made:
-- 0.1 | eb |071796| First prototye as part of VITAL memory proposal
-- 0.2 | jdc |012897| Initial prototyping with proposed MTM scheme
-- 0.3 | jdc |090297| Extensive updates for TAG review (functional)
-- 0.4 | eb |091597| Changed naming conventions for VitalMemoryTable
-- | | | Added interface of VitalMemoryCrossPorts() &
-- | | | VitalMemoryViolation().
-- 0.5 | jdc |092997| Completed naming changes thoughout package body.
-- | | | Testing with simgle port test model looks ok.
-- 0.6 | jdc |121797| Major updates to the packages:
-- | | | - Implement VitalMemoryCrossPorts()
-- | | | - Use new VitalAddressValueType
-- | | | - Use new VitalCrossPortModeType enum
-- | | | - Overloading without SamePort args
-- | | | - Honor erroneous address values
-- | | | - Honor ports disabled with 'Z'
-- | | | - Implement implicit read 'M' table symbol
-- | | | - Cleanup buses to use (H DOWNTO L)
-- | | | - Message control via MsgOn,HeaderMsg,PortName
-- | | | - Tested with 1P1RW,2P2RW,4P2R2W,4P4RW cases
-- 0.7 | jdc |052698| Bug fixes to the packages:
-- | | | - Fix failure with negative Address values
-- | | | - Added debug messages for VMT table search
-- | | | - Remove 'S' for action column (only 's')
-- | | | - Remove 's' for response column (only 'S')
-- | | | - Remove 'X' for action and response columns
-- 0.8 | jdc |061298| Implemented VitalMemoryViolation()
-- | | | - Minimal functionality violation tables
-- | | | - Missing:
-- | | | - Cannot handle wide violation variables
-- | | | - Cannot handle sub-word cases
-- | | | Fixed IIC version of MemoryMatch
-- | | | Fixed 'M' vs 'm' switched on debug output
-- | | | TO BE DONE:
-- | | | - Implement 'd' corrupting a single bit
-- | | | - Implement 'D' corrupting a single bit
-- 0.9 |eb/sc|080498| Added UNDEF value for VitalPortFlagType
-- 0.10|eb/sc|080798| Added CORRUPT value for VitalPortFlagType
-- 0.11|eb/sc|081798| Added overloaded function interface for
-- | | | VitalDeclareMemory
-- 0.14| jdc |113198| Merging of memory functionality and version
-- | | | 1.4 9/17/98 of timing package from Prakash
-- 0.15| jdc |120198| Major development of VMV functionality
-- 0.16| jdc |120298| Complete VMV functionlality for initial testing
-- | | | - New ViolationTableCorruptMask() procedure
-- | | | - New MemoryTableCorruptMask() procedure
-- | | | - HandleMemoryAction():
-- | | | - Removed DataOutBus bogus output
-- | | | - Replaced DataOutTmp with DataInTmp
-- | | | - Added CorruptMask input handling
-- | | | - Implemented 'd','D' using CorruptMask
-- | | | - CorruptMask on 'd','C','L','D','E'
-- | | | - CorruptMask ignored on 'c','l','e'
-- | | | - Changed 'l','d','e' to set PortFlag to CORRUPT
-- | | | - Changed 'L','D','E' to set PortFlag to CORRUPT
-- | | | - Changed 'c','l','d','e' to ignore HighBit, LowBit
-- | | | - Changed 'C','L','D','E' to use HighBit, LowBit
-- | | | - HandleDataAction():
-- | | | - Added CorruptMask input handling
-- | | | - Implemented 'd','D' using CorruptMask
-- | | | - CorruptMask on 'd','C','L','D','E'
-- | | | - CorruptMask ignored on 'l','e'
-- | | | - Changed 'l','d','e' to set PortFlag to CORRUPT
-- | | | - Changed 'L','D','E' to set PortFlag to CORRUPT
-- | | | - Changed 'l','d','e' to ignore HighBit, LowBit
-- | | | - Changed 'L','D','E' to use HighBit, LowBit
-- | | | - MemoryTableLookUp():
-- | | | - Added MsgOn table debug output
-- | | | - Uses new MemoryTableCorruptMask()
-- | | | - ViolationTableLookUp():
-- | | | - Uses new ViolationTableCorruptMask()
-- 0.17| jdc |120898| - Added VitalMemoryViolationSymbolType,
-- | | | VitalMemoryViolationTableType data
-- | | | types but not used yet (need to discuss)
-- | | | - Added overload for VitalMemoryViolation()
-- | | | which does not have array flags
-- | | | - Bug fixes for VMV functionality:
-- | | | - ViolationTableLookUp() not handling '-' in
-- | | | scalar violation matching
-- | | | - VitalMemoryViolation() now normalizes
-- | | | VFlagArrayTmp'LEFT as LSB before calling
-- | | | ViolationTableLookUp() for proper scanning
-- | | | - ViolationTableCorruptMask() had to remove
-- | | | normalization of CorruptMaskTmp and
-- | | | ViolMaskTmp for proper MSB:LSB corruption
-- | | | - HandleMemoryAction(), HandleDataAction()
-- | | | - Removed 'D','E' since not being used
-- | | | - Use XOR instead of OR for corrupt masks
-- | | | - Now 'd' is sensitive to HighBit, LowBit
-- | | | - Fixed LowBit overflow in bit writeable case
-- | | | - MemoryTableCorruptMask()
-- | | | - ViolationTableCorruptMask()
-- | | | - VitalMemoryTable()
-- | | | - VitalMemoryCrossPorts()
-- | | | - Fixed VitalMemoryViolation() failing on
-- | | | error AddressValue from earlier VMT()
-- | | | - Minor cleanup of code formatting
-- 0.18| jdc |032599| - In VitalDeclareMemory()
-- | | | - Added BinaryLoadFile formal arg and
-- | | | modified LoadMemory() to handle bin
-- | | | - Added NOCHANGE to VitalPortFlagType
-- | | | - For VitalCrossPortModeType
-- | | | - Added CpContention enum
-- | | | - In HandleDataAction()
-- | | | - Set PortFlag := NOCHANGE for 'S'
-- | | | - In HandleMemoryAction()
-- | | | - Set PortFlag := NOCHANGE for 's'
-- | | | - In VitalMemoryTable() and
-- | | | VitalMemoryViolation()
-- | | | - Honor PortFlag = NOCHANGE returned
-- | | | from HandleMemoryAction()
-- | | | - In VitalMemoryCrossPorts()
-- | | | - Fixed Address = AddressJ for all
-- | | | conditions of DoWrCont & DoCpRead
-- | | | - Handle CpContention like WrContOnly
-- | | | under CpReadOnly conditions, with
-- | | | associated memory message changes
-- | | | - Handle PortFlag = NOCHANGE like
-- | | | PortFlag = READ for actions
-- | | | - Modeling change:
-- | | | - Need to init PortFlag every delta
-- | | | PortFlag_A := (OTHES => UNDEF);
-- | | | - Updated InternalTimingCheck code
-- 0.19| jdc |042599| - Fixes for bit-writeable cases
-- | | | - Check PortFlag after HandleDataAction
-- | | | in VitalMemoryViolation()
-- 0.20| jdc |042599| - Merge PortFlag changes from Prakash
-- | | | and Willian:
-- | | | VitalMemorySchedulePathDelay()
-- | | | VitalMemoryExpandPortFlag()
-- 0.21| jdc |072199| - Changed VitalCrossPortModeType enums,
-- | | | added new CpReadAndReadContention.
-- | | | - Fixed VitalMemoryCrossPorts() parameter
-- | | | SamePortFlag to INOUT so that it can
-- | | | set CORRUPT or READ value.
-- | | | - Fixed VitalMemoryTable() where PortFlag
-- | | | setting by HandleDataAction() is being
-- | | | ignored when HandleMemoryAction() sets
-- | | | PortFlagTmp to NOCHANGE.
-- | | | - Fixed VitalMemoryViolation() to set
-- | | | all bits of PortFlag when violating.
-- 0.22| jdc |072399| - Added HIGHZ to PortFlagType. HandleData
-- | | | checks whether the previous state is HIGHZ.
-- | | | If yes then portFlag should be NOCHANGE
-- | | | for VMPD to ignore IORetain corruption.
-- | | | The idea is that the first Z should be
-- | | | propagated but later ones should be ignored.
-- | | |
-- 0.23| jdc |100499| - Took code checked in by Dennis 09/28/99
-- | | | - Changed VitalPortFlagType to record of
-- | | | new VitalPortStateType to hold current,
-- | | | previous values and separate disable.
-- | | | Also created VitalDefaultPortFlag const.
-- | | | Removed usage of PortFlag NOCHANGE
-- | | | - VitalMemoryTable() changes:
-- | | | Optimized return when all curr = prev
-- | | | AddressValue is now INOUT to optimize
-- | | | Transfer PF.MemoryCurrent to MemoryPrevious
-- | | | Transfer PF.DataCurrent to DataPrevious
-- | | | Reset PF.OutputDisable to FALSE
-- | | | Expects PortFlag init in declaration
-- | | | No need to init PortFlag every delta
-- | | | - VitalMemorySchedulePathDelay() changes:
-- | | | Initialize with VitalDefaultPortFlag
-- | | | Check PortFlag.OutputDisable
-- | | | - HandleMemoryAction() changes:
-- | | | Set value of PortFlag.MemoryCurrent
-- | | | Never set PortFlag.OutputDisable
-- | | | - HandleDataAction() changes:
-- | | | Set value of PortFlag.DataCurrent
-- | | | Set PortFlag.DataCurrent for HIGHZ
-- | | | - VitalMemoryCrossPorts() changes:
-- | | | Check/set value of PF.MemoryCurrent
-- | | | Check value of PF.OutputDisable
-- | | | - VitalMemoryViolation() changes:
-- | | | Fixed bug - not reading inout PF value
-- | | | Clean up setting of PortFlag
-- 0.24| jdc |100899| - Modified update of PF.OutputDisable
-- | | | to correctly accomodate 2P1W1R case:
-- | | | the read port should not exhibit
-- | | | IO retain corrupt when reading
-- | | | addr unrelated to addr being written.
-- 0.25| jdc |100999| - VitalMemoryViolation() change:
-- | | | Fixed bug with RDNWR mode incorrectly
-- | | | updating the PF.OutputDisable
-- 0.26| jdc |100999| - VitalMemoryCrossPorts() change:
-- | | | Fixed bugs with update of PF
-- 0.27| jdc |101499| - VitalMemoryCrossPorts() change:
-- | | | Added DoRdWrCont message (ErrMcpRdWrCo,
-- | | | Memory cross port read/write data only
-- | | | contention)
-- | | | - VitalMemoryTable() change:
-- | | | Set PF.OutputDisable := TRUE for the
-- | | | optimized cases.
-- 0.28| pb |112399| - Added 8 VMPD procedures for vector
-- | | | PathCondition support. Now the total
-- | | | number of overloadings for VMPD is 24.
-- | | | - Number of overloadings for SetupHold
-- | | | procedures increased to 5. Scalar violations
-- | | | are not supported anymore. Vector checkEnabled
-- | | | support is provided through the new overloading
-- 0.29| jdc |120999| - HandleMemoryAction() HandleDataAction()
-- | | | Reinstated 'D' and 'E' actions but
-- | | | with new PortFlagType
-- | | | - Updated file handling syntax, must compile
-- | | | with -93 syntax now.
-- 0.30| jdc |022300| - Formated for 80 column max width
-- ----------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.Vital_Timing.ALL;
USE IEEE.Vital_Primitives.ALL;
LIBRARY STD;
USE STD.TEXTIO.ALL;
PACKAGE Vital_Memory IS
-- ----------------------------------------------------------------------------
-- Timing Section
-- ----------------------------------------------------------------------------
-- ----------------------------------------------------------------------------
-- Types and constants for Memory timing procedures
-- ----------------------------------------------------------------------------
TYPE VitalMemoryArcType IS (ParallelArc, CrossArc, SubwordArc);
TYPE OutputRetainBehaviorType IS (BitCorrupt, WordCorrupt);
TYPE VitalMemoryMsgFormatType IS (Vector, Scalar, VectorEnum);
TYPE X01ArrayT IS ARRAY (NATURAL RANGE <> ) OF X01;
TYPE X01ArrayPT IS ACCESS X01ArrayT;
TYPE VitalMemoryViolationType IS ACCESS X01ArrayT;
CONSTANT DefaultNumBitsPerSubword : INTEGER := -1;
-- Data type storing path delay and schedule information for output bits
TYPE VitalMemoryScheduleDataType IS RECORD
OutputData : std_ulogic;
NumBitsPerSubWord : INTEGER;
ScheduleTime : TIME;
ScheduleValue : std_ulogic;
LastOutputValue : std_ulogic;
PropDelay : TIME;
OutputRetainDelay : TIME;
InputAge : TIME;
END RECORD;
TYPE VitalMemoryTimingDataType IS RECORD
NotFirstFlag : BOOLEAN;
RefLast : X01;
RefTime : TIME;
HoldEn : BOOLEAN;
TestLast : std_ulogic;
TestTime : TIME;
SetupEn : BOOLEAN;
TestLastA : VitalLogicArrayPT;
TestTimeA : VitalTimeArrayPT;
RefLastA : X01ArrayPT;
RefTimeA : VitalTimeArrayPT;
HoldEnA : VitalBoolArrayPT;
SetupEnA : VitalBoolArrayPT;
END RECORD;
TYPE VitalPeriodDataArrayType IS ARRAY (NATURAL RANGE <>) OF
VitalPeriodDataType;
-- Data type storing path delay and schedule information for output
-- vectors
TYPE VitalMemoryScheduleDataVectorType IS ARRAY (NATURAL RANGE <> ) OF
VitalMemoryScheduleDataType;
-- VitalPortFlagType records runtime mode of port sub-word slices
-- TYPE VitalPortFlagType IS (
-- UNDEF,
-- READ,
-- WRITE,
-- CORRUPT,
-- HIGHZ,
-- NOCHANGE
-- );
-- VitalPortFlagType records runtime mode of port sub-word slices
TYPE VitalPortStateType IS (
UNDEF,
READ,
WRITE,
CORRUPT,
HIGHZ
);
TYPE VitalPortFlagType IS RECORD
MemoryCurrent : VitalPortStateType;
MemoryPrevious : VitalPortStateType;
DataCurrent : VitalPortStateType;
DataPrevious : VitalPortStateType;
OutputDisable : BOOLEAN;
END RECORD;
CONSTANT VitalDefaultPortFlag : VitalPortFlagType := (
MemoryCurrent => READ,
MemoryPrevious => UNDEF,
DataCurrent => READ,
DataPrevious => UNDEF,
OutputDisable => FALSE
);
-- VitalPortFlagVectorType to be same width i as enables of a port
-- or j multiples thereof, where j is the number of cross ports
TYPE VitalPortFlagVectorType IS
ARRAY (NATURAL RANGE <>) OF VitalPortFlagType;
-- ----------------------------------------------------------------------------
-- Functions : VitalMemory path delay procedures
-- - VitalMemoryInitPathDelay
-- - VitalMemoryAddPathDelay
-- - VitalMemorySchedulePathDelay
--
-- Description: VitalMemoryInitPathDelay, VitalMemoryAddPathDelay and
-- VitalMemorySchedulePathDelay are Level 1 routines used
-- for selecting the propagation delay paths based on
-- path condition, transition type and delay values and
-- schedule a new output value.
--
-- Following features are implemented in these procedures:
-- o condition dependent path selection
-- o Transition dependent delay selection
-- o shortest delay path selection from multiple
-- candidate paths
-- o Scheduling of the computed values on the specified
-- signal.
-- o output retain behavior if outputRetain flag is set
-- o output mapping to alternate strengths to model
-- pull-up, pull-down etc.
--
-- <More details to be added here>
--
-- Following is information on overloading of the procedures.
--
-- VitalMemoryInitPathDelay is overloaded for ScheduleDataArray and
-- OutputDataArray
--
-- ----------------------------------------------------------------------------
-- ScheduleDataArray OutputDataArray
-- ----------------------------------------------------------------------------
-- Scalar Scalar
-- Vector Vector
-- ----------------------------------------------------------------------------
--
--
-- VitalMemoryAddPathDelay is overloaded for ScheduleDataArray,
-- PathDelayArray, InputSignal and delaytype.
--
-- ----------------------------------------------------------------------------
-- DelayType InputSignal ScheduleData PathDelay
-- Array Array
-- ----------------------------------------------------------------------------
-- VitalDelayType Scalar Scalar Scalar
-- VitalDelayType Scalar Vector Vector
-- VitalDelayType Vector Scalar Vector
-- VitalDelayType Vector Vector Vector
-- VitalDelayType01 Scalar Scalar Scalar
-- VitalDelayType01 Scalar Vector Vector
-- VitalDelayType01 Vector Scalar Vector
-- VitalDelayType01 Vector Vector Vector
-- VitalDelayType01Z Scalar Scalar Scalar
-- VitalDelayType01Z Scalar Vector Vector
-- VitalDelayType01Z Vector Scalar Vector
-- VitalDelayType01Z Vector Vector Vector
-- VitalDelayType01XZ Scalar Scalar Scalar
-- VitalDelayType01XZ Scalar Vector Vector
-- VitalDelayType01XZ Vector Scalar Vector
-- VitalDelayType01XZ Vector Vector Vector
-- ----------------------------------------------------------------------------
--
--
-- VitalMemorySchedulePathDelay is overloaded for ScheduleDataArray,
-- and OutSignal
--
-- ----------------------------------------------------------------------------
-- OutSignal ScheduleDataArray
-- ----------------------------------------------------------------------------
-- Scalar Scalar
-- Vector Vector
-- ----------------------------------------------------------------------------
--
-- Procedure Declarations:
--
--
-- Function : VitalMemoryInitPathDelay
--
-- Arguments:
--
-- INOUT Type Description
--
-- ScheduleDataArray/ VitalMemoryScheduleDataVectorType/
-- ScheduleData VitalMemoryScheduleDataType
-- Internal data variable for
-- storing delay and schedule
-- information for each output bit
--
--
-- IN
--
-- OutputDataArray/ STD_LOGIC_VECTOR/Array containing current output
-- OutputData STD_ULOGIC value
--
--
-- NumBitsPerSubWord INTEGER Number of bits per subword.
-- Default value of this argument
-- is DefaultNumBitsPerSubword
-- which is interpreted as no
-- subwords
--
-- ----------------------------------------------------------------------------
--
--
-- ScheduleDataArray - Vector
-- OutputDataArray - Vector
--
PROCEDURE VitalMemoryInitPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
VARIABLE OutputDataArray : IN STD_LOGIC_VECTOR;
CONSTANT NumBitsPerSubWord : IN INTEGER := DefaultNumBitsPerSubword
);
--
-- ScheduleDataArray - Scalar
-- OutputDataArray - Scalar
--
PROCEDURE VitalMemoryInitPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
VARIABLE OutputData : IN STD_ULOGIC
);
-- ----------------------------------------------------------------------------
--
-- Function : VitalMemoryAddPathDelay
--
-- Arguments
--
-- INOUT Type Description
--
-- ScheduleDataArray/ VitalMemoryScheduleDataVectorType/
-- ScheduleData VitalMemoryScheduleDataType
-- Internal data variable for
-- storing delay and schedule
-- information for each output bit
--
-- InputChangeTimeArray/ VitaltimeArrayT/Time
-- InputChangeTime Holds the time since the last
-- input change
--
-- IN
--
-- InputSignal STD_LOGIC_VECTOR
-- STD_ULOGIC/ Array holding the input value
--
-- OutputSignalName STRING The output signal name
--
-- PathDelayArray/ VitalDelayArrayType01ZX,
-- PathDelay VitalDelayArrayType01Z,
-- VitalDelayArrayType01,
-- VitalDelayArrayType/
-- VitalDelayType01ZX,
-- VitalDelayType01Z,
-- VitalDelayType01,
-- VitalDelayType Array of delay values
--
-- ArcType VitalMemoryArcType
-- Indicates the Path type. This
-- can be SubwordArc, CrossArc or
-- ParallelArc
--
-- PathCondition BOOLEAN If True, the transition in
-- the corresponding input signal
-- is considered while
-- caluculating the prop. delay
-- else the transition is ignored.
--
-- OutputRetainFlag BOOLEAN If specified TRUE,output retain
-- (hold) behavior is implemented.
--
-- ----------------------------------------------------------------------------
--
-- #1
-- DelayType - VitalDelayType
-- Input - Scalar
-- Output - Scalar
-- Delay - Scalar
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelay : IN VitalDelayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #2
-- DelayType - VitalDelayType
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #3
-- DelayType - VitalDelayType
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray: IN VitalBoolArrayT
);
-- #4
-- DelayType - VitalDelayType
-- Input - Vector
-- Output - Scalar
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #5
-- DelayType - VitalDelayType
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #6
-- DelayType - VitalDelayType
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray : IN VitalBoolArrayT
);
-- #7
-- DelayType - VitalDelayType01
-- Input - Scalar
-- Output - Scalar
-- Delay - Scalar
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelay : IN VitalDelayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #8
-- DelayType - VitalDelayType01
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #9
-- DelayType - VitalDelayType01
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray: IN VitalBoolArrayT
);
-- #10
-- DelayType - VitalDelayType01
-- Input - Vector
-- Output - Scalar
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #11
-- DelayType - VitalDelayType01
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #12
-- DelayType - VitalDelayType01
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray : IN VitalBoolArrayT
);
-- #13
-- DelayType - VitalDelayType01Z
-- Input - Scalar
-- Output - Scalar
-- Delay - Scalar
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelay : IN VitalDelayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE
);
-- #14
-- DelayType - VitalDelayType01Z
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE
);
-- #15
-- DelayType - VitalDelayType01Z
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray: IN VitalBoolArrayT;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE
);
-- #16
-- DelayType - VitalDelayType01Z
-- Input - Vector
-- Output - Scalar
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt
);
-- #17
-- DelayType - VitalDelayType01Z
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt
);
-- #18
-- DelayType - VitalDelayType01Z
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray : IN VitalBoolArrayT;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt
);
-- #19
-- DelayType - VitalDelayType01ZX
-- Input - Scalar
-- Output - Scalar
-- Delay - Scalar
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelay : IN VitalDelayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE
);
-- #20
-- DelayType - VitalDelayType01ZX
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE
);
-- #21
-- DelayType - VitalDelayType01ZX
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray: IN VitalBoolArrayT;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE
);
-- #22
-- DelayType - VitalDelayType01ZX
-- Input - Vector
-- Output - Scalar
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt
);
-- #23
-- DelayType - VitalDelayType01ZX
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt
);
-- #24
-- DelayType - VitalDelayType01ZX
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray : IN VitalBoolArrayT;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt
);
-- ----------------------------------------------------------------------------
--
-- Function : VitalMemorySchedulePathDelay
--
-- Arguments:
--
-- OUT Type Description
-- OutSignal STD_LOGIC_VECTOR/ The output signal for
-- STD_ULOGIC scheduling
--
-- IN
-- OutputSignalName STRING The name of the output signal
--
-- IN
-- PortFlag VitalPortFlagType Port flag variable from
-- functional procedures
--
-- IN
-- OutputMap VitalOutputMapType For VitalPathDelay01Z, the
-- output can be mapped to
-- alternate strengths to model
-- tri-state devices, pull-ups
-- and pull-downs.
--
-- INOUT
-- ScheduleDataArray/ VitalMemoryScheduleDataVectorType/
-- ScheduleData VitalMemoryScheduleDataType
-- Internal data variable for
-- storing delay and schedule
-- information for each
-- output bit
--
-- ----------------------------------------------------------------------------
--
-- ScheduleDataArray - Vector
-- OutputSignal - Vector
--
PROCEDURE VitalMemorySchedulePathDelay (
SIGNAL OutSignal : OUT std_logic_vector;
CONSTANT OutputSignalName : IN STRING := "";
CONSTANT PortFlag : IN VitalPortFlagType := VitalDefaultPortFlag;
CONSTANT OutputMap : IN VitalOutputMapType := VitalDefaultOutputMap;
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType
);
--
-- ScheduleDataArray - Vector
-- OutputSignal - Vector
--
PROCEDURE VitalMemorySchedulePathDelay (
SIGNAL OutSignal : OUT std_logic_vector;
CONSTANT OutputSignalName : IN STRING := "";
CONSTANT PortFlag : IN VitalPortFlagVectorType;
CONSTANT OutputMap : IN VitalOutputMapType := VitalDefaultOutputMap;
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType
);
--
-- ScheduleDataArray - Scalar
-- OutputSignal - Scalar
--
PROCEDURE VitalMemorySchedulePathDelay (
SIGNAL OutSignal : OUT std_ulogic;
CONSTANT OutputSignalName : IN STRING := "";
CONSTANT PortFlag : IN VitalPortFlagType := VitalDefaultPortFlag;
CONSTANT OutputMap : IN VitalOutputMapType := VitalDefaultOutputMap;
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType
);
-- ----------------------------------------------------------------------------
FUNCTION VitalMemoryTimingDataInit RETURN VitalMemoryTimingDataType;
-- ----------------------------------------------------------------------------
--
-- Function Name: VitalMemorySetupHoldCheck
--
-- Description: The VitalMemorySetupHoldCheck procedure detects a setup or a
-- hold violation on the input test signal with respect
-- to the corresponding input reference signal. The timing
-- constraints are specified through parameters
-- representing the high and low values for the setup and
-- hold values for the setup and hold times. This
-- procedure assumes non-negative values for setup and hold
-- timing constraints.
--
-- It is assumed that negative timing constraints
-- are handled by internally delaying the test or
-- reference signals. Negative setup times result in
-- a delayed reference signal. Negative hold times
-- result in a delayed test signal. Furthermore, the
-- delays and constraints associated with these and
-- other signals may need to be appropriately
-- adjusted so that all constraint intervals overlap
-- the delayed reference signals and all constraint
-- values (with respect to the delayed signals) are
-- non-negative.
--
-- This function is overloaded based on the input
-- TestSignal and reference signals. Parallel, Subword and
-- Cross Arc relationships between test and reference
-- signals are supported.
--
-- TestSignal XXXXXXXXXXXX____________________________XXXXXXXXXXXXXXXXXXXXXX
-- :
-- : -->| error region |<--
-- :
-- _______________________________
-- RefSignal \______________________________
-- : | | |
-- : | -->| |<-- thold
-- : -->| tsetup |<--
--
-- Arguments:
--
-- IN Type Description
-- TestSignal std_logic_vector Value of test signal
-- TestSignalName STRING Name of test signal
-- TestDelay VitalDelayArrayType Model's internal delay associated
-- with TestSignal
-- RefSignal std_ulogic Value of reference signal
-- std_logic_vector
-- RefSignalName STRING Name of reference signal
-- RefDelay TIME Model's internal delay associated
-- VitalDelayArrayType with RefSignal
-- SetupHigh VitalDelayArrayType Absolute minimum time duration
-- before the transition of RefSignal
-- for which transitions of
-- TestSignal are allowed to proceed
-- to the "1" state without causing
-- a setup violation.
-- SetupLow VitalDelayArrayType Absolute minimum time duration
-- before the transition of RefSignal
-- for which transitions of
-- TestSignal are allowed to proceed
-- to the "0" state without causing
-- a setup violation.
-- HoldHigh VitalDelayArrayType Absolute minimum time duration
-- after the transition of RefSignal
-- for which transitions of
-- TestSignal are allowed to
-- proceed to the "1" state without
-- causing a hold violation.
-- HoldLow VitalDelayArrayType Absolute minimum time duration
-- after the transition of RefSignal
-- for which transitions of
-- TestSignal are allowed to
-- proceed to the "0" state without
-- causing a hold violation.
-- CheckEnabled BOOLEAN Check performed if TRUE.
-- RefTransition VitalEdgeSymbolType
-- Reference edge specified. Events
-- on the RefSignal which match the
-- edge spec. are used as reference
-- edges.
-- ArcType VitalMemoryArcType
-- NumBitsPerSubWord INTEGER
-- HeaderMsg STRING String that will accompany any
-- assertion messages produced.
-- XOn BOOLEAN If TRUE, Violation output
-- parameter is set to "X".
-- Otherwise, Violation is always
-- set to "0."
-- MsgOn BOOLEAN If TRUE, set and hold violation
-- message will be generated.
-- Otherwise, no messages are
-- generated, even upon violations.
-- MsgSeverity SEVERITY_LEVEL Severity level for the assertion.
-- MsgFormat VitalMemoryMsgFormatType
-- Format of the Test/Reference
-- signals in violation messages.
--
-- INOUT
-- TimingData VitalMemoryTimingDataType
-- VitalMemorySetupHoldCheck information
-- storage area. This is used
-- internally to detect reference
-- edges and record the time of the
-- last edge.
--
-- OUT
-- Violation X01 This is the violation flag returned.
-- X01ArrayT Overloaded for array type.
--
--
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_ulogic;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN TIME := 0 ns;
SIGNAL RefSignal : IN std_ulogic;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN TIME := 0 ns;
CONSTANT SetupHigh : IN VitalDelayType;
CONSTANT SetupLow : IN VitalDelayType;
CONSTANT HoldHigh : IN VitalDelayType;
CONSTANT HoldLow : IN VitalDelayType;
CONSTANT CheckEnabled : IN VitalBoolArrayT;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
);
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
SIGNAL RefSignal : IN std_ulogic;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN TIME := 0 ns;
CONSTANT SetupHigh : IN VitalDelayArrayType;
CONSTANT SetupLow : IN VitalDelayArrayType;
CONSTANT HoldHigh : IN VitalDelayArrayType;
CONSTANT HoldLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
);
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
SIGNAL RefSignal : IN std_ulogic;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN TIME := 0 ns;
CONSTANT SetupHigh : IN VitalDelayArrayType;
CONSTANT SetupLow : IN VitalDelayArrayType;
CONSTANT HoldHigh : IN VitalDelayArrayType;
CONSTANT HoldLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN VitalBoolArrayT;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT NumBitsPerSubWord : IN INTEGER := 1;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
);
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
SIGNAL RefSignal : IN std_logic_vector;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN VitalDelayArrayType;
CONSTANT SetupHigh : IN VitalDelayArrayType;
CONSTANT SetupLow : IN VitalDelayArrayType;
CONSTANT HoldHigh : IN VitalDelayArrayType;
CONSTANT HoldLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT NumBitsPerSubWord : IN INTEGER := 1;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
);
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
SIGNAL RefSignal : IN std_logic_vector;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN VitalDelayArrayType;
CONSTANT SetupHigh : IN VitalDelayArrayType;
CONSTANT SetupLow : IN VitalDelayArrayType;
CONSTANT HoldHigh : IN VitalDelayArrayType;
CONSTANT HoldLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN VitalBoolArrayT;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT NumBitsPerSubWord : IN INTEGER := 1;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
);
--------------- following are not needed --------------------------
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
SIGNAL RefSignal : IN std_ulogic;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN TIME := 0 ns;
CONSTANT SetupHigh : IN VitalDelayArrayType;
CONSTANT SetupLow : IN VitalDelayArrayType;
CONSTANT HoldHigh : IN VitalDelayArrayType;
CONSTANT HoldLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
);
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
SIGNAL RefSignal : IN std_logic_vector;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN VitalDelayArrayType;
CONSTANT SetupHigh : IN VitalDelayArrayType;
CONSTANT SetupLow : IN VitalDelayArrayType;
CONSTANT HoldHigh : IN VitalDelayArrayType;
CONSTANT HoldLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT NumBitsPerSubWord : IN INTEGER := 1;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
);
-- ----------------------------------------------------------------------------
--
-- Function Name: VitalPeriodPulseCheck
--
-- Description: VitalPeriodPulseCheck checks for minimum and maximum
-- periodicity and pulse width for "1" and "0" values of
-- the input test signal. The timing constraint is
-- specified through parameters representing the minimal
-- period between successive rising and falling edges of
-- the input test signal and the minimum pulse widths
-- associated with high and low values.
--
-- VitalPeriodCheck's accepts rising and falling edges
-- from 1 and 0 as well as transitions to and from 'X.'
--
-- _______________ __________
-- ____________| |_______|
--
-- |<--- pw_hi --->|
-- |<-------- period ----->|
-- -->| pw_lo |<--
--
-- Arguments:
-- IN Type Description
-- TestSignal std_logic_vector Value of test signal
-- TestSignalName STRING Name of the test signal
-- TestDelay VitalDelayArrayType
-- Model's internal delay associated
-- with TestSignal
-- Period VitalDelayArrayType
-- Minimum period allowed between
-- consecutive rising ('P') or
-- falling ('F') transitions.
-- PulseWidthHigh VitalDelayArrayType
-- Minimum time allowed for a high
-- pulse ('1' or 'H')
-- PulseWidthLow VitalDelayArrayType
-- Minimum time allowed for a low
-- pulse ('0' or 'L')
-- CheckEnabled BOOLEAN Check performed if TRUE.
-- HeaderMsg STRING String that will accompany any
-- assertion messages produced.
-- XOn BOOLEAN If TRUE, Violation output parameter
-- is set to "X". Otherwise, Violation
-- is always set to "0."
-- MsgOn BOOLEAN If TRUE, period/pulse violation
-- message will be generated.
-- Otherwise, no messages are generated,
-- even though a violation is detected.
-- MsgSeverity SEVERITY_LEVEL Severity level for the assertion.
-- MsgFormat VitalMemoryMsgFormatType
-- Format of the Test/Reference signals
-- in violation messages.
--
-- INOUT
-- PeriodData VitalPeriodDataArrayType
-- VitalPeriodPulseCheck information
-- storage area. This is used
-- internally to detect reference edges
-- and record the pulse and period
-- times.
-- OUT
-- Violation X01 This is the violation flag returned.
-- X01ArrayT Overloaded for array type.
--
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemoryPeriodPulseCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE PeriodData : INOUT VitalPeriodDataArrayType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
CONSTANT Period : IN VitalDelayArrayType;
CONSTANT PulseWidthHigh : IN VitalDelayArrayType;
CONSTANT PulseWidthLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType
);
PROCEDURE VitalMemoryPeriodPulseCheck (
VARIABLE Violation : OUT X01;
VARIABLE PeriodData : INOUT VitalPeriodDataArrayType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
CONSTANT Period : IN VitalDelayArrayType;
CONSTANT PulseWidthHigh : IN VitalDelayArrayType;
CONSTANT PulseWidthLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType
);
-- ----------------------------------------------------------------------------
-- Functionality Section
-- ----------------------------------------------------------------------------
-- ----------------------------------------------------------------------------
-- All Memory Types and Record definitions.
-- ----------------------------------------------------------------------------
TYPE MemoryWordType IS ARRAY (NATURAL RANGE <>) OF UX01;
TYPE MemoryWordPtr IS ACCESS MemoryWordType;
TYPE MemoryArrayType IS ARRAY (NATURAL RANGE <>) OF MemoryWordPtr;
TYPE MemoryArrayPtrType IS ACCESS MemoryArrayType;
TYPE VitalMemoryArrayRecType IS
RECORD
NoOfWords : POSITIVE;
NoOfBitsPerWord : POSITIVE;
NoOfBitsPerSubWord : POSITIVE;
NoOfBitsPerEnable : POSITIVE;
MemoryArrayPtr : MemoryArrayPtrType;
END RECORD;
TYPE VitalMemoryDataType IS ACCESS VitalMemoryArrayRecType;
TYPE VitalTimingDataVectorType IS
ARRAY (NATURAL RANGE <>) OF VitalTimingDataType;
TYPE VitalMemoryViolFlagSizeType IS ARRAY (NATURAL RANGE <>) OF INTEGER;
-- ----------------------------------------------------------------------------
-- Symbol Literals used for Memory Table Modeling
-- ----------------------------------------------------------------------------
-- Symbol literals from '/' to 'S' are closely related to MemoryTableMatch
-- lookup matching and the order cannot be arbitrarily changed.
-- The remaining symbol literals are interpreted directly and matchting is
-- handled in the MemoryMatch procedure itself.
TYPE VitalMemorySymbolType IS (
'/', -- 0 -> 1
'\', -- 1 -> 0
'P', -- Union of '/' and '^' (any edge to 1)
'N', -- Union of '\' and 'v' (any edge to 0)
'r', -- 0 -> X
'f', -- 1 -> X
'p', -- Union of '/' and 'r' (any edge from 0)
'n', -- Union of '\' and 'f' (any edge from 1)
'R', -- Union of '^' and 'p' (any possible rising edge)
'F', -- Union of 'v' and 'n' (any possible falling edge)
'^', -- X -> 1
'v', -- X -> 0
'E', -- Union of 'v' and '^' (any edge from X)
'A', -- Union of 'r' and '^' (rising edge to or from 'X')
'D', -- Union of 'f' and 'v' (falling edge to or from 'X')
'*', -- Union of 'R' and 'F' (any edge)
'X', -- Unknown level
'0', -- low level
'1', -- high level
'-', -- don't care
'B', -- 0 or 1
'Z', -- High Impedance
'S', -- steady value
'g', -- Good address (no transition)
'u', -- Unknown address (no transition)
'i', -- Invalid address (no transition)
'G', -- Good address (with transition)
'U', -- Unknown address (with transition)
'I', -- Invalid address (with transition)
'w', -- Write data to memory
's', -- Retain previous memory contents
'c', -- Corrupt entire memory with 'X'
'l', -- Corrupt a word in memory with 'X'
'd', -- Corrupt a single bit in memory with 'X'
'e', -- Corrupt a word with 'X' based on data in
'C', -- Corrupt a sub-word entire memory with 'X'
'L', -- Corrupt a sub-word in memory with 'X'
-- The following entries are commented since their
-- interpretation overlap with existing definitions.
-- 'D', -- Corrupt a single bit of a sub-word with 'X'
-- 'E', -- Corrupt a sub-word with 'X' based on datain
'M', -- Implicit read data from memory
'm', -- Read data from memory
't' -- Immediate assign/transfer data in
);
TYPE VitalMemoryTableType IS ARRAY ( NATURAL RANGE <>, NATURAL RANGE <> )
OF VitalMemorySymbolType;
TYPE VitalMemoryViolationSymbolType IS (
'X', -- Unknown level
'0', -- low level
'-' -- don't care
);
TYPE VitalMemoryViolationTableType IS
ARRAY ( NATURAL RANGE <>, NATURAL RANGE <> )
OF VitalMemoryViolationSymbolType;
TYPE VitalPortType IS (
UNDEF,
READ,
WRITE,
RDNWR
);
TYPE VitalCrossPortModeType IS (
CpRead, -- CpReadOnly,
WriteContention, -- WrContOnly,
ReadWriteContention, -- CpContention
CpReadAndWriteContention, -- WrContAndCpRead,
CpReadAndReadContention
);
SUBTYPE VitalAddressValueType IS INTEGER;
TYPE VitalAddressValueVectorType IS
ARRAY (NATURAL RANGE <>) OF VitalAddressValueType;
-- ----------------------------------------------------------------------------
-- Procedure: VitalDeclareMemory
-- Parameters: NoOfWords - Number of words in the memory
-- NoOfBitsPerWord - Number of bits per word in memory
-- NoOfBitsPerSubWord - Number of bits per sub word
-- MemoryLoadFile - Name of data file to load
-- Description: This function is intended to be used to initialize
-- memory data declarations, i.e. to be executed duing
-- simulation elaboration time. Handles the allocation
-- and initialization of memory for the memory data.
-- Default NoOfBitsPerSubWord is NoOfBits.
-- ----------------------------------------------------------------------------
IMPURE FUNCTION VitalDeclareMemory (
CONSTANT NoOfWords : IN POSITIVE;
CONSTANT NoOfBitsPerWord : IN POSITIVE;
CONSTANT NoOfBitsPerSubWord : IN POSITIVE;
CONSTANT MemoryLoadFile : IN string := "";
CONSTANT BinaryLoadFile : IN BOOLEAN := FALSE
) RETURN VitalMemoryDataType;
IMPURE FUNCTION VitalDeclareMemory (
CONSTANT NoOfWords : IN POSITIVE;
CONSTANT NoOfBitsPerWord : IN POSITIVE;
CONSTANT MemoryLoadFile : IN string := "";
CONSTANT BinaryLoadFile : IN BOOLEAN := FALSE
) RETURN VitalMemoryDataType;
-- ----------------------------------------------------------------------------
-- Procedure: VitalMemoryTable
-- Parameters: DataOutBus - Output candidate zero delay data bus out
-- MemoryData - Pointer to memory data structure
-- PrevControls - Previous data in for edge detection
-- PrevEnableBus - Previous enables for edge detection
-- PrevDataInBus - Previous data bus for edge detection
-- PrevAddressBus - Previous address bus for edge detection
-- PortFlag - Indicates port operating mode
-- PortFlagArray - Vector form of PortFlag for sub-word
-- Controls - Agregate of scalar control lines
-- EnableBus - Concatenation of vector control lines
-- DataInBus - Input value of data bus in
-- AddressBus - Input value of address bus in
-- AddressValue - Decoded value of the AddressBus
-- MemoryTable - Input memory action table
-- PortType - The type of port (currently not used)
-- PortName - Port name string for messages
-- HeaderMsg - Header string for messages
-- MsgOn - Control the generation of messages
-- MsgSeverity - Control level of message generation
-- Description: This procedure implements the majority of the memory
-- modeling functionality via lookup of the memory action
-- tables and performing the specified actions if matches
-- are found, or the default actions otherwise. The
-- overloadings are provided for the word and sub-word
-- (using the EnableBus and PortFlagArray arguments) addressing
-- cases.
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemoryTable (
VARIABLE DataOutBus : INOUT std_logic_vector;
VARIABLE MemoryData : INOUT VitalMemoryDataType;
VARIABLE PrevControls : INOUT std_logic_vector;
VARIABLE PrevDataInBus : INOUT std_logic_vector;
VARIABLE PrevAddressBus : INOUT std_logic_vector;
VARIABLE PortFlag : INOUT VitalPortFlagVectorType;
CONSTANT Controls : IN std_logic_vector;
CONSTANT DataInBus : IN std_logic_vector;
CONSTANT AddressBus : IN std_logic_vector;
VARIABLE AddressValue : INOUT VitalAddressValueType;
CONSTANT MemoryTable : IN VitalMemoryTableType;
CONSTANT PortType : IN VitalPortType := UNDEF;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING
);
PROCEDURE VitalMemoryTable (
VARIABLE DataOutBus : INOUT std_logic_vector;
VARIABLE MemoryData : INOUT VitalMemoryDataType;
VARIABLE PrevControls : INOUT std_logic_vector;
VARIABLE PrevEnableBus : INOUT std_logic_vector;
VARIABLE PrevDataInBus : INOUT std_logic_vector;
VARIABLE PrevAddressBus : INOUT std_logic_vector;
VARIABLE PortFlagArray : INOUT VitalPortFlagVectorType;
CONSTANT Controls : IN std_logic_vector;
CONSTANT EnableBus : IN std_logic_vector;
CONSTANT DataInBus : IN std_logic_vector;
CONSTANT AddressBus : IN std_logic_vector;
VARIABLE AddressValue : INOUT VitalAddressValueType;
CONSTANT MemoryTable : IN VitalMemoryTableType;
CONSTANT PortType : IN VitalPortType := UNDEF;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING
);
-- ----------------------------------------------------------------------------
-- Procedure: VitalMemoryCrossPorts
-- Parameters: DataOutBus - Output candidate zero delay data bus out
-- MemoryData - Pointer to memory data structure
-- SamePortFlag - Operating mode for same port
-- SamePortAddressValue - Decoded AddressBus for same port
-- CrossPortFlagArray - Operating modes for cross ports
-- CrossPortAddressArray - Decoded AddressBus for cross ports
-- CrossPortMode - Write contention and crossport read control
-- PortName - Port name string for messages
-- HeaderMsg - Header string for messages
-- MsgOn - Control the generation of messages
--
-- Description: These procedures control the effect of memory operations
-- on a given port due to operations on other ports in a
-- multi-port memory.
-- This includes data write through when reading and writing
-- to the same address, as well as write contention when
-- there are multiple write to the same address.
-- If addresses do not match then data bus is unchanged.
-- The DataOutBus can be diabled with 'Z' value.
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemoryCrossPorts (
VARIABLE DataOutBus : INOUT std_logic_vector;
VARIABLE MemoryData : INOUT VitalMemoryDataType;
VARIABLE SamePortFlag : INOUT VitalPortFlagVectorType;
CONSTANT SamePortAddressValue : IN VitalAddressValueType;
CONSTANT CrossPortFlagArray : IN VitalPortFlagVectorType;
CONSTANT CrossPortAddressArray : IN VitalAddressValueVectorType;
CONSTANT CrossPortMode : IN VitalCrossPortModeType
:= CpReadAndWriteContention;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE
) ;
PROCEDURE VitalMemoryCrossPorts (
VARIABLE MemoryData : INOUT VitalMemoryDataType;
CONSTANT CrossPortFlagArray : IN VitalPortFlagVectorType;
CONSTANT CrossPortAddressArray : IN VitalAddressValueVectorType;
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE
) ;
-- ----------------------------------------------------------------------------
-- Procedure: VitalMemoryViolation
-- Parameters: DataOutBus - Output zero delay data bus out
-- MemoryData - Pointer to memory data structure
-- PortFlag - Indicates port operating mode
-- DataInBus - Input value of data bus in
-- AddressValue - Decoded value of the AddressBus
-- ViolationFlags - Aggregate of scalar violation vars
-- ViolationFlagsArray - Concatenation of vector violation vars
-- ViolationTable - Input memory violation table
-- PortType - The type of port (currently not used)
-- PortName - Port name string for messages
-- HeaderMsg - Header string for messages
-- MsgOn - Control the generation of messages
-- MsgSeverity - Control level of message generation
-- Description: This procedure is intended to implement all actions on the
-- memory contents and data out bus as a result of timing viols.
-- It uses the memory action table to perform various corruption
-- policies specified by the user.
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemoryViolation (
VARIABLE DataOutBus : INOUT std_logic_vector;
VARIABLE MemoryData : INOUT VitalMemoryDataType;
VARIABLE PortFlag : INOUT VitalPortFlagVectorType;
CONSTANT DataInBus : IN std_logic_vector;
CONSTANT AddressValue : IN VitalAddressValueType;
CONSTANT ViolationFlags : IN std_logic_vector;
CONSTANT ViolationFlagsArray : IN X01ArrayT;
CONSTANT ViolationSizesArray : IN VitalMemoryViolFlagSizeType;
CONSTANT ViolationTable : IN VitalMemoryTableType;
CONSTANT PortType : IN VitalPortType;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING
) ;
PROCEDURE VitalMemoryViolation (
VARIABLE DataOutBus : INOUT std_logic_vector;
VARIABLE MemoryData : INOUT VitalMemoryDataType;
VARIABLE PortFlag : INOUT VitalPortFlagVectorType;
CONSTANT DataInBus : IN std_logic_vector;
CONSTANT AddressValue : IN VitalAddressValueType;
CONSTANT ViolationFlags : IN std_logic_vector;
CONSTANT ViolationTable : IN VitalMemoryTableType;
CONSTANT PortType : IN VitalPortType;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING
) ;
END Vital_Memory;
|
-- ----------------------------------------------------------------------------
-- Title : Standard VITAL Memory Package
-- :
-- Library : Vital_Memory
-- :
-- Developers : IEEE DASC Timing Working Group (TWG), PAR 1076.4
-- : Ekambaram Balaji, LSI Logic Corporation
-- : Jose De Castro, Consultant
-- : Prakash Bare, GDA Technologies
-- : William Yam, LSI Logic Corporation
-- : Dennis Brophy, Model Technology
-- :
-- Purpose : This packages defines standard types, constants, functions
-- : and procedures for use in developing ASIC memory models.
-- :
-- ----------------------------------------------------------------------------
--
-- ----------------------------------------------------------------------------
-- Modification History :
-- ----------------------------------------------------------------------------
-- Ver:|Auth:| Date:| Changes Made:
-- 0.1 | eb |071796| First prototye as part of VITAL memory proposal
-- 0.2 | jdc |012897| Initial prototyping with proposed MTM scheme
-- 0.3 | jdc |090297| Extensive updates for TAG review (functional)
-- 0.4 | eb |091597| Changed naming conventions for VitalMemoryTable
-- | | | Added interface of VitalMemoryCrossPorts() &
-- | | | VitalMemoryViolation().
-- 0.5 | jdc |092997| Completed naming changes thoughout package body.
-- | | | Testing with simgle port test model looks ok.
-- 0.6 | jdc |121797| Major updates to the packages:
-- | | | - Implement VitalMemoryCrossPorts()
-- | | | - Use new VitalAddressValueType
-- | | | - Use new VitalCrossPortModeType enum
-- | | | - Overloading without SamePort args
-- | | | - Honor erroneous address values
-- | | | - Honor ports disabled with 'Z'
-- | | | - Implement implicit read 'M' table symbol
-- | | | - Cleanup buses to use (H DOWNTO L)
-- | | | - Message control via MsgOn,HeaderMsg,PortName
-- | | | - Tested with 1P1RW,2P2RW,4P2R2W,4P4RW cases
-- 0.7 | jdc |052698| Bug fixes to the packages:
-- | | | - Fix failure with negative Address values
-- | | | - Added debug messages for VMT table search
-- | | | - Remove 'S' for action column (only 's')
-- | | | - Remove 's' for response column (only 'S')
-- | | | - Remove 'X' for action and response columns
-- 0.8 | jdc |061298| Implemented VitalMemoryViolation()
-- | | | - Minimal functionality violation tables
-- | | | - Missing:
-- | | | - Cannot handle wide violation variables
-- | | | - Cannot handle sub-word cases
-- | | | Fixed IIC version of MemoryMatch
-- | | | Fixed 'M' vs 'm' switched on debug output
-- | | | TO BE DONE:
-- | | | - Implement 'd' corrupting a single bit
-- | | | - Implement 'D' corrupting a single bit
-- 0.9 |eb/sc|080498| Added UNDEF value for VitalPortFlagType
-- 0.10|eb/sc|080798| Added CORRUPT value for VitalPortFlagType
-- 0.11|eb/sc|081798| Added overloaded function interface for
-- | | | VitalDeclareMemory
-- 0.14| jdc |113198| Merging of memory functionality and version
-- | | | 1.4 9/17/98 of timing package from Prakash
-- 0.15| jdc |120198| Major development of VMV functionality
-- 0.16| jdc |120298| Complete VMV functionlality for initial testing
-- | | | - New ViolationTableCorruptMask() procedure
-- | | | - New MemoryTableCorruptMask() procedure
-- | | | - HandleMemoryAction():
-- | | | - Removed DataOutBus bogus output
-- | | | - Replaced DataOutTmp with DataInTmp
-- | | | - Added CorruptMask input handling
-- | | | - Implemented 'd','D' using CorruptMask
-- | | | - CorruptMask on 'd','C','L','D','E'
-- | | | - CorruptMask ignored on 'c','l','e'
-- | | | - Changed 'l','d','e' to set PortFlag to CORRUPT
-- | | | - Changed 'L','D','E' to set PortFlag to CORRUPT
-- | | | - Changed 'c','l','d','e' to ignore HighBit, LowBit
-- | | | - Changed 'C','L','D','E' to use HighBit, LowBit
-- | | | - HandleDataAction():
-- | | | - Added CorruptMask input handling
-- | | | - Implemented 'd','D' using CorruptMask
-- | | | - CorruptMask on 'd','C','L','D','E'
-- | | | - CorruptMask ignored on 'l','e'
-- | | | - Changed 'l','d','e' to set PortFlag to CORRUPT
-- | | | - Changed 'L','D','E' to set PortFlag to CORRUPT
-- | | | - Changed 'l','d','e' to ignore HighBit, LowBit
-- | | | - Changed 'L','D','E' to use HighBit, LowBit
-- | | | - MemoryTableLookUp():
-- | | | - Added MsgOn table debug output
-- | | | - Uses new MemoryTableCorruptMask()
-- | | | - ViolationTableLookUp():
-- | | | - Uses new ViolationTableCorruptMask()
-- 0.17| jdc |120898| - Added VitalMemoryViolationSymbolType,
-- | | | VitalMemoryViolationTableType data
-- | | | types but not used yet (need to discuss)
-- | | | - Added overload for VitalMemoryViolation()
-- | | | which does not have array flags
-- | | | - Bug fixes for VMV functionality:
-- | | | - ViolationTableLookUp() not handling '-' in
-- | | | scalar violation matching
-- | | | - VitalMemoryViolation() now normalizes
-- | | | VFlagArrayTmp'LEFT as LSB before calling
-- | | | ViolationTableLookUp() for proper scanning
-- | | | - ViolationTableCorruptMask() had to remove
-- | | | normalization of CorruptMaskTmp and
-- | | | ViolMaskTmp for proper MSB:LSB corruption
-- | | | - HandleMemoryAction(), HandleDataAction()
-- | | | - Removed 'D','E' since not being used
-- | | | - Use XOR instead of OR for corrupt masks
-- | | | - Now 'd' is sensitive to HighBit, LowBit
-- | | | - Fixed LowBit overflow in bit writeable case
-- | | | - MemoryTableCorruptMask()
-- | | | - ViolationTableCorruptMask()
-- | | | - VitalMemoryTable()
-- | | | - VitalMemoryCrossPorts()
-- | | | - Fixed VitalMemoryViolation() failing on
-- | | | error AddressValue from earlier VMT()
-- | | | - Minor cleanup of code formatting
-- 0.18| jdc |032599| - In VitalDeclareMemory()
-- | | | - Added BinaryLoadFile formal arg and
-- | | | modified LoadMemory() to handle bin
-- | | | - Added NOCHANGE to VitalPortFlagType
-- | | | - For VitalCrossPortModeType
-- | | | - Added CpContention enum
-- | | | - In HandleDataAction()
-- | | | - Set PortFlag := NOCHANGE for 'S'
-- | | | - In HandleMemoryAction()
-- | | | - Set PortFlag := NOCHANGE for 's'
-- | | | - In VitalMemoryTable() and
-- | | | VitalMemoryViolation()
-- | | | - Honor PortFlag = NOCHANGE returned
-- | | | from HandleMemoryAction()
-- | | | - In VitalMemoryCrossPorts()
-- | | | - Fixed Address = AddressJ for all
-- | | | conditions of DoWrCont & DoCpRead
-- | | | - Handle CpContention like WrContOnly
-- | | | under CpReadOnly conditions, with
-- | | | associated memory message changes
-- | | | - Handle PortFlag = NOCHANGE like
-- | | | PortFlag = READ for actions
-- | | | - Modeling change:
-- | | | - Need to init PortFlag every delta
-- | | | PortFlag_A := (OTHES => UNDEF);
-- | | | - Updated InternalTimingCheck code
-- 0.19| jdc |042599| - Fixes for bit-writeable cases
-- | | | - Check PortFlag after HandleDataAction
-- | | | in VitalMemoryViolation()
-- 0.20| jdc |042599| - Merge PortFlag changes from Prakash
-- | | | and Willian:
-- | | | VitalMemorySchedulePathDelay()
-- | | | VitalMemoryExpandPortFlag()
-- 0.21| jdc |072199| - Changed VitalCrossPortModeType enums,
-- | | | added new CpReadAndReadContention.
-- | | | - Fixed VitalMemoryCrossPorts() parameter
-- | | | SamePortFlag to INOUT so that it can
-- | | | set CORRUPT or READ value.
-- | | | - Fixed VitalMemoryTable() where PortFlag
-- | | | setting by HandleDataAction() is being
-- | | | ignored when HandleMemoryAction() sets
-- | | | PortFlagTmp to NOCHANGE.
-- | | | - Fixed VitalMemoryViolation() to set
-- | | | all bits of PortFlag when violating.
-- 0.22| jdc |072399| - Added HIGHZ to PortFlagType. HandleData
-- | | | checks whether the previous state is HIGHZ.
-- | | | If yes then portFlag should be NOCHANGE
-- | | | for VMPD to ignore IORetain corruption.
-- | | | The idea is that the first Z should be
-- | | | propagated but later ones should be ignored.
-- | | |
-- 0.23| jdc |100499| - Took code checked in by Dennis 09/28/99
-- | | | - Changed VitalPortFlagType to record of
-- | | | new VitalPortStateType to hold current,
-- | | | previous values and separate disable.
-- | | | Also created VitalDefaultPortFlag const.
-- | | | Removed usage of PortFlag NOCHANGE
-- | | | - VitalMemoryTable() changes:
-- | | | Optimized return when all curr = prev
-- | | | AddressValue is now INOUT to optimize
-- | | | Transfer PF.MemoryCurrent to MemoryPrevious
-- | | | Transfer PF.DataCurrent to DataPrevious
-- | | | Reset PF.OutputDisable to FALSE
-- | | | Expects PortFlag init in declaration
-- | | | No need to init PortFlag every delta
-- | | | - VitalMemorySchedulePathDelay() changes:
-- | | | Initialize with VitalDefaultPortFlag
-- | | | Check PortFlag.OutputDisable
-- | | | - HandleMemoryAction() changes:
-- | | | Set value of PortFlag.MemoryCurrent
-- | | | Never set PortFlag.OutputDisable
-- | | | - HandleDataAction() changes:
-- | | | Set value of PortFlag.DataCurrent
-- | | | Set PortFlag.DataCurrent for HIGHZ
-- | | | - VitalMemoryCrossPorts() changes:
-- | | | Check/set value of PF.MemoryCurrent
-- | | | Check value of PF.OutputDisable
-- | | | - VitalMemoryViolation() changes:
-- | | | Fixed bug - not reading inout PF value
-- | | | Clean up setting of PortFlag
-- 0.24| jdc |100899| - Modified update of PF.OutputDisable
-- | | | to correctly accomodate 2P1W1R case:
-- | | | the read port should not exhibit
-- | | | IO retain corrupt when reading
-- | | | addr unrelated to addr being written.
-- 0.25| jdc |100999| - VitalMemoryViolation() change:
-- | | | Fixed bug with RDNWR mode incorrectly
-- | | | updating the PF.OutputDisable
-- 0.26| jdc |100999| - VitalMemoryCrossPorts() change:
-- | | | Fixed bugs with update of PF
-- 0.27| jdc |101499| - VitalMemoryCrossPorts() change:
-- | | | Added DoRdWrCont message (ErrMcpRdWrCo,
-- | | | Memory cross port read/write data only
-- | | | contention)
-- | | | - VitalMemoryTable() change:
-- | | | Set PF.OutputDisable := TRUE for the
-- | | | optimized cases.
-- 0.28| pb |112399| - Added 8 VMPD procedures for vector
-- | | | PathCondition support. Now the total
-- | | | number of overloadings for VMPD is 24.
-- | | | - Number of overloadings for SetupHold
-- | | | procedures increased to 5. Scalar violations
-- | | | are not supported anymore. Vector checkEnabled
-- | | | support is provided through the new overloading
-- 0.29| jdc |120999| - HandleMemoryAction() HandleDataAction()
-- | | | Reinstated 'D' and 'E' actions but
-- | | | with new PortFlagType
-- | | | - Updated file handling syntax, must compile
-- | | | with -93 syntax now.
-- 0.30| jdc |022300| - Formated for 80 column max width
-- ----------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.Vital_Timing.ALL;
USE IEEE.Vital_Primitives.ALL;
LIBRARY STD;
USE STD.TEXTIO.ALL;
PACKAGE Vital_Memory IS
-- ----------------------------------------------------------------------------
-- Timing Section
-- ----------------------------------------------------------------------------
-- ----------------------------------------------------------------------------
-- Types and constants for Memory timing procedures
-- ----------------------------------------------------------------------------
TYPE VitalMemoryArcType IS (ParallelArc, CrossArc, SubwordArc);
TYPE OutputRetainBehaviorType IS (BitCorrupt, WordCorrupt);
TYPE VitalMemoryMsgFormatType IS (Vector, Scalar, VectorEnum);
TYPE X01ArrayT IS ARRAY (NATURAL RANGE <> ) OF X01;
TYPE X01ArrayPT IS ACCESS X01ArrayT;
TYPE VitalMemoryViolationType IS ACCESS X01ArrayT;
CONSTANT DefaultNumBitsPerSubword : INTEGER := -1;
-- Data type storing path delay and schedule information for output bits
TYPE VitalMemoryScheduleDataType IS RECORD
OutputData : std_ulogic;
NumBitsPerSubWord : INTEGER;
ScheduleTime : TIME;
ScheduleValue : std_ulogic;
LastOutputValue : std_ulogic;
PropDelay : TIME;
OutputRetainDelay : TIME;
InputAge : TIME;
END RECORD;
TYPE VitalMemoryTimingDataType IS RECORD
NotFirstFlag : BOOLEAN;
RefLast : X01;
RefTime : TIME;
HoldEn : BOOLEAN;
TestLast : std_ulogic;
TestTime : TIME;
SetupEn : BOOLEAN;
TestLastA : VitalLogicArrayPT;
TestTimeA : VitalTimeArrayPT;
RefLastA : X01ArrayPT;
RefTimeA : VitalTimeArrayPT;
HoldEnA : VitalBoolArrayPT;
SetupEnA : VitalBoolArrayPT;
END RECORD;
TYPE VitalPeriodDataArrayType IS ARRAY (NATURAL RANGE <>) OF
VitalPeriodDataType;
-- Data type storing path delay and schedule information for output
-- vectors
TYPE VitalMemoryScheduleDataVectorType IS ARRAY (NATURAL RANGE <> ) OF
VitalMemoryScheduleDataType;
-- VitalPortFlagType records runtime mode of port sub-word slices
-- TYPE VitalPortFlagType IS (
-- UNDEF,
-- READ,
-- WRITE,
-- CORRUPT,
-- HIGHZ,
-- NOCHANGE
-- );
-- VitalPortFlagType records runtime mode of port sub-word slices
TYPE VitalPortStateType IS (
UNDEF,
READ,
WRITE,
CORRUPT,
HIGHZ
);
TYPE VitalPortFlagType IS RECORD
MemoryCurrent : VitalPortStateType;
MemoryPrevious : VitalPortStateType;
DataCurrent : VitalPortStateType;
DataPrevious : VitalPortStateType;
OutputDisable : BOOLEAN;
END RECORD;
CONSTANT VitalDefaultPortFlag : VitalPortFlagType := (
MemoryCurrent => READ,
MemoryPrevious => UNDEF,
DataCurrent => READ,
DataPrevious => UNDEF,
OutputDisable => FALSE
);
-- VitalPortFlagVectorType to be same width i as enables of a port
-- or j multiples thereof, where j is the number of cross ports
TYPE VitalPortFlagVectorType IS
ARRAY (NATURAL RANGE <>) OF VitalPortFlagType;
-- ----------------------------------------------------------------------------
-- Functions : VitalMemory path delay procedures
-- - VitalMemoryInitPathDelay
-- - VitalMemoryAddPathDelay
-- - VitalMemorySchedulePathDelay
--
-- Description: VitalMemoryInitPathDelay, VitalMemoryAddPathDelay and
-- VitalMemorySchedulePathDelay are Level 1 routines used
-- for selecting the propagation delay paths based on
-- path condition, transition type and delay values and
-- schedule a new output value.
--
-- Following features are implemented in these procedures:
-- o condition dependent path selection
-- o Transition dependent delay selection
-- o shortest delay path selection from multiple
-- candidate paths
-- o Scheduling of the computed values on the specified
-- signal.
-- o output retain behavior if outputRetain flag is set
-- o output mapping to alternate strengths to model
-- pull-up, pull-down etc.
--
-- <More details to be added here>
--
-- Following is information on overloading of the procedures.
--
-- VitalMemoryInitPathDelay is overloaded for ScheduleDataArray and
-- OutputDataArray
--
-- ----------------------------------------------------------------------------
-- ScheduleDataArray OutputDataArray
-- ----------------------------------------------------------------------------
-- Scalar Scalar
-- Vector Vector
-- ----------------------------------------------------------------------------
--
--
-- VitalMemoryAddPathDelay is overloaded for ScheduleDataArray,
-- PathDelayArray, InputSignal and delaytype.
--
-- ----------------------------------------------------------------------------
-- DelayType InputSignal ScheduleData PathDelay
-- Array Array
-- ----------------------------------------------------------------------------
-- VitalDelayType Scalar Scalar Scalar
-- VitalDelayType Scalar Vector Vector
-- VitalDelayType Vector Scalar Vector
-- VitalDelayType Vector Vector Vector
-- VitalDelayType01 Scalar Scalar Scalar
-- VitalDelayType01 Scalar Vector Vector
-- VitalDelayType01 Vector Scalar Vector
-- VitalDelayType01 Vector Vector Vector
-- VitalDelayType01Z Scalar Scalar Scalar
-- VitalDelayType01Z Scalar Vector Vector
-- VitalDelayType01Z Vector Scalar Vector
-- VitalDelayType01Z Vector Vector Vector
-- VitalDelayType01XZ Scalar Scalar Scalar
-- VitalDelayType01XZ Scalar Vector Vector
-- VitalDelayType01XZ Vector Scalar Vector
-- VitalDelayType01XZ Vector Vector Vector
-- ----------------------------------------------------------------------------
--
--
-- VitalMemorySchedulePathDelay is overloaded for ScheduleDataArray,
-- and OutSignal
--
-- ----------------------------------------------------------------------------
-- OutSignal ScheduleDataArray
-- ----------------------------------------------------------------------------
-- Scalar Scalar
-- Vector Vector
-- ----------------------------------------------------------------------------
--
-- Procedure Declarations:
--
--
-- Function : VitalMemoryInitPathDelay
--
-- Arguments:
--
-- INOUT Type Description
--
-- ScheduleDataArray/ VitalMemoryScheduleDataVectorType/
-- ScheduleData VitalMemoryScheduleDataType
-- Internal data variable for
-- storing delay and schedule
-- information for each output bit
--
--
-- IN
--
-- OutputDataArray/ STD_LOGIC_VECTOR/Array containing current output
-- OutputData STD_ULOGIC value
--
--
-- NumBitsPerSubWord INTEGER Number of bits per subword.
-- Default value of this argument
-- is DefaultNumBitsPerSubword
-- which is interpreted as no
-- subwords
--
-- ----------------------------------------------------------------------------
--
--
-- ScheduleDataArray - Vector
-- OutputDataArray - Vector
--
PROCEDURE VitalMemoryInitPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
VARIABLE OutputDataArray : IN STD_LOGIC_VECTOR;
CONSTANT NumBitsPerSubWord : IN INTEGER := DefaultNumBitsPerSubword
);
--
-- ScheduleDataArray - Scalar
-- OutputDataArray - Scalar
--
PROCEDURE VitalMemoryInitPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
VARIABLE OutputData : IN STD_ULOGIC
);
-- ----------------------------------------------------------------------------
--
-- Function : VitalMemoryAddPathDelay
--
-- Arguments
--
-- INOUT Type Description
--
-- ScheduleDataArray/ VitalMemoryScheduleDataVectorType/
-- ScheduleData VitalMemoryScheduleDataType
-- Internal data variable for
-- storing delay and schedule
-- information for each output bit
--
-- InputChangeTimeArray/ VitaltimeArrayT/Time
-- InputChangeTime Holds the time since the last
-- input change
--
-- IN
--
-- InputSignal STD_LOGIC_VECTOR
-- STD_ULOGIC/ Array holding the input value
--
-- OutputSignalName STRING The output signal name
--
-- PathDelayArray/ VitalDelayArrayType01ZX,
-- PathDelay VitalDelayArrayType01Z,
-- VitalDelayArrayType01,
-- VitalDelayArrayType/
-- VitalDelayType01ZX,
-- VitalDelayType01Z,
-- VitalDelayType01,
-- VitalDelayType Array of delay values
--
-- ArcType VitalMemoryArcType
-- Indicates the Path type. This
-- can be SubwordArc, CrossArc or
-- ParallelArc
--
-- PathCondition BOOLEAN If True, the transition in
-- the corresponding input signal
-- is considered while
-- caluculating the prop. delay
-- else the transition is ignored.
--
-- OutputRetainFlag BOOLEAN If specified TRUE,output retain
-- (hold) behavior is implemented.
--
-- ----------------------------------------------------------------------------
--
-- #1
-- DelayType - VitalDelayType
-- Input - Scalar
-- Output - Scalar
-- Delay - Scalar
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelay : IN VitalDelayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #2
-- DelayType - VitalDelayType
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #3
-- DelayType - VitalDelayType
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray: IN VitalBoolArrayT
);
-- #4
-- DelayType - VitalDelayType
-- Input - Vector
-- Output - Scalar
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #5
-- DelayType - VitalDelayType
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #6
-- DelayType - VitalDelayType
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray : IN VitalBoolArrayT
);
-- #7
-- DelayType - VitalDelayType01
-- Input - Scalar
-- Output - Scalar
-- Delay - Scalar
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelay : IN VitalDelayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #8
-- DelayType - VitalDelayType01
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #9
-- DelayType - VitalDelayType01
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray: IN VitalBoolArrayT
);
-- #10
-- DelayType - VitalDelayType01
-- Input - Vector
-- Output - Scalar
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #11
-- DelayType - VitalDelayType01
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #12
-- DelayType - VitalDelayType01
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray : IN VitalBoolArrayT
);
-- #13
-- DelayType - VitalDelayType01Z
-- Input - Scalar
-- Output - Scalar
-- Delay - Scalar
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelay : IN VitalDelayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE
);
-- #14
-- DelayType - VitalDelayType01Z
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE
);
-- #15
-- DelayType - VitalDelayType01Z
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray: IN VitalBoolArrayT;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE
);
-- #16
-- DelayType - VitalDelayType01Z
-- Input - Vector
-- Output - Scalar
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt
);
-- #17
-- DelayType - VitalDelayType01Z
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt
);
-- #18
-- DelayType - VitalDelayType01Z
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray : IN VitalBoolArrayT;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt
);
-- #19
-- DelayType - VitalDelayType01ZX
-- Input - Scalar
-- Output - Scalar
-- Delay - Scalar
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelay : IN VitalDelayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE
);
-- #20
-- DelayType - VitalDelayType01ZX
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE
);
-- #21
-- DelayType - VitalDelayType01ZX
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray: IN VitalBoolArrayT;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE
);
-- #22
-- DelayType - VitalDelayType01ZX
-- Input - Vector
-- Output - Scalar
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt
);
-- #23
-- DelayType - VitalDelayType01ZX
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt
);
-- #24
-- DelayType - VitalDelayType01ZX
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray : IN VitalBoolArrayT;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt
);
-- ----------------------------------------------------------------------------
--
-- Function : VitalMemorySchedulePathDelay
--
-- Arguments:
--
-- OUT Type Description
-- OutSignal STD_LOGIC_VECTOR/ The output signal for
-- STD_ULOGIC scheduling
--
-- IN
-- OutputSignalName STRING The name of the output signal
--
-- IN
-- PortFlag VitalPortFlagType Port flag variable from
-- functional procedures
--
-- IN
-- OutputMap VitalOutputMapType For VitalPathDelay01Z, the
-- output can be mapped to
-- alternate strengths to model
-- tri-state devices, pull-ups
-- and pull-downs.
--
-- INOUT
-- ScheduleDataArray/ VitalMemoryScheduleDataVectorType/
-- ScheduleData VitalMemoryScheduleDataType
-- Internal data variable for
-- storing delay and schedule
-- information for each
-- output bit
--
-- ----------------------------------------------------------------------------
--
-- ScheduleDataArray - Vector
-- OutputSignal - Vector
--
PROCEDURE VitalMemorySchedulePathDelay (
SIGNAL OutSignal : OUT std_logic_vector;
CONSTANT OutputSignalName : IN STRING := "";
CONSTANT PortFlag : IN VitalPortFlagType := VitalDefaultPortFlag;
CONSTANT OutputMap : IN VitalOutputMapType := VitalDefaultOutputMap;
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType
);
--
-- ScheduleDataArray - Vector
-- OutputSignal - Vector
--
PROCEDURE VitalMemorySchedulePathDelay (
SIGNAL OutSignal : OUT std_logic_vector;
CONSTANT OutputSignalName : IN STRING := "";
CONSTANT PortFlag : IN VitalPortFlagVectorType;
CONSTANT OutputMap : IN VitalOutputMapType := VitalDefaultOutputMap;
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType
);
--
-- ScheduleDataArray - Scalar
-- OutputSignal - Scalar
--
PROCEDURE VitalMemorySchedulePathDelay (
SIGNAL OutSignal : OUT std_ulogic;
CONSTANT OutputSignalName : IN STRING := "";
CONSTANT PortFlag : IN VitalPortFlagType := VitalDefaultPortFlag;
CONSTANT OutputMap : IN VitalOutputMapType := VitalDefaultOutputMap;
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType
);
-- ----------------------------------------------------------------------------
FUNCTION VitalMemoryTimingDataInit RETURN VitalMemoryTimingDataType;
-- ----------------------------------------------------------------------------
--
-- Function Name: VitalMemorySetupHoldCheck
--
-- Description: The VitalMemorySetupHoldCheck procedure detects a setup or a
-- hold violation on the input test signal with respect
-- to the corresponding input reference signal. The timing
-- constraints are specified through parameters
-- representing the high and low values for the setup and
-- hold values for the setup and hold times. This
-- procedure assumes non-negative values for setup and hold
-- timing constraints.
--
-- It is assumed that negative timing constraints
-- are handled by internally delaying the test or
-- reference signals. Negative setup times result in
-- a delayed reference signal. Negative hold times
-- result in a delayed test signal. Furthermore, the
-- delays and constraints associated with these and
-- other signals may need to be appropriately
-- adjusted so that all constraint intervals overlap
-- the delayed reference signals and all constraint
-- values (with respect to the delayed signals) are
-- non-negative.
--
-- This function is overloaded based on the input
-- TestSignal and reference signals. Parallel, Subword and
-- Cross Arc relationships between test and reference
-- signals are supported.
--
-- TestSignal XXXXXXXXXXXX____________________________XXXXXXXXXXXXXXXXXXXXXX
-- :
-- : -->| error region |<--
-- :
-- _______________________________
-- RefSignal \______________________________
-- : | | |
-- : | -->| |<-- thold
-- : -->| tsetup |<--
--
-- Arguments:
--
-- IN Type Description
-- TestSignal std_logic_vector Value of test signal
-- TestSignalName STRING Name of test signal
-- TestDelay VitalDelayArrayType Model's internal delay associated
-- with TestSignal
-- RefSignal std_ulogic Value of reference signal
-- std_logic_vector
-- RefSignalName STRING Name of reference signal
-- RefDelay TIME Model's internal delay associated
-- VitalDelayArrayType with RefSignal
-- SetupHigh VitalDelayArrayType Absolute minimum time duration
-- before the transition of RefSignal
-- for which transitions of
-- TestSignal are allowed to proceed
-- to the "1" state without causing
-- a setup violation.
-- SetupLow VitalDelayArrayType Absolute minimum time duration
-- before the transition of RefSignal
-- for which transitions of
-- TestSignal are allowed to proceed
-- to the "0" state without causing
-- a setup violation.
-- HoldHigh VitalDelayArrayType Absolute minimum time duration
-- after the transition of RefSignal
-- for which transitions of
-- TestSignal are allowed to
-- proceed to the "1" state without
-- causing a hold violation.
-- HoldLow VitalDelayArrayType Absolute minimum time duration
-- after the transition of RefSignal
-- for which transitions of
-- TestSignal are allowed to
-- proceed to the "0" state without
-- causing a hold violation.
-- CheckEnabled BOOLEAN Check performed if TRUE.
-- RefTransition VitalEdgeSymbolType
-- Reference edge specified. Events
-- on the RefSignal which match the
-- edge spec. are used as reference
-- edges.
-- ArcType VitalMemoryArcType
-- NumBitsPerSubWord INTEGER
-- HeaderMsg STRING String that will accompany any
-- assertion messages produced.
-- XOn BOOLEAN If TRUE, Violation output
-- parameter is set to "X".
-- Otherwise, Violation is always
-- set to "0."
-- MsgOn BOOLEAN If TRUE, set and hold violation
-- message will be generated.
-- Otherwise, no messages are
-- generated, even upon violations.
-- MsgSeverity SEVERITY_LEVEL Severity level for the assertion.
-- MsgFormat VitalMemoryMsgFormatType
-- Format of the Test/Reference
-- signals in violation messages.
--
-- INOUT
-- TimingData VitalMemoryTimingDataType
-- VitalMemorySetupHoldCheck information
-- storage area. This is used
-- internally to detect reference
-- edges and record the time of the
-- last edge.
--
-- OUT
-- Violation X01 This is the violation flag returned.
-- X01ArrayT Overloaded for array type.
--
--
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_ulogic;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN TIME := 0 ns;
SIGNAL RefSignal : IN std_ulogic;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN TIME := 0 ns;
CONSTANT SetupHigh : IN VitalDelayType;
CONSTANT SetupLow : IN VitalDelayType;
CONSTANT HoldHigh : IN VitalDelayType;
CONSTANT HoldLow : IN VitalDelayType;
CONSTANT CheckEnabled : IN VitalBoolArrayT;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
);
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
SIGNAL RefSignal : IN std_ulogic;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN TIME := 0 ns;
CONSTANT SetupHigh : IN VitalDelayArrayType;
CONSTANT SetupLow : IN VitalDelayArrayType;
CONSTANT HoldHigh : IN VitalDelayArrayType;
CONSTANT HoldLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
);
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
SIGNAL RefSignal : IN std_ulogic;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN TIME := 0 ns;
CONSTANT SetupHigh : IN VitalDelayArrayType;
CONSTANT SetupLow : IN VitalDelayArrayType;
CONSTANT HoldHigh : IN VitalDelayArrayType;
CONSTANT HoldLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN VitalBoolArrayT;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT NumBitsPerSubWord : IN INTEGER := 1;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
);
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
SIGNAL RefSignal : IN std_logic_vector;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN VitalDelayArrayType;
CONSTANT SetupHigh : IN VitalDelayArrayType;
CONSTANT SetupLow : IN VitalDelayArrayType;
CONSTANT HoldHigh : IN VitalDelayArrayType;
CONSTANT HoldLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT NumBitsPerSubWord : IN INTEGER := 1;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
);
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
SIGNAL RefSignal : IN std_logic_vector;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN VitalDelayArrayType;
CONSTANT SetupHigh : IN VitalDelayArrayType;
CONSTANT SetupLow : IN VitalDelayArrayType;
CONSTANT HoldHigh : IN VitalDelayArrayType;
CONSTANT HoldLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN VitalBoolArrayT;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT NumBitsPerSubWord : IN INTEGER := 1;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
);
--------------- following are not needed --------------------------
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
SIGNAL RefSignal : IN std_ulogic;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN TIME := 0 ns;
CONSTANT SetupHigh : IN VitalDelayArrayType;
CONSTANT SetupLow : IN VitalDelayArrayType;
CONSTANT HoldHigh : IN VitalDelayArrayType;
CONSTANT HoldLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
);
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
SIGNAL RefSignal : IN std_logic_vector;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN VitalDelayArrayType;
CONSTANT SetupHigh : IN VitalDelayArrayType;
CONSTANT SetupLow : IN VitalDelayArrayType;
CONSTANT HoldHigh : IN VitalDelayArrayType;
CONSTANT HoldLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT NumBitsPerSubWord : IN INTEGER := 1;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
);
-- ----------------------------------------------------------------------------
--
-- Function Name: VitalPeriodPulseCheck
--
-- Description: VitalPeriodPulseCheck checks for minimum and maximum
-- periodicity and pulse width for "1" and "0" values of
-- the input test signal. The timing constraint is
-- specified through parameters representing the minimal
-- period between successive rising and falling edges of
-- the input test signal and the minimum pulse widths
-- associated with high and low values.
--
-- VitalPeriodCheck's accepts rising and falling edges
-- from 1 and 0 as well as transitions to and from 'X.'
--
-- _______________ __________
-- ____________| |_______|
--
-- |<--- pw_hi --->|
-- |<-------- period ----->|
-- -->| pw_lo |<--
--
-- Arguments:
-- IN Type Description
-- TestSignal std_logic_vector Value of test signal
-- TestSignalName STRING Name of the test signal
-- TestDelay VitalDelayArrayType
-- Model's internal delay associated
-- with TestSignal
-- Period VitalDelayArrayType
-- Minimum period allowed between
-- consecutive rising ('P') or
-- falling ('F') transitions.
-- PulseWidthHigh VitalDelayArrayType
-- Minimum time allowed for a high
-- pulse ('1' or 'H')
-- PulseWidthLow VitalDelayArrayType
-- Minimum time allowed for a low
-- pulse ('0' or 'L')
-- CheckEnabled BOOLEAN Check performed if TRUE.
-- HeaderMsg STRING String that will accompany any
-- assertion messages produced.
-- XOn BOOLEAN If TRUE, Violation output parameter
-- is set to "X". Otherwise, Violation
-- is always set to "0."
-- MsgOn BOOLEAN If TRUE, period/pulse violation
-- message will be generated.
-- Otherwise, no messages are generated,
-- even though a violation is detected.
-- MsgSeverity SEVERITY_LEVEL Severity level for the assertion.
-- MsgFormat VitalMemoryMsgFormatType
-- Format of the Test/Reference signals
-- in violation messages.
--
-- INOUT
-- PeriodData VitalPeriodDataArrayType
-- VitalPeriodPulseCheck information
-- storage area. This is used
-- internally to detect reference edges
-- and record the pulse and period
-- times.
-- OUT
-- Violation X01 This is the violation flag returned.
-- X01ArrayT Overloaded for array type.
--
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemoryPeriodPulseCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE PeriodData : INOUT VitalPeriodDataArrayType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
CONSTANT Period : IN VitalDelayArrayType;
CONSTANT PulseWidthHigh : IN VitalDelayArrayType;
CONSTANT PulseWidthLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType
);
PROCEDURE VitalMemoryPeriodPulseCheck (
VARIABLE Violation : OUT X01;
VARIABLE PeriodData : INOUT VitalPeriodDataArrayType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
CONSTANT Period : IN VitalDelayArrayType;
CONSTANT PulseWidthHigh : IN VitalDelayArrayType;
CONSTANT PulseWidthLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType
);
-- ----------------------------------------------------------------------------
-- Functionality Section
-- ----------------------------------------------------------------------------
-- ----------------------------------------------------------------------------
-- All Memory Types and Record definitions.
-- ----------------------------------------------------------------------------
TYPE MemoryWordType IS ARRAY (NATURAL RANGE <>) OF UX01;
TYPE MemoryWordPtr IS ACCESS MemoryWordType;
TYPE MemoryArrayType IS ARRAY (NATURAL RANGE <>) OF MemoryWordPtr;
TYPE MemoryArrayPtrType IS ACCESS MemoryArrayType;
TYPE VitalMemoryArrayRecType IS
RECORD
NoOfWords : POSITIVE;
NoOfBitsPerWord : POSITIVE;
NoOfBitsPerSubWord : POSITIVE;
NoOfBitsPerEnable : POSITIVE;
MemoryArrayPtr : MemoryArrayPtrType;
END RECORD;
TYPE VitalMemoryDataType IS ACCESS VitalMemoryArrayRecType;
TYPE VitalTimingDataVectorType IS
ARRAY (NATURAL RANGE <>) OF VitalTimingDataType;
TYPE VitalMemoryViolFlagSizeType IS ARRAY (NATURAL RANGE <>) OF INTEGER;
-- ----------------------------------------------------------------------------
-- Symbol Literals used for Memory Table Modeling
-- ----------------------------------------------------------------------------
-- Symbol literals from '/' to 'S' are closely related to MemoryTableMatch
-- lookup matching and the order cannot be arbitrarily changed.
-- The remaining symbol literals are interpreted directly and matchting is
-- handled in the MemoryMatch procedure itself.
TYPE VitalMemorySymbolType IS (
'/', -- 0 -> 1
'\', -- 1 -> 0
'P', -- Union of '/' and '^' (any edge to 1)
'N', -- Union of '\' and 'v' (any edge to 0)
'r', -- 0 -> X
'f', -- 1 -> X
'p', -- Union of '/' and 'r' (any edge from 0)
'n', -- Union of '\' and 'f' (any edge from 1)
'R', -- Union of '^' and 'p' (any possible rising edge)
'F', -- Union of 'v' and 'n' (any possible falling edge)
'^', -- X -> 1
'v', -- X -> 0
'E', -- Union of 'v' and '^' (any edge from X)
'A', -- Union of 'r' and '^' (rising edge to or from 'X')
'D', -- Union of 'f' and 'v' (falling edge to or from 'X')
'*', -- Union of 'R' and 'F' (any edge)
'X', -- Unknown level
'0', -- low level
'1', -- high level
'-', -- don't care
'B', -- 0 or 1
'Z', -- High Impedance
'S', -- steady value
'g', -- Good address (no transition)
'u', -- Unknown address (no transition)
'i', -- Invalid address (no transition)
'G', -- Good address (with transition)
'U', -- Unknown address (with transition)
'I', -- Invalid address (with transition)
'w', -- Write data to memory
's', -- Retain previous memory contents
'c', -- Corrupt entire memory with 'X'
'l', -- Corrupt a word in memory with 'X'
'd', -- Corrupt a single bit in memory with 'X'
'e', -- Corrupt a word with 'X' based on data in
'C', -- Corrupt a sub-word entire memory with 'X'
'L', -- Corrupt a sub-word in memory with 'X'
-- The following entries are commented since their
-- interpretation overlap with existing definitions.
-- 'D', -- Corrupt a single bit of a sub-word with 'X'
-- 'E', -- Corrupt a sub-word with 'X' based on datain
'M', -- Implicit read data from memory
'm', -- Read data from memory
't' -- Immediate assign/transfer data in
);
TYPE VitalMemoryTableType IS ARRAY ( NATURAL RANGE <>, NATURAL RANGE <> )
OF VitalMemorySymbolType;
TYPE VitalMemoryViolationSymbolType IS (
'X', -- Unknown level
'0', -- low level
'-' -- don't care
);
TYPE VitalMemoryViolationTableType IS
ARRAY ( NATURAL RANGE <>, NATURAL RANGE <> )
OF VitalMemoryViolationSymbolType;
TYPE VitalPortType IS (
UNDEF,
READ,
WRITE,
RDNWR
);
TYPE VitalCrossPortModeType IS (
CpRead, -- CpReadOnly,
WriteContention, -- WrContOnly,
ReadWriteContention, -- CpContention
CpReadAndWriteContention, -- WrContAndCpRead,
CpReadAndReadContention
);
SUBTYPE VitalAddressValueType IS INTEGER;
TYPE VitalAddressValueVectorType IS
ARRAY (NATURAL RANGE <>) OF VitalAddressValueType;
-- ----------------------------------------------------------------------------
-- Procedure: VitalDeclareMemory
-- Parameters: NoOfWords - Number of words in the memory
-- NoOfBitsPerWord - Number of bits per word in memory
-- NoOfBitsPerSubWord - Number of bits per sub word
-- MemoryLoadFile - Name of data file to load
-- Description: This function is intended to be used to initialize
-- memory data declarations, i.e. to be executed duing
-- simulation elaboration time. Handles the allocation
-- and initialization of memory for the memory data.
-- Default NoOfBitsPerSubWord is NoOfBits.
-- ----------------------------------------------------------------------------
IMPURE FUNCTION VitalDeclareMemory (
CONSTANT NoOfWords : IN POSITIVE;
CONSTANT NoOfBitsPerWord : IN POSITIVE;
CONSTANT NoOfBitsPerSubWord : IN POSITIVE;
CONSTANT MemoryLoadFile : IN string := "";
CONSTANT BinaryLoadFile : IN BOOLEAN := FALSE
) RETURN VitalMemoryDataType;
IMPURE FUNCTION VitalDeclareMemory (
CONSTANT NoOfWords : IN POSITIVE;
CONSTANT NoOfBitsPerWord : IN POSITIVE;
CONSTANT MemoryLoadFile : IN string := "";
CONSTANT BinaryLoadFile : IN BOOLEAN := FALSE
) RETURN VitalMemoryDataType;
-- ----------------------------------------------------------------------------
-- Procedure: VitalMemoryTable
-- Parameters: DataOutBus - Output candidate zero delay data bus out
-- MemoryData - Pointer to memory data structure
-- PrevControls - Previous data in for edge detection
-- PrevEnableBus - Previous enables for edge detection
-- PrevDataInBus - Previous data bus for edge detection
-- PrevAddressBus - Previous address bus for edge detection
-- PortFlag - Indicates port operating mode
-- PortFlagArray - Vector form of PortFlag for sub-word
-- Controls - Agregate of scalar control lines
-- EnableBus - Concatenation of vector control lines
-- DataInBus - Input value of data bus in
-- AddressBus - Input value of address bus in
-- AddressValue - Decoded value of the AddressBus
-- MemoryTable - Input memory action table
-- PortType - The type of port (currently not used)
-- PortName - Port name string for messages
-- HeaderMsg - Header string for messages
-- MsgOn - Control the generation of messages
-- MsgSeverity - Control level of message generation
-- Description: This procedure implements the majority of the memory
-- modeling functionality via lookup of the memory action
-- tables and performing the specified actions if matches
-- are found, or the default actions otherwise. The
-- overloadings are provided for the word and sub-word
-- (using the EnableBus and PortFlagArray arguments) addressing
-- cases.
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemoryTable (
VARIABLE DataOutBus : INOUT std_logic_vector;
VARIABLE MemoryData : INOUT VitalMemoryDataType;
VARIABLE PrevControls : INOUT std_logic_vector;
VARIABLE PrevDataInBus : INOUT std_logic_vector;
VARIABLE PrevAddressBus : INOUT std_logic_vector;
VARIABLE PortFlag : INOUT VitalPortFlagVectorType;
CONSTANT Controls : IN std_logic_vector;
CONSTANT DataInBus : IN std_logic_vector;
CONSTANT AddressBus : IN std_logic_vector;
VARIABLE AddressValue : INOUT VitalAddressValueType;
CONSTANT MemoryTable : IN VitalMemoryTableType;
CONSTANT PortType : IN VitalPortType := UNDEF;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING
);
PROCEDURE VitalMemoryTable (
VARIABLE DataOutBus : INOUT std_logic_vector;
VARIABLE MemoryData : INOUT VitalMemoryDataType;
VARIABLE PrevControls : INOUT std_logic_vector;
VARIABLE PrevEnableBus : INOUT std_logic_vector;
VARIABLE PrevDataInBus : INOUT std_logic_vector;
VARIABLE PrevAddressBus : INOUT std_logic_vector;
VARIABLE PortFlagArray : INOUT VitalPortFlagVectorType;
CONSTANT Controls : IN std_logic_vector;
CONSTANT EnableBus : IN std_logic_vector;
CONSTANT DataInBus : IN std_logic_vector;
CONSTANT AddressBus : IN std_logic_vector;
VARIABLE AddressValue : INOUT VitalAddressValueType;
CONSTANT MemoryTable : IN VitalMemoryTableType;
CONSTANT PortType : IN VitalPortType := UNDEF;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING
);
-- ----------------------------------------------------------------------------
-- Procedure: VitalMemoryCrossPorts
-- Parameters: DataOutBus - Output candidate zero delay data bus out
-- MemoryData - Pointer to memory data structure
-- SamePortFlag - Operating mode for same port
-- SamePortAddressValue - Decoded AddressBus for same port
-- CrossPortFlagArray - Operating modes for cross ports
-- CrossPortAddressArray - Decoded AddressBus for cross ports
-- CrossPortMode - Write contention and crossport read control
-- PortName - Port name string for messages
-- HeaderMsg - Header string for messages
-- MsgOn - Control the generation of messages
--
-- Description: These procedures control the effect of memory operations
-- on a given port due to operations on other ports in a
-- multi-port memory.
-- This includes data write through when reading and writing
-- to the same address, as well as write contention when
-- there are multiple write to the same address.
-- If addresses do not match then data bus is unchanged.
-- The DataOutBus can be diabled with 'Z' value.
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemoryCrossPorts (
VARIABLE DataOutBus : INOUT std_logic_vector;
VARIABLE MemoryData : INOUT VitalMemoryDataType;
VARIABLE SamePortFlag : INOUT VitalPortFlagVectorType;
CONSTANT SamePortAddressValue : IN VitalAddressValueType;
CONSTANT CrossPortFlagArray : IN VitalPortFlagVectorType;
CONSTANT CrossPortAddressArray : IN VitalAddressValueVectorType;
CONSTANT CrossPortMode : IN VitalCrossPortModeType
:= CpReadAndWriteContention;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE
) ;
PROCEDURE VitalMemoryCrossPorts (
VARIABLE MemoryData : INOUT VitalMemoryDataType;
CONSTANT CrossPortFlagArray : IN VitalPortFlagVectorType;
CONSTANT CrossPortAddressArray : IN VitalAddressValueVectorType;
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE
) ;
-- ----------------------------------------------------------------------------
-- Procedure: VitalMemoryViolation
-- Parameters: DataOutBus - Output zero delay data bus out
-- MemoryData - Pointer to memory data structure
-- PortFlag - Indicates port operating mode
-- DataInBus - Input value of data bus in
-- AddressValue - Decoded value of the AddressBus
-- ViolationFlags - Aggregate of scalar violation vars
-- ViolationFlagsArray - Concatenation of vector violation vars
-- ViolationTable - Input memory violation table
-- PortType - The type of port (currently not used)
-- PortName - Port name string for messages
-- HeaderMsg - Header string for messages
-- MsgOn - Control the generation of messages
-- MsgSeverity - Control level of message generation
-- Description: This procedure is intended to implement all actions on the
-- memory contents and data out bus as a result of timing viols.
-- It uses the memory action table to perform various corruption
-- policies specified by the user.
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemoryViolation (
VARIABLE DataOutBus : INOUT std_logic_vector;
VARIABLE MemoryData : INOUT VitalMemoryDataType;
VARIABLE PortFlag : INOUT VitalPortFlagVectorType;
CONSTANT DataInBus : IN std_logic_vector;
CONSTANT AddressValue : IN VitalAddressValueType;
CONSTANT ViolationFlags : IN std_logic_vector;
CONSTANT ViolationFlagsArray : IN X01ArrayT;
CONSTANT ViolationSizesArray : IN VitalMemoryViolFlagSizeType;
CONSTANT ViolationTable : IN VitalMemoryTableType;
CONSTANT PortType : IN VitalPortType;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING
) ;
PROCEDURE VitalMemoryViolation (
VARIABLE DataOutBus : INOUT std_logic_vector;
VARIABLE MemoryData : INOUT VitalMemoryDataType;
VARIABLE PortFlag : INOUT VitalPortFlagVectorType;
CONSTANT DataInBus : IN std_logic_vector;
CONSTANT AddressValue : IN VitalAddressValueType;
CONSTANT ViolationFlags : IN std_logic_vector;
CONSTANT ViolationTable : IN VitalMemoryTableType;
CONSTANT PortType : IN VitalPortType;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING
) ;
END Vital_Memory;
|
-- ----------------------------------------------------------------------------
-- Title : Standard VITAL Memory Package
-- :
-- Library : Vital_Memory
-- :
-- Developers : IEEE DASC Timing Working Group (TWG), PAR 1076.4
-- : Ekambaram Balaji, LSI Logic Corporation
-- : Jose De Castro, Consultant
-- : Prakash Bare, GDA Technologies
-- : William Yam, LSI Logic Corporation
-- : Dennis Brophy, Model Technology
-- :
-- Purpose : This packages defines standard types, constants, functions
-- : and procedures for use in developing ASIC memory models.
-- :
-- ----------------------------------------------------------------------------
--
-- ----------------------------------------------------------------------------
-- Modification History :
-- ----------------------------------------------------------------------------
-- Ver:|Auth:| Date:| Changes Made:
-- 0.1 | eb |071796| First prototye as part of VITAL memory proposal
-- 0.2 | jdc |012897| Initial prototyping with proposed MTM scheme
-- 0.3 | jdc |090297| Extensive updates for TAG review (functional)
-- 0.4 | eb |091597| Changed naming conventions for VitalMemoryTable
-- | | | Added interface of VitalMemoryCrossPorts() &
-- | | | VitalMemoryViolation().
-- 0.5 | jdc |092997| Completed naming changes thoughout package body.
-- | | | Testing with simgle port test model looks ok.
-- 0.6 | jdc |121797| Major updates to the packages:
-- | | | - Implement VitalMemoryCrossPorts()
-- | | | - Use new VitalAddressValueType
-- | | | - Use new VitalCrossPortModeType enum
-- | | | - Overloading without SamePort args
-- | | | - Honor erroneous address values
-- | | | - Honor ports disabled with 'Z'
-- | | | - Implement implicit read 'M' table symbol
-- | | | - Cleanup buses to use (H DOWNTO L)
-- | | | - Message control via MsgOn,HeaderMsg,PortName
-- | | | - Tested with 1P1RW,2P2RW,4P2R2W,4P4RW cases
-- 0.7 | jdc |052698| Bug fixes to the packages:
-- | | | - Fix failure with negative Address values
-- | | | - Added debug messages for VMT table search
-- | | | - Remove 'S' for action column (only 's')
-- | | | - Remove 's' for response column (only 'S')
-- | | | - Remove 'X' for action and response columns
-- 0.8 | jdc |061298| Implemented VitalMemoryViolation()
-- | | | - Minimal functionality violation tables
-- | | | - Missing:
-- | | | - Cannot handle wide violation variables
-- | | | - Cannot handle sub-word cases
-- | | | Fixed IIC version of MemoryMatch
-- | | | Fixed 'M' vs 'm' switched on debug output
-- | | | TO BE DONE:
-- | | | - Implement 'd' corrupting a single bit
-- | | | - Implement 'D' corrupting a single bit
-- 0.9 |eb/sc|080498| Added UNDEF value for VitalPortFlagType
-- 0.10|eb/sc|080798| Added CORRUPT value for VitalPortFlagType
-- 0.11|eb/sc|081798| Added overloaded function interface for
-- | | | VitalDeclareMemory
-- 0.14| jdc |113198| Merging of memory functionality and version
-- | | | 1.4 9/17/98 of timing package from Prakash
-- 0.15| jdc |120198| Major development of VMV functionality
-- 0.16| jdc |120298| Complete VMV functionlality for initial testing
-- | | | - New ViolationTableCorruptMask() procedure
-- | | | - New MemoryTableCorruptMask() procedure
-- | | | - HandleMemoryAction():
-- | | | - Removed DataOutBus bogus output
-- | | | - Replaced DataOutTmp with DataInTmp
-- | | | - Added CorruptMask input handling
-- | | | - Implemented 'd','D' using CorruptMask
-- | | | - CorruptMask on 'd','C','L','D','E'
-- | | | - CorruptMask ignored on 'c','l','e'
-- | | | - Changed 'l','d','e' to set PortFlag to CORRUPT
-- | | | - Changed 'L','D','E' to set PortFlag to CORRUPT
-- | | | - Changed 'c','l','d','e' to ignore HighBit, LowBit
-- | | | - Changed 'C','L','D','E' to use HighBit, LowBit
-- | | | - HandleDataAction():
-- | | | - Added CorruptMask input handling
-- | | | - Implemented 'd','D' using CorruptMask
-- | | | - CorruptMask on 'd','C','L','D','E'
-- | | | - CorruptMask ignored on 'l','e'
-- | | | - Changed 'l','d','e' to set PortFlag to CORRUPT
-- | | | - Changed 'L','D','E' to set PortFlag to CORRUPT
-- | | | - Changed 'l','d','e' to ignore HighBit, LowBit
-- | | | - Changed 'L','D','E' to use HighBit, LowBit
-- | | | - MemoryTableLookUp():
-- | | | - Added MsgOn table debug output
-- | | | - Uses new MemoryTableCorruptMask()
-- | | | - ViolationTableLookUp():
-- | | | - Uses new ViolationTableCorruptMask()
-- 0.17| jdc |120898| - Added VitalMemoryViolationSymbolType,
-- | | | VitalMemoryViolationTableType data
-- | | | types but not used yet (need to discuss)
-- | | | - Added overload for VitalMemoryViolation()
-- | | | which does not have array flags
-- | | | - Bug fixes for VMV functionality:
-- | | | - ViolationTableLookUp() not handling '-' in
-- | | | scalar violation matching
-- | | | - VitalMemoryViolation() now normalizes
-- | | | VFlagArrayTmp'LEFT as LSB before calling
-- | | | ViolationTableLookUp() for proper scanning
-- | | | - ViolationTableCorruptMask() had to remove
-- | | | normalization of CorruptMaskTmp and
-- | | | ViolMaskTmp for proper MSB:LSB corruption
-- | | | - HandleMemoryAction(), HandleDataAction()
-- | | | - Removed 'D','E' since not being used
-- | | | - Use XOR instead of OR for corrupt masks
-- | | | - Now 'd' is sensitive to HighBit, LowBit
-- | | | - Fixed LowBit overflow in bit writeable case
-- | | | - MemoryTableCorruptMask()
-- | | | - ViolationTableCorruptMask()
-- | | | - VitalMemoryTable()
-- | | | - VitalMemoryCrossPorts()
-- | | | - Fixed VitalMemoryViolation() failing on
-- | | | error AddressValue from earlier VMT()
-- | | | - Minor cleanup of code formatting
-- 0.18| jdc |032599| - In VitalDeclareMemory()
-- | | | - Added BinaryLoadFile formal arg and
-- | | | modified LoadMemory() to handle bin
-- | | | - Added NOCHANGE to VitalPortFlagType
-- | | | - For VitalCrossPortModeType
-- | | | - Added CpContention enum
-- | | | - In HandleDataAction()
-- | | | - Set PortFlag := NOCHANGE for 'S'
-- | | | - In HandleMemoryAction()
-- | | | - Set PortFlag := NOCHANGE for 's'
-- | | | - In VitalMemoryTable() and
-- | | | VitalMemoryViolation()
-- | | | - Honor PortFlag = NOCHANGE returned
-- | | | from HandleMemoryAction()
-- | | | - In VitalMemoryCrossPorts()
-- | | | - Fixed Address = AddressJ for all
-- | | | conditions of DoWrCont & DoCpRead
-- | | | - Handle CpContention like WrContOnly
-- | | | under CpReadOnly conditions, with
-- | | | associated memory message changes
-- | | | - Handle PortFlag = NOCHANGE like
-- | | | PortFlag = READ for actions
-- | | | - Modeling change:
-- | | | - Need to init PortFlag every delta
-- | | | PortFlag_A := (OTHES => UNDEF);
-- | | | - Updated InternalTimingCheck code
-- 0.19| jdc |042599| - Fixes for bit-writeable cases
-- | | | - Check PortFlag after HandleDataAction
-- | | | in VitalMemoryViolation()
-- 0.20| jdc |042599| - Merge PortFlag changes from Prakash
-- | | | and Willian:
-- | | | VitalMemorySchedulePathDelay()
-- | | | VitalMemoryExpandPortFlag()
-- 0.21| jdc |072199| - Changed VitalCrossPortModeType enums,
-- | | | added new CpReadAndReadContention.
-- | | | - Fixed VitalMemoryCrossPorts() parameter
-- | | | SamePortFlag to INOUT so that it can
-- | | | set CORRUPT or READ value.
-- | | | - Fixed VitalMemoryTable() where PortFlag
-- | | | setting by HandleDataAction() is being
-- | | | ignored when HandleMemoryAction() sets
-- | | | PortFlagTmp to NOCHANGE.
-- | | | - Fixed VitalMemoryViolation() to set
-- | | | all bits of PortFlag when violating.
-- 0.22| jdc |072399| - Added HIGHZ to PortFlagType. HandleData
-- | | | checks whether the previous state is HIGHZ.
-- | | | If yes then portFlag should be NOCHANGE
-- | | | for VMPD to ignore IORetain corruption.
-- | | | The idea is that the first Z should be
-- | | | propagated but later ones should be ignored.
-- | | |
-- 0.23| jdc |100499| - Took code checked in by Dennis 09/28/99
-- | | | - Changed VitalPortFlagType to record of
-- | | | new VitalPortStateType to hold current,
-- | | | previous values and separate disable.
-- | | | Also created VitalDefaultPortFlag const.
-- | | | Removed usage of PortFlag NOCHANGE
-- | | | - VitalMemoryTable() changes:
-- | | | Optimized return when all curr = prev
-- | | | AddressValue is now INOUT to optimize
-- | | | Transfer PF.MemoryCurrent to MemoryPrevious
-- | | | Transfer PF.DataCurrent to DataPrevious
-- | | | Reset PF.OutputDisable to FALSE
-- | | | Expects PortFlag init in declaration
-- | | | No need to init PortFlag every delta
-- | | | - VitalMemorySchedulePathDelay() changes:
-- | | | Initialize with VitalDefaultPortFlag
-- | | | Check PortFlag.OutputDisable
-- | | | - HandleMemoryAction() changes:
-- | | | Set value of PortFlag.MemoryCurrent
-- | | | Never set PortFlag.OutputDisable
-- | | | - HandleDataAction() changes:
-- | | | Set value of PortFlag.DataCurrent
-- | | | Set PortFlag.DataCurrent for HIGHZ
-- | | | - VitalMemoryCrossPorts() changes:
-- | | | Check/set value of PF.MemoryCurrent
-- | | | Check value of PF.OutputDisable
-- | | | - VitalMemoryViolation() changes:
-- | | | Fixed bug - not reading inout PF value
-- | | | Clean up setting of PortFlag
-- 0.24| jdc |100899| - Modified update of PF.OutputDisable
-- | | | to correctly accomodate 2P1W1R case:
-- | | | the read port should not exhibit
-- | | | IO retain corrupt when reading
-- | | | addr unrelated to addr being written.
-- 0.25| jdc |100999| - VitalMemoryViolation() change:
-- | | | Fixed bug with RDNWR mode incorrectly
-- | | | updating the PF.OutputDisable
-- 0.26| jdc |100999| - VitalMemoryCrossPorts() change:
-- | | | Fixed bugs with update of PF
-- 0.27| jdc |101499| - VitalMemoryCrossPorts() change:
-- | | | Added DoRdWrCont message (ErrMcpRdWrCo,
-- | | | Memory cross port read/write data only
-- | | | contention)
-- | | | - VitalMemoryTable() change:
-- | | | Set PF.OutputDisable := TRUE for the
-- | | | optimized cases.
-- 0.28| pb |112399| - Added 8 VMPD procedures for vector
-- | | | PathCondition support. Now the total
-- | | | number of overloadings for VMPD is 24.
-- | | | - Number of overloadings for SetupHold
-- | | | procedures increased to 5. Scalar violations
-- | | | are not supported anymore. Vector checkEnabled
-- | | | support is provided through the new overloading
-- 0.29| jdc |120999| - HandleMemoryAction() HandleDataAction()
-- | | | Reinstated 'D' and 'E' actions but
-- | | | with new PortFlagType
-- | | | - Updated file handling syntax, must compile
-- | | | with -93 syntax now.
-- 0.30| jdc |022300| - Formated for 80 column max width
-- ----------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.Vital_Timing.ALL;
USE IEEE.Vital_Primitives.ALL;
LIBRARY STD;
USE STD.TEXTIO.ALL;
PACKAGE Vital_Memory IS
-- ----------------------------------------------------------------------------
-- Timing Section
-- ----------------------------------------------------------------------------
-- ----------------------------------------------------------------------------
-- Types and constants for Memory timing procedures
-- ----------------------------------------------------------------------------
TYPE VitalMemoryArcType IS (ParallelArc, CrossArc, SubwordArc);
TYPE OutputRetainBehaviorType IS (BitCorrupt, WordCorrupt);
TYPE VitalMemoryMsgFormatType IS (Vector, Scalar, VectorEnum);
TYPE X01ArrayT IS ARRAY (NATURAL RANGE <> ) OF X01;
TYPE X01ArrayPT IS ACCESS X01ArrayT;
TYPE VitalMemoryViolationType IS ACCESS X01ArrayT;
CONSTANT DefaultNumBitsPerSubword : INTEGER := -1;
-- Data type storing path delay and schedule information for output bits
TYPE VitalMemoryScheduleDataType IS RECORD
OutputData : std_ulogic;
NumBitsPerSubWord : INTEGER;
ScheduleTime : TIME;
ScheduleValue : std_ulogic;
LastOutputValue : std_ulogic;
PropDelay : TIME;
OutputRetainDelay : TIME;
InputAge : TIME;
END RECORD;
TYPE VitalMemoryTimingDataType IS RECORD
NotFirstFlag : BOOLEAN;
RefLast : X01;
RefTime : TIME;
HoldEn : BOOLEAN;
TestLast : std_ulogic;
TestTime : TIME;
SetupEn : BOOLEAN;
TestLastA : VitalLogicArrayPT;
TestTimeA : VitalTimeArrayPT;
RefLastA : X01ArrayPT;
RefTimeA : VitalTimeArrayPT;
HoldEnA : VitalBoolArrayPT;
SetupEnA : VitalBoolArrayPT;
END RECORD;
TYPE VitalPeriodDataArrayType IS ARRAY (NATURAL RANGE <>) OF
VitalPeriodDataType;
-- Data type storing path delay and schedule information for output
-- vectors
TYPE VitalMemoryScheduleDataVectorType IS ARRAY (NATURAL RANGE <> ) OF
VitalMemoryScheduleDataType;
-- VitalPortFlagType records runtime mode of port sub-word slices
-- TYPE VitalPortFlagType IS (
-- UNDEF,
-- READ,
-- WRITE,
-- CORRUPT,
-- HIGHZ,
-- NOCHANGE
-- );
-- VitalPortFlagType records runtime mode of port sub-word slices
TYPE VitalPortStateType IS (
UNDEF,
READ,
WRITE,
CORRUPT,
HIGHZ
);
TYPE VitalPortFlagType IS RECORD
MemoryCurrent : VitalPortStateType;
MemoryPrevious : VitalPortStateType;
DataCurrent : VitalPortStateType;
DataPrevious : VitalPortStateType;
OutputDisable : BOOLEAN;
END RECORD;
CONSTANT VitalDefaultPortFlag : VitalPortFlagType := (
MemoryCurrent => READ,
MemoryPrevious => UNDEF,
DataCurrent => READ,
DataPrevious => UNDEF,
OutputDisable => FALSE
);
-- VitalPortFlagVectorType to be same width i as enables of a port
-- or j multiples thereof, where j is the number of cross ports
TYPE VitalPortFlagVectorType IS
ARRAY (NATURAL RANGE <>) OF VitalPortFlagType;
-- ----------------------------------------------------------------------------
-- Functions : VitalMemory path delay procedures
-- - VitalMemoryInitPathDelay
-- - VitalMemoryAddPathDelay
-- - VitalMemorySchedulePathDelay
--
-- Description: VitalMemoryInitPathDelay, VitalMemoryAddPathDelay and
-- VitalMemorySchedulePathDelay are Level 1 routines used
-- for selecting the propagation delay paths based on
-- path condition, transition type and delay values and
-- schedule a new output value.
--
-- Following features are implemented in these procedures:
-- o condition dependent path selection
-- o Transition dependent delay selection
-- o shortest delay path selection from multiple
-- candidate paths
-- o Scheduling of the computed values on the specified
-- signal.
-- o output retain behavior if outputRetain flag is set
-- o output mapping to alternate strengths to model
-- pull-up, pull-down etc.
--
-- <More details to be added here>
--
-- Following is information on overloading of the procedures.
--
-- VitalMemoryInitPathDelay is overloaded for ScheduleDataArray and
-- OutputDataArray
--
-- ----------------------------------------------------------------------------
-- ScheduleDataArray OutputDataArray
-- ----------------------------------------------------------------------------
-- Scalar Scalar
-- Vector Vector
-- ----------------------------------------------------------------------------
--
--
-- VitalMemoryAddPathDelay is overloaded for ScheduleDataArray,
-- PathDelayArray, InputSignal and delaytype.
--
-- ----------------------------------------------------------------------------
-- DelayType InputSignal ScheduleData PathDelay
-- Array Array
-- ----------------------------------------------------------------------------
-- VitalDelayType Scalar Scalar Scalar
-- VitalDelayType Scalar Vector Vector
-- VitalDelayType Vector Scalar Vector
-- VitalDelayType Vector Vector Vector
-- VitalDelayType01 Scalar Scalar Scalar
-- VitalDelayType01 Scalar Vector Vector
-- VitalDelayType01 Vector Scalar Vector
-- VitalDelayType01 Vector Vector Vector
-- VitalDelayType01Z Scalar Scalar Scalar
-- VitalDelayType01Z Scalar Vector Vector
-- VitalDelayType01Z Vector Scalar Vector
-- VitalDelayType01Z Vector Vector Vector
-- VitalDelayType01XZ Scalar Scalar Scalar
-- VitalDelayType01XZ Scalar Vector Vector
-- VitalDelayType01XZ Vector Scalar Vector
-- VitalDelayType01XZ Vector Vector Vector
-- ----------------------------------------------------------------------------
--
--
-- VitalMemorySchedulePathDelay is overloaded for ScheduleDataArray,
-- and OutSignal
--
-- ----------------------------------------------------------------------------
-- OutSignal ScheduleDataArray
-- ----------------------------------------------------------------------------
-- Scalar Scalar
-- Vector Vector
-- ----------------------------------------------------------------------------
--
-- Procedure Declarations:
--
--
-- Function : VitalMemoryInitPathDelay
--
-- Arguments:
--
-- INOUT Type Description
--
-- ScheduleDataArray/ VitalMemoryScheduleDataVectorType/
-- ScheduleData VitalMemoryScheduleDataType
-- Internal data variable for
-- storing delay and schedule
-- information for each output bit
--
--
-- IN
--
-- OutputDataArray/ STD_LOGIC_VECTOR/Array containing current output
-- OutputData STD_ULOGIC value
--
--
-- NumBitsPerSubWord INTEGER Number of bits per subword.
-- Default value of this argument
-- is DefaultNumBitsPerSubword
-- which is interpreted as no
-- subwords
--
-- ----------------------------------------------------------------------------
--
--
-- ScheduleDataArray - Vector
-- OutputDataArray - Vector
--
PROCEDURE VitalMemoryInitPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
VARIABLE OutputDataArray : IN STD_LOGIC_VECTOR;
CONSTANT NumBitsPerSubWord : IN INTEGER := DefaultNumBitsPerSubword
);
--
-- ScheduleDataArray - Scalar
-- OutputDataArray - Scalar
--
PROCEDURE VitalMemoryInitPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
VARIABLE OutputData : IN STD_ULOGIC
);
-- ----------------------------------------------------------------------------
--
-- Function : VitalMemoryAddPathDelay
--
-- Arguments
--
-- INOUT Type Description
--
-- ScheduleDataArray/ VitalMemoryScheduleDataVectorType/
-- ScheduleData VitalMemoryScheduleDataType
-- Internal data variable for
-- storing delay and schedule
-- information for each output bit
--
-- InputChangeTimeArray/ VitaltimeArrayT/Time
-- InputChangeTime Holds the time since the last
-- input change
--
-- IN
--
-- InputSignal STD_LOGIC_VECTOR
-- STD_ULOGIC/ Array holding the input value
--
-- OutputSignalName STRING The output signal name
--
-- PathDelayArray/ VitalDelayArrayType01ZX,
-- PathDelay VitalDelayArrayType01Z,
-- VitalDelayArrayType01,
-- VitalDelayArrayType/
-- VitalDelayType01ZX,
-- VitalDelayType01Z,
-- VitalDelayType01,
-- VitalDelayType Array of delay values
--
-- ArcType VitalMemoryArcType
-- Indicates the Path type. This
-- can be SubwordArc, CrossArc or
-- ParallelArc
--
-- PathCondition BOOLEAN If True, the transition in
-- the corresponding input signal
-- is considered while
-- caluculating the prop. delay
-- else the transition is ignored.
--
-- OutputRetainFlag BOOLEAN If specified TRUE,output retain
-- (hold) behavior is implemented.
--
-- ----------------------------------------------------------------------------
--
-- #1
-- DelayType - VitalDelayType
-- Input - Scalar
-- Output - Scalar
-- Delay - Scalar
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelay : IN VitalDelayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #2
-- DelayType - VitalDelayType
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #3
-- DelayType - VitalDelayType
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray: IN VitalBoolArrayT
);
-- #4
-- DelayType - VitalDelayType
-- Input - Vector
-- Output - Scalar
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #5
-- DelayType - VitalDelayType
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #6
-- DelayType - VitalDelayType
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray : IN VitalBoolArrayT
);
-- #7
-- DelayType - VitalDelayType01
-- Input - Scalar
-- Output - Scalar
-- Delay - Scalar
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelay : IN VitalDelayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #8
-- DelayType - VitalDelayType01
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #9
-- DelayType - VitalDelayType01
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray: IN VitalBoolArrayT
);
-- #10
-- DelayType - VitalDelayType01
-- Input - Vector
-- Output - Scalar
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #11
-- DelayType - VitalDelayType01
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #12
-- DelayType - VitalDelayType01
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray : IN VitalBoolArrayT
);
-- #13
-- DelayType - VitalDelayType01Z
-- Input - Scalar
-- Output - Scalar
-- Delay - Scalar
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelay : IN VitalDelayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE
);
-- #14
-- DelayType - VitalDelayType01Z
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE
);
-- #15
-- DelayType - VitalDelayType01Z
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray: IN VitalBoolArrayT;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE
);
-- #16
-- DelayType - VitalDelayType01Z
-- Input - Vector
-- Output - Scalar
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt
);
-- #17
-- DelayType - VitalDelayType01Z
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt
);
-- #18
-- DelayType - VitalDelayType01Z
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray : IN VitalBoolArrayT;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt
);
-- #19
-- DelayType - VitalDelayType01ZX
-- Input - Scalar
-- Output - Scalar
-- Delay - Scalar
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelay : IN VitalDelayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE
);
-- #20
-- DelayType - VitalDelayType01ZX
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE
);
-- #21
-- DelayType - VitalDelayType01ZX
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray: IN VitalBoolArrayT;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE
);
-- #22
-- DelayType - VitalDelayType01ZX
-- Input - Vector
-- Output - Scalar
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt
);
-- #23
-- DelayType - VitalDelayType01ZX
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt
);
-- #24
-- DelayType - VitalDelayType01ZX
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray : IN VitalBoolArrayT;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt
);
-- ----------------------------------------------------------------------------
--
-- Function : VitalMemorySchedulePathDelay
--
-- Arguments:
--
-- OUT Type Description
-- OutSignal STD_LOGIC_VECTOR/ The output signal for
-- STD_ULOGIC scheduling
--
-- IN
-- OutputSignalName STRING The name of the output signal
--
-- IN
-- PortFlag VitalPortFlagType Port flag variable from
-- functional procedures
--
-- IN
-- OutputMap VitalOutputMapType For VitalPathDelay01Z, the
-- output can be mapped to
-- alternate strengths to model
-- tri-state devices, pull-ups
-- and pull-downs.
--
-- INOUT
-- ScheduleDataArray/ VitalMemoryScheduleDataVectorType/
-- ScheduleData VitalMemoryScheduleDataType
-- Internal data variable for
-- storing delay and schedule
-- information for each
-- output bit
--
-- ----------------------------------------------------------------------------
--
-- ScheduleDataArray - Vector
-- OutputSignal - Vector
--
PROCEDURE VitalMemorySchedulePathDelay (
SIGNAL OutSignal : OUT std_logic_vector;
CONSTANT OutputSignalName : IN STRING := "";
CONSTANT PortFlag : IN VitalPortFlagType := VitalDefaultPortFlag;
CONSTANT OutputMap : IN VitalOutputMapType := VitalDefaultOutputMap;
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType
);
--
-- ScheduleDataArray - Vector
-- OutputSignal - Vector
--
PROCEDURE VitalMemorySchedulePathDelay (
SIGNAL OutSignal : OUT std_logic_vector;
CONSTANT OutputSignalName : IN STRING := "";
CONSTANT PortFlag : IN VitalPortFlagVectorType;
CONSTANT OutputMap : IN VitalOutputMapType := VitalDefaultOutputMap;
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType
);
--
-- ScheduleDataArray - Scalar
-- OutputSignal - Scalar
--
PROCEDURE VitalMemorySchedulePathDelay (
SIGNAL OutSignal : OUT std_ulogic;
CONSTANT OutputSignalName : IN STRING := "";
CONSTANT PortFlag : IN VitalPortFlagType := VitalDefaultPortFlag;
CONSTANT OutputMap : IN VitalOutputMapType := VitalDefaultOutputMap;
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType
);
-- ----------------------------------------------------------------------------
FUNCTION VitalMemoryTimingDataInit RETURN VitalMemoryTimingDataType;
-- ----------------------------------------------------------------------------
--
-- Function Name: VitalMemorySetupHoldCheck
--
-- Description: The VitalMemorySetupHoldCheck procedure detects a setup or a
-- hold violation on the input test signal with respect
-- to the corresponding input reference signal. The timing
-- constraints are specified through parameters
-- representing the high and low values for the setup and
-- hold values for the setup and hold times. This
-- procedure assumes non-negative values for setup and hold
-- timing constraints.
--
-- It is assumed that negative timing constraints
-- are handled by internally delaying the test or
-- reference signals. Negative setup times result in
-- a delayed reference signal. Negative hold times
-- result in a delayed test signal. Furthermore, the
-- delays and constraints associated with these and
-- other signals may need to be appropriately
-- adjusted so that all constraint intervals overlap
-- the delayed reference signals and all constraint
-- values (with respect to the delayed signals) are
-- non-negative.
--
-- This function is overloaded based on the input
-- TestSignal and reference signals. Parallel, Subword and
-- Cross Arc relationships between test and reference
-- signals are supported.
--
-- TestSignal XXXXXXXXXXXX____________________________XXXXXXXXXXXXXXXXXXXXXX
-- :
-- : -->| error region |<--
-- :
-- _______________________________
-- RefSignal \______________________________
-- : | | |
-- : | -->| |<-- thold
-- : -->| tsetup |<--
--
-- Arguments:
--
-- IN Type Description
-- TestSignal std_logic_vector Value of test signal
-- TestSignalName STRING Name of test signal
-- TestDelay VitalDelayArrayType Model's internal delay associated
-- with TestSignal
-- RefSignal std_ulogic Value of reference signal
-- std_logic_vector
-- RefSignalName STRING Name of reference signal
-- RefDelay TIME Model's internal delay associated
-- VitalDelayArrayType with RefSignal
-- SetupHigh VitalDelayArrayType Absolute minimum time duration
-- before the transition of RefSignal
-- for which transitions of
-- TestSignal are allowed to proceed
-- to the "1" state without causing
-- a setup violation.
-- SetupLow VitalDelayArrayType Absolute minimum time duration
-- before the transition of RefSignal
-- for which transitions of
-- TestSignal are allowed to proceed
-- to the "0" state without causing
-- a setup violation.
-- HoldHigh VitalDelayArrayType Absolute minimum time duration
-- after the transition of RefSignal
-- for which transitions of
-- TestSignal are allowed to
-- proceed to the "1" state without
-- causing a hold violation.
-- HoldLow VitalDelayArrayType Absolute minimum time duration
-- after the transition of RefSignal
-- for which transitions of
-- TestSignal are allowed to
-- proceed to the "0" state without
-- causing a hold violation.
-- CheckEnabled BOOLEAN Check performed if TRUE.
-- RefTransition VitalEdgeSymbolType
-- Reference edge specified. Events
-- on the RefSignal which match the
-- edge spec. are used as reference
-- edges.
-- ArcType VitalMemoryArcType
-- NumBitsPerSubWord INTEGER
-- HeaderMsg STRING String that will accompany any
-- assertion messages produced.
-- XOn BOOLEAN If TRUE, Violation output
-- parameter is set to "X".
-- Otherwise, Violation is always
-- set to "0."
-- MsgOn BOOLEAN If TRUE, set and hold violation
-- message will be generated.
-- Otherwise, no messages are
-- generated, even upon violations.
-- MsgSeverity SEVERITY_LEVEL Severity level for the assertion.
-- MsgFormat VitalMemoryMsgFormatType
-- Format of the Test/Reference
-- signals in violation messages.
--
-- INOUT
-- TimingData VitalMemoryTimingDataType
-- VitalMemorySetupHoldCheck information
-- storage area. This is used
-- internally to detect reference
-- edges and record the time of the
-- last edge.
--
-- OUT
-- Violation X01 This is the violation flag returned.
-- X01ArrayT Overloaded for array type.
--
--
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_ulogic;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN TIME := 0 ns;
SIGNAL RefSignal : IN std_ulogic;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN TIME := 0 ns;
CONSTANT SetupHigh : IN VitalDelayType;
CONSTANT SetupLow : IN VitalDelayType;
CONSTANT HoldHigh : IN VitalDelayType;
CONSTANT HoldLow : IN VitalDelayType;
CONSTANT CheckEnabled : IN VitalBoolArrayT;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
);
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
SIGNAL RefSignal : IN std_ulogic;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN TIME := 0 ns;
CONSTANT SetupHigh : IN VitalDelayArrayType;
CONSTANT SetupLow : IN VitalDelayArrayType;
CONSTANT HoldHigh : IN VitalDelayArrayType;
CONSTANT HoldLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
);
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
SIGNAL RefSignal : IN std_ulogic;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN TIME := 0 ns;
CONSTANT SetupHigh : IN VitalDelayArrayType;
CONSTANT SetupLow : IN VitalDelayArrayType;
CONSTANT HoldHigh : IN VitalDelayArrayType;
CONSTANT HoldLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN VitalBoolArrayT;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT NumBitsPerSubWord : IN INTEGER := 1;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
);
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
SIGNAL RefSignal : IN std_logic_vector;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN VitalDelayArrayType;
CONSTANT SetupHigh : IN VitalDelayArrayType;
CONSTANT SetupLow : IN VitalDelayArrayType;
CONSTANT HoldHigh : IN VitalDelayArrayType;
CONSTANT HoldLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT NumBitsPerSubWord : IN INTEGER := 1;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
);
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
SIGNAL RefSignal : IN std_logic_vector;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN VitalDelayArrayType;
CONSTANT SetupHigh : IN VitalDelayArrayType;
CONSTANT SetupLow : IN VitalDelayArrayType;
CONSTANT HoldHigh : IN VitalDelayArrayType;
CONSTANT HoldLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN VitalBoolArrayT;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT NumBitsPerSubWord : IN INTEGER := 1;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
);
--------------- following are not needed --------------------------
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
SIGNAL RefSignal : IN std_ulogic;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN TIME := 0 ns;
CONSTANT SetupHigh : IN VitalDelayArrayType;
CONSTANT SetupLow : IN VitalDelayArrayType;
CONSTANT HoldHigh : IN VitalDelayArrayType;
CONSTANT HoldLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
);
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
SIGNAL RefSignal : IN std_logic_vector;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN VitalDelayArrayType;
CONSTANT SetupHigh : IN VitalDelayArrayType;
CONSTANT SetupLow : IN VitalDelayArrayType;
CONSTANT HoldHigh : IN VitalDelayArrayType;
CONSTANT HoldLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT NumBitsPerSubWord : IN INTEGER := 1;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
);
-- ----------------------------------------------------------------------------
--
-- Function Name: VitalPeriodPulseCheck
--
-- Description: VitalPeriodPulseCheck checks for minimum and maximum
-- periodicity and pulse width for "1" and "0" values of
-- the input test signal. The timing constraint is
-- specified through parameters representing the minimal
-- period between successive rising and falling edges of
-- the input test signal and the minimum pulse widths
-- associated with high and low values.
--
-- VitalPeriodCheck's accepts rising and falling edges
-- from 1 and 0 as well as transitions to and from 'X.'
--
-- _______________ __________
-- ____________| |_______|
--
-- |<--- pw_hi --->|
-- |<-------- period ----->|
-- -->| pw_lo |<--
--
-- Arguments:
-- IN Type Description
-- TestSignal std_logic_vector Value of test signal
-- TestSignalName STRING Name of the test signal
-- TestDelay VitalDelayArrayType
-- Model's internal delay associated
-- with TestSignal
-- Period VitalDelayArrayType
-- Minimum period allowed between
-- consecutive rising ('P') or
-- falling ('F') transitions.
-- PulseWidthHigh VitalDelayArrayType
-- Minimum time allowed for a high
-- pulse ('1' or 'H')
-- PulseWidthLow VitalDelayArrayType
-- Minimum time allowed for a low
-- pulse ('0' or 'L')
-- CheckEnabled BOOLEAN Check performed if TRUE.
-- HeaderMsg STRING String that will accompany any
-- assertion messages produced.
-- XOn BOOLEAN If TRUE, Violation output parameter
-- is set to "X". Otherwise, Violation
-- is always set to "0."
-- MsgOn BOOLEAN If TRUE, period/pulse violation
-- message will be generated.
-- Otherwise, no messages are generated,
-- even though a violation is detected.
-- MsgSeverity SEVERITY_LEVEL Severity level for the assertion.
-- MsgFormat VitalMemoryMsgFormatType
-- Format of the Test/Reference signals
-- in violation messages.
--
-- INOUT
-- PeriodData VitalPeriodDataArrayType
-- VitalPeriodPulseCheck information
-- storage area. This is used
-- internally to detect reference edges
-- and record the pulse and period
-- times.
-- OUT
-- Violation X01 This is the violation flag returned.
-- X01ArrayT Overloaded for array type.
--
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemoryPeriodPulseCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE PeriodData : INOUT VitalPeriodDataArrayType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
CONSTANT Period : IN VitalDelayArrayType;
CONSTANT PulseWidthHigh : IN VitalDelayArrayType;
CONSTANT PulseWidthLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType
);
PROCEDURE VitalMemoryPeriodPulseCheck (
VARIABLE Violation : OUT X01;
VARIABLE PeriodData : INOUT VitalPeriodDataArrayType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
CONSTANT Period : IN VitalDelayArrayType;
CONSTANT PulseWidthHigh : IN VitalDelayArrayType;
CONSTANT PulseWidthLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType
);
-- ----------------------------------------------------------------------------
-- Functionality Section
-- ----------------------------------------------------------------------------
-- ----------------------------------------------------------------------------
-- All Memory Types and Record definitions.
-- ----------------------------------------------------------------------------
TYPE MemoryWordType IS ARRAY (NATURAL RANGE <>) OF UX01;
TYPE MemoryWordPtr IS ACCESS MemoryWordType;
TYPE MemoryArrayType IS ARRAY (NATURAL RANGE <>) OF MemoryWordPtr;
TYPE MemoryArrayPtrType IS ACCESS MemoryArrayType;
TYPE VitalMemoryArrayRecType IS
RECORD
NoOfWords : POSITIVE;
NoOfBitsPerWord : POSITIVE;
NoOfBitsPerSubWord : POSITIVE;
NoOfBitsPerEnable : POSITIVE;
MemoryArrayPtr : MemoryArrayPtrType;
END RECORD;
TYPE VitalMemoryDataType IS ACCESS VitalMemoryArrayRecType;
TYPE VitalTimingDataVectorType IS
ARRAY (NATURAL RANGE <>) OF VitalTimingDataType;
TYPE VitalMemoryViolFlagSizeType IS ARRAY (NATURAL RANGE <>) OF INTEGER;
-- ----------------------------------------------------------------------------
-- Symbol Literals used for Memory Table Modeling
-- ----------------------------------------------------------------------------
-- Symbol literals from '/' to 'S' are closely related to MemoryTableMatch
-- lookup matching and the order cannot be arbitrarily changed.
-- The remaining symbol literals are interpreted directly and matchting is
-- handled in the MemoryMatch procedure itself.
TYPE VitalMemorySymbolType IS (
'/', -- 0 -> 1
'\', -- 1 -> 0
'P', -- Union of '/' and '^' (any edge to 1)
'N', -- Union of '\' and 'v' (any edge to 0)
'r', -- 0 -> X
'f', -- 1 -> X
'p', -- Union of '/' and 'r' (any edge from 0)
'n', -- Union of '\' and 'f' (any edge from 1)
'R', -- Union of '^' and 'p' (any possible rising edge)
'F', -- Union of 'v' and 'n' (any possible falling edge)
'^', -- X -> 1
'v', -- X -> 0
'E', -- Union of 'v' and '^' (any edge from X)
'A', -- Union of 'r' and '^' (rising edge to or from 'X')
'D', -- Union of 'f' and 'v' (falling edge to or from 'X')
'*', -- Union of 'R' and 'F' (any edge)
'X', -- Unknown level
'0', -- low level
'1', -- high level
'-', -- don't care
'B', -- 0 or 1
'Z', -- High Impedance
'S', -- steady value
'g', -- Good address (no transition)
'u', -- Unknown address (no transition)
'i', -- Invalid address (no transition)
'G', -- Good address (with transition)
'U', -- Unknown address (with transition)
'I', -- Invalid address (with transition)
'w', -- Write data to memory
's', -- Retain previous memory contents
'c', -- Corrupt entire memory with 'X'
'l', -- Corrupt a word in memory with 'X'
'd', -- Corrupt a single bit in memory with 'X'
'e', -- Corrupt a word with 'X' based on data in
'C', -- Corrupt a sub-word entire memory with 'X'
'L', -- Corrupt a sub-word in memory with 'X'
-- The following entries are commented since their
-- interpretation overlap with existing definitions.
-- 'D', -- Corrupt a single bit of a sub-word with 'X'
-- 'E', -- Corrupt a sub-word with 'X' based on datain
'M', -- Implicit read data from memory
'm', -- Read data from memory
't' -- Immediate assign/transfer data in
);
TYPE VitalMemoryTableType IS ARRAY ( NATURAL RANGE <>, NATURAL RANGE <> )
OF VitalMemorySymbolType;
TYPE VitalMemoryViolationSymbolType IS (
'X', -- Unknown level
'0', -- low level
'-' -- don't care
);
TYPE VitalMemoryViolationTableType IS
ARRAY ( NATURAL RANGE <>, NATURAL RANGE <> )
OF VitalMemoryViolationSymbolType;
TYPE VitalPortType IS (
UNDEF,
READ,
WRITE,
RDNWR
);
TYPE VitalCrossPortModeType IS (
CpRead, -- CpReadOnly,
WriteContention, -- WrContOnly,
ReadWriteContention, -- CpContention
CpReadAndWriteContention, -- WrContAndCpRead,
CpReadAndReadContention
);
SUBTYPE VitalAddressValueType IS INTEGER;
TYPE VitalAddressValueVectorType IS
ARRAY (NATURAL RANGE <>) OF VitalAddressValueType;
-- ----------------------------------------------------------------------------
-- Procedure: VitalDeclareMemory
-- Parameters: NoOfWords - Number of words in the memory
-- NoOfBitsPerWord - Number of bits per word in memory
-- NoOfBitsPerSubWord - Number of bits per sub word
-- MemoryLoadFile - Name of data file to load
-- Description: This function is intended to be used to initialize
-- memory data declarations, i.e. to be executed duing
-- simulation elaboration time. Handles the allocation
-- and initialization of memory for the memory data.
-- Default NoOfBitsPerSubWord is NoOfBits.
-- ----------------------------------------------------------------------------
IMPURE FUNCTION VitalDeclareMemory (
CONSTANT NoOfWords : IN POSITIVE;
CONSTANT NoOfBitsPerWord : IN POSITIVE;
CONSTANT NoOfBitsPerSubWord : IN POSITIVE;
CONSTANT MemoryLoadFile : IN string := "";
CONSTANT BinaryLoadFile : IN BOOLEAN := FALSE
) RETURN VitalMemoryDataType;
IMPURE FUNCTION VitalDeclareMemory (
CONSTANT NoOfWords : IN POSITIVE;
CONSTANT NoOfBitsPerWord : IN POSITIVE;
CONSTANT MemoryLoadFile : IN string := "";
CONSTANT BinaryLoadFile : IN BOOLEAN := FALSE
) RETURN VitalMemoryDataType;
-- ----------------------------------------------------------------------------
-- Procedure: VitalMemoryTable
-- Parameters: DataOutBus - Output candidate zero delay data bus out
-- MemoryData - Pointer to memory data structure
-- PrevControls - Previous data in for edge detection
-- PrevEnableBus - Previous enables for edge detection
-- PrevDataInBus - Previous data bus for edge detection
-- PrevAddressBus - Previous address bus for edge detection
-- PortFlag - Indicates port operating mode
-- PortFlagArray - Vector form of PortFlag for sub-word
-- Controls - Agregate of scalar control lines
-- EnableBus - Concatenation of vector control lines
-- DataInBus - Input value of data bus in
-- AddressBus - Input value of address bus in
-- AddressValue - Decoded value of the AddressBus
-- MemoryTable - Input memory action table
-- PortType - The type of port (currently not used)
-- PortName - Port name string for messages
-- HeaderMsg - Header string for messages
-- MsgOn - Control the generation of messages
-- MsgSeverity - Control level of message generation
-- Description: This procedure implements the majority of the memory
-- modeling functionality via lookup of the memory action
-- tables and performing the specified actions if matches
-- are found, or the default actions otherwise. The
-- overloadings are provided for the word and sub-word
-- (using the EnableBus and PortFlagArray arguments) addressing
-- cases.
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemoryTable (
VARIABLE DataOutBus : INOUT std_logic_vector;
VARIABLE MemoryData : INOUT VitalMemoryDataType;
VARIABLE PrevControls : INOUT std_logic_vector;
VARIABLE PrevDataInBus : INOUT std_logic_vector;
VARIABLE PrevAddressBus : INOUT std_logic_vector;
VARIABLE PortFlag : INOUT VitalPortFlagVectorType;
CONSTANT Controls : IN std_logic_vector;
CONSTANT DataInBus : IN std_logic_vector;
CONSTANT AddressBus : IN std_logic_vector;
VARIABLE AddressValue : INOUT VitalAddressValueType;
CONSTANT MemoryTable : IN VitalMemoryTableType;
CONSTANT PortType : IN VitalPortType := UNDEF;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING
);
PROCEDURE VitalMemoryTable (
VARIABLE DataOutBus : INOUT std_logic_vector;
VARIABLE MemoryData : INOUT VitalMemoryDataType;
VARIABLE PrevControls : INOUT std_logic_vector;
VARIABLE PrevEnableBus : INOUT std_logic_vector;
VARIABLE PrevDataInBus : INOUT std_logic_vector;
VARIABLE PrevAddressBus : INOUT std_logic_vector;
VARIABLE PortFlagArray : INOUT VitalPortFlagVectorType;
CONSTANT Controls : IN std_logic_vector;
CONSTANT EnableBus : IN std_logic_vector;
CONSTANT DataInBus : IN std_logic_vector;
CONSTANT AddressBus : IN std_logic_vector;
VARIABLE AddressValue : INOUT VitalAddressValueType;
CONSTANT MemoryTable : IN VitalMemoryTableType;
CONSTANT PortType : IN VitalPortType := UNDEF;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING
);
-- ----------------------------------------------------------------------------
-- Procedure: VitalMemoryCrossPorts
-- Parameters: DataOutBus - Output candidate zero delay data bus out
-- MemoryData - Pointer to memory data structure
-- SamePortFlag - Operating mode for same port
-- SamePortAddressValue - Decoded AddressBus for same port
-- CrossPortFlagArray - Operating modes for cross ports
-- CrossPortAddressArray - Decoded AddressBus for cross ports
-- CrossPortMode - Write contention and crossport read control
-- PortName - Port name string for messages
-- HeaderMsg - Header string for messages
-- MsgOn - Control the generation of messages
--
-- Description: These procedures control the effect of memory operations
-- on a given port due to operations on other ports in a
-- multi-port memory.
-- This includes data write through when reading and writing
-- to the same address, as well as write contention when
-- there are multiple write to the same address.
-- If addresses do not match then data bus is unchanged.
-- The DataOutBus can be diabled with 'Z' value.
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemoryCrossPorts (
VARIABLE DataOutBus : INOUT std_logic_vector;
VARIABLE MemoryData : INOUT VitalMemoryDataType;
VARIABLE SamePortFlag : INOUT VitalPortFlagVectorType;
CONSTANT SamePortAddressValue : IN VitalAddressValueType;
CONSTANT CrossPortFlagArray : IN VitalPortFlagVectorType;
CONSTANT CrossPortAddressArray : IN VitalAddressValueVectorType;
CONSTANT CrossPortMode : IN VitalCrossPortModeType
:= CpReadAndWriteContention;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE
) ;
PROCEDURE VitalMemoryCrossPorts (
VARIABLE MemoryData : INOUT VitalMemoryDataType;
CONSTANT CrossPortFlagArray : IN VitalPortFlagVectorType;
CONSTANT CrossPortAddressArray : IN VitalAddressValueVectorType;
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE
) ;
-- ----------------------------------------------------------------------------
-- Procedure: VitalMemoryViolation
-- Parameters: DataOutBus - Output zero delay data bus out
-- MemoryData - Pointer to memory data structure
-- PortFlag - Indicates port operating mode
-- DataInBus - Input value of data bus in
-- AddressValue - Decoded value of the AddressBus
-- ViolationFlags - Aggregate of scalar violation vars
-- ViolationFlagsArray - Concatenation of vector violation vars
-- ViolationTable - Input memory violation table
-- PortType - The type of port (currently not used)
-- PortName - Port name string for messages
-- HeaderMsg - Header string for messages
-- MsgOn - Control the generation of messages
-- MsgSeverity - Control level of message generation
-- Description: This procedure is intended to implement all actions on the
-- memory contents and data out bus as a result of timing viols.
-- It uses the memory action table to perform various corruption
-- policies specified by the user.
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemoryViolation (
VARIABLE DataOutBus : INOUT std_logic_vector;
VARIABLE MemoryData : INOUT VitalMemoryDataType;
VARIABLE PortFlag : INOUT VitalPortFlagVectorType;
CONSTANT DataInBus : IN std_logic_vector;
CONSTANT AddressValue : IN VitalAddressValueType;
CONSTANT ViolationFlags : IN std_logic_vector;
CONSTANT ViolationFlagsArray : IN X01ArrayT;
CONSTANT ViolationSizesArray : IN VitalMemoryViolFlagSizeType;
CONSTANT ViolationTable : IN VitalMemoryTableType;
CONSTANT PortType : IN VitalPortType;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING
) ;
PROCEDURE VitalMemoryViolation (
VARIABLE DataOutBus : INOUT std_logic_vector;
VARIABLE MemoryData : INOUT VitalMemoryDataType;
VARIABLE PortFlag : INOUT VitalPortFlagVectorType;
CONSTANT DataInBus : IN std_logic_vector;
CONSTANT AddressValue : IN VitalAddressValueType;
CONSTANT ViolationFlags : IN std_logic_vector;
CONSTANT ViolationTable : IN VitalMemoryTableType;
CONSTANT PortType : IN VitalPortType;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING
) ;
END Vital_Memory;
|
-- ----------------------------------------------------------------------------
-- Title : Standard VITAL Memory Package
-- :
-- Library : Vital_Memory
-- :
-- Developers : IEEE DASC Timing Working Group (TWG), PAR 1076.4
-- : Ekambaram Balaji, LSI Logic Corporation
-- : Jose De Castro, Consultant
-- : Prakash Bare, GDA Technologies
-- : William Yam, LSI Logic Corporation
-- : Dennis Brophy, Model Technology
-- :
-- Purpose : This packages defines standard types, constants, functions
-- : and procedures for use in developing ASIC memory models.
-- :
-- ----------------------------------------------------------------------------
--
-- ----------------------------------------------------------------------------
-- Modification History :
-- ----------------------------------------------------------------------------
-- Ver:|Auth:| Date:| Changes Made:
-- 0.1 | eb |071796| First prototye as part of VITAL memory proposal
-- 0.2 | jdc |012897| Initial prototyping with proposed MTM scheme
-- 0.3 | jdc |090297| Extensive updates for TAG review (functional)
-- 0.4 | eb |091597| Changed naming conventions for VitalMemoryTable
-- | | | Added interface of VitalMemoryCrossPorts() &
-- | | | VitalMemoryViolation().
-- 0.5 | jdc |092997| Completed naming changes thoughout package body.
-- | | | Testing with simgle port test model looks ok.
-- 0.6 | jdc |121797| Major updates to the packages:
-- | | | - Implement VitalMemoryCrossPorts()
-- | | | - Use new VitalAddressValueType
-- | | | - Use new VitalCrossPortModeType enum
-- | | | - Overloading without SamePort args
-- | | | - Honor erroneous address values
-- | | | - Honor ports disabled with 'Z'
-- | | | - Implement implicit read 'M' table symbol
-- | | | - Cleanup buses to use (H DOWNTO L)
-- | | | - Message control via MsgOn,HeaderMsg,PortName
-- | | | - Tested with 1P1RW,2P2RW,4P2R2W,4P4RW cases
-- 0.7 | jdc |052698| Bug fixes to the packages:
-- | | | - Fix failure with negative Address values
-- | | | - Added debug messages for VMT table search
-- | | | - Remove 'S' for action column (only 's')
-- | | | - Remove 's' for response column (only 'S')
-- | | | - Remove 'X' for action and response columns
-- 0.8 | jdc |061298| Implemented VitalMemoryViolation()
-- | | | - Minimal functionality violation tables
-- | | | - Missing:
-- | | | - Cannot handle wide violation variables
-- | | | - Cannot handle sub-word cases
-- | | | Fixed IIC version of MemoryMatch
-- | | | Fixed 'M' vs 'm' switched on debug output
-- | | | TO BE DONE:
-- | | | - Implement 'd' corrupting a single bit
-- | | | - Implement 'D' corrupting a single bit
-- 0.9 |eb/sc|080498| Added UNDEF value for VitalPortFlagType
-- 0.10|eb/sc|080798| Added CORRUPT value for VitalPortFlagType
-- 0.11|eb/sc|081798| Added overloaded function interface for
-- | | | VitalDeclareMemory
-- 0.14| jdc |113198| Merging of memory functionality and version
-- | | | 1.4 9/17/98 of timing package from Prakash
-- 0.15| jdc |120198| Major development of VMV functionality
-- 0.16| jdc |120298| Complete VMV functionlality for initial testing
-- | | | - New ViolationTableCorruptMask() procedure
-- | | | - New MemoryTableCorruptMask() procedure
-- | | | - HandleMemoryAction():
-- | | | - Removed DataOutBus bogus output
-- | | | - Replaced DataOutTmp with DataInTmp
-- | | | - Added CorruptMask input handling
-- | | | - Implemented 'd','D' using CorruptMask
-- | | | - CorruptMask on 'd','C','L','D','E'
-- | | | - CorruptMask ignored on 'c','l','e'
-- | | | - Changed 'l','d','e' to set PortFlag to CORRUPT
-- | | | - Changed 'L','D','E' to set PortFlag to CORRUPT
-- | | | - Changed 'c','l','d','e' to ignore HighBit, LowBit
-- | | | - Changed 'C','L','D','E' to use HighBit, LowBit
-- | | | - HandleDataAction():
-- | | | - Added CorruptMask input handling
-- | | | - Implemented 'd','D' using CorruptMask
-- | | | - CorruptMask on 'd','C','L','D','E'
-- | | | - CorruptMask ignored on 'l','e'
-- | | | - Changed 'l','d','e' to set PortFlag to CORRUPT
-- | | | - Changed 'L','D','E' to set PortFlag to CORRUPT
-- | | | - Changed 'l','d','e' to ignore HighBit, LowBit
-- | | | - Changed 'L','D','E' to use HighBit, LowBit
-- | | | - MemoryTableLookUp():
-- | | | - Added MsgOn table debug output
-- | | | - Uses new MemoryTableCorruptMask()
-- | | | - ViolationTableLookUp():
-- | | | - Uses new ViolationTableCorruptMask()
-- 0.17| jdc |120898| - Added VitalMemoryViolationSymbolType,
-- | | | VitalMemoryViolationTableType data
-- | | | types but not used yet (need to discuss)
-- | | | - Added overload for VitalMemoryViolation()
-- | | | which does not have array flags
-- | | | - Bug fixes for VMV functionality:
-- | | | - ViolationTableLookUp() not handling '-' in
-- | | | scalar violation matching
-- | | | - VitalMemoryViolation() now normalizes
-- | | | VFlagArrayTmp'LEFT as LSB before calling
-- | | | ViolationTableLookUp() for proper scanning
-- | | | - ViolationTableCorruptMask() had to remove
-- | | | normalization of CorruptMaskTmp and
-- | | | ViolMaskTmp for proper MSB:LSB corruption
-- | | | - HandleMemoryAction(), HandleDataAction()
-- | | | - Removed 'D','E' since not being used
-- | | | - Use XOR instead of OR for corrupt masks
-- | | | - Now 'd' is sensitive to HighBit, LowBit
-- | | | - Fixed LowBit overflow in bit writeable case
-- | | | - MemoryTableCorruptMask()
-- | | | - ViolationTableCorruptMask()
-- | | | - VitalMemoryTable()
-- | | | - VitalMemoryCrossPorts()
-- | | | - Fixed VitalMemoryViolation() failing on
-- | | | error AddressValue from earlier VMT()
-- | | | - Minor cleanup of code formatting
-- 0.18| jdc |032599| - In VitalDeclareMemory()
-- | | | - Added BinaryLoadFile formal arg and
-- | | | modified LoadMemory() to handle bin
-- | | | - Added NOCHANGE to VitalPortFlagType
-- | | | - For VitalCrossPortModeType
-- | | | - Added CpContention enum
-- | | | - In HandleDataAction()
-- | | | - Set PortFlag := NOCHANGE for 'S'
-- | | | - In HandleMemoryAction()
-- | | | - Set PortFlag := NOCHANGE for 's'
-- | | | - In VitalMemoryTable() and
-- | | | VitalMemoryViolation()
-- | | | - Honor PortFlag = NOCHANGE returned
-- | | | from HandleMemoryAction()
-- | | | - In VitalMemoryCrossPorts()
-- | | | - Fixed Address = AddressJ for all
-- | | | conditions of DoWrCont & DoCpRead
-- | | | - Handle CpContention like WrContOnly
-- | | | under CpReadOnly conditions, with
-- | | | associated memory message changes
-- | | | - Handle PortFlag = NOCHANGE like
-- | | | PortFlag = READ for actions
-- | | | - Modeling change:
-- | | | - Need to init PortFlag every delta
-- | | | PortFlag_A := (OTHES => UNDEF);
-- | | | - Updated InternalTimingCheck code
-- 0.19| jdc |042599| - Fixes for bit-writeable cases
-- | | | - Check PortFlag after HandleDataAction
-- | | | in VitalMemoryViolation()
-- 0.20| jdc |042599| - Merge PortFlag changes from Prakash
-- | | | and Willian:
-- | | | VitalMemorySchedulePathDelay()
-- | | | VitalMemoryExpandPortFlag()
-- 0.21| jdc |072199| - Changed VitalCrossPortModeType enums,
-- | | | added new CpReadAndReadContention.
-- | | | - Fixed VitalMemoryCrossPorts() parameter
-- | | | SamePortFlag to INOUT so that it can
-- | | | set CORRUPT or READ value.
-- | | | - Fixed VitalMemoryTable() where PortFlag
-- | | | setting by HandleDataAction() is being
-- | | | ignored when HandleMemoryAction() sets
-- | | | PortFlagTmp to NOCHANGE.
-- | | | - Fixed VitalMemoryViolation() to set
-- | | | all bits of PortFlag when violating.
-- 0.22| jdc |072399| - Added HIGHZ to PortFlagType. HandleData
-- | | | checks whether the previous state is HIGHZ.
-- | | | If yes then portFlag should be NOCHANGE
-- | | | for VMPD to ignore IORetain corruption.
-- | | | The idea is that the first Z should be
-- | | | propagated but later ones should be ignored.
-- | | |
-- 0.23| jdc |100499| - Took code checked in by Dennis 09/28/99
-- | | | - Changed VitalPortFlagType to record of
-- | | | new VitalPortStateType to hold current,
-- | | | previous values and separate disable.
-- | | | Also created VitalDefaultPortFlag const.
-- | | | Removed usage of PortFlag NOCHANGE
-- | | | - VitalMemoryTable() changes:
-- | | | Optimized return when all curr = prev
-- | | | AddressValue is now INOUT to optimize
-- | | | Transfer PF.MemoryCurrent to MemoryPrevious
-- | | | Transfer PF.DataCurrent to DataPrevious
-- | | | Reset PF.OutputDisable to FALSE
-- | | | Expects PortFlag init in declaration
-- | | | No need to init PortFlag every delta
-- | | | - VitalMemorySchedulePathDelay() changes:
-- | | | Initialize with VitalDefaultPortFlag
-- | | | Check PortFlag.OutputDisable
-- | | | - HandleMemoryAction() changes:
-- | | | Set value of PortFlag.MemoryCurrent
-- | | | Never set PortFlag.OutputDisable
-- | | | - HandleDataAction() changes:
-- | | | Set value of PortFlag.DataCurrent
-- | | | Set PortFlag.DataCurrent for HIGHZ
-- | | | - VitalMemoryCrossPorts() changes:
-- | | | Check/set value of PF.MemoryCurrent
-- | | | Check value of PF.OutputDisable
-- | | | - VitalMemoryViolation() changes:
-- | | | Fixed bug - not reading inout PF value
-- | | | Clean up setting of PortFlag
-- 0.24| jdc |100899| - Modified update of PF.OutputDisable
-- | | | to correctly accomodate 2P1W1R case:
-- | | | the read port should not exhibit
-- | | | IO retain corrupt when reading
-- | | | addr unrelated to addr being written.
-- 0.25| jdc |100999| - VitalMemoryViolation() change:
-- | | | Fixed bug with RDNWR mode incorrectly
-- | | | updating the PF.OutputDisable
-- 0.26| jdc |100999| - VitalMemoryCrossPorts() change:
-- | | | Fixed bugs with update of PF
-- 0.27| jdc |101499| - VitalMemoryCrossPorts() change:
-- | | | Added DoRdWrCont message (ErrMcpRdWrCo,
-- | | | Memory cross port read/write data only
-- | | | contention)
-- | | | - VitalMemoryTable() change:
-- | | | Set PF.OutputDisable := TRUE for the
-- | | | optimized cases.
-- 0.28| pb |112399| - Added 8 VMPD procedures for vector
-- | | | PathCondition support. Now the total
-- | | | number of overloadings for VMPD is 24.
-- | | | - Number of overloadings for SetupHold
-- | | | procedures increased to 5. Scalar violations
-- | | | are not supported anymore. Vector checkEnabled
-- | | | support is provided through the new overloading
-- 0.29| jdc |120999| - HandleMemoryAction() HandleDataAction()
-- | | | Reinstated 'D' and 'E' actions but
-- | | | with new PortFlagType
-- | | | - Updated file handling syntax, must compile
-- | | | with -93 syntax now.
-- 0.30| jdc |022300| - Formated for 80 column max width
-- ----------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.Vital_Timing.ALL;
USE IEEE.Vital_Primitives.ALL;
LIBRARY STD;
USE STD.TEXTIO.ALL;
PACKAGE Vital_Memory IS
-- ----------------------------------------------------------------------------
-- Timing Section
-- ----------------------------------------------------------------------------
-- ----------------------------------------------------------------------------
-- Types and constants for Memory timing procedures
-- ----------------------------------------------------------------------------
TYPE VitalMemoryArcType IS (ParallelArc, CrossArc, SubwordArc);
TYPE OutputRetainBehaviorType IS (BitCorrupt, WordCorrupt);
TYPE VitalMemoryMsgFormatType IS (Vector, Scalar, VectorEnum);
TYPE X01ArrayT IS ARRAY (NATURAL RANGE <> ) OF X01;
TYPE X01ArrayPT IS ACCESS X01ArrayT;
TYPE VitalMemoryViolationType IS ACCESS X01ArrayT;
CONSTANT DefaultNumBitsPerSubword : INTEGER := -1;
-- Data type storing path delay and schedule information for output bits
TYPE VitalMemoryScheduleDataType IS RECORD
OutputData : std_ulogic;
NumBitsPerSubWord : INTEGER;
ScheduleTime : TIME;
ScheduleValue : std_ulogic;
LastOutputValue : std_ulogic;
PropDelay : TIME;
OutputRetainDelay : TIME;
InputAge : TIME;
END RECORD;
TYPE VitalMemoryTimingDataType IS RECORD
NotFirstFlag : BOOLEAN;
RefLast : X01;
RefTime : TIME;
HoldEn : BOOLEAN;
TestLast : std_ulogic;
TestTime : TIME;
SetupEn : BOOLEAN;
TestLastA : VitalLogicArrayPT;
TestTimeA : VitalTimeArrayPT;
RefLastA : X01ArrayPT;
RefTimeA : VitalTimeArrayPT;
HoldEnA : VitalBoolArrayPT;
SetupEnA : VitalBoolArrayPT;
END RECORD;
TYPE VitalPeriodDataArrayType IS ARRAY (NATURAL RANGE <>) OF
VitalPeriodDataType;
-- Data type storing path delay and schedule information for output
-- vectors
TYPE VitalMemoryScheduleDataVectorType IS ARRAY (NATURAL RANGE <> ) OF
VitalMemoryScheduleDataType;
-- VitalPortFlagType records runtime mode of port sub-word slices
-- TYPE VitalPortFlagType IS (
-- UNDEF,
-- READ,
-- WRITE,
-- CORRUPT,
-- HIGHZ,
-- NOCHANGE
-- );
-- VitalPortFlagType records runtime mode of port sub-word slices
TYPE VitalPortStateType IS (
UNDEF,
READ,
WRITE,
CORRUPT,
HIGHZ
);
TYPE VitalPortFlagType IS RECORD
MemoryCurrent : VitalPortStateType;
MemoryPrevious : VitalPortStateType;
DataCurrent : VitalPortStateType;
DataPrevious : VitalPortStateType;
OutputDisable : BOOLEAN;
END RECORD;
CONSTANT VitalDefaultPortFlag : VitalPortFlagType := (
MemoryCurrent => READ,
MemoryPrevious => UNDEF,
DataCurrent => READ,
DataPrevious => UNDEF,
OutputDisable => FALSE
);
-- VitalPortFlagVectorType to be same width i as enables of a port
-- or j multiples thereof, where j is the number of cross ports
TYPE VitalPortFlagVectorType IS
ARRAY (NATURAL RANGE <>) OF VitalPortFlagType;
-- ----------------------------------------------------------------------------
-- Functions : VitalMemory path delay procedures
-- - VitalMemoryInitPathDelay
-- - VitalMemoryAddPathDelay
-- - VitalMemorySchedulePathDelay
--
-- Description: VitalMemoryInitPathDelay, VitalMemoryAddPathDelay and
-- VitalMemorySchedulePathDelay are Level 1 routines used
-- for selecting the propagation delay paths based on
-- path condition, transition type and delay values and
-- schedule a new output value.
--
-- Following features are implemented in these procedures:
-- o condition dependent path selection
-- o Transition dependent delay selection
-- o shortest delay path selection from multiple
-- candidate paths
-- o Scheduling of the computed values on the specified
-- signal.
-- o output retain behavior if outputRetain flag is set
-- o output mapping to alternate strengths to model
-- pull-up, pull-down etc.
--
-- <More details to be added here>
--
-- Following is information on overloading of the procedures.
--
-- VitalMemoryInitPathDelay is overloaded for ScheduleDataArray and
-- OutputDataArray
--
-- ----------------------------------------------------------------------------
-- ScheduleDataArray OutputDataArray
-- ----------------------------------------------------------------------------
-- Scalar Scalar
-- Vector Vector
-- ----------------------------------------------------------------------------
--
--
-- VitalMemoryAddPathDelay is overloaded for ScheduleDataArray,
-- PathDelayArray, InputSignal and delaytype.
--
-- ----------------------------------------------------------------------------
-- DelayType InputSignal ScheduleData PathDelay
-- Array Array
-- ----------------------------------------------------------------------------
-- VitalDelayType Scalar Scalar Scalar
-- VitalDelayType Scalar Vector Vector
-- VitalDelayType Vector Scalar Vector
-- VitalDelayType Vector Vector Vector
-- VitalDelayType01 Scalar Scalar Scalar
-- VitalDelayType01 Scalar Vector Vector
-- VitalDelayType01 Vector Scalar Vector
-- VitalDelayType01 Vector Vector Vector
-- VitalDelayType01Z Scalar Scalar Scalar
-- VitalDelayType01Z Scalar Vector Vector
-- VitalDelayType01Z Vector Scalar Vector
-- VitalDelayType01Z Vector Vector Vector
-- VitalDelayType01XZ Scalar Scalar Scalar
-- VitalDelayType01XZ Scalar Vector Vector
-- VitalDelayType01XZ Vector Scalar Vector
-- VitalDelayType01XZ Vector Vector Vector
-- ----------------------------------------------------------------------------
--
--
-- VitalMemorySchedulePathDelay is overloaded for ScheduleDataArray,
-- and OutSignal
--
-- ----------------------------------------------------------------------------
-- OutSignal ScheduleDataArray
-- ----------------------------------------------------------------------------
-- Scalar Scalar
-- Vector Vector
-- ----------------------------------------------------------------------------
--
-- Procedure Declarations:
--
--
-- Function : VitalMemoryInitPathDelay
--
-- Arguments:
--
-- INOUT Type Description
--
-- ScheduleDataArray/ VitalMemoryScheduleDataVectorType/
-- ScheduleData VitalMemoryScheduleDataType
-- Internal data variable for
-- storing delay and schedule
-- information for each output bit
--
--
-- IN
--
-- OutputDataArray/ STD_LOGIC_VECTOR/Array containing current output
-- OutputData STD_ULOGIC value
--
--
-- NumBitsPerSubWord INTEGER Number of bits per subword.
-- Default value of this argument
-- is DefaultNumBitsPerSubword
-- which is interpreted as no
-- subwords
--
-- ----------------------------------------------------------------------------
--
--
-- ScheduleDataArray - Vector
-- OutputDataArray - Vector
--
PROCEDURE VitalMemoryInitPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
VARIABLE OutputDataArray : IN STD_LOGIC_VECTOR;
CONSTANT NumBitsPerSubWord : IN INTEGER := DefaultNumBitsPerSubword
);
--
-- ScheduleDataArray - Scalar
-- OutputDataArray - Scalar
--
PROCEDURE VitalMemoryInitPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
VARIABLE OutputData : IN STD_ULOGIC
);
-- ----------------------------------------------------------------------------
--
-- Function : VitalMemoryAddPathDelay
--
-- Arguments
--
-- INOUT Type Description
--
-- ScheduleDataArray/ VitalMemoryScheduleDataVectorType/
-- ScheduleData VitalMemoryScheduleDataType
-- Internal data variable for
-- storing delay and schedule
-- information for each output bit
--
-- InputChangeTimeArray/ VitaltimeArrayT/Time
-- InputChangeTime Holds the time since the last
-- input change
--
-- IN
--
-- InputSignal STD_LOGIC_VECTOR
-- STD_ULOGIC/ Array holding the input value
--
-- OutputSignalName STRING The output signal name
--
-- PathDelayArray/ VitalDelayArrayType01ZX,
-- PathDelay VitalDelayArrayType01Z,
-- VitalDelayArrayType01,
-- VitalDelayArrayType/
-- VitalDelayType01ZX,
-- VitalDelayType01Z,
-- VitalDelayType01,
-- VitalDelayType Array of delay values
--
-- ArcType VitalMemoryArcType
-- Indicates the Path type. This
-- can be SubwordArc, CrossArc or
-- ParallelArc
--
-- PathCondition BOOLEAN If True, the transition in
-- the corresponding input signal
-- is considered while
-- caluculating the prop. delay
-- else the transition is ignored.
--
-- OutputRetainFlag BOOLEAN If specified TRUE,output retain
-- (hold) behavior is implemented.
--
-- ----------------------------------------------------------------------------
--
-- #1
-- DelayType - VitalDelayType
-- Input - Scalar
-- Output - Scalar
-- Delay - Scalar
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelay : IN VitalDelayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #2
-- DelayType - VitalDelayType
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #3
-- DelayType - VitalDelayType
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray: IN VitalBoolArrayT
);
-- #4
-- DelayType - VitalDelayType
-- Input - Vector
-- Output - Scalar
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #5
-- DelayType - VitalDelayType
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #6
-- DelayType - VitalDelayType
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray : IN VitalBoolArrayT
);
-- #7
-- DelayType - VitalDelayType01
-- Input - Scalar
-- Output - Scalar
-- Delay - Scalar
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelay : IN VitalDelayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #8
-- DelayType - VitalDelayType01
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #9
-- DelayType - VitalDelayType01
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray: IN VitalBoolArrayT
);
-- #10
-- DelayType - VitalDelayType01
-- Input - Vector
-- Output - Scalar
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #11
-- DelayType - VitalDelayType01
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #12
-- DelayType - VitalDelayType01
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray : IN VitalBoolArrayT
);
-- #13
-- DelayType - VitalDelayType01Z
-- Input - Scalar
-- Output - Scalar
-- Delay - Scalar
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelay : IN VitalDelayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE
);
-- #14
-- DelayType - VitalDelayType01Z
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE
);
-- #15
-- DelayType - VitalDelayType01Z
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray: IN VitalBoolArrayT;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE
);
-- #16
-- DelayType - VitalDelayType01Z
-- Input - Vector
-- Output - Scalar
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt
);
-- #17
-- DelayType - VitalDelayType01Z
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt
);
-- #18
-- DelayType - VitalDelayType01Z
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray : IN VitalBoolArrayT;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt
);
-- #19
-- DelayType - VitalDelayType01ZX
-- Input - Scalar
-- Output - Scalar
-- Delay - Scalar
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelay : IN VitalDelayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE
);
-- #20
-- DelayType - VitalDelayType01ZX
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE
);
-- #21
-- DelayType - VitalDelayType01ZX
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray: IN VitalBoolArrayT;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE
);
-- #22
-- DelayType - VitalDelayType01ZX
-- Input - Vector
-- Output - Scalar
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt
);
-- #23
-- DelayType - VitalDelayType01ZX
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt
);
-- #24
-- DelayType - VitalDelayType01ZX
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray : IN VitalBoolArrayT;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt
);
-- ----------------------------------------------------------------------------
--
-- Function : VitalMemorySchedulePathDelay
--
-- Arguments:
--
-- OUT Type Description
-- OutSignal STD_LOGIC_VECTOR/ The output signal for
-- STD_ULOGIC scheduling
--
-- IN
-- OutputSignalName STRING The name of the output signal
--
-- IN
-- PortFlag VitalPortFlagType Port flag variable from
-- functional procedures
--
-- IN
-- OutputMap VitalOutputMapType For VitalPathDelay01Z, the
-- output can be mapped to
-- alternate strengths to model
-- tri-state devices, pull-ups
-- and pull-downs.
--
-- INOUT
-- ScheduleDataArray/ VitalMemoryScheduleDataVectorType/
-- ScheduleData VitalMemoryScheduleDataType
-- Internal data variable for
-- storing delay and schedule
-- information for each
-- output bit
--
-- ----------------------------------------------------------------------------
--
-- ScheduleDataArray - Vector
-- OutputSignal - Vector
--
PROCEDURE VitalMemorySchedulePathDelay (
SIGNAL OutSignal : OUT std_logic_vector;
CONSTANT OutputSignalName : IN STRING := "";
CONSTANT PortFlag : IN VitalPortFlagType := VitalDefaultPortFlag;
CONSTANT OutputMap : IN VitalOutputMapType := VitalDefaultOutputMap;
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType
);
--
-- ScheduleDataArray - Vector
-- OutputSignal - Vector
--
PROCEDURE VitalMemorySchedulePathDelay (
SIGNAL OutSignal : OUT std_logic_vector;
CONSTANT OutputSignalName : IN STRING := "";
CONSTANT PortFlag : IN VitalPortFlagVectorType;
CONSTANT OutputMap : IN VitalOutputMapType := VitalDefaultOutputMap;
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType
);
--
-- ScheduleDataArray - Scalar
-- OutputSignal - Scalar
--
PROCEDURE VitalMemorySchedulePathDelay (
SIGNAL OutSignal : OUT std_ulogic;
CONSTANT OutputSignalName : IN STRING := "";
CONSTANT PortFlag : IN VitalPortFlagType := VitalDefaultPortFlag;
CONSTANT OutputMap : IN VitalOutputMapType := VitalDefaultOutputMap;
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType
);
-- ----------------------------------------------------------------------------
FUNCTION VitalMemoryTimingDataInit RETURN VitalMemoryTimingDataType;
-- ----------------------------------------------------------------------------
--
-- Function Name: VitalMemorySetupHoldCheck
--
-- Description: The VitalMemorySetupHoldCheck procedure detects a setup or a
-- hold violation on the input test signal with respect
-- to the corresponding input reference signal. The timing
-- constraints are specified through parameters
-- representing the high and low values for the setup and
-- hold values for the setup and hold times. This
-- procedure assumes non-negative values for setup and hold
-- timing constraints.
--
-- It is assumed that negative timing constraints
-- are handled by internally delaying the test or
-- reference signals. Negative setup times result in
-- a delayed reference signal. Negative hold times
-- result in a delayed test signal. Furthermore, the
-- delays and constraints associated with these and
-- other signals may need to be appropriately
-- adjusted so that all constraint intervals overlap
-- the delayed reference signals and all constraint
-- values (with respect to the delayed signals) are
-- non-negative.
--
-- This function is overloaded based on the input
-- TestSignal and reference signals. Parallel, Subword and
-- Cross Arc relationships between test and reference
-- signals are supported.
--
-- TestSignal XXXXXXXXXXXX____________________________XXXXXXXXXXXXXXXXXXXXXX
-- :
-- : -->| error region |<--
-- :
-- _______________________________
-- RefSignal \______________________________
-- : | | |
-- : | -->| |<-- thold
-- : -->| tsetup |<--
--
-- Arguments:
--
-- IN Type Description
-- TestSignal std_logic_vector Value of test signal
-- TestSignalName STRING Name of test signal
-- TestDelay VitalDelayArrayType Model's internal delay associated
-- with TestSignal
-- RefSignal std_ulogic Value of reference signal
-- std_logic_vector
-- RefSignalName STRING Name of reference signal
-- RefDelay TIME Model's internal delay associated
-- VitalDelayArrayType with RefSignal
-- SetupHigh VitalDelayArrayType Absolute minimum time duration
-- before the transition of RefSignal
-- for which transitions of
-- TestSignal are allowed to proceed
-- to the "1" state without causing
-- a setup violation.
-- SetupLow VitalDelayArrayType Absolute minimum time duration
-- before the transition of RefSignal
-- for which transitions of
-- TestSignal are allowed to proceed
-- to the "0" state without causing
-- a setup violation.
-- HoldHigh VitalDelayArrayType Absolute minimum time duration
-- after the transition of RefSignal
-- for which transitions of
-- TestSignal are allowed to
-- proceed to the "1" state without
-- causing a hold violation.
-- HoldLow VitalDelayArrayType Absolute minimum time duration
-- after the transition of RefSignal
-- for which transitions of
-- TestSignal are allowed to
-- proceed to the "0" state without
-- causing a hold violation.
-- CheckEnabled BOOLEAN Check performed if TRUE.
-- RefTransition VitalEdgeSymbolType
-- Reference edge specified. Events
-- on the RefSignal which match the
-- edge spec. are used as reference
-- edges.
-- ArcType VitalMemoryArcType
-- NumBitsPerSubWord INTEGER
-- HeaderMsg STRING String that will accompany any
-- assertion messages produced.
-- XOn BOOLEAN If TRUE, Violation output
-- parameter is set to "X".
-- Otherwise, Violation is always
-- set to "0."
-- MsgOn BOOLEAN If TRUE, set and hold violation
-- message will be generated.
-- Otherwise, no messages are
-- generated, even upon violations.
-- MsgSeverity SEVERITY_LEVEL Severity level for the assertion.
-- MsgFormat VitalMemoryMsgFormatType
-- Format of the Test/Reference
-- signals in violation messages.
--
-- INOUT
-- TimingData VitalMemoryTimingDataType
-- VitalMemorySetupHoldCheck information
-- storage area. This is used
-- internally to detect reference
-- edges and record the time of the
-- last edge.
--
-- OUT
-- Violation X01 This is the violation flag returned.
-- X01ArrayT Overloaded for array type.
--
--
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_ulogic;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN TIME := 0 ns;
SIGNAL RefSignal : IN std_ulogic;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN TIME := 0 ns;
CONSTANT SetupHigh : IN VitalDelayType;
CONSTANT SetupLow : IN VitalDelayType;
CONSTANT HoldHigh : IN VitalDelayType;
CONSTANT HoldLow : IN VitalDelayType;
CONSTANT CheckEnabled : IN VitalBoolArrayT;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
);
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
SIGNAL RefSignal : IN std_ulogic;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN TIME := 0 ns;
CONSTANT SetupHigh : IN VitalDelayArrayType;
CONSTANT SetupLow : IN VitalDelayArrayType;
CONSTANT HoldHigh : IN VitalDelayArrayType;
CONSTANT HoldLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
);
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
SIGNAL RefSignal : IN std_ulogic;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN TIME := 0 ns;
CONSTANT SetupHigh : IN VitalDelayArrayType;
CONSTANT SetupLow : IN VitalDelayArrayType;
CONSTANT HoldHigh : IN VitalDelayArrayType;
CONSTANT HoldLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN VitalBoolArrayT;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT NumBitsPerSubWord : IN INTEGER := 1;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
);
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
SIGNAL RefSignal : IN std_logic_vector;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN VitalDelayArrayType;
CONSTANT SetupHigh : IN VitalDelayArrayType;
CONSTANT SetupLow : IN VitalDelayArrayType;
CONSTANT HoldHigh : IN VitalDelayArrayType;
CONSTANT HoldLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT NumBitsPerSubWord : IN INTEGER := 1;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
);
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
SIGNAL RefSignal : IN std_logic_vector;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN VitalDelayArrayType;
CONSTANT SetupHigh : IN VitalDelayArrayType;
CONSTANT SetupLow : IN VitalDelayArrayType;
CONSTANT HoldHigh : IN VitalDelayArrayType;
CONSTANT HoldLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN VitalBoolArrayT;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT NumBitsPerSubWord : IN INTEGER := 1;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
);
--------------- following are not needed --------------------------
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
SIGNAL RefSignal : IN std_ulogic;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN TIME := 0 ns;
CONSTANT SetupHigh : IN VitalDelayArrayType;
CONSTANT SetupLow : IN VitalDelayArrayType;
CONSTANT HoldHigh : IN VitalDelayArrayType;
CONSTANT HoldLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
);
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
SIGNAL RefSignal : IN std_logic_vector;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN VitalDelayArrayType;
CONSTANT SetupHigh : IN VitalDelayArrayType;
CONSTANT SetupLow : IN VitalDelayArrayType;
CONSTANT HoldHigh : IN VitalDelayArrayType;
CONSTANT HoldLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT NumBitsPerSubWord : IN INTEGER := 1;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
);
-- ----------------------------------------------------------------------------
--
-- Function Name: VitalPeriodPulseCheck
--
-- Description: VitalPeriodPulseCheck checks for minimum and maximum
-- periodicity and pulse width for "1" and "0" values of
-- the input test signal. The timing constraint is
-- specified through parameters representing the minimal
-- period between successive rising and falling edges of
-- the input test signal and the minimum pulse widths
-- associated with high and low values.
--
-- VitalPeriodCheck's accepts rising and falling edges
-- from 1 and 0 as well as transitions to and from 'X.'
--
-- _______________ __________
-- ____________| |_______|
--
-- |<--- pw_hi --->|
-- |<-------- period ----->|
-- -->| pw_lo |<--
--
-- Arguments:
-- IN Type Description
-- TestSignal std_logic_vector Value of test signal
-- TestSignalName STRING Name of the test signal
-- TestDelay VitalDelayArrayType
-- Model's internal delay associated
-- with TestSignal
-- Period VitalDelayArrayType
-- Minimum period allowed between
-- consecutive rising ('P') or
-- falling ('F') transitions.
-- PulseWidthHigh VitalDelayArrayType
-- Minimum time allowed for a high
-- pulse ('1' or 'H')
-- PulseWidthLow VitalDelayArrayType
-- Minimum time allowed for a low
-- pulse ('0' or 'L')
-- CheckEnabled BOOLEAN Check performed if TRUE.
-- HeaderMsg STRING String that will accompany any
-- assertion messages produced.
-- XOn BOOLEAN If TRUE, Violation output parameter
-- is set to "X". Otherwise, Violation
-- is always set to "0."
-- MsgOn BOOLEAN If TRUE, period/pulse violation
-- message will be generated.
-- Otherwise, no messages are generated,
-- even though a violation is detected.
-- MsgSeverity SEVERITY_LEVEL Severity level for the assertion.
-- MsgFormat VitalMemoryMsgFormatType
-- Format of the Test/Reference signals
-- in violation messages.
--
-- INOUT
-- PeriodData VitalPeriodDataArrayType
-- VitalPeriodPulseCheck information
-- storage area. This is used
-- internally to detect reference edges
-- and record the pulse and period
-- times.
-- OUT
-- Violation X01 This is the violation flag returned.
-- X01ArrayT Overloaded for array type.
--
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemoryPeriodPulseCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE PeriodData : INOUT VitalPeriodDataArrayType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
CONSTANT Period : IN VitalDelayArrayType;
CONSTANT PulseWidthHigh : IN VitalDelayArrayType;
CONSTANT PulseWidthLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType
);
PROCEDURE VitalMemoryPeriodPulseCheck (
VARIABLE Violation : OUT X01;
VARIABLE PeriodData : INOUT VitalPeriodDataArrayType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
CONSTANT Period : IN VitalDelayArrayType;
CONSTANT PulseWidthHigh : IN VitalDelayArrayType;
CONSTANT PulseWidthLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType
);
-- ----------------------------------------------------------------------------
-- Functionality Section
-- ----------------------------------------------------------------------------
-- ----------------------------------------------------------------------------
-- All Memory Types and Record definitions.
-- ----------------------------------------------------------------------------
TYPE MemoryWordType IS ARRAY (NATURAL RANGE <>) OF UX01;
TYPE MemoryWordPtr IS ACCESS MemoryWordType;
TYPE MemoryArrayType IS ARRAY (NATURAL RANGE <>) OF MemoryWordPtr;
TYPE MemoryArrayPtrType IS ACCESS MemoryArrayType;
TYPE VitalMemoryArrayRecType IS
RECORD
NoOfWords : POSITIVE;
NoOfBitsPerWord : POSITIVE;
NoOfBitsPerSubWord : POSITIVE;
NoOfBitsPerEnable : POSITIVE;
MemoryArrayPtr : MemoryArrayPtrType;
END RECORD;
TYPE VitalMemoryDataType IS ACCESS VitalMemoryArrayRecType;
TYPE VitalTimingDataVectorType IS
ARRAY (NATURAL RANGE <>) OF VitalTimingDataType;
TYPE VitalMemoryViolFlagSizeType IS ARRAY (NATURAL RANGE <>) OF INTEGER;
-- ----------------------------------------------------------------------------
-- Symbol Literals used for Memory Table Modeling
-- ----------------------------------------------------------------------------
-- Symbol literals from '/' to 'S' are closely related to MemoryTableMatch
-- lookup matching and the order cannot be arbitrarily changed.
-- The remaining symbol literals are interpreted directly and matchting is
-- handled in the MemoryMatch procedure itself.
TYPE VitalMemorySymbolType IS (
'/', -- 0 -> 1
'\', -- 1 -> 0
'P', -- Union of '/' and '^' (any edge to 1)
'N', -- Union of '\' and 'v' (any edge to 0)
'r', -- 0 -> X
'f', -- 1 -> X
'p', -- Union of '/' and 'r' (any edge from 0)
'n', -- Union of '\' and 'f' (any edge from 1)
'R', -- Union of '^' and 'p' (any possible rising edge)
'F', -- Union of 'v' and 'n' (any possible falling edge)
'^', -- X -> 1
'v', -- X -> 0
'E', -- Union of 'v' and '^' (any edge from X)
'A', -- Union of 'r' and '^' (rising edge to or from 'X')
'D', -- Union of 'f' and 'v' (falling edge to or from 'X')
'*', -- Union of 'R' and 'F' (any edge)
'X', -- Unknown level
'0', -- low level
'1', -- high level
'-', -- don't care
'B', -- 0 or 1
'Z', -- High Impedance
'S', -- steady value
'g', -- Good address (no transition)
'u', -- Unknown address (no transition)
'i', -- Invalid address (no transition)
'G', -- Good address (with transition)
'U', -- Unknown address (with transition)
'I', -- Invalid address (with transition)
'w', -- Write data to memory
's', -- Retain previous memory contents
'c', -- Corrupt entire memory with 'X'
'l', -- Corrupt a word in memory with 'X'
'd', -- Corrupt a single bit in memory with 'X'
'e', -- Corrupt a word with 'X' based on data in
'C', -- Corrupt a sub-word entire memory with 'X'
'L', -- Corrupt a sub-word in memory with 'X'
-- The following entries are commented since their
-- interpretation overlap with existing definitions.
-- 'D', -- Corrupt a single bit of a sub-word with 'X'
-- 'E', -- Corrupt a sub-word with 'X' based on datain
'M', -- Implicit read data from memory
'm', -- Read data from memory
't' -- Immediate assign/transfer data in
);
TYPE VitalMemoryTableType IS ARRAY ( NATURAL RANGE <>, NATURAL RANGE <> )
OF VitalMemorySymbolType;
TYPE VitalMemoryViolationSymbolType IS (
'X', -- Unknown level
'0', -- low level
'-' -- don't care
);
TYPE VitalMemoryViolationTableType IS
ARRAY ( NATURAL RANGE <>, NATURAL RANGE <> )
OF VitalMemoryViolationSymbolType;
TYPE VitalPortType IS (
UNDEF,
READ,
WRITE,
RDNWR
);
TYPE VitalCrossPortModeType IS (
CpRead, -- CpReadOnly,
WriteContention, -- WrContOnly,
ReadWriteContention, -- CpContention
CpReadAndWriteContention, -- WrContAndCpRead,
CpReadAndReadContention
);
SUBTYPE VitalAddressValueType IS INTEGER;
TYPE VitalAddressValueVectorType IS
ARRAY (NATURAL RANGE <>) OF VitalAddressValueType;
-- ----------------------------------------------------------------------------
-- Procedure: VitalDeclareMemory
-- Parameters: NoOfWords - Number of words in the memory
-- NoOfBitsPerWord - Number of bits per word in memory
-- NoOfBitsPerSubWord - Number of bits per sub word
-- MemoryLoadFile - Name of data file to load
-- Description: This function is intended to be used to initialize
-- memory data declarations, i.e. to be executed duing
-- simulation elaboration time. Handles the allocation
-- and initialization of memory for the memory data.
-- Default NoOfBitsPerSubWord is NoOfBits.
-- ----------------------------------------------------------------------------
IMPURE FUNCTION VitalDeclareMemory (
CONSTANT NoOfWords : IN POSITIVE;
CONSTANT NoOfBitsPerWord : IN POSITIVE;
CONSTANT NoOfBitsPerSubWord : IN POSITIVE;
CONSTANT MemoryLoadFile : IN string := "";
CONSTANT BinaryLoadFile : IN BOOLEAN := FALSE
) RETURN VitalMemoryDataType;
IMPURE FUNCTION VitalDeclareMemory (
CONSTANT NoOfWords : IN POSITIVE;
CONSTANT NoOfBitsPerWord : IN POSITIVE;
CONSTANT MemoryLoadFile : IN string := "";
CONSTANT BinaryLoadFile : IN BOOLEAN := FALSE
) RETURN VitalMemoryDataType;
-- ----------------------------------------------------------------------------
-- Procedure: VitalMemoryTable
-- Parameters: DataOutBus - Output candidate zero delay data bus out
-- MemoryData - Pointer to memory data structure
-- PrevControls - Previous data in for edge detection
-- PrevEnableBus - Previous enables for edge detection
-- PrevDataInBus - Previous data bus for edge detection
-- PrevAddressBus - Previous address bus for edge detection
-- PortFlag - Indicates port operating mode
-- PortFlagArray - Vector form of PortFlag for sub-word
-- Controls - Agregate of scalar control lines
-- EnableBus - Concatenation of vector control lines
-- DataInBus - Input value of data bus in
-- AddressBus - Input value of address bus in
-- AddressValue - Decoded value of the AddressBus
-- MemoryTable - Input memory action table
-- PortType - The type of port (currently not used)
-- PortName - Port name string for messages
-- HeaderMsg - Header string for messages
-- MsgOn - Control the generation of messages
-- MsgSeverity - Control level of message generation
-- Description: This procedure implements the majority of the memory
-- modeling functionality via lookup of the memory action
-- tables and performing the specified actions if matches
-- are found, or the default actions otherwise. The
-- overloadings are provided for the word and sub-word
-- (using the EnableBus and PortFlagArray arguments) addressing
-- cases.
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemoryTable (
VARIABLE DataOutBus : INOUT std_logic_vector;
VARIABLE MemoryData : INOUT VitalMemoryDataType;
VARIABLE PrevControls : INOUT std_logic_vector;
VARIABLE PrevDataInBus : INOUT std_logic_vector;
VARIABLE PrevAddressBus : INOUT std_logic_vector;
VARIABLE PortFlag : INOUT VitalPortFlagVectorType;
CONSTANT Controls : IN std_logic_vector;
CONSTANT DataInBus : IN std_logic_vector;
CONSTANT AddressBus : IN std_logic_vector;
VARIABLE AddressValue : INOUT VitalAddressValueType;
CONSTANT MemoryTable : IN VitalMemoryTableType;
CONSTANT PortType : IN VitalPortType := UNDEF;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING
);
PROCEDURE VitalMemoryTable (
VARIABLE DataOutBus : INOUT std_logic_vector;
VARIABLE MemoryData : INOUT VitalMemoryDataType;
VARIABLE PrevControls : INOUT std_logic_vector;
VARIABLE PrevEnableBus : INOUT std_logic_vector;
VARIABLE PrevDataInBus : INOUT std_logic_vector;
VARIABLE PrevAddressBus : INOUT std_logic_vector;
VARIABLE PortFlagArray : INOUT VitalPortFlagVectorType;
CONSTANT Controls : IN std_logic_vector;
CONSTANT EnableBus : IN std_logic_vector;
CONSTANT DataInBus : IN std_logic_vector;
CONSTANT AddressBus : IN std_logic_vector;
VARIABLE AddressValue : INOUT VitalAddressValueType;
CONSTANT MemoryTable : IN VitalMemoryTableType;
CONSTANT PortType : IN VitalPortType := UNDEF;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING
);
-- ----------------------------------------------------------------------------
-- Procedure: VitalMemoryCrossPorts
-- Parameters: DataOutBus - Output candidate zero delay data bus out
-- MemoryData - Pointer to memory data structure
-- SamePortFlag - Operating mode for same port
-- SamePortAddressValue - Decoded AddressBus for same port
-- CrossPortFlagArray - Operating modes for cross ports
-- CrossPortAddressArray - Decoded AddressBus for cross ports
-- CrossPortMode - Write contention and crossport read control
-- PortName - Port name string for messages
-- HeaderMsg - Header string for messages
-- MsgOn - Control the generation of messages
--
-- Description: These procedures control the effect of memory operations
-- on a given port due to operations on other ports in a
-- multi-port memory.
-- This includes data write through when reading and writing
-- to the same address, as well as write contention when
-- there are multiple write to the same address.
-- If addresses do not match then data bus is unchanged.
-- The DataOutBus can be diabled with 'Z' value.
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemoryCrossPorts (
VARIABLE DataOutBus : INOUT std_logic_vector;
VARIABLE MemoryData : INOUT VitalMemoryDataType;
VARIABLE SamePortFlag : INOUT VitalPortFlagVectorType;
CONSTANT SamePortAddressValue : IN VitalAddressValueType;
CONSTANT CrossPortFlagArray : IN VitalPortFlagVectorType;
CONSTANT CrossPortAddressArray : IN VitalAddressValueVectorType;
CONSTANT CrossPortMode : IN VitalCrossPortModeType
:= CpReadAndWriteContention;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE
) ;
PROCEDURE VitalMemoryCrossPorts (
VARIABLE MemoryData : INOUT VitalMemoryDataType;
CONSTANT CrossPortFlagArray : IN VitalPortFlagVectorType;
CONSTANT CrossPortAddressArray : IN VitalAddressValueVectorType;
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE
) ;
-- ----------------------------------------------------------------------------
-- Procedure: VitalMemoryViolation
-- Parameters: DataOutBus - Output zero delay data bus out
-- MemoryData - Pointer to memory data structure
-- PortFlag - Indicates port operating mode
-- DataInBus - Input value of data bus in
-- AddressValue - Decoded value of the AddressBus
-- ViolationFlags - Aggregate of scalar violation vars
-- ViolationFlagsArray - Concatenation of vector violation vars
-- ViolationTable - Input memory violation table
-- PortType - The type of port (currently not used)
-- PortName - Port name string for messages
-- HeaderMsg - Header string for messages
-- MsgOn - Control the generation of messages
-- MsgSeverity - Control level of message generation
-- Description: This procedure is intended to implement all actions on the
-- memory contents and data out bus as a result of timing viols.
-- It uses the memory action table to perform various corruption
-- policies specified by the user.
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemoryViolation (
VARIABLE DataOutBus : INOUT std_logic_vector;
VARIABLE MemoryData : INOUT VitalMemoryDataType;
VARIABLE PortFlag : INOUT VitalPortFlagVectorType;
CONSTANT DataInBus : IN std_logic_vector;
CONSTANT AddressValue : IN VitalAddressValueType;
CONSTANT ViolationFlags : IN std_logic_vector;
CONSTANT ViolationFlagsArray : IN X01ArrayT;
CONSTANT ViolationSizesArray : IN VitalMemoryViolFlagSizeType;
CONSTANT ViolationTable : IN VitalMemoryTableType;
CONSTANT PortType : IN VitalPortType;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING
) ;
PROCEDURE VitalMemoryViolation (
VARIABLE DataOutBus : INOUT std_logic_vector;
VARIABLE MemoryData : INOUT VitalMemoryDataType;
VARIABLE PortFlag : INOUT VitalPortFlagVectorType;
CONSTANT DataInBus : IN std_logic_vector;
CONSTANT AddressValue : IN VitalAddressValueType;
CONSTANT ViolationFlags : IN std_logic_vector;
CONSTANT ViolationTable : IN VitalMemoryTableType;
CONSTANT PortType : IN VitalPortType;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING
) ;
END Vital_Memory;
|
-- ----------------------------------------------------------------------------
-- Title : Standard VITAL Memory Package
-- :
-- Library : Vital_Memory
-- :
-- Developers : IEEE DASC Timing Working Group (TWG), PAR 1076.4
-- : Ekambaram Balaji, LSI Logic Corporation
-- : Jose De Castro, Consultant
-- : Prakash Bare, GDA Technologies
-- : William Yam, LSI Logic Corporation
-- : Dennis Brophy, Model Technology
-- :
-- Purpose : This packages defines standard types, constants, functions
-- : and procedures for use in developing ASIC memory models.
-- :
-- ----------------------------------------------------------------------------
--
-- ----------------------------------------------------------------------------
-- Modification History :
-- ----------------------------------------------------------------------------
-- Ver:|Auth:| Date:| Changes Made:
-- 0.1 | eb |071796| First prototye as part of VITAL memory proposal
-- 0.2 | jdc |012897| Initial prototyping with proposed MTM scheme
-- 0.3 | jdc |090297| Extensive updates for TAG review (functional)
-- 0.4 | eb |091597| Changed naming conventions for VitalMemoryTable
-- | | | Added interface of VitalMemoryCrossPorts() &
-- | | | VitalMemoryViolation().
-- 0.5 | jdc |092997| Completed naming changes thoughout package body.
-- | | | Testing with simgle port test model looks ok.
-- 0.6 | jdc |121797| Major updates to the packages:
-- | | | - Implement VitalMemoryCrossPorts()
-- | | | - Use new VitalAddressValueType
-- | | | - Use new VitalCrossPortModeType enum
-- | | | - Overloading without SamePort args
-- | | | - Honor erroneous address values
-- | | | - Honor ports disabled with 'Z'
-- | | | - Implement implicit read 'M' table symbol
-- | | | - Cleanup buses to use (H DOWNTO L)
-- | | | - Message control via MsgOn,HeaderMsg,PortName
-- | | | - Tested with 1P1RW,2P2RW,4P2R2W,4P4RW cases
-- 0.7 | jdc |052698| Bug fixes to the packages:
-- | | | - Fix failure with negative Address values
-- | | | - Added debug messages for VMT table search
-- | | | - Remove 'S' for action column (only 's')
-- | | | - Remove 's' for response column (only 'S')
-- | | | - Remove 'X' for action and response columns
-- 0.8 | jdc |061298| Implemented VitalMemoryViolation()
-- | | | - Minimal functionality violation tables
-- | | | - Missing:
-- | | | - Cannot handle wide violation variables
-- | | | - Cannot handle sub-word cases
-- | | | Fixed IIC version of MemoryMatch
-- | | | Fixed 'M' vs 'm' switched on debug output
-- | | | TO BE DONE:
-- | | | - Implement 'd' corrupting a single bit
-- | | | - Implement 'D' corrupting a single bit
-- 0.9 |eb/sc|080498| Added UNDEF value for VitalPortFlagType
-- 0.10|eb/sc|080798| Added CORRUPT value for VitalPortFlagType
-- 0.11|eb/sc|081798| Added overloaded function interface for
-- | | | VitalDeclareMemory
-- 0.14| jdc |113198| Merging of memory functionality and version
-- | | | 1.4 9/17/98 of timing package from Prakash
-- 0.15| jdc |120198| Major development of VMV functionality
-- 0.16| jdc |120298| Complete VMV functionlality for initial testing
-- | | | - New ViolationTableCorruptMask() procedure
-- | | | - New MemoryTableCorruptMask() procedure
-- | | | - HandleMemoryAction():
-- | | | - Removed DataOutBus bogus output
-- | | | - Replaced DataOutTmp with DataInTmp
-- | | | - Added CorruptMask input handling
-- | | | - Implemented 'd','D' using CorruptMask
-- | | | - CorruptMask on 'd','C','L','D','E'
-- | | | - CorruptMask ignored on 'c','l','e'
-- | | | - Changed 'l','d','e' to set PortFlag to CORRUPT
-- | | | - Changed 'L','D','E' to set PortFlag to CORRUPT
-- | | | - Changed 'c','l','d','e' to ignore HighBit, LowBit
-- | | | - Changed 'C','L','D','E' to use HighBit, LowBit
-- | | | - HandleDataAction():
-- | | | - Added CorruptMask input handling
-- | | | - Implemented 'd','D' using CorruptMask
-- | | | - CorruptMask on 'd','C','L','D','E'
-- | | | - CorruptMask ignored on 'l','e'
-- | | | - Changed 'l','d','e' to set PortFlag to CORRUPT
-- | | | - Changed 'L','D','E' to set PortFlag to CORRUPT
-- | | | - Changed 'l','d','e' to ignore HighBit, LowBit
-- | | | - Changed 'L','D','E' to use HighBit, LowBit
-- | | | - MemoryTableLookUp():
-- | | | - Added MsgOn table debug output
-- | | | - Uses new MemoryTableCorruptMask()
-- | | | - ViolationTableLookUp():
-- | | | - Uses new ViolationTableCorruptMask()
-- 0.17| jdc |120898| - Added VitalMemoryViolationSymbolType,
-- | | | VitalMemoryViolationTableType data
-- | | | types but not used yet (need to discuss)
-- | | | - Added overload for VitalMemoryViolation()
-- | | | which does not have array flags
-- | | | - Bug fixes for VMV functionality:
-- | | | - ViolationTableLookUp() not handling '-' in
-- | | | scalar violation matching
-- | | | - VitalMemoryViolation() now normalizes
-- | | | VFlagArrayTmp'LEFT as LSB before calling
-- | | | ViolationTableLookUp() for proper scanning
-- | | | - ViolationTableCorruptMask() had to remove
-- | | | normalization of CorruptMaskTmp and
-- | | | ViolMaskTmp for proper MSB:LSB corruption
-- | | | - HandleMemoryAction(), HandleDataAction()
-- | | | - Removed 'D','E' since not being used
-- | | | - Use XOR instead of OR for corrupt masks
-- | | | - Now 'd' is sensitive to HighBit, LowBit
-- | | | - Fixed LowBit overflow in bit writeable case
-- | | | - MemoryTableCorruptMask()
-- | | | - ViolationTableCorruptMask()
-- | | | - VitalMemoryTable()
-- | | | - VitalMemoryCrossPorts()
-- | | | - Fixed VitalMemoryViolation() failing on
-- | | | error AddressValue from earlier VMT()
-- | | | - Minor cleanup of code formatting
-- 0.18| jdc |032599| - In VitalDeclareMemory()
-- | | | - Added BinaryLoadFile formal arg and
-- | | | modified LoadMemory() to handle bin
-- | | | - Added NOCHANGE to VitalPortFlagType
-- | | | - For VitalCrossPortModeType
-- | | | - Added CpContention enum
-- | | | - In HandleDataAction()
-- | | | - Set PortFlag := NOCHANGE for 'S'
-- | | | - In HandleMemoryAction()
-- | | | - Set PortFlag := NOCHANGE for 's'
-- | | | - In VitalMemoryTable() and
-- | | | VitalMemoryViolation()
-- | | | - Honor PortFlag = NOCHANGE returned
-- | | | from HandleMemoryAction()
-- | | | - In VitalMemoryCrossPorts()
-- | | | - Fixed Address = AddressJ for all
-- | | | conditions of DoWrCont & DoCpRead
-- | | | - Handle CpContention like WrContOnly
-- | | | under CpReadOnly conditions, with
-- | | | associated memory message changes
-- | | | - Handle PortFlag = NOCHANGE like
-- | | | PortFlag = READ for actions
-- | | | - Modeling change:
-- | | | - Need to init PortFlag every delta
-- | | | PortFlag_A := (OTHES => UNDEF);
-- | | | - Updated InternalTimingCheck code
-- 0.19| jdc |042599| - Fixes for bit-writeable cases
-- | | | - Check PortFlag after HandleDataAction
-- | | | in VitalMemoryViolation()
-- 0.20| jdc |042599| - Merge PortFlag changes from Prakash
-- | | | and Willian:
-- | | | VitalMemorySchedulePathDelay()
-- | | | VitalMemoryExpandPortFlag()
-- 0.21| jdc |072199| - Changed VitalCrossPortModeType enums,
-- | | | added new CpReadAndReadContention.
-- | | | - Fixed VitalMemoryCrossPorts() parameter
-- | | | SamePortFlag to INOUT so that it can
-- | | | set CORRUPT or READ value.
-- | | | - Fixed VitalMemoryTable() where PortFlag
-- | | | setting by HandleDataAction() is being
-- | | | ignored when HandleMemoryAction() sets
-- | | | PortFlagTmp to NOCHANGE.
-- | | | - Fixed VitalMemoryViolation() to set
-- | | | all bits of PortFlag when violating.
-- 0.22| jdc |072399| - Added HIGHZ to PortFlagType. HandleData
-- | | | checks whether the previous state is HIGHZ.
-- | | | If yes then portFlag should be NOCHANGE
-- | | | for VMPD to ignore IORetain corruption.
-- | | | The idea is that the first Z should be
-- | | | propagated but later ones should be ignored.
-- | | |
-- 0.23| jdc |100499| - Took code checked in by Dennis 09/28/99
-- | | | - Changed VitalPortFlagType to record of
-- | | | new VitalPortStateType to hold current,
-- | | | previous values and separate disable.
-- | | | Also created VitalDefaultPortFlag const.
-- | | | Removed usage of PortFlag NOCHANGE
-- | | | - VitalMemoryTable() changes:
-- | | | Optimized return when all curr = prev
-- | | | AddressValue is now INOUT to optimize
-- | | | Transfer PF.MemoryCurrent to MemoryPrevious
-- | | | Transfer PF.DataCurrent to DataPrevious
-- | | | Reset PF.OutputDisable to FALSE
-- | | | Expects PortFlag init in declaration
-- | | | No need to init PortFlag every delta
-- | | | - VitalMemorySchedulePathDelay() changes:
-- | | | Initialize with VitalDefaultPortFlag
-- | | | Check PortFlag.OutputDisable
-- | | | - HandleMemoryAction() changes:
-- | | | Set value of PortFlag.MemoryCurrent
-- | | | Never set PortFlag.OutputDisable
-- | | | - HandleDataAction() changes:
-- | | | Set value of PortFlag.DataCurrent
-- | | | Set PortFlag.DataCurrent for HIGHZ
-- | | | - VitalMemoryCrossPorts() changes:
-- | | | Check/set value of PF.MemoryCurrent
-- | | | Check value of PF.OutputDisable
-- | | | - VitalMemoryViolation() changes:
-- | | | Fixed bug - not reading inout PF value
-- | | | Clean up setting of PortFlag
-- 0.24| jdc |100899| - Modified update of PF.OutputDisable
-- | | | to correctly accomodate 2P1W1R case:
-- | | | the read port should not exhibit
-- | | | IO retain corrupt when reading
-- | | | addr unrelated to addr being written.
-- 0.25| jdc |100999| - VitalMemoryViolation() change:
-- | | | Fixed bug with RDNWR mode incorrectly
-- | | | updating the PF.OutputDisable
-- 0.26| jdc |100999| - VitalMemoryCrossPorts() change:
-- | | | Fixed bugs with update of PF
-- 0.27| jdc |101499| - VitalMemoryCrossPorts() change:
-- | | | Added DoRdWrCont message (ErrMcpRdWrCo,
-- | | | Memory cross port read/write data only
-- | | | contention)
-- | | | - VitalMemoryTable() change:
-- | | | Set PF.OutputDisable := TRUE for the
-- | | | optimized cases.
-- 0.28| pb |112399| - Added 8 VMPD procedures for vector
-- | | | PathCondition support. Now the total
-- | | | number of overloadings for VMPD is 24.
-- | | | - Number of overloadings for SetupHold
-- | | | procedures increased to 5. Scalar violations
-- | | | are not supported anymore. Vector checkEnabled
-- | | | support is provided through the new overloading
-- 0.29| jdc |120999| - HandleMemoryAction() HandleDataAction()
-- | | | Reinstated 'D' and 'E' actions but
-- | | | with new PortFlagType
-- | | | - Updated file handling syntax, must compile
-- | | | with -93 syntax now.
-- 0.30| jdc |022300| - Formated for 80 column max width
-- ----------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.Vital_Timing.ALL;
USE IEEE.Vital_Primitives.ALL;
LIBRARY STD;
USE STD.TEXTIO.ALL;
PACKAGE Vital_Memory IS
-- ----------------------------------------------------------------------------
-- Timing Section
-- ----------------------------------------------------------------------------
-- ----------------------------------------------------------------------------
-- Types and constants for Memory timing procedures
-- ----------------------------------------------------------------------------
TYPE VitalMemoryArcType IS (ParallelArc, CrossArc, SubwordArc);
TYPE OutputRetainBehaviorType IS (BitCorrupt, WordCorrupt);
TYPE VitalMemoryMsgFormatType IS (Vector, Scalar, VectorEnum);
TYPE X01ArrayT IS ARRAY (NATURAL RANGE <> ) OF X01;
TYPE X01ArrayPT IS ACCESS X01ArrayT;
TYPE VitalMemoryViolationType IS ACCESS X01ArrayT;
CONSTANT DefaultNumBitsPerSubword : INTEGER := -1;
-- Data type storing path delay and schedule information for output bits
TYPE VitalMemoryScheduleDataType IS RECORD
OutputData : std_ulogic;
NumBitsPerSubWord : INTEGER;
ScheduleTime : TIME;
ScheduleValue : std_ulogic;
LastOutputValue : std_ulogic;
PropDelay : TIME;
OutputRetainDelay : TIME;
InputAge : TIME;
END RECORD;
TYPE VitalMemoryTimingDataType IS RECORD
NotFirstFlag : BOOLEAN;
RefLast : X01;
RefTime : TIME;
HoldEn : BOOLEAN;
TestLast : std_ulogic;
TestTime : TIME;
SetupEn : BOOLEAN;
TestLastA : VitalLogicArrayPT;
TestTimeA : VitalTimeArrayPT;
RefLastA : X01ArrayPT;
RefTimeA : VitalTimeArrayPT;
HoldEnA : VitalBoolArrayPT;
SetupEnA : VitalBoolArrayPT;
END RECORD;
TYPE VitalPeriodDataArrayType IS ARRAY (NATURAL RANGE <>) OF
VitalPeriodDataType;
-- Data type storing path delay and schedule information for output
-- vectors
TYPE VitalMemoryScheduleDataVectorType IS ARRAY (NATURAL RANGE <> ) OF
VitalMemoryScheduleDataType;
-- VitalPortFlagType records runtime mode of port sub-word slices
-- TYPE VitalPortFlagType IS (
-- UNDEF,
-- READ,
-- WRITE,
-- CORRUPT,
-- HIGHZ,
-- NOCHANGE
-- );
-- VitalPortFlagType records runtime mode of port sub-word slices
TYPE VitalPortStateType IS (
UNDEF,
READ,
WRITE,
CORRUPT,
HIGHZ
);
TYPE VitalPortFlagType IS RECORD
MemoryCurrent : VitalPortStateType;
MemoryPrevious : VitalPortStateType;
DataCurrent : VitalPortStateType;
DataPrevious : VitalPortStateType;
OutputDisable : BOOLEAN;
END RECORD;
CONSTANT VitalDefaultPortFlag : VitalPortFlagType := (
MemoryCurrent => READ,
MemoryPrevious => UNDEF,
DataCurrent => READ,
DataPrevious => UNDEF,
OutputDisable => FALSE
);
-- VitalPortFlagVectorType to be same width i as enables of a port
-- or j multiples thereof, where j is the number of cross ports
TYPE VitalPortFlagVectorType IS
ARRAY (NATURAL RANGE <>) OF VitalPortFlagType;
-- ----------------------------------------------------------------------------
-- Functions : VitalMemory path delay procedures
-- - VitalMemoryInitPathDelay
-- - VitalMemoryAddPathDelay
-- - VitalMemorySchedulePathDelay
--
-- Description: VitalMemoryInitPathDelay, VitalMemoryAddPathDelay and
-- VitalMemorySchedulePathDelay are Level 1 routines used
-- for selecting the propagation delay paths based on
-- path condition, transition type and delay values and
-- schedule a new output value.
--
-- Following features are implemented in these procedures:
-- o condition dependent path selection
-- o Transition dependent delay selection
-- o shortest delay path selection from multiple
-- candidate paths
-- o Scheduling of the computed values on the specified
-- signal.
-- o output retain behavior if outputRetain flag is set
-- o output mapping to alternate strengths to model
-- pull-up, pull-down etc.
--
-- <More details to be added here>
--
-- Following is information on overloading of the procedures.
--
-- VitalMemoryInitPathDelay is overloaded for ScheduleDataArray and
-- OutputDataArray
--
-- ----------------------------------------------------------------------------
-- ScheduleDataArray OutputDataArray
-- ----------------------------------------------------------------------------
-- Scalar Scalar
-- Vector Vector
-- ----------------------------------------------------------------------------
--
--
-- VitalMemoryAddPathDelay is overloaded for ScheduleDataArray,
-- PathDelayArray, InputSignal and delaytype.
--
-- ----------------------------------------------------------------------------
-- DelayType InputSignal ScheduleData PathDelay
-- Array Array
-- ----------------------------------------------------------------------------
-- VitalDelayType Scalar Scalar Scalar
-- VitalDelayType Scalar Vector Vector
-- VitalDelayType Vector Scalar Vector
-- VitalDelayType Vector Vector Vector
-- VitalDelayType01 Scalar Scalar Scalar
-- VitalDelayType01 Scalar Vector Vector
-- VitalDelayType01 Vector Scalar Vector
-- VitalDelayType01 Vector Vector Vector
-- VitalDelayType01Z Scalar Scalar Scalar
-- VitalDelayType01Z Scalar Vector Vector
-- VitalDelayType01Z Vector Scalar Vector
-- VitalDelayType01Z Vector Vector Vector
-- VitalDelayType01XZ Scalar Scalar Scalar
-- VitalDelayType01XZ Scalar Vector Vector
-- VitalDelayType01XZ Vector Scalar Vector
-- VitalDelayType01XZ Vector Vector Vector
-- ----------------------------------------------------------------------------
--
--
-- VitalMemorySchedulePathDelay is overloaded for ScheduleDataArray,
-- and OutSignal
--
-- ----------------------------------------------------------------------------
-- OutSignal ScheduleDataArray
-- ----------------------------------------------------------------------------
-- Scalar Scalar
-- Vector Vector
-- ----------------------------------------------------------------------------
--
-- Procedure Declarations:
--
--
-- Function : VitalMemoryInitPathDelay
--
-- Arguments:
--
-- INOUT Type Description
--
-- ScheduleDataArray/ VitalMemoryScheduleDataVectorType/
-- ScheduleData VitalMemoryScheduleDataType
-- Internal data variable for
-- storing delay and schedule
-- information for each output bit
--
--
-- IN
--
-- OutputDataArray/ STD_LOGIC_VECTOR/Array containing current output
-- OutputData STD_ULOGIC value
--
--
-- NumBitsPerSubWord INTEGER Number of bits per subword.
-- Default value of this argument
-- is DefaultNumBitsPerSubword
-- which is interpreted as no
-- subwords
--
-- ----------------------------------------------------------------------------
--
--
-- ScheduleDataArray - Vector
-- OutputDataArray - Vector
--
PROCEDURE VitalMemoryInitPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
VARIABLE OutputDataArray : IN STD_LOGIC_VECTOR;
CONSTANT NumBitsPerSubWord : IN INTEGER := DefaultNumBitsPerSubword
);
--
-- ScheduleDataArray - Scalar
-- OutputDataArray - Scalar
--
PROCEDURE VitalMemoryInitPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
VARIABLE OutputData : IN STD_ULOGIC
);
-- ----------------------------------------------------------------------------
--
-- Function : VitalMemoryAddPathDelay
--
-- Arguments
--
-- INOUT Type Description
--
-- ScheduleDataArray/ VitalMemoryScheduleDataVectorType/
-- ScheduleData VitalMemoryScheduleDataType
-- Internal data variable for
-- storing delay and schedule
-- information for each output bit
--
-- InputChangeTimeArray/ VitaltimeArrayT/Time
-- InputChangeTime Holds the time since the last
-- input change
--
-- IN
--
-- InputSignal STD_LOGIC_VECTOR
-- STD_ULOGIC/ Array holding the input value
--
-- OutputSignalName STRING The output signal name
--
-- PathDelayArray/ VitalDelayArrayType01ZX,
-- PathDelay VitalDelayArrayType01Z,
-- VitalDelayArrayType01,
-- VitalDelayArrayType/
-- VitalDelayType01ZX,
-- VitalDelayType01Z,
-- VitalDelayType01,
-- VitalDelayType Array of delay values
--
-- ArcType VitalMemoryArcType
-- Indicates the Path type. This
-- can be SubwordArc, CrossArc or
-- ParallelArc
--
-- PathCondition BOOLEAN If True, the transition in
-- the corresponding input signal
-- is considered while
-- caluculating the prop. delay
-- else the transition is ignored.
--
-- OutputRetainFlag BOOLEAN If specified TRUE,output retain
-- (hold) behavior is implemented.
--
-- ----------------------------------------------------------------------------
--
-- #1
-- DelayType - VitalDelayType
-- Input - Scalar
-- Output - Scalar
-- Delay - Scalar
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelay : IN VitalDelayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #2
-- DelayType - VitalDelayType
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #3
-- DelayType - VitalDelayType
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray: IN VitalBoolArrayT
);
-- #4
-- DelayType - VitalDelayType
-- Input - Vector
-- Output - Scalar
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #5
-- DelayType - VitalDelayType
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #6
-- DelayType - VitalDelayType
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray : IN VitalBoolArrayT
);
-- #7
-- DelayType - VitalDelayType01
-- Input - Scalar
-- Output - Scalar
-- Delay - Scalar
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelay : IN VitalDelayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #8
-- DelayType - VitalDelayType01
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #9
-- DelayType - VitalDelayType01
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray: IN VitalBoolArrayT
);
-- #10
-- DelayType - VitalDelayType01
-- Input - Vector
-- Output - Scalar
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #11
-- DelayType - VitalDelayType01
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE
);
-- #12
-- DelayType - VitalDelayType01
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray : IN VitalBoolArrayT
);
-- #13
-- DelayType - VitalDelayType01Z
-- Input - Scalar
-- Output - Scalar
-- Delay - Scalar
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelay : IN VitalDelayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE
);
-- #14
-- DelayType - VitalDelayType01Z
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE
);
-- #15
-- DelayType - VitalDelayType01Z
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray: IN VitalBoolArrayT;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE
);
-- #16
-- DelayType - VitalDelayType01Z
-- Input - Vector
-- Output - Scalar
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt
);
-- #17
-- DelayType - VitalDelayType01Z
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt
);
-- #18
-- DelayType - VitalDelayType01Z
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01Z;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray : IN VitalBoolArrayT;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt
);
-- #19
-- DelayType - VitalDelayType01ZX
-- Input - Scalar
-- Output - Scalar
-- Delay - Scalar
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelay : IN VitalDelayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE
);
-- #20
-- DelayType - VitalDelayType01ZX
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE
);
-- #21
-- DelayType - VitalDelayType01ZX
-- Input - Scalar
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_ULOGIC;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTime : INOUT Time;
CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray: IN VitalBoolArrayT;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE
);
-- #22
-- DelayType - VitalDelayType01ZX
-- Input - Vector
-- Output - Scalar
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt
);
-- #23
-- DelayType - VitalDelayType01ZX
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Scalar
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathCondition : IN BOOLEAN := TRUE;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt
);
-- #24
-- DelayType - VitalDelayType01ZX
-- Input - Vector
-- Output - Vector
-- Delay - Vector
-- Condition - Vector
PROCEDURE VitalMemoryAddPathDelay (
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType;
SIGNAL InputSignal : IN STD_LOGIC_VECTOR;
CONSTANT OutputSignalName : IN STRING := "";
VARIABLE InputChangeTimeArray : INOUT VitalTimeArrayT;
CONSTANT PathDelayArray : IN VitalDelayArrayType01ZX;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT PathConditionArray : IN VitalBoolArrayT;
CONSTANT OutputRetainFlag : IN BOOLEAN := FALSE;
CONSTANT OutputRetainBehavior : IN OutputRetainBehaviorType := BitCorrupt
);
-- ----------------------------------------------------------------------------
--
-- Function : VitalMemorySchedulePathDelay
--
-- Arguments:
--
-- OUT Type Description
-- OutSignal STD_LOGIC_VECTOR/ The output signal for
-- STD_ULOGIC scheduling
--
-- IN
-- OutputSignalName STRING The name of the output signal
--
-- IN
-- PortFlag VitalPortFlagType Port flag variable from
-- functional procedures
--
-- IN
-- OutputMap VitalOutputMapType For VitalPathDelay01Z, the
-- output can be mapped to
-- alternate strengths to model
-- tri-state devices, pull-ups
-- and pull-downs.
--
-- INOUT
-- ScheduleDataArray/ VitalMemoryScheduleDataVectorType/
-- ScheduleData VitalMemoryScheduleDataType
-- Internal data variable for
-- storing delay and schedule
-- information for each
-- output bit
--
-- ----------------------------------------------------------------------------
--
-- ScheduleDataArray - Vector
-- OutputSignal - Vector
--
PROCEDURE VitalMemorySchedulePathDelay (
SIGNAL OutSignal : OUT std_logic_vector;
CONSTANT OutputSignalName : IN STRING := "";
CONSTANT PortFlag : IN VitalPortFlagType := VitalDefaultPortFlag;
CONSTANT OutputMap : IN VitalOutputMapType := VitalDefaultOutputMap;
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType
);
--
-- ScheduleDataArray - Vector
-- OutputSignal - Vector
--
PROCEDURE VitalMemorySchedulePathDelay (
SIGNAL OutSignal : OUT std_logic_vector;
CONSTANT OutputSignalName : IN STRING := "";
CONSTANT PortFlag : IN VitalPortFlagVectorType;
CONSTANT OutputMap : IN VitalOutputMapType := VitalDefaultOutputMap;
VARIABLE ScheduleDataArray : INOUT VitalMemoryScheduleDataVectorType
);
--
-- ScheduleDataArray - Scalar
-- OutputSignal - Scalar
--
PROCEDURE VitalMemorySchedulePathDelay (
SIGNAL OutSignal : OUT std_ulogic;
CONSTANT OutputSignalName : IN STRING := "";
CONSTANT PortFlag : IN VitalPortFlagType := VitalDefaultPortFlag;
CONSTANT OutputMap : IN VitalOutputMapType := VitalDefaultOutputMap;
VARIABLE ScheduleData : INOUT VitalMemoryScheduleDataType
);
-- ----------------------------------------------------------------------------
FUNCTION VitalMemoryTimingDataInit RETURN VitalMemoryTimingDataType;
-- ----------------------------------------------------------------------------
--
-- Function Name: VitalMemorySetupHoldCheck
--
-- Description: The VitalMemorySetupHoldCheck procedure detects a setup or a
-- hold violation on the input test signal with respect
-- to the corresponding input reference signal. The timing
-- constraints are specified through parameters
-- representing the high and low values for the setup and
-- hold values for the setup and hold times. This
-- procedure assumes non-negative values for setup and hold
-- timing constraints.
--
-- It is assumed that negative timing constraints
-- are handled by internally delaying the test or
-- reference signals. Negative setup times result in
-- a delayed reference signal. Negative hold times
-- result in a delayed test signal. Furthermore, the
-- delays and constraints associated with these and
-- other signals may need to be appropriately
-- adjusted so that all constraint intervals overlap
-- the delayed reference signals and all constraint
-- values (with respect to the delayed signals) are
-- non-negative.
--
-- This function is overloaded based on the input
-- TestSignal and reference signals. Parallel, Subword and
-- Cross Arc relationships between test and reference
-- signals are supported.
--
-- TestSignal XXXXXXXXXXXX____________________________XXXXXXXXXXXXXXXXXXXXXX
-- :
-- : -->| error region |<--
-- :
-- _______________________________
-- RefSignal \______________________________
-- : | | |
-- : | -->| |<-- thold
-- : -->| tsetup |<--
--
-- Arguments:
--
-- IN Type Description
-- TestSignal std_logic_vector Value of test signal
-- TestSignalName STRING Name of test signal
-- TestDelay VitalDelayArrayType Model's internal delay associated
-- with TestSignal
-- RefSignal std_ulogic Value of reference signal
-- std_logic_vector
-- RefSignalName STRING Name of reference signal
-- RefDelay TIME Model's internal delay associated
-- VitalDelayArrayType with RefSignal
-- SetupHigh VitalDelayArrayType Absolute minimum time duration
-- before the transition of RefSignal
-- for which transitions of
-- TestSignal are allowed to proceed
-- to the "1" state without causing
-- a setup violation.
-- SetupLow VitalDelayArrayType Absolute minimum time duration
-- before the transition of RefSignal
-- for which transitions of
-- TestSignal are allowed to proceed
-- to the "0" state without causing
-- a setup violation.
-- HoldHigh VitalDelayArrayType Absolute minimum time duration
-- after the transition of RefSignal
-- for which transitions of
-- TestSignal are allowed to
-- proceed to the "1" state without
-- causing a hold violation.
-- HoldLow VitalDelayArrayType Absolute minimum time duration
-- after the transition of RefSignal
-- for which transitions of
-- TestSignal are allowed to
-- proceed to the "0" state without
-- causing a hold violation.
-- CheckEnabled BOOLEAN Check performed if TRUE.
-- RefTransition VitalEdgeSymbolType
-- Reference edge specified. Events
-- on the RefSignal which match the
-- edge spec. are used as reference
-- edges.
-- ArcType VitalMemoryArcType
-- NumBitsPerSubWord INTEGER
-- HeaderMsg STRING String that will accompany any
-- assertion messages produced.
-- XOn BOOLEAN If TRUE, Violation output
-- parameter is set to "X".
-- Otherwise, Violation is always
-- set to "0."
-- MsgOn BOOLEAN If TRUE, set and hold violation
-- message will be generated.
-- Otherwise, no messages are
-- generated, even upon violations.
-- MsgSeverity SEVERITY_LEVEL Severity level for the assertion.
-- MsgFormat VitalMemoryMsgFormatType
-- Format of the Test/Reference
-- signals in violation messages.
--
-- INOUT
-- TimingData VitalMemoryTimingDataType
-- VitalMemorySetupHoldCheck information
-- storage area. This is used
-- internally to detect reference
-- edges and record the time of the
-- last edge.
--
-- OUT
-- Violation X01 This is the violation flag returned.
-- X01ArrayT Overloaded for array type.
--
--
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_ulogic;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN TIME := 0 ns;
SIGNAL RefSignal : IN std_ulogic;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN TIME := 0 ns;
CONSTANT SetupHigh : IN VitalDelayType;
CONSTANT SetupLow : IN VitalDelayType;
CONSTANT HoldHigh : IN VitalDelayType;
CONSTANT HoldLow : IN VitalDelayType;
CONSTANT CheckEnabled : IN VitalBoolArrayT;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
);
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
SIGNAL RefSignal : IN std_ulogic;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN TIME := 0 ns;
CONSTANT SetupHigh : IN VitalDelayArrayType;
CONSTANT SetupLow : IN VitalDelayArrayType;
CONSTANT HoldHigh : IN VitalDelayArrayType;
CONSTANT HoldLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
);
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
SIGNAL RefSignal : IN std_ulogic;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN TIME := 0 ns;
CONSTANT SetupHigh : IN VitalDelayArrayType;
CONSTANT SetupLow : IN VitalDelayArrayType;
CONSTANT HoldHigh : IN VitalDelayArrayType;
CONSTANT HoldLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN VitalBoolArrayT;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT NumBitsPerSubWord : IN INTEGER := 1;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
);
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
SIGNAL RefSignal : IN std_logic_vector;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN VitalDelayArrayType;
CONSTANT SetupHigh : IN VitalDelayArrayType;
CONSTANT SetupLow : IN VitalDelayArrayType;
CONSTANT HoldHigh : IN VitalDelayArrayType;
CONSTANT HoldLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT NumBitsPerSubWord : IN INTEGER := 1;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
);
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
SIGNAL RefSignal : IN std_logic_vector;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN VitalDelayArrayType;
CONSTANT SetupHigh : IN VitalDelayArrayType;
CONSTANT SetupLow : IN VitalDelayArrayType;
CONSTANT HoldHigh : IN VitalDelayArrayType;
CONSTANT HoldLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN VitalBoolArrayT;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT NumBitsPerSubWord : IN INTEGER := 1;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
);
--------------- following are not needed --------------------------
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
SIGNAL RefSignal : IN std_ulogic;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN TIME := 0 ns;
CONSTANT SetupHigh : IN VitalDelayArrayType;
CONSTANT SetupLow : IN VitalDelayArrayType;
CONSTANT HoldHigh : IN VitalDelayArrayType;
CONSTANT HoldLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
);
PROCEDURE VitalMemorySetupHoldCheck (
VARIABLE Violation : OUT X01;
VARIABLE TimingData : INOUT VitalMemoryTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
SIGNAL RefSignal : IN std_logic_vector;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN VitalDelayArrayType;
CONSTANT SetupHigh : IN VitalDelayArrayType;
CONSTANT SetupLow : IN VitalDelayArrayType;
CONSTANT HoldHigh : IN VitalDelayArrayType;
CONSTANT HoldLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT ArcType : IN VitalMemoryArcType := CrossArc;
CONSTANT NumBitsPerSubWord : IN INTEGER := 1;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE;
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE;
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE
);
-- ----------------------------------------------------------------------------
--
-- Function Name: VitalPeriodPulseCheck
--
-- Description: VitalPeriodPulseCheck checks for minimum and maximum
-- periodicity and pulse width for "1" and "0" values of
-- the input test signal. The timing constraint is
-- specified through parameters representing the minimal
-- period between successive rising and falling edges of
-- the input test signal and the minimum pulse widths
-- associated with high and low values.
--
-- VitalPeriodCheck's accepts rising and falling edges
-- from 1 and 0 as well as transitions to and from 'X.'
--
-- _______________ __________
-- ____________| |_______|
--
-- |<--- pw_hi --->|
-- |<-------- period ----->|
-- -->| pw_lo |<--
--
-- Arguments:
-- IN Type Description
-- TestSignal std_logic_vector Value of test signal
-- TestSignalName STRING Name of the test signal
-- TestDelay VitalDelayArrayType
-- Model's internal delay associated
-- with TestSignal
-- Period VitalDelayArrayType
-- Minimum period allowed between
-- consecutive rising ('P') or
-- falling ('F') transitions.
-- PulseWidthHigh VitalDelayArrayType
-- Minimum time allowed for a high
-- pulse ('1' or 'H')
-- PulseWidthLow VitalDelayArrayType
-- Minimum time allowed for a low
-- pulse ('0' or 'L')
-- CheckEnabled BOOLEAN Check performed if TRUE.
-- HeaderMsg STRING String that will accompany any
-- assertion messages produced.
-- XOn BOOLEAN If TRUE, Violation output parameter
-- is set to "X". Otherwise, Violation
-- is always set to "0."
-- MsgOn BOOLEAN If TRUE, period/pulse violation
-- message will be generated.
-- Otherwise, no messages are generated,
-- even though a violation is detected.
-- MsgSeverity SEVERITY_LEVEL Severity level for the assertion.
-- MsgFormat VitalMemoryMsgFormatType
-- Format of the Test/Reference signals
-- in violation messages.
--
-- INOUT
-- PeriodData VitalPeriodDataArrayType
-- VitalPeriodPulseCheck information
-- storage area. This is used
-- internally to detect reference edges
-- and record the pulse and period
-- times.
-- OUT
-- Violation X01 This is the violation flag returned.
-- X01ArrayT Overloaded for array type.
--
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemoryPeriodPulseCheck (
VARIABLE Violation : OUT X01ArrayT;
VARIABLE PeriodData : INOUT VitalPeriodDataArrayType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
CONSTANT Period : IN VitalDelayArrayType;
CONSTANT PulseWidthHigh : IN VitalDelayArrayType;
CONSTANT PulseWidthLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType
);
PROCEDURE VitalMemoryPeriodPulseCheck (
VARIABLE Violation : OUT X01;
VARIABLE PeriodData : INOUT VitalPeriodDataArrayType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN VitalDelayArrayType;
CONSTANT Period : IN VitalDelayArrayType;
CONSTANT PulseWidthHigh : IN VitalDelayArrayType;
CONSTANT PulseWidthLow : IN VitalDelayArrayType;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT MsgFormat : IN VitalMemoryMsgFormatType
);
-- ----------------------------------------------------------------------------
-- Functionality Section
-- ----------------------------------------------------------------------------
-- ----------------------------------------------------------------------------
-- All Memory Types and Record definitions.
-- ----------------------------------------------------------------------------
TYPE MemoryWordType IS ARRAY (NATURAL RANGE <>) OF UX01;
TYPE MemoryWordPtr IS ACCESS MemoryWordType;
TYPE MemoryArrayType IS ARRAY (NATURAL RANGE <>) OF MemoryWordPtr;
TYPE MemoryArrayPtrType IS ACCESS MemoryArrayType;
TYPE VitalMemoryArrayRecType IS
RECORD
NoOfWords : POSITIVE;
NoOfBitsPerWord : POSITIVE;
NoOfBitsPerSubWord : POSITIVE;
NoOfBitsPerEnable : POSITIVE;
MemoryArrayPtr : MemoryArrayPtrType;
END RECORD;
TYPE VitalMemoryDataType IS ACCESS VitalMemoryArrayRecType;
TYPE VitalTimingDataVectorType IS
ARRAY (NATURAL RANGE <>) OF VitalTimingDataType;
TYPE VitalMemoryViolFlagSizeType IS ARRAY (NATURAL RANGE <>) OF INTEGER;
-- ----------------------------------------------------------------------------
-- Symbol Literals used for Memory Table Modeling
-- ----------------------------------------------------------------------------
-- Symbol literals from '/' to 'S' are closely related to MemoryTableMatch
-- lookup matching and the order cannot be arbitrarily changed.
-- The remaining symbol literals are interpreted directly and matchting is
-- handled in the MemoryMatch procedure itself.
TYPE VitalMemorySymbolType IS (
'/', -- 0 -> 1
'\', -- 1 -> 0
'P', -- Union of '/' and '^' (any edge to 1)
'N', -- Union of '\' and 'v' (any edge to 0)
'r', -- 0 -> X
'f', -- 1 -> X
'p', -- Union of '/' and 'r' (any edge from 0)
'n', -- Union of '\' and 'f' (any edge from 1)
'R', -- Union of '^' and 'p' (any possible rising edge)
'F', -- Union of 'v' and 'n' (any possible falling edge)
'^', -- X -> 1
'v', -- X -> 0
'E', -- Union of 'v' and '^' (any edge from X)
'A', -- Union of 'r' and '^' (rising edge to or from 'X')
'D', -- Union of 'f' and 'v' (falling edge to or from 'X')
'*', -- Union of 'R' and 'F' (any edge)
'X', -- Unknown level
'0', -- low level
'1', -- high level
'-', -- don't care
'B', -- 0 or 1
'Z', -- High Impedance
'S', -- steady value
'g', -- Good address (no transition)
'u', -- Unknown address (no transition)
'i', -- Invalid address (no transition)
'G', -- Good address (with transition)
'U', -- Unknown address (with transition)
'I', -- Invalid address (with transition)
'w', -- Write data to memory
's', -- Retain previous memory contents
'c', -- Corrupt entire memory with 'X'
'l', -- Corrupt a word in memory with 'X'
'd', -- Corrupt a single bit in memory with 'X'
'e', -- Corrupt a word with 'X' based on data in
'C', -- Corrupt a sub-word entire memory with 'X'
'L', -- Corrupt a sub-word in memory with 'X'
-- The following entries are commented since their
-- interpretation overlap with existing definitions.
-- 'D', -- Corrupt a single bit of a sub-word with 'X'
-- 'E', -- Corrupt a sub-word with 'X' based on datain
'M', -- Implicit read data from memory
'm', -- Read data from memory
't' -- Immediate assign/transfer data in
);
TYPE VitalMemoryTableType IS ARRAY ( NATURAL RANGE <>, NATURAL RANGE <> )
OF VitalMemorySymbolType;
TYPE VitalMemoryViolationSymbolType IS (
'X', -- Unknown level
'0', -- low level
'-' -- don't care
);
TYPE VitalMemoryViolationTableType IS
ARRAY ( NATURAL RANGE <>, NATURAL RANGE <> )
OF VitalMemoryViolationSymbolType;
TYPE VitalPortType IS (
UNDEF,
READ,
WRITE,
RDNWR
);
TYPE VitalCrossPortModeType IS (
CpRead, -- CpReadOnly,
WriteContention, -- WrContOnly,
ReadWriteContention, -- CpContention
CpReadAndWriteContention, -- WrContAndCpRead,
CpReadAndReadContention
);
SUBTYPE VitalAddressValueType IS INTEGER;
TYPE VitalAddressValueVectorType IS
ARRAY (NATURAL RANGE <>) OF VitalAddressValueType;
-- ----------------------------------------------------------------------------
-- Procedure: VitalDeclareMemory
-- Parameters: NoOfWords - Number of words in the memory
-- NoOfBitsPerWord - Number of bits per word in memory
-- NoOfBitsPerSubWord - Number of bits per sub word
-- MemoryLoadFile - Name of data file to load
-- Description: This function is intended to be used to initialize
-- memory data declarations, i.e. to be executed duing
-- simulation elaboration time. Handles the allocation
-- and initialization of memory for the memory data.
-- Default NoOfBitsPerSubWord is NoOfBits.
-- ----------------------------------------------------------------------------
IMPURE FUNCTION VitalDeclareMemory (
CONSTANT NoOfWords : IN POSITIVE;
CONSTANT NoOfBitsPerWord : IN POSITIVE;
CONSTANT NoOfBitsPerSubWord : IN POSITIVE;
CONSTANT MemoryLoadFile : IN string := "";
CONSTANT BinaryLoadFile : IN BOOLEAN := FALSE
) RETURN VitalMemoryDataType;
IMPURE FUNCTION VitalDeclareMemory (
CONSTANT NoOfWords : IN POSITIVE;
CONSTANT NoOfBitsPerWord : IN POSITIVE;
CONSTANT MemoryLoadFile : IN string := "";
CONSTANT BinaryLoadFile : IN BOOLEAN := FALSE
) RETURN VitalMemoryDataType;
-- ----------------------------------------------------------------------------
-- Procedure: VitalMemoryTable
-- Parameters: DataOutBus - Output candidate zero delay data bus out
-- MemoryData - Pointer to memory data structure
-- PrevControls - Previous data in for edge detection
-- PrevEnableBus - Previous enables for edge detection
-- PrevDataInBus - Previous data bus for edge detection
-- PrevAddressBus - Previous address bus for edge detection
-- PortFlag - Indicates port operating mode
-- PortFlagArray - Vector form of PortFlag for sub-word
-- Controls - Agregate of scalar control lines
-- EnableBus - Concatenation of vector control lines
-- DataInBus - Input value of data bus in
-- AddressBus - Input value of address bus in
-- AddressValue - Decoded value of the AddressBus
-- MemoryTable - Input memory action table
-- PortType - The type of port (currently not used)
-- PortName - Port name string for messages
-- HeaderMsg - Header string for messages
-- MsgOn - Control the generation of messages
-- MsgSeverity - Control level of message generation
-- Description: This procedure implements the majority of the memory
-- modeling functionality via lookup of the memory action
-- tables and performing the specified actions if matches
-- are found, or the default actions otherwise. The
-- overloadings are provided for the word and sub-word
-- (using the EnableBus and PortFlagArray arguments) addressing
-- cases.
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemoryTable (
VARIABLE DataOutBus : INOUT std_logic_vector;
VARIABLE MemoryData : INOUT VitalMemoryDataType;
VARIABLE PrevControls : INOUT std_logic_vector;
VARIABLE PrevDataInBus : INOUT std_logic_vector;
VARIABLE PrevAddressBus : INOUT std_logic_vector;
VARIABLE PortFlag : INOUT VitalPortFlagVectorType;
CONSTANT Controls : IN std_logic_vector;
CONSTANT DataInBus : IN std_logic_vector;
CONSTANT AddressBus : IN std_logic_vector;
VARIABLE AddressValue : INOUT VitalAddressValueType;
CONSTANT MemoryTable : IN VitalMemoryTableType;
CONSTANT PortType : IN VitalPortType := UNDEF;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING
);
PROCEDURE VitalMemoryTable (
VARIABLE DataOutBus : INOUT std_logic_vector;
VARIABLE MemoryData : INOUT VitalMemoryDataType;
VARIABLE PrevControls : INOUT std_logic_vector;
VARIABLE PrevEnableBus : INOUT std_logic_vector;
VARIABLE PrevDataInBus : INOUT std_logic_vector;
VARIABLE PrevAddressBus : INOUT std_logic_vector;
VARIABLE PortFlagArray : INOUT VitalPortFlagVectorType;
CONSTANT Controls : IN std_logic_vector;
CONSTANT EnableBus : IN std_logic_vector;
CONSTANT DataInBus : IN std_logic_vector;
CONSTANT AddressBus : IN std_logic_vector;
VARIABLE AddressValue : INOUT VitalAddressValueType;
CONSTANT MemoryTable : IN VitalMemoryTableType;
CONSTANT PortType : IN VitalPortType := UNDEF;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING
);
-- ----------------------------------------------------------------------------
-- Procedure: VitalMemoryCrossPorts
-- Parameters: DataOutBus - Output candidate zero delay data bus out
-- MemoryData - Pointer to memory data structure
-- SamePortFlag - Operating mode for same port
-- SamePortAddressValue - Decoded AddressBus for same port
-- CrossPortFlagArray - Operating modes for cross ports
-- CrossPortAddressArray - Decoded AddressBus for cross ports
-- CrossPortMode - Write contention and crossport read control
-- PortName - Port name string for messages
-- HeaderMsg - Header string for messages
-- MsgOn - Control the generation of messages
--
-- Description: These procedures control the effect of memory operations
-- on a given port due to operations on other ports in a
-- multi-port memory.
-- This includes data write through when reading and writing
-- to the same address, as well as write contention when
-- there are multiple write to the same address.
-- If addresses do not match then data bus is unchanged.
-- The DataOutBus can be diabled with 'Z' value.
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemoryCrossPorts (
VARIABLE DataOutBus : INOUT std_logic_vector;
VARIABLE MemoryData : INOUT VitalMemoryDataType;
VARIABLE SamePortFlag : INOUT VitalPortFlagVectorType;
CONSTANT SamePortAddressValue : IN VitalAddressValueType;
CONSTANT CrossPortFlagArray : IN VitalPortFlagVectorType;
CONSTANT CrossPortAddressArray : IN VitalAddressValueVectorType;
CONSTANT CrossPortMode : IN VitalCrossPortModeType
:= CpReadAndWriteContention;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE
) ;
PROCEDURE VitalMemoryCrossPorts (
VARIABLE MemoryData : INOUT VitalMemoryDataType;
CONSTANT CrossPortFlagArray : IN VitalPortFlagVectorType;
CONSTANT CrossPortAddressArray : IN VitalAddressValueVectorType;
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE
) ;
-- ----------------------------------------------------------------------------
-- Procedure: VitalMemoryViolation
-- Parameters: DataOutBus - Output zero delay data bus out
-- MemoryData - Pointer to memory data structure
-- PortFlag - Indicates port operating mode
-- DataInBus - Input value of data bus in
-- AddressValue - Decoded value of the AddressBus
-- ViolationFlags - Aggregate of scalar violation vars
-- ViolationFlagsArray - Concatenation of vector violation vars
-- ViolationTable - Input memory violation table
-- PortType - The type of port (currently not used)
-- PortName - Port name string for messages
-- HeaderMsg - Header string for messages
-- MsgOn - Control the generation of messages
-- MsgSeverity - Control level of message generation
-- Description: This procedure is intended to implement all actions on the
-- memory contents and data out bus as a result of timing viols.
-- It uses the memory action table to perform various corruption
-- policies specified by the user.
-- ----------------------------------------------------------------------------
PROCEDURE VitalMemoryViolation (
VARIABLE DataOutBus : INOUT std_logic_vector;
VARIABLE MemoryData : INOUT VitalMemoryDataType;
VARIABLE PortFlag : INOUT VitalPortFlagVectorType;
CONSTANT DataInBus : IN std_logic_vector;
CONSTANT AddressValue : IN VitalAddressValueType;
CONSTANT ViolationFlags : IN std_logic_vector;
CONSTANT ViolationFlagsArray : IN X01ArrayT;
CONSTANT ViolationSizesArray : IN VitalMemoryViolFlagSizeType;
CONSTANT ViolationTable : IN VitalMemoryTableType;
CONSTANT PortType : IN VitalPortType;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING
) ;
PROCEDURE VitalMemoryViolation (
VARIABLE DataOutBus : INOUT std_logic_vector;
VARIABLE MemoryData : INOUT VitalMemoryDataType;
VARIABLE PortFlag : INOUT VitalPortFlagVectorType;
CONSTANT DataInBus : IN std_logic_vector;
CONSTANT AddressValue : IN VitalAddressValueType;
CONSTANT ViolationFlags : IN std_logic_vector;
CONSTANT ViolationTable : IN VitalMemoryTableType;
CONSTANT PortType : IN VitalPortType;
CONSTANT PortName : IN STRING := "";
CONSTANT HeaderMsg : IN STRING := "";
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING
) ;
END Vital_Memory;
|
entity record2 is
end entity;
architecture test of record2 is
type r1 is record
x, y : integer;
end record;
type r1_ptr is access r1;
begin
p1: process is
variable r : r1;
variable x : integer;
begin
r := (x, x);
wait;
end process;
p2: process is
variable r : r1_ptr;
begin
r := new r1;
wait;
end process;
p3: process is
variable r : r1_ptr;
variable x : integer;
begin
r := new r1'(x, x);
wait;
end process;
end architecture;
|
library ieee;
use ieee.std_logic_1164.all;
entity cmp_202 is
port (
ne : out std_logic;
in0 : in std_logic_vector(15 downto 0);
in1 : in std_logic_vector(15 downto 0)
);
end cmp_202;
architecture augh of cmp_202 is
signal tmp : std_logic;
begin
-- Compute the result
tmp <=
'0' when in0 /= in1 else
'1';
-- Set the outputs
ne <= not(tmp);
end architecture;
|
library ieee;
use ieee.std_logic_1164.all;
entity cmp_202 is
port (
ne : out std_logic;
in0 : in std_logic_vector(15 downto 0);
in1 : in std_logic_vector(15 downto 0)
);
end cmp_202;
architecture augh of cmp_202 is
signal tmp : std_logic;
begin
-- Compute the result
tmp <=
'0' when in0 /= in1 else
'1';
-- Set the outputs
ne <= not(tmp);
end architecture;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1363.vhd,v 1.2 2001-10-26 16:29:40 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s05b00x00p03n01i01363ent IS
END c08s05b00x00p03n01i01363ent;
ARCHITECTURE c08s05b00x00p03n01i01363arch OF c08s05b00x00p03n01i01363ent IS
BEGIN
TESTING: PROCESS
--
-- Define constants for package
--
constant lowb : integer := 1 ;
constant highb : integer := 5 ;
constant lowb_i2 : integer := 0 ;
constant highb_i2 : integer := 1000 ;
constant lowb_p : integer := -100 ;
constant highb_p : integer := 1000 ;
constant lowb_r : real := 0.0 ;
constant highb_r : real := 1000.0 ;
constant lowb_r2 : real := 8.0 ;
constant highb_r2 : real := 80.0 ;
constant c_boolean_1 : boolean := false ;
constant c_boolean_2 : boolean := true ;
--
-- bit
constant c_bit_1 : bit := '0' ;
constant c_bit_2 : bit := '1' ;
-- severity_level
constant c_severity_level_1 : severity_level := NOTE ;
constant c_severity_level_2 : severity_level := WARNING ;
--
-- character
constant c_character_1 : character := 'A' ;
constant c_character_2 : character := 'a' ;
-- integer types
-- predefined
constant c_integer_1 : integer := lowb ;
constant c_integer_2 : integer := highb ;
--
-- user defined integer type
type t_int1 is range 0 to 100 ;
constant c_t_int1_1 : t_int1 := 0 ;
constant c_t_int1_2 : t_int1 := 10 ;
subtype st_int1 is t_int1 range 8 to 60 ;
constant c_st_int1_1 : st_int1 := 8 ;
constant c_st_int1_2 : st_int1 := 9 ;
--
-- physical types
-- predefined
constant c_time_1 : time := 1 ns ;
constant c_time_2 : time := 2 ns ;
--
--
-- floating point types
-- predefined
constant c_real_1 : real := 0.0 ;
constant c_real_2 : real := 1.0 ;
--
-- simple record
type t_rec1 is record
f1 : integer range lowb_i2 to highb_i2 ;
f2 : time ;
f3 : boolean ;
f4 : real ;
end record ;
constant c_t_rec1_1 : t_rec1 :=
(c_integer_1, c_time_1, c_boolean_1, c_real_1) ;
constant c_t_rec1_2 : t_rec1 :=
(c_integer_2, c_time_2, c_boolean_2, c_real_2) ;
subtype st_rec1 is t_rec1 ;
constant c_st_rec1_1 : st_rec1 := c_t_rec1_1 ;
constant c_st_rec1_2 : st_rec1 := c_t_rec1_2 ;
--
-- more complex record
type t_rec2 is record
f1 : boolean ;
f2 : st_rec1 ;
f3 : time ;
end record ;
constant c_t_rec2_1 : t_rec2 :=
(c_boolean_1, c_st_rec1_1, c_time_1) ;
constant c_t_rec2_2 : t_rec2 :=
(c_boolean_2, c_st_rec1_2, c_time_2) ;
subtype st_rec2 is t_rec2 ;
constant c_st_rec2_1 : st_rec2 := c_t_rec2_1 ;
constant c_st_rec2_2 : st_rec2 := c_t_rec2_2 ;
--
-- simple array
type t_arr1 is array (integer range <>) of st_int1 ;
subtype t_arr1_range1 is integer range lowb to highb ;
subtype st_arr1 is t_arr1 (t_arr1_range1) ;
constant c_st_arr1_1 : st_arr1 := (others => c_st_int1_1) ;
constant c_st_arr1_2 : st_arr1 := (others => c_st_int1_2) ;
constant c_t_arr1_1 : st_arr1 := c_st_arr1_1 ;
constant c_t_arr1_2 : st_arr1 := c_st_arr1_2 ;
--
-- more complex array
type t_arr2 is array (integer range <>, boolean range <>) of st_arr1 ;
subtype t_arr2_range1 is integer range lowb to highb ;
subtype t_arr2_range2 is boolean range false to true ;
subtype st_arr2 is t_arr2 (t_arr2_range1, t_arr2_range2);
constant c_st_arr2_1 : st_arr2 := (others => (others => c_st_arr1_1)) ;
constant c_st_arr2_2 : st_arr2 := (others => (others => c_st_arr1_2)) ;
constant c_t_arr2_1 : st_arr2 := c_st_arr2_1 ;
constant c_t_arr2_2 : st_arr2 := c_st_arr2_2 ;
--
-- most complex record
type t_rec3 is record
f1 : boolean ;
f2 : st_rec2 ;
f3 : st_arr2 ;
end record ;
constant c_t_rec3_1 : t_rec3 :=
(c_boolean_1, c_st_rec2_1, c_st_arr2_1) ;
constant c_t_rec3_2 : t_rec3 :=
(c_boolean_2, c_st_rec2_2, c_st_arr2_2) ;
subtype st_rec3 is t_rec3 ;
constant c_st_rec3_1 : st_rec3 := c_t_rec3_1 ;
constant c_st_rec3_2 : st_rec3 := c_t_rec3_2 ;
--
-- most complex array
type t_arr3 is array (integer range <>, boolean range <>) of st_rec3 ;
subtype t_arr3_range1 is integer range lowb to highb ;
subtype t_arr3_range2 is boolean range true downto false ;
subtype st_arr3 is t_arr3 (t_arr3_range1, t_arr3_range2) ;
constant c_st_arr3_1 : st_arr3 := (others => (others => c_st_rec3_1)) ;
constant c_st_arr3_2 : st_arr3 := (others => (others => c_st_rec3_2)) ;
constant c_t_arr3_1 : st_arr3 := c_st_arr3_1 ;
constant c_t_arr3_2 : st_arr3 := c_st_arr3_2 ;
--
variable v_st_rec3 : st_rec3 := c_st_rec3_1 ;
--
BEGIN
v_st_rec3.f3(st_arr2'Left(1),st_arr2'Left(2)) :=
c_st_rec3_2.f3(st_arr2'Right(1),st_arr2'Right(2));
assert NOT(v_st_rec3.f3(st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr1_2)
report "***PASSED TEST: c08s05b00x00p03n01i01363"
severity NOTE;
assert (v_st_rec3.f3(st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr1_2)
report "***FAILED TEST: c08s05b00x00p03n01i01363 - The types of the variable and the assigned variable must match."
severity ERROR;
wait;
END PROCESS TESTING;
END c08s05b00x00p03n01i01363arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1363.vhd,v 1.2 2001-10-26 16:29:40 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s05b00x00p03n01i01363ent IS
END c08s05b00x00p03n01i01363ent;
ARCHITECTURE c08s05b00x00p03n01i01363arch OF c08s05b00x00p03n01i01363ent IS
BEGIN
TESTING: PROCESS
--
-- Define constants for package
--
constant lowb : integer := 1 ;
constant highb : integer := 5 ;
constant lowb_i2 : integer := 0 ;
constant highb_i2 : integer := 1000 ;
constant lowb_p : integer := -100 ;
constant highb_p : integer := 1000 ;
constant lowb_r : real := 0.0 ;
constant highb_r : real := 1000.0 ;
constant lowb_r2 : real := 8.0 ;
constant highb_r2 : real := 80.0 ;
constant c_boolean_1 : boolean := false ;
constant c_boolean_2 : boolean := true ;
--
-- bit
constant c_bit_1 : bit := '0' ;
constant c_bit_2 : bit := '1' ;
-- severity_level
constant c_severity_level_1 : severity_level := NOTE ;
constant c_severity_level_2 : severity_level := WARNING ;
--
-- character
constant c_character_1 : character := 'A' ;
constant c_character_2 : character := 'a' ;
-- integer types
-- predefined
constant c_integer_1 : integer := lowb ;
constant c_integer_2 : integer := highb ;
--
-- user defined integer type
type t_int1 is range 0 to 100 ;
constant c_t_int1_1 : t_int1 := 0 ;
constant c_t_int1_2 : t_int1 := 10 ;
subtype st_int1 is t_int1 range 8 to 60 ;
constant c_st_int1_1 : st_int1 := 8 ;
constant c_st_int1_2 : st_int1 := 9 ;
--
-- physical types
-- predefined
constant c_time_1 : time := 1 ns ;
constant c_time_2 : time := 2 ns ;
--
--
-- floating point types
-- predefined
constant c_real_1 : real := 0.0 ;
constant c_real_2 : real := 1.0 ;
--
-- simple record
type t_rec1 is record
f1 : integer range lowb_i2 to highb_i2 ;
f2 : time ;
f3 : boolean ;
f4 : real ;
end record ;
constant c_t_rec1_1 : t_rec1 :=
(c_integer_1, c_time_1, c_boolean_1, c_real_1) ;
constant c_t_rec1_2 : t_rec1 :=
(c_integer_2, c_time_2, c_boolean_2, c_real_2) ;
subtype st_rec1 is t_rec1 ;
constant c_st_rec1_1 : st_rec1 := c_t_rec1_1 ;
constant c_st_rec1_2 : st_rec1 := c_t_rec1_2 ;
--
-- more complex record
type t_rec2 is record
f1 : boolean ;
f2 : st_rec1 ;
f3 : time ;
end record ;
constant c_t_rec2_1 : t_rec2 :=
(c_boolean_1, c_st_rec1_1, c_time_1) ;
constant c_t_rec2_2 : t_rec2 :=
(c_boolean_2, c_st_rec1_2, c_time_2) ;
subtype st_rec2 is t_rec2 ;
constant c_st_rec2_1 : st_rec2 := c_t_rec2_1 ;
constant c_st_rec2_2 : st_rec2 := c_t_rec2_2 ;
--
-- simple array
type t_arr1 is array (integer range <>) of st_int1 ;
subtype t_arr1_range1 is integer range lowb to highb ;
subtype st_arr1 is t_arr1 (t_arr1_range1) ;
constant c_st_arr1_1 : st_arr1 := (others => c_st_int1_1) ;
constant c_st_arr1_2 : st_arr1 := (others => c_st_int1_2) ;
constant c_t_arr1_1 : st_arr1 := c_st_arr1_1 ;
constant c_t_arr1_2 : st_arr1 := c_st_arr1_2 ;
--
-- more complex array
type t_arr2 is array (integer range <>, boolean range <>) of st_arr1 ;
subtype t_arr2_range1 is integer range lowb to highb ;
subtype t_arr2_range2 is boolean range false to true ;
subtype st_arr2 is t_arr2 (t_arr2_range1, t_arr2_range2);
constant c_st_arr2_1 : st_arr2 := (others => (others => c_st_arr1_1)) ;
constant c_st_arr2_2 : st_arr2 := (others => (others => c_st_arr1_2)) ;
constant c_t_arr2_1 : st_arr2 := c_st_arr2_1 ;
constant c_t_arr2_2 : st_arr2 := c_st_arr2_2 ;
--
-- most complex record
type t_rec3 is record
f1 : boolean ;
f2 : st_rec2 ;
f3 : st_arr2 ;
end record ;
constant c_t_rec3_1 : t_rec3 :=
(c_boolean_1, c_st_rec2_1, c_st_arr2_1) ;
constant c_t_rec3_2 : t_rec3 :=
(c_boolean_2, c_st_rec2_2, c_st_arr2_2) ;
subtype st_rec3 is t_rec3 ;
constant c_st_rec3_1 : st_rec3 := c_t_rec3_1 ;
constant c_st_rec3_2 : st_rec3 := c_t_rec3_2 ;
--
-- most complex array
type t_arr3 is array (integer range <>, boolean range <>) of st_rec3 ;
subtype t_arr3_range1 is integer range lowb to highb ;
subtype t_arr3_range2 is boolean range true downto false ;
subtype st_arr3 is t_arr3 (t_arr3_range1, t_arr3_range2) ;
constant c_st_arr3_1 : st_arr3 := (others => (others => c_st_rec3_1)) ;
constant c_st_arr3_2 : st_arr3 := (others => (others => c_st_rec3_2)) ;
constant c_t_arr3_1 : st_arr3 := c_st_arr3_1 ;
constant c_t_arr3_2 : st_arr3 := c_st_arr3_2 ;
--
variable v_st_rec3 : st_rec3 := c_st_rec3_1 ;
--
BEGIN
v_st_rec3.f3(st_arr2'Left(1),st_arr2'Left(2)) :=
c_st_rec3_2.f3(st_arr2'Right(1),st_arr2'Right(2));
assert NOT(v_st_rec3.f3(st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr1_2)
report "***PASSED TEST: c08s05b00x00p03n01i01363"
severity NOTE;
assert (v_st_rec3.f3(st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr1_2)
report "***FAILED TEST: c08s05b00x00p03n01i01363 - The types of the variable and the assigned variable must match."
severity ERROR;
wait;
END PROCESS TESTING;
END c08s05b00x00p03n01i01363arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1363.vhd,v 1.2 2001-10-26 16:29:40 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s05b00x00p03n01i01363ent IS
END c08s05b00x00p03n01i01363ent;
ARCHITECTURE c08s05b00x00p03n01i01363arch OF c08s05b00x00p03n01i01363ent IS
BEGIN
TESTING: PROCESS
--
-- Define constants for package
--
constant lowb : integer := 1 ;
constant highb : integer := 5 ;
constant lowb_i2 : integer := 0 ;
constant highb_i2 : integer := 1000 ;
constant lowb_p : integer := -100 ;
constant highb_p : integer := 1000 ;
constant lowb_r : real := 0.0 ;
constant highb_r : real := 1000.0 ;
constant lowb_r2 : real := 8.0 ;
constant highb_r2 : real := 80.0 ;
constant c_boolean_1 : boolean := false ;
constant c_boolean_2 : boolean := true ;
--
-- bit
constant c_bit_1 : bit := '0' ;
constant c_bit_2 : bit := '1' ;
-- severity_level
constant c_severity_level_1 : severity_level := NOTE ;
constant c_severity_level_2 : severity_level := WARNING ;
--
-- character
constant c_character_1 : character := 'A' ;
constant c_character_2 : character := 'a' ;
-- integer types
-- predefined
constant c_integer_1 : integer := lowb ;
constant c_integer_2 : integer := highb ;
--
-- user defined integer type
type t_int1 is range 0 to 100 ;
constant c_t_int1_1 : t_int1 := 0 ;
constant c_t_int1_2 : t_int1 := 10 ;
subtype st_int1 is t_int1 range 8 to 60 ;
constant c_st_int1_1 : st_int1 := 8 ;
constant c_st_int1_2 : st_int1 := 9 ;
--
-- physical types
-- predefined
constant c_time_1 : time := 1 ns ;
constant c_time_2 : time := 2 ns ;
--
--
-- floating point types
-- predefined
constant c_real_1 : real := 0.0 ;
constant c_real_2 : real := 1.0 ;
--
-- simple record
type t_rec1 is record
f1 : integer range lowb_i2 to highb_i2 ;
f2 : time ;
f3 : boolean ;
f4 : real ;
end record ;
constant c_t_rec1_1 : t_rec1 :=
(c_integer_1, c_time_1, c_boolean_1, c_real_1) ;
constant c_t_rec1_2 : t_rec1 :=
(c_integer_2, c_time_2, c_boolean_2, c_real_2) ;
subtype st_rec1 is t_rec1 ;
constant c_st_rec1_1 : st_rec1 := c_t_rec1_1 ;
constant c_st_rec1_2 : st_rec1 := c_t_rec1_2 ;
--
-- more complex record
type t_rec2 is record
f1 : boolean ;
f2 : st_rec1 ;
f3 : time ;
end record ;
constant c_t_rec2_1 : t_rec2 :=
(c_boolean_1, c_st_rec1_1, c_time_1) ;
constant c_t_rec2_2 : t_rec2 :=
(c_boolean_2, c_st_rec1_2, c_time_2) ;
subtype st_rec2 is t_rec2 ;
constant c_st_rec2_1 : st_rec2 := c_t_rec2_1 ;
constant c_st_rec2_2 : st_rec2 := c_t_rec2_2 ;
--
-- simple array
type t_arr1 is array (integer range <>) of st_int1 ;
subtype t_arr1_range1 is integer range lowb to highb ;
subtype st_arr1 is t_arr1 (t_arr1_range1) ;
constant c_st_arr1_1 : st_arr1 := (others => c_st_int1_1) ;
constant c_st_arr1_2 : st_arr1 := (others => c_st_int1_2) ;
constant c_t_arr1_1 : st_arr1 := c_st_arr1_1 ;
constant c_t_arr1_2 : st_arr1 := c_st_arr1_2 ;
--
-- more complex array
type t_arr2 is array (integer range <>, boolean range <>) of st_arr1 ;
subtype t_arr2_range1 is integer range lowb to highb ;
subtype t_arr2_range2 is boolean range false to true ;
subtype st_arr2 is t_arr2 (t_arr2_range1, t_arr2_range2);
constant c_st_arr2_1 : st_arr2 := (others => (others => c_st_arr1_1)) ;
constant c_st_arr2_2 : st_arr2 := (others => (others => c_st_arr1_2)) ;
constant c_t_arr2_1 : st_arr2 := c_st_arr2_1 ;
constant c_t_arr2_2 : st_arr2 := c_st_arr2_2 ;
--
-- most complex record
type t_rec3 is record
f1 : boolean ;
f2 : st_rec2 ;
f3 : st_arr2 ;
end record ;
constant c_t_rec3_1 : t_rec3 :=
(c_boolean_1, c_st_rec2_1, c_st_arr2_1) ;
constant c_t_rec3_2 : t_rec3 :=
(c_boolean_2, c_st_rec2_2, c_st_arr2_2) ;
subtype st_rec3 is t_rec3 ;
constant c_st_rec3_1 : st_rec3 := c_t_rec3_1 ;
constant c_st_rec3_2 : st_rec3 := c_t_rec3_2 ;
--
-- most complex array
type t_arr3 is array (integer range <>, boolean range <>) of st_rec3 ;
subtype t_arr3_range1 is integer range lowb to highb ;
subtype t_arr3_range2 is boolean range true downto false ;
subtype st_arr3 is t_arr3 (t_arr3_range1, t_arr3_range2) ;
constant c_st_arr3_1 : st_arr3 := (others => (others => c_st_rec3_1)) ;
constant c_st_arr3_2 : st_arr3 := (others => (others => c_st_rec3_2)) ;
constant c_t_arr3_1 : st_arr3 := c_st_arr3_1 ;
constant c_t_arr3_2 : st_arr3 := c_st_arr3_2 ;
--
variable v_st_rec3 : st_rec3 := c_st_rec3_1 ;
--
BEGIN
v_st_rec3.f3(st_arr2'Left(1),st_arr2'Left(2)) :=
c_st_rec3_2.f3(st_arr2'Right(1),st_arr2'Right(2));
assert NOT(v_st_rec3.f3(st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr1_2)
report "***PASSED TEST: c08s05b00x00p03n01i01363"
severity NOTE;
assert (v_st_rec3.f3(st_arr2'Left(1),st_arr2'Left(2)) = c_st_arr1_2)
report "***FAILED TEST: c08s05b00x00p03n01i01363 - The types of the variable and the assigned variable must match."
severity ERROR;
wait;
END PROCESS TESTING;
END c08s05b00x00p03n01i01363arch;
|
-- lcd_tb.vhd
--
-- Created on: 21 May 2017
-- Author: Fabian Meyer
--
-- Testbench for LCD component.
library ieee;
use ieee.std_logic_1164.all;
entity lcd_tb is
end lcd_tb;
architecture behavior of lcd_tb is
-- Component Declaration for the Unit Under Test (UUT)
component lcd
generic(RSTDEF: std_logic := '0');
port(rst: in std_logic;
clk: in std_logic;
din: in std_logic_vector(7 downto 0);
posx: in std_logic_vector(3 downto 0);
posy: in std_logic;
flush: in std_logic;
rdy: out std_logic;
en: out std_logic;
rw: out std_logic;
rs: out std_logic;
bl: out std_logic;
data: inout std_logic_vector(3 downto 0));
end component;
--Inputs
signal rst: std_logic := '0';
signal clk: std_logic := '0';
signal din: std_logic_vector(7 downto 0) := (others => '0');
signal posx: std_logic_vector(3 downto 0) := (others => '0');
signal posy: std_logic := '0';
signal flush: std_logic := '0';
--BiDirs
signal data: std_logic_vector(3 downto 0);
--Outputs
signal rdy: std_logic;
signal en: std_logic;
signal rw: std_logic;
signal rs: std_logic;
signal bl: std_logic;
-- Clock period definitions
constant clk_period: time := 10 ns;
begin
-- Instantiate the Unit Under Test (UUT)
uut: lcd
port map (rst => rst,
clk => clk,
din => din,
posx => posx,
posy => posy,
flush => flush,
rdy => rdy,
en => en,
rw => rw,
rs => rs,
bl => bl,
data => data);
-- Clock process definitions
clk_process :process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
wait for clk_period*10;
rst <= '1';
-- init sequence takes 41ms
-- with 24MHz this makes 984000 cycles (24000/ms)
wait for clk_period*984000;
-- en should always stay on for 8 cycles
-- rdy should turn 1 here!
-- write char at pos 4 in line 1
din <= "11000000";
posx <= "0100";
posy <= '1';
flush <= '1';
wait for clk_period;
flush <= '0';
--rdy should be 0 here!
-- write sequence takes 400us
-- with 24MHz this makes 9600 cycles (24/us)
wait for clk_period*9600;
-- rdy should be 1 here!
--wait;
end process;
end architecture;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
--library grlib;
--use grlib.stdlib.all;
--library gaisler;
--use gaisler.arith.all;
library ims;
use ims.coprocessor.all;
entity RESOURCE_CUSTOM_7 is
port (
inp : in custom32_in_type;
outp : out custom32_out_type
);
end;
architecture rtl of RESOURCE_CUSTOM_7 is
begin
-------------------------------------------------------------------------
-- synthesis translate_off
process
begin
wait for 1 ns;
printmsg("(IMS) RESOURCE_CUSTOM_7 : ALLOCATION OK !");
wait;
end process;
-- synthesis translate_on
-------------------------------------------------------------------------
-------------------------------------------------------------------------
computation : process (inp.op1, inp.op2)
begin
if( SIGNED(inp.op1) < SIGNED(inp.op2) ) then
outp.result <= inp.op1(31 downto 0);
else
outp.result <= inp.op2(31 downto 0);
end if;
end process;
-------------------------------------------------------------------------
end;
|
library verilog;
use verilog.vl_types.all;
entity MSS_APB is
generic(
ACT_CONFIG : integer := 0;
ACT_FCLK : integer := 0;
ACT_DIE : string := "";
ACT_PKG : string := "";
VECTFILE : string := "test.vec"
);
port(
MSSPADDR : out vl_logic_vector(19 downto 0);
MSSPWDATA : out vl_logic_vector(31 downto 0);
MSSPWRITE : out vl_logic;
MSSPSEL : out vl_logic;
MSSPENABLE : out vl_logic;
MSSPRDATA : in vl_logic_vector(31 downto 0);
MSSPREADY : in vl_logic;
MSSPSLVERR : in vl_logic;
FABPADDR : in vl_logic_vector(31 downto 0);
FABPWDATA : in vl_logic_vector(31 downto 0);
FABPWRITE : in vl_logic;
FABPSEL : in vl_logic;
FABPENABLE : in vl_logic;
FABPRDATA : out vl_logic_vector(31 downto 0);
FABPREADY : out vl_logic;
FABPSLVERR : out vl_logic;
SYNCCLKFDBK : in vl_logic;
CALIBOUT : out vl_logic;
CALIBIN : in vl_logic;
FABINT : in vl_logic;
MSSINT : out vl_logic_vector(7 downto 0);
WDINT : out vl_logic;
F2MRESETn : in vl_logic;
DMAREADY : in vl_logic_vector(1 downto 0);
RXEV : in vl_logic;
VRON : in vl_logic;
M2FRESETn : out vl_logic;
DEEPSLEEP : out vl_logic;
SLEEP : out vl_logic;
TXEV : out vl_logic;
UART0CTSn : in vl_logic;
UART0DSRn : in vl_logic;
UART0RIn : in vl_logic;
UART0DCDn : in vl_logic;
UART0RTSn : out vl_logic;
UART0DTRn : out vl_logic;
UART1CTSn : in vl_logic;
UART1DSRn : in vl_logic;
UART1RIn : in vl_logic;
UART1DCDn : in vl_logic;
UART1RTSn : out vl_logic;
UART1DTRn : out vl_logic;
I2C0SMBUSNI : in vl_logic;
I2C0SMBALERTNI : in vl_logic;
I2C0BCLK : in vl_logic;
I2C0SMBUSNO : out vl_logic;
I2C0SMBALERTNO : out vl_logic;
I2C1SMBUSNI : in vl_logic;
I2C1SMBALERTNI : in vl_logic;
I2C1BCLK : in vl_logic;
I2C1SMBUSNO : out vl_logic;
I2C1SMBALERTNO : out vl_logic;
MACM2FTXD : out vl_logic_vector(1 downto 0);
MACF2MRXD : in vl_logic_vector(1 downto 0);
MACM2FTXEN : out vl_logic;
MACF2MCRSDV : in vl_logic;
MACF2MRXER : in vl_logic;
MACF2MMDI : in vl_logic;
MACM2FMDO : out vl_logic;
MACM2FMDEN : out vl_logic;
MACM2FMDC : out vl_logic;
FABSDD0D : in vl_logic;
FABSDD1D : in vl_logic;
FABSDD2D : in vl_logic;
FABSDD0CLK : in vl_logic;
FABSDD1CLK : in vl_logic;
FABSDD2CLK : in vl_logic;
FABACETRIG : in vl_logic;
ACEFLAGS : out vl_logic_vector(31 downto 0);
CMP0 : out vl_logic;
CMP1 : out vl_logic;
CMP2 : out vl_logic;
CMP3 : out vl_logic;
CMP4 : out vl_logic;
CMP5 : out vl_logic;
CMP6 : out vl_logic;
CMP7 : out vl_logic;
CMP8 : out vl_logic;
CMP9 : out vl_logic;
CMP10 : out vl_logic;
CMP11 : out vl_logic;
LVTTL0EN : in vl_logic;
LVTTL1EN : in vl_logic;
LVTTL2EN : in vl_logic;
LVTTL3EN : in vl_logic;
LVTTL4EN : in vl_logic;
LVTTL5EN : in vl_logic;
LVTTL6EN : in vl_logic;
LVTTL7EN : in vl_logic;
LVTTL8EN : in vl_logic;
LVTTL9EN : in vl_logic;
LVTTL10EN : in vl_logic;
LVTTL11EN : in vl_logic;
LVTTL0 : out vl_logic;
LVTTL1 : out vl_logic;
LVTTL2 : out vl_logic;
LVTTL3 : out vl_logic;
LVTTL4 : out vl_logic;
LVTTL5 : out vl_logic;
LVTTL6 : out vl_logic;
LVTTL7 : out vl_logic;
LVTTL8 : out vl_logic;
LVTTL9 : out vl_logic;
LVTTL10 : out vl_logic;
LVTTL11 : out vl_logic;
PUFABn : out vl_logic;
VCC15GOOD : out vl_logic;
VCC33GOOD : out vl_logic;
FCLK : in vl_logic;
MACCLKCCC : in vl_logic;
RCOSC : in vl_logic;
MACCLK : in vl_logic;
PLLLOCK : in vl_logic;
MSSRESETn : in vl_logic;
GPI : in vl_logic_vector(31 downto 0);
GPO : out vl_logic_vector(31 downto 0);
GPOE : out vl_logic_vector(31 downto 0);
SPI0DO : out vl_logic;
SPI0DOE : out vl_logic;
SPI0DI : in vl_logic;
SPI0CLKI : in vl_logic;
SPI0CLKO : out vl_logic;
SPI0MODE : out vl_logic;
SPI0SSI : in vl_logic;
SPI0SSO : out vl_logic_vector(7 downto 0);
UART0TXD : out vl_logic;
UART0RXD : in vl_logic;
I2C0SDAI : in vl_logic;
I2C0SDAO : out vl_logic;
I2C0SCLI : in vl_logic;
I2C0SCLO : out vl_logic;
SPI1DO : out vl_logic;
SPI1DOE : out vl_logic;
SPI1DI : in vl_logic;
SPI1CLKI : in vl_logic;
SPI1CLKO : out vl_logic;
SPI1MODE : out vl_logic;
SPI1SSI : in vl_logic;
SPI1SSO : out vl_logic_vector(7 downto 0);
UART1TXD : out vl_logic;
UART1RXD : in vl_logic;
I2C1SDAI : in vl_logic;
I2C1SDAO : out vl_logic;
I2C1SCLI : in vl_logic;
I2C1SCLO : out vl_logic;
MACTXD : out vl_logic_vector(1 downto 0);
MACRXD : in vl_logic_vector(1 downto 0);
MACTXEN : out vl_logic;
MACCRSDV : in vl_logic;
MACRXER : in vl_logic;
MACMDI : in vl_logic;
MACMDO : out vl_logic;
MACMDEN : out vl_logic;
MACMDC : out vl_logic;
EMCCLK : out vl_logic;
EMCCLKRTN : in vl_logic;
EMCRDB : in vl_logic_vector(15 downto 0);
EMCAB : out vl_logic_vector(25 downto 0);
EMCWDB : out vl_logic_vector(15 downto 0);
EMCRWn : out vl_logic;
EMCCS0n : out vl_logic;
EMCCS1n : out vl_logic;
EMCOEN0n : out vl_logic;
EMCOEN1n : out vl_logic;
EMCBYTEN : out vl_logic_vector(1 downto 0);
EMCDBOE : out vl_logic;
ADC0 : in vl_logic;
ADC1 : in vl_logic;
ADC2 : in vl_logic;
ADC3 : in vl_logic;
ADC4 : in vl_logic;
ADC5 : in vl_logic;
ADC6 : in vl_logic;
ADC7 : in vl_logic;
ADC8 : in vl_logic;
ADC9 : in vl_logic;
ADC10 : in vl_logic;
ADC11 : in vl_logic;
SDD0 : out vl_logic;
SDD1 : out vl_logic;
SDD2 : out vl_logic;
ABPS0 : in vl_logic;
ABPS1 : in vl_logic;
ABPS2 : in vl_logic;
ABPS3 : in vl_logic;
ABPS4 : in vl_logic;
ABPS5 : in vl_logic;
ABPS6 : in vl_logic;
ABPS7 : in vl_logic;
ABPS8 : in vl_logic;
ABPS9 : in vl_logic;
ABPS10 : in vl_logic;
ABPS11 : in vl_logic;
TM0 : in vl_logic;
TM1 : in vl_logic;
TM2 : in vl_logic;
TM3 : in vl_logic;
TM4 : in vl_logic;
TM5 : in vl_logic;
CM0 : in vl_logic;
CM1 : in vl_logic;
CM2 : in vl_logic;
CM3 : in vl_logic;
CM4 : in vl_logic;
CM5 : in vl_logic;
GNDTM0 : in vl_logic;
GNDTM1 : in vl_logic;
GNDTM2 : in vl_logic;
VAREF0 : in vl_logic;
VAREF1 : in vl_logic;
VAREF2 : in vl_logic;
VAREFOUT : out vl_logic;
GNDVAREF : in vl_logic;
PUn : in vl_logic
);
end MSS_APB;
|
library verilog;
use verilog.vl_types.all;
entity MSS_APB is
generic(
ACT_CONFIG : integer := 0;
ACT_FCLK : integer := 0;
ACT_DIE : string := "";
ACT_PKG : string := "";
VECTFILE : string := "test.vec"
);
port(
MSSPADDR : out vl_logic_vector(19 downto 0);
MSSPWDATA : out vl_logic_vector(31 downto 0);
MSSPWRITE : out vl_logic;
MSSPSEL : out vl_logic;
MSSPENABLE : out vl_logic;
MSSPRDATA : in vl_logic_vector(31 downto 0);
MSSPREADY : in vl_logic;
MSSPSLVERR : in vl_logic;
FABPADDR : in vl_logic_vector(31 downto 0);
FABPWDATA : in vl_logic_vector(31 downto 0);
FABPWRITE : in vl_logic;
FABPSEL : in vl_logic;
FABPENABLE : in vl_logic;
FABPRDATA : out vl_logic_vector(31 downto 0);
FABPREADY : out vl_logic;
FABPSLVERR : out vl_logic;
SYNCCLKFDBK : in vl_logic;
CALIBOUT : out vl_logic;
CALIBIN : in vl_logic;
FABINT : in vl_logic;
MSSINT : out vl_logic_vector(7 downto 0);
WDINT : out vl_logic;
F2MRESETn : in vl_logic;
DMAREADY : in vl_logic_vector(1 downto 0);
RXEV : in vl_logic;
VRON : in vl_logic;
M2FRESETn : out vl_logic;
DEEPSLEEP : out vl_logic;
SLEEP : out vl_logic;
TXEV : out vl_logic;
UART0CTSn : in vl_logic;
UART0DSRn : in vl_logic;
UART0RIn : in vl_logic;
UART0DCDn : in vl_logic;
UART0RTSn : out vl_logic;
UART0DTRn : out vl_logic;
UART1CTSn : in vl_logic;
UART1DSRn : in vl_logic;
UART1RIn : in vl_logic;
UART1DCDn : in vl_logic;
UART1RTSn : out vl_logic;
UART1DTRn : out vl_logic;
I2C0SMBUSNI : in vl_logic;
I2C0SMBALERTNI : in vl_logic;
I2C0BCLK : in vl_logic;
I2C0SMBUSNO : out vl_logic;
I2C0SMBALERTNO : out vl_logic;
I2C1SMBUSNI : in vl_logic;
I2C1SMBALERTNI : in vl_logic;
I2C1BCLK : in vl_logic;
I2C1SMBUSNO : out vl_logic;
I2C1SMBALERTNO : out vl_logic;
MACM2FTXD : out vl_logic_vector(1 downto 0);
MACF2MRXD : in vl_logic_vector(1 downto 0);
MACM2FTXEN : out vl_logic;
MACF2MCRSDV : in vl_logic;
MACF2MRXER : in vl_logic;
MACF2MMDI : in vl_logic;
MACM2FMDO : out vl_logic;
MACM2FMDEN : out vl_logic;
MACM2FMDC : out vl_logic;
FABSDD0D : in vl_logic;
FABSDD1D : in vl_logic;
FABSDD2D : in vl_logic;
FABSDD0CLK : in vl_logic;
FABSDD1CLK : in vl_logic;
FABSDD2CLK : in vl_logic;
FABACETRIG : in vl_logic;
ACEFLAGS : out vl_logic_vector(31 downto 0);
CMP0 : out vl_logic;
CMP1 : out vl_logic;
CMP2 : out vl_logic;
CMP3 : out vl_logic;
CMP4 : out vl_logic;
CMP5 : out vl_logic;
CMP6 : out vl_logic;
CMP7 : out vl_logic;
CMP8 : out vl_logic;
CMP9 : out vl_logic;
CMP10 : out vl_logic;
CMP11 : out vl_logic;
LVTTL0EN : in vl_logic;
LVTTL1EN : in vl_logic;
LVTTL2EN : in vl_logic;
LVTTL3EN : in vl_logic;
LVTTL4EN : in vl_logic;
LVTTL5EN : in vl_logic;
LVTTL6EN : in vl_logic;
LVTTL7EN : in vl_logic;
LVTTL8EN : in vl_logic;
LVTTL9EN : in vl_logic;
LVTTL10EN : in vl_logic;
LVTTL11EN : in vl_logic;
LVTTL0 : out vl_logic;
LVTTL1 : out vl_logic;
LVTTL2 : out vl_logic;
LVTTL3 : out vl_logic;
LVTTL4 : out vl_logic;
LVTTL5 : out vl_logic;
LVTTL6 : out vl_logic;
LVTTL7 : out vl_logic;
LVTTL8 : out vl_logic;
LVTTL9 : out vl_logic;
LVTTL10 : out vl_logic;
LVTTL11 : out vl_logic;
PUFABn : out vl_logic;
VCC15GOOD : out vl_logic;
VCC33GOOD : out vl_logic;
FCLK : in vl_logic;
MACCLKCCC : in vl_logic;
RCOSC : in vl_logic;
MACCLK : in vl_logic;
PLLLOCK : in vl_logic;
MSSRESETn : in vl_logic;
GPI : in vl_logic_vector(31 downto 0);
GPO : out vl_logic_vector(31 downto 0);
GPOE : out vl_logic_vector(31 downto 0);
SPI0DO : out vl_logic;
SPI0DOE : out vl_logic;
SPI0DI : in vl_logic;
SPI0CLKI : in vl_logic;
SPI0CLKO : out vl_logic;
SPI0MODE : out vl_logic;
SPI0SSI : in vl_logic;
SPI0SSO : out vl_logic_vector(7 downto 0);
UART0TXD : out vl_logic;
UART0RXD : in vl_logic;
I2C0SDAI : in vl_logic;
I2C0SDAO : out vl_logic;
I2C0SCLI : in vl_logic;
I2C0SCLO : out vl_logic;
SPI1DO : out vl_logic;
SPI1DOE : out vl_logic;
SPI1DI : in vl_logic;
SPI1CLKI : in vl_logic;
SPI1CLKO : out vl_logic;
SPI1MODE : out vl_logic;
SPI1SSI : in vl_logic;
SPI1SSO : out vl_logic_vector(7 downto 0);
UART1TXD : out vl_logic;
UART1RXD : in vl_logic;
I2C1SDAI : in vl_logic;
I2C1SDAO : out vl_logic;
I2C1SCLI : in vl_logic;
I2C1SCLO : out vl_logic;
MACTXD : out vl_logic_vector(1 downto 0);
MACRXD : in vl_logic_vector(1 downto 0);
MACTXEN : out vl_logic;
MACCRSDV : in vl_logic;
MACRXER : in vl_logic;
MACMDI : in vl_logic;
MACMDO : out vl_logic;
MACMDEN : out vl_logic;
MACMDC : out vl_logic;
EMCCLK : out vl_logic;
EMCCLKRTN : in vl_logic;
EMCRDB : in vl_logic_vector(15 downto 0);
EMCAB : out vl_logic_vector(25 downto 0);
EMCWDB : out vl_logic_vector(15 downto 0);
EMCRWn : out vl_logic;
EMCCS0n : out vl_logic;
EMCCS1n : out vl_logic;
EMCOEN0n : out vl_logic;
EMCOEN1n : out vl_logic;
EMCBYTEN : out vl_logic_vector(1 downto 0);
EMCDBOE : out vl_logic;
ADC0 : in vl_logic;
ADC1 : in vl_logic;
ADC2 : in vl_logic;
ADC3 : in vl_logic;
ADC4 : in vl_logic;
ADC5 : in vl_logic;
ADC6 : in vl_logic;
ADC7 : in vl_logic;
ADC8 : in vl_logic;
ADC9 : in vl_logic;
ADC10 : in vl_logic;
ADC11 : in vl_logic;
SDD0 : out vl_logic;
SDD1 : out vl_logic;
SDD2 : out vl_logic;
ABPS0 : in vl_logic;
ABPS1 : in vl_logic;
ABPS2 : in vl_logic;
ABPS3 : in vl_logic;
ABPS4 : in vl_logic;
ABPS5 : in vl_logic;
ABPS6 : in vl_logic;
ABPS7 : in vl_logic;
ABPS8 : in vl_logic;
ABPS9 : in vl_logic;
ABPS10 : in vl_logic;
ABPS11 : in vl_logic;
TM0 : in vl_logic;
TM1 : in vl_logic;
TM2 : in vl_logic;
TM3 : in vl_logic;
TM4 : in vl_logic;
TM5 : in vl_logic;
CM0 : in vl_logic;
CM1 : in vl_logic;
CM2 : in vl_logic;
CM3 : in vl_logic;
CM4 : in vl_logic;
CM5 : in vl_logic;
GNDTM0 : in vl_logic;
GNDTM1 : in vl_logic;
GNDTM2 : in vl_logic;
VAREF0 : in vl_logic;
VAREF1 : in vl_logic;
VAREF2 : in vl_logic;
VAREFOUT : out vl_logic;
GNDVAREF : in vl_logic;
PUn : in vl_logic
);
end MSS_APB;
|
library verilog;
use verilog.vl_types.all;
entity MSS_APB is
generic(
ACT_CONFIG : integer := 0;
ACT_FCLK : integer := 0;
ACT_DIE : string := "";
ACT_PKG : string := "";
VECTFILE : string := "test.vec"
);
port(
MSSPADDR : out vl_logic_vector(19 downto 0);
MSSPWDATA : out vl_logic_vector(31 downto 0);
MSSPWRITE : out vl_logic;
MSSPSEL : out vl_logic;
MSSPENABLE : out vl_logic;
MSSPRDATA : in vl_logic_vector(31 downto 0);
MSSPREADY : in vl_logic;
MSSPSLVERR : in vl_logic;
FABPADDR : in vl_logic_vector(31 downto 0);
FABPWDATA : in vl_logic_vector(31 downto 0);
FABPWRITE : in vl_logic;
FABPSEL : in vl_logic;
FABPENABLE : in vl_logic;
FABPRDATA : out vl_logic_vector(31 downto 0);
FABPREADY : out vl_logic;
FABPSLVERR : out vl_logic;
SYNCCLKFDBK : in vl_logic;
CALIBOUT : out vl_logic;
CALIBIN : in vl_logic;
FABINT : in vl_logic;
MSSINT : out vl_logic_vector(7 downto 0);
WDINT : out vl_logic;
F2MRESETn : in vl_logic;
DMAREADY : in vl_logic_vector(1 downto 0);
RXEV : in vl_logic;
VRON : in vl_logic;
M2FRESETn : out vl_logic;
DEEPSLEEP : out vl_logic;
SLEEP : out vl_logic;
TXEV : out vl_logic;
UART0CTSn : in vl_logic;
UART0DSRn : in vl_logic;
UART0RIn : in vl_logic;
UART0DCDn : in vl_logic;
UART0RTSn : out vl_logic;
UART0DTRn : out vl_logic;
UART1CTSn : in vl_logic;
UART1DSRn : in vl_logic;
UART1RIn : in vl_logic;
UART1DCDn : in vl_logic;
UART1RTSn : out vl_logic;
UART1DTRn : out vl_logic;
I2C0SMBUSNI : in vl_logic;
I2C0SMBALERTNI : in vl_logic;
I2C0BCLK : in vl_logic;
I2C0SMBUSNO : out vl_logic;
I2C0SMBALERTNO : out vl_logic;
I2C1SMBUSNI : in vl_logic;
I2C1SMBALERTNI : in vl_logic;
I2C1BCLK : in vl_logic;
I2C1SMBUSNO : out vl_logic;
I2C1SMBALERTNO : out vl_logic;
MACM2FTXD : out vl_logic_vector(1 downto 0);
MACF2MRXD : in vl_logic_vector(1 downto 0);
MACM2FTXEN : out vl_logic;
MACF2MCRSDV : in vl_logic;
MACF2MRXER : in vl_logic;
MACF2MMDI : in vl_logic;
MACM2FMDO : out vl_logic;
MACM2FMDEN : out vl_logic;
MACM2FMDC : out vl_logic;
FABSDD0D : in vl_logic;
FABSDD1D : in vl_logic;
FABSDD2D : in vl_logic;
FABSDD0CLK : in vl_logic;
FABSDD1CLK : in vl_logic;
FABSDD2CLK : in vl_logic;
FABACETRIG : in vl_logic;
ACEFLAGS : out vl_logic_vector(31 downto 0);
CMP0 : out vl_logic;
CMP1 : out vl_logic;
CMP2 : out vl_logic;
CMP3 : out vl_logic;
CMP4 : out vl_logic;
CMP5 : out vl_logic;
CMP6 : out vl_logic;
CMP7 : out vl_logic;
CMP8 : out vl_logic;
CMP9 : out vl_logic;
CMP10 : out vl_logic;
CMP11 : out vl_logic;
LVTTL0EN : in vl_logic;
LVTTL1EN : in vl_logic;
LVTTL2EN : in vl_logic;
LVTTL3EN : in vl_logic;
LVTTL4EN : in vl_logic;
LVTTL5EN : in vl_logic;
LVTTL6EN : in vl_logic;
LVTTL7EN : in vl_logic;
LVTTL8EN : in vl_logic;
LVTTL9EN : in vl_logic;
LVTTL10EN : in vl_logic;
LVTTL11EN : in vl_logic;
LVTTL0 : out vl_logic;
LVTTL1 : out vl_logic;
LVTTL2 : out vl_logic;
LVTTL3 : out vl_logic;
LVTTL4 : out vl_logic;
LVTTL5 : out vl_logic;
LVTTL6 : out vl_logic;
LVTTL7 : out vl_logic;
LVTTL8 : out vl_logic;
LVTTL9 : out vl_logic;
LVTTL10 : out vl_logic;
LVTTL11 : out vl_logic;
PUFABn : out vl_logic;
VCC15GOOD : out vl_logic;
VCC33GOOD : out vl_logic;
FCLK : in vl_logic;
MACCLKCCC : in vl_logic;
RCOSC : in vl_logic;
MACCLK : in vl_logic;
PLLLOCK : in vl_logic;
MSSRESETn : in vl_logic;
GPI : in vl_logic_vector(31 downto 0);
GPO : out vl_logic_vector(31 downto 0);
GPOE : out vl_logic_vector(31 downto 0);
SPI0DO : out vl_logic;
SPI0DOE : out vl_logic;
SPI0DI : in vl_logic;
SPI0CLKI : in vl_logic;
SPI0CLKO : out vl_logic;
SPI0MODE : out vl_logic;
SPI0SSI : in vl_logic;
SPI0SSO : out vl_logic_vector(7 downto 0);
UART0TXD : out vl_logic;
UART0RXD : in vl_logic;
I2C0SDAI : in vl_logic;
I2C0SDAO : out vl_logic;
I2C0SCLI : in vl_logic;
I2C0SCLO : out vl_logic;
SPI1DO : out vl_logic;
SPI1DOE : out vl_logic;
SPI1DI : in vl_logic;
SPI1CLKI : in vl_logic;
SPI1CLKO : out vl_logic;
SPI1MODE : out vl_logic;
SPI1SSI : in vl_logic;
SPI1SSO : out vl_logic_vector(7 downto 0);
UART1TXD : out vl_logic;
UART1RXD : in vl_logic;
I2C1SDAI : in vl_logic;
I2C1SDAO : out vl_logic;
I2C1SCLI : in vl_logic;
I2C1SCLO : out vl_logic;
MACTXD : out vl_logic_vector(1 downto 0);
MACRXD : in vl_logic_vector(1 downto 0);
MACTXEN : out vl_logic;
MACCRSDV : in vl_logic;
MACRXER : in vl_logic;
MACMDI : in vl_logic;
MACMDO : out vl_logic;
MACMDEN : out vl_logic;
MACMDC : out vl_logic;
EMCCLK : out vl_logic;
EMCCLKRTN : in vl_logic;
EMCRDB : in vl_logic_vector(15 downto 0);
EMCAB : out vl_logic_vector(25 downto 0);
EMCWDB : out vl_logic_vector(15 downto 0);
EMCRWn : out vl_logic;
EMCCS0n : out vl_logic;
EMCCS1n : out vl_logic;
EMCOEN0n : out vl_logic;
EMCOEN1n : out vl_logic;
EMCBYTEN : out vl_logic_vector(1 downto 0);
EMCDBOE : out vl_logic;
ADC0 : in vl_logic;
ADC1 : in vl_logic;
ADC2 : in vl_logic;
ADC3 : in vl_logic;
ADC4 : in vl_logic;
ADC5 : in vl_logic;
ADC6 : in vl_logic;
ADC7 : in vl_logic;
ADC8 : in vl_logic;
ADC9 : in vl_logic;
ADC10 : in vl_logic;
ADC11 : in vl_logic;
SDD0 : out vl_logic;
SDD1 : out vl_logic;
SDD2 : out vl_logic;
ABPS0 : in vl_logic;
ABPS1 : in vl_logic;
ABPS2 : in vl_logic;
ABPS3 : in vl_logic;
ABPS4 : in vl_logic;
ABPS5 : in vl_logic;
ABPS6 : in vl_logic;
ABPS7 : in vl_logic;
ABPS8 : in vl_logic;
ABPS9 : in vl_logic;
ABPS10 : in vl_logic;
ABPS11 : in vl_logic;
TM0 : in vl_logic;
TM1 : in vl_logic;
TM2 : in vl_logic;
TM3 : in vl_logic;
TM4 : in vl_logic;
TM5 : in vl_logic;
CM0 : in vl_logic;
CM1 : in vl_logic;
CM2 : in vl_logic;
CM3 : in vl_logic;
CM4 : in vl_logic;
CM5 : in vl_logic;
GNDTM0 : in vl_logic;
GNDTM1 : in vl_logic;
GNDTM2 : in vl_logic;
VAREF0 : in vl_logic;
VAREF1 : in vl_logic;
VAREF2 : in vl_logic;
VAREFOUT : out vl_logic;
GNDVAREF : in vl_logic;
PUn : in vl_logic
);
end MSS_APB;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
VbUqyATNed0gsNnJifD3L9uiBJCKL2YVfotoSZ5hhuXi4H/8MyOy989BAZfT4hYbmx1RmeKE1Rcb
jBUlaBmxaQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
bkgGJdJr+DupOgH6f9uVjKLkZ3PMNZv7YQikFsBKjC2Bsyp0Et9hUto/yt54EMrKbQLPDeqKIamQ
5CkwHhq9niRqIkT9MzsA85PYthrCO47b2tIWF4ZWb/IhMPrDTWuMUEoNKry93gdy3/Ly6TRfgSz4
fuZVUtvmcXAhufKHa5A=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
vegR2yvFKgLoNcXbGkt5OkFue5vwLiDSk/GKA1lHl0MgXT80XsCn5kZRIOrAnMyvxKlJvoQLMctg
HyZnlhZsKDTdkvCtlQMNZ3/S82HZM/2+YiSj0mg/3zw1YHlhcTACqcdpSaLRKeliIppNAxtYAf/V
DSYcGOqC4y95WM3/dDFalGj+CJMefTwqP31V1PLHX/C9CrZ+iKSe3tay3c3zHBkWSk8Osi2LP6EL
Iay9sLPfE9nu/U6dttLcZL/HOwumRe8lwYbvvHxxB5h0bCw73plI6iYxPJqcML6cWaNt3oBhBcc/
XZQfDW5XmyHckP2gkHsXHDRmPYEcfM63AyAdxg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
tdqegacpnn7Ub1XTw9r5tUwm53IiiOlr1MIAa5QBnHld1xT3VxxuFm0KEXUXRL/jndirbhVd+6+i
jtIXw5gss6HLDT0TtAf7pNRWkQ50xjode7bjH9JeyelmO2OXbwcvUyWCU0fQeK1J2+BDuZdbbXqE
QbiK8MZ2BUw5UuvVCwg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
l9M8M1JU9zWwVMtszoxMaF2D5e7LyPjf84ZgxITURsnNZu4XYFygir1OuSoICwoAJ3vcg8H4Nyip
zM5D9qAuKE86Mwq381nNYByzuf8gU8UxsZu5TrPg3hOVyhj7MXcFQajQq1+td/sqk6lmoWCly7KF
7CD869G1nl/y3vMkHqlX2W17xaVeeD5F+wBhndoMVrGuet3wFV0kIUq2ovqmebMmcg3elFQBk8+Y
/HiB7Dz+AlN94G+49r+n9L+dxzCRPkES388eTXN27X91H8Tz4UQ+ZSd0NbZUc3Je+AuvaTT0VYyl
wFUsPfR25ETX+NA92gz/Q+0a9wMkxB0UtrTUzw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13712)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
VbUqyATNed0gsNnJifD3L9uiBJCKL2YVfotoSZ5hhuXi4H/8MyOy989BAZfT4hYbmx1RmeKE1Rcb
jBUlaBmxaQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
bkgGJdJr+DupOgH6f9uVjKLkZ3PMNZv7YQikFsBKjC2Bsyp0Et9hUto/yt54EMrKbQLPDeqKIamQ
5CkwHhq9niRqIkT9MzsA85PYthrCO47b2tIWF4ZWb/IhMPrDTWuMUEoNKry93gdy3/Ly6TRfgSz4
fuZVUtvmcXAhufKHa5A=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
vegR2yvFKgLoNcXbGkt5OkFue5vwLiDSk/GKA1lHl0MgXT80XsCn5kZRIOrAnMyvxKlJvoQLMctg
HyZnlhZsKDTdkvCtlQMNZ3/S82HZM/2+YiSj0mg/3zw1YHlhcTACqcdpSaLRKeliIppNAxtYAf/V
DSYcGOqC4y95WM3/dDFalGj+CJMefTwqP31V1PLHX/C9CrZ+iKSe3tay3c3zHBkWSk8Osi2LP6EL
Iay9sLPfE9nu/U6dttLcZL/HOwumRe8lwYbvvHxxB5h0bCw73plI6iYxPJqcML6cWaNt3oBhBcc/
XZQfDW5XmyHckP2gkHsXHDRmPYEcfM63AyAdxg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
tdqegacpnn7Ub1XTw9r5tUwm53IiiOlr1MIAa5QBnHld1xT3VxxuFm0KEXUXRL/jndirbhVd+6+i
jtIXw5gss6HLDT0TtAf7pNRWkQ50xjode7bjH9JeyelmO2OXbwcvUyWCU0fQeK1J2+BDuZdbbXqE
QbiK8MZ2BUw5UuvVCwg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
l9M8M1JU9zWwVMtszoxMaF2D5e7LyPjf84ZgxITURsnNZu4XYFygir1OuSoICwoAJ3vcg8H4Nyip
zM5D9qAuKE86Mwq381nNYByzuf8gU8UxsZu5TrPg3hOVyhj7MXcFQajQq1+td/sqk6lmoWCly7KF
7CD869G1nl/y3vMkHqlX2W17xaVeeD5F+wBhndoMVrGuet3wFV0kIUq2ovqmebMmcg3elFQBk8+Y
/HiB7Dz+AlN94G+49r+n9L+dxzCRPkES388eTXN27X91H8Tz4UQ+ZSd0NbZUc3Je+AuvaTT0VYyl
wFUsPfR25ETX+NA92gz/Q+0a9wMkxB0UtrTUzw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13712)
`protect data_block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`protect end_protected
|
--Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.
----------------------------------------------------------------------------------
--Tool Version: Vivado v.2015.4.2 (win64) Build 1494164 Fri Feb 26 04:18:56 MST 2016
--Date : Thu Sep 01 14:49:08 2016
--Host : DESKTOP-I329812 running 64-bit major release (build 9200)
--Command : generate_target design_SWandHW_standalone.bd
--Design : design_SWandHW_standalone
--Purpose : IP block netlist
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity m00_couplers_imp_1MVOGV6 is
port (
M_ACLK : in STD_LOGIC;
M_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_arready : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_arvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_awready : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_awvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_bready : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_bvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_rready : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_rvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_wready : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_wvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
S_ACLK : in STD_LOGIC;
S_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_arready : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_awready : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_bready : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_rready : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_wready : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 )
);
end m00_couplers_imp_1MVOGV6;
architecture STRUCTURE of m00_couplers_imp_1MVOGV6 is
signal m00_couplers_to_m00_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_m00_couplers_ARREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_m00_couplers_ARVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_m00_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_m00_couplers_AWREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_m00_couplers_AWVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_m00_couplers_BREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_m00_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m00_couplers_to_m00_couplers_BVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_m00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_m00_couplers_RREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_m00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m00_couplers_to_m00_couplers_RVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_m00_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_m00_couplers_WREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_m00_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m00_couplers_to_m00_couplers_WVALID : STD_LOGIC_VECTOR ( 0 to 0 );
begin
M_AXI_araddr(31 downto 0) <= m00_couplers_to_m00_couplers_ARADDR(31 downto 0);
M_AXI_arvalid(0) <= m00_couplers_to_m00_couplers_ARVALID(0);
M_AXI_awaddr(31 downto 0) <= m00_couplers_to_m00_couplers_AWADDR(31 downto 0);
M_AXI_awvalid(0) <= m00_couplers_to_m00_couplers_AWVALID(0);
M_AXI_bready(0) <= m00_couplers_to_m00_couplers_BREADY(0);
M_AXI_rready(0) <= m00_couplers_to_m00_couplers_RREADY(0);
M_AXI_wdata(31 downto 0) <= m00_couplers_to_m00_couplers_WDATA(31 downto 0);
M_AXI_wstrb(3 downto 0) <= m00_couplers_to_m00_couplers_WSTRB(3 downto 0);
M_AXI_wvalid(0) <= m00_couplers_to_m00_couplers_WVALID(0);
S_AXI_arready(0) <= m00_couplers_to_m00_couplers_ARREADY(0);
S_AXI_awready(0) <= m00_couplers_to_m00_couplers_AWREADY(0);
S_AXI_bresp(1 downto 0) <= m00_couplers_to_m00_couplers_BRESP(1 downto 0);
S_AXI_bvalid(0) <= m00_couplers_to_m00_couplers_BVALID(0);
S_AXI_rdata(31 downto 0) <= m00_couplers_to_m00_couplers_RDATA(31 downto 0);
S_AXI_rresp(1 downto 0) <= m00_couplers_to_m00_couplers_RRESP(1 downto 0);
S_AXI_rvalid(0) <= m00_couplers_to_m00_couplers_RVALID(0);
S_AXI_wready(0) <= m00_couplers_to_m00_couplers_WREADY(0);
m00_couplers_to_m00_couplers_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0);
m00_couplers_to_m00_couplers_ARREADY(0) <= M_AXI_arready(0);
m00_couplers_to_m00_couplers_ARVALID(0) <= S_AXI_arvalid(0);
m00_couplers_to_m00_couplers_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0);
m00_couplers_to_m00_couplers_AWREADY(0) <= M_AXI_awready(0);
m00_couplers_to_m00_couplers_AWVALID(0) <= S_AXI_awvalid(0);
m00_couplers_to_m00_couplers_BREADY(0) <= S_AXI_bready(0);
m00_couplers_to_m00_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0);
m00_couplers_to_m00_couplers_BVALID(0) <= M_AXI_bvalid(0);
m00_couplers_to_m00_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0);
m00_couplers_to_m00_couplers_RREADY(0) <= S_AXI_rready(0);
m00_couplers_to_m00_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0);
m00_couplers_to_m00_couplers_RVALID(0) <= M_AXI_rvalid(0);
m00_couplers_to_m00_couplers_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0);
m00_couplers_to_m00_couplers_WREADY(0) <= M_AXI_wready(0);
m00_couplers_to_m00_couplers_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0);
m00_couplers_to_m00_couplers_WVALID(0) <= S_AXI_wvalid(0);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity m00_couplers_imp_3Z6JOL is
port (
M_ACLK : in STD_LOGIC;
M_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_arid : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_arlen : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_arlock : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_arready : in STD_LOGIC;
M_AXI_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_arvalid : out STD_LOGIC;
M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_awid : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_awlen : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_awlock : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_awready : in STD_LOGIC;
M_AXI_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_awvalid : out STD_LOGIC;
M_AXI_bid : in STD_LOGIC_VECTOR ( 5 downto 0 );
M_AXI_bready : out STD_LOGIC;
M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_bvalid : in STD_LOGIC;
M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_rid : in STD_LOGIC_VECTOR ( 5 downto 0 );
M_AXI_rlast : in STD_LOGIC;
M_AXI_rready : out STD_LOGIC;
M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_rvalid : in STD_LOGIC;
M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_wid : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_wlast : out STD_LOGIC;
M_AXI_wready : in STD_LOGIC;
M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_wvalid : out STD_LOGIC;
S_ACLK : in STD_LOGIC;
S_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_arid : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_arlock : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_arready : out STD_LOGIC;
S_AXI_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_arvalid : in STD_LOGIC;
S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_awid : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_awlock : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_awready : out STD_LOGIC;
S_AXI_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_awvalid : in STD_LOGIC;
S_AXI_bid : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_bready : in STD_LOGIC;
S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_bvalid : out STD_LOGIC;
S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_rid : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_rlast : out STD_LOGIC;
S_AXI_rready : in STD_LOGIC;
S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_rvalid : out STD_LOGIC;
S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_wlast : in STD_LOGIC;
S_AXI_wready : out STD_LOGIC;
S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_wvalid : in STD_LOGIC
);
end m00_couplers_imp_3Z6JOL;
architecture STRUCTURE of m00_couplers_imp_3Z6JOL is
component design_SWandHW_standalone_auto_pc_1 is
port (
aclk : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wlast : in STD_LOGIC;
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rid : out STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
m_axi_awid : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_awlen : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_awlock : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_awready : in STD_LOGIC;
m_axi_wid : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_wlast : out STD_LOGIC;
m_axi_wvalid : out STD_LOGIC;
m_axi_wready : in STD_LOGIC;
m_axi_bid : in STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_bvalid : in STD_LOGIC;
m_axi_bready : out STD_LOGIC;
m_axi_arid : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arlen : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_arlock : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arvalid : out STD_LOGIC;
m_axi_arready : in STD_LOGIC;
m_axi_rid : in STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rlast : in STD_LOGIC;
m_axi_rvalid : in STD_LOGIC;
m_axi_rready : out STD_LOGIC
);
end component design_SWandHW_standalone_auto_pc_1;
signal S_ACLK_1 : STD_LOGIC;
signal S_ARESETN_1 : STD_LOGIC_VECTOR ( 0 to 0 );
signal auto_pc_to_m00_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal auto_pc_to_m00_couplers_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal auto_pc_to_m00_couplers_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal auto_pc_to_m00_couplers_ARID : STD_LOGIC_VECTOR ( 2 downto 0 );
signal auto_pc_to_m00_couplers_ARLEN : STD_LOGIC_VECTOR ( 3 downto 0 );
signal auto_pc_to_m00_couplers_ARLOCK : STD_LOGIC_VECTOR ( 1 downto 0 );
signal auto_pc_to_m00_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal auto_pc_to_m00_couplers_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal auto_pc_to_m00_couplers_ARREADY : STD_LOGIC;
signal auto_pc_to_m00_couplers_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal auto_pc_to_m00_couplers_ARVALID : STD_LOGIC;
signal auto_pc_to_m00_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal auto_pc_to_m00_couplers_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal auto_pc_to_m00_couplers_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal auto_pc_to_m00_couplers_AWID : STD_LOGIC_VECTOR ( 2 downto 0 );
signal auto_pc_to_m00_couplers_AWLEN : STD_LOGIC_VECTOR ( 3 downto 0 );
signal auto_pc_to_m00_couplers_AWLOCK : STD_LOGIC_VECTOR ( 1 downto 0 );
signal auto_pc_to_m00_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal auto_pc_to_m00_couplers_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal auto_pc_to_m00_couplers_AWREADY : STD_LOGIC;
signal auto_pc_to_m00_couplers_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal auto_pc_to_m00_couplers_AWVALID : STD_LOGIC;
signal auto_pc_to_m00_couplers_BID : STD_LOGIC_VECTOR ( 5 downto 0 );
signal auto_pc_to_m00_couplers_BREADY : STD_LOGIC;
signal auto_pc_to_m00_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal auto_pc_to_m00_couplers_BVALID : STD_LOGIC;
signal auto_pc_to_m00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal auto_pc_to_m00_couplers_RID : STD_LOGIC_VECTOR ( 5 downto 0 );
signal auto_pc_to_m00_couplers_RLAST : STD_LOGIC;
signal auto_pc_to_m00_couplers_RREADY : STD_LOGIC;
signal auto_pc_to_m00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal auto_pc_to_m00_couplers_RVALID : STD_LOGIC;
signal auto_pc_to_m00_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal auto_pc_to_m00_couplers_WID : STD_LOGIC_VECTOR ( 2 downto 0 );
signal auto_pc_to_m00_couplers_WLAST : STD_LOGIC;
signal auto_pc_to_m00_couplers_WREADY : STD_LOGIC;
signal auto_pc_to_m00_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal auto_pc_to_m00_couplers_WVALID : STD_LOGIC;
signal m00_couplers_to_auto_pc_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_auto_pc_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m00_couplers_to_auto_pc_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m00_couplers_to_auto_pc_ARID : STD_LOGIC_VECTOR ( 2 downto 0 );
signal m00_couplers_to_auto_pc_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal m00_couplers_to_auto_pc_ARLOCK : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_auto_pc_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal m00_couplers_to_auto_pc_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m00_couplers_to_auto_pc_ARREADY : STD_LOGIC;
signal m00_couplers_to_auto_pc_ARREGION : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m00_couplers_to_auto_pc_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal m00_couplers_to_auto_pc_ARVALID : STD_LOGIC;
signal m00_couplers_to_auto_pc_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_auto_pc_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m00_couplers_to_auto_pc_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m00_couplers_to_auto_pc_AWID : STD_LOGIC_VECTOR ( 2 downto 0 );
signal m00_couplers_to_auto_pc_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal m00_couplers_to_auto_pc_AWLOCK : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_auto_pc_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal m00_couplers_to_auto_pc_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m00_couplers_to_auto_pc_AWREADY : STD_LOGIC;
signal m00_couplers_to_auto_pc_AWREGION : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m00_couplers_to_auto_pc_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal m00_couplers_to_auto_pc_AWVALID : STD_LOGIC;
signal m00_couplers_to_auto_pc_BID : STD_LOGIC_VECTOR ( 2 downto 0 );
signal m00_couplers_to_auto_pc_BREADY : STD_LOGIC;
signal m00_couplers_to_auto_pc_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m00_couplers_to_auto_pc_BVALID : STD_LOGIC;
signal m00_couplers_to_auto_pc_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_auto_pc_RID : STD_LOGIC_VECTOR ( 2 downto 0 );
signal m00_couplers_to_auto_pc_RLAST : STD_LOGIC;
signal m00_couplers_to_auto_pc_RREADY : STD_LOGIC;
signal m00_couplers_to_auto_pc_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m00_couplers_to_auto_pc_RVALID : STD_LOGIC;
signal m00_couplers_to_auto_pc_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_auto_pc_WLAST : STD_LOGIC;
signal m00_couplers_to_auto_pc_WREADY : STD_LOGIC;
signal m00_couplers_to_auto_pc_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m00_couplers_to_auto_pc_WVALID : STD_LOGIC;
begin
M_AXI_araddr(31 downto 0) <= auto_pc_to_m00_couplers_ARADDR(31 downto 0);
M_AXI_arburst(1 downto 0) <= auto_pc_to_m00_couplers_ARBURST(1 downto 0);
M_AXI_arcache(3 downto 0) <= auto_pc_to_m00_couplers_ARCACHE(3 downto 0);
M_AXI_arid(2 downto 0) <= auto_pc_to_m00_couplers_ARID(2 downto 0);
M_AXI_arlen(3 downto 0) <= auto_pc_to_m00_couplers_ARLEN(3 downto 0);
M_AXI_arlock(1 downto 0) <= auto_pc_to_m00_couplers_ARLOCK(1 downto 0);
M_AXI_arprot(2 downto 0) <= auto_pc_to_m00_couplers_ARPROT(2 downto 0);
M_AXI_arqos(3 downto 0) <= auto_pc_to_m00_couplers_ARQOS(3 downto 0);
M_AXI_arsize(2 downto 0) <= auto_pc_to_m00_couplers_ARSIZE(2 downto 0);
M_AXI_arvalid <= auto_pc_to_m00_couplers_ARVALID;
M_AXI_awaddr(31 downto 0) <= auto_pc_to_m00_couplers_AWADDR(31 downto 0);
M_AXI_awburst(1 downto 0) <= auto_pc_to_m00_couplers_AWBURST(1 downto 0);
M_AXI_awcache(3 downto 0) <= auto_pc_to_m00_couplers_AWCACHE(3 downto 0);
M_AXI_awid(2 downto 0) <= auto_pc_to_m00_couplers_AWID(2 downto 0);
M_AXI_awlen(3 downto 0) <= auto_pc_to_m00_couplers_AWLEN(3 downto 0);
M_AXI_awlock(1 downto 0) <= auto_pc_to_m00_couplers_AWLOCK(1 downto 0);
M_AXI_awprot(2 downto 0) <= auto_pc_to_m00_couplers_AWPROT(2 downto 0);
M_AXI_awqos(3 downto 0) <= auto_pc_to_m00_couplers_AWQOS(3 downto 0);
M_AXI_awsize(2 downto 0) <= auto_pc_to_m00_couplers_AWSIZE(2 downto 0);
M_AXI_awvalid <= auto_pc_to_m00_couplers_AWVALID;
M_AXI_bready <= auto_pc_to_m00_couplers_BREADY;
M_AXI_rready <= auto_pc_to_m00_couplers_RREADY;
M_AXI_wdata(31 downto 0) <= auto_pc_to_m00_couplers_WDATA(31 downto 0);
M_AXI_wid(2 downto 0) <= auto_pc_to_m00_couplers_WID(2 downto 0);
M_AXI_wlast <= auto_pc_to_m00_couplers_WLAST;
M_AXI_wstrb(3 downto 0) <= auto_pc_to_m00_couplers_WSTRB(3 downto 0);
M_AXI_wvalid <= auto_pc_to_m00_couplers_WVALID;
S_ACLK_1 <= S_ACLK;
S_ARESETN_1(0) <= S_ARESETN(0);
S_AXI_arready <= m00_couplers_to_auto_pc_ARREADY;
S_AXI_awready <= m00_couplers_to_auto_pc_AWREADY;
S_AXI_bid(2 downto 0) <= m00_couplers_to_auto_pc_BID(2 downto 0);
S_AXI_bresp(1 downto 0) <= m00_couplers_to_auto_pc_BRESP(1 downto 0);
S_AXI_bvalid <= m00_couplers_to_auto_pc_BVALID;
S_AXI_rdata(31 downto 0) <= m00_couplers_to_auto_pc_RDATA(31 downto 0);
S_AXI_rid(2 downto 0) <= m00_couplers_to_auto_pc_RID(2 downto 0);
S_AXI_rlast <= m00_couplers_to_auto_pc_RLAST;
S_AXI_rresp(1 downto 0) <= m00_couplers_to_auto_pc_RRESP(1 downto 0);
S_AXI_rvalid <= m00_couplers_to_auto_pc_RVALID;
S_AXI_wready <= m00_couplers_to_auto_pc_WREADY;
auto_pc_to_m00_couplers_ARREADY <= M_AXI_arready;
auto_pc_to_m00_couplers_AWREADY <= M_AXI_awready;
auto_pc_to_m00_couplers_BID(5 downto 0) <= M_AXI_bid(5 downto 0);
auto_pc_to_m00_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0);
auto_pc_to_m00_couplers_BVALID <= M_AXI_bvalid;
auto_pc_to_m00_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0);
auto_pc_to_m00_couplers_RID(5 downto 0) <= M_AXI_rid(5 downto 0);
auto_pc_to_m00_couplers_RLAST <= M_AXI_rlast;
auto_pc_to_m00_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0);
auto_pc_to_m00_couplers_RVALID <= M_AXI_rvalid;
auto_pc_to_m00_couplers_WREADY <= M_AXI_wready;
m00_couplers_to_auto_pc_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0);
m00_couplers_to_auto_pc_ARBURST(1 downto 0) <= S_AXI_arburst(1 downto 0);
m00_couplers_to_auto_pc_ARCACHE(3 downto 0) <= S_AXI_arcache(3 downto 0);
m00_couplers_to_auto_pc_ARID(2 downto 0) <= S_AXI_arid(2 downto 0);
m00_couplers_to_auto_pc_ARLEN(7 downto 0) <= S_AXI_arlen(7 downto 0);
m00_couplers_to_auto_pc_ARLOCK(0) <= S_AXI_arlock(0);
m00_couplers_to_auto_pc_ARPROT(2 downto 0) <= S_AXI_arprot(2 downto 0);
m00_couplers_to_auto_pc_ARQOS(3 downto 0) <= S_AXI_arqos(3 downto 0);
m00_couplers_to_auto_pc_ARREGION(3 downto 0) <= S_AXI_arregion(3 downto 0);
m00_couplers_to_auto_pc_ARSIZE(2 downto 0) <= S_AXI_arsize(2 downto 0);
m00_couplers_to_auto_pc_ARVALID <= S_AXI_arvalid;
m00_couplers_to_auto_pc_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0);
m00_couplers_to_auto_pc_AWBURST(1 downto 0) <= S_AXI_awburst(1 downto 0);
m00_couplers_to_auto_pc_AWCACHE(3 downto 0) <= S_AXI_awcache(3 downto 0);
m00_couplers_to_auto_pc_AWID(2 downto 0) <= S_AXI_awid(2 downto 0);
m00_couplers_to_auto_pc_AWLEN(7 downto 0) <= S_AXI_awlen(7 downto 0);
m00_couplers_to_auto_pc_AWLOCK(0) <= S_AXI_awlock(0);
m00_couplers_to_auto_pc_AWPROT(2 downto 0) <= S_AXI_awprot(2 downto 0);
m00_couplers_to_auto_pc_AWQOS(3 downto 0) <= S_AXI_awqos(3 downto 0);
m00_couplers_to_auto_pc_AWREGION(3 downto 0) <= S_AXI_awregion(3 downto 0);
m00_couplers_to_auto_pc_AWSIZE(2 downto 0) <= S_AXI_awsize(2 downto 0);
m00_couplers_to_auto_pc_AWVALID <= S_AXI_awvalid;
m00_couplers_to_auto_pc_BREADY <= S_AXI_bready;
m00_couplers_to_auto_pc_RREADY <= S_AXI_rready;
m00_couplers_to_auto_pc_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0);
m00_couplers_to_auto_pc_WLAST <= S_AXI_wlast;
m00_couplers_to_auto_pc_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0);
m00_couplers_to_auto_pc_WVALID <= S_AXI_wvalid;
auto_pc: component design_SWandHW_standalone_auto_pc_1
port map (
aclk => S_ACLK_1,
aresetn => S_ARESETN_1(0),
m_axi_araddr(31 downto 0) => auto_pc_to_m00_couplers_ARADDR(31 downto 0),
m_axi_arburst(1 downto 0) => auto_pc_to_m00_couplers_ARBURST(1 downto 0),
m_axi_arcache(3 downto 0) => auto_pc_to_m00_couplers_ARCACHE(3 downto 0),
m_axi_arid(2 downto 0) => auto_pc_to_m00_couplers_ARID(2 downto 0),
m_axi_arlen(3 downto 0) => auto_pc_to_m00_couplers_ARLEN(3 downto 0),
m_axi_arlock(1 downto 0) => auto_pc_to_m00_couplers_ARLOCK(1 downto 0),
m_axi_arprot(2 downto 0) => auto_pc_to_m00_couplers_ARPROT(2 downto 0),
m_axi_arqos(3 downto 0) => auto_pc_to_m00_couplers_ARQOS(3 downto 0),
m_axi_arready => auto_pc_to_m00_couplers_ARREADY,
m_axi_arsize(2 downto 0) => auto_pc_to_m00_couplers_ARSIZE(2 downto 0),
m_axi_arvalid => auto_pc_to_m00_couplers_ARVALID,
m_axi_awaddr(31 downto 0) => auto_pc_to_m00_couplers_AWADDR(31 downto 0),
m_axi_awburst(1 downto 0) => auto_pc_to_m00_couplers_AWBURST(1 downto 0),
m_axi_awcache(3 downto 0) => auto_pc_to_m00_couplers_AWCACHE(3 downto 0),
m_axi_awid(2 downto 0) => auto_pc_to_m00_couplers_AWID(2 downto 0),
m_axi_awlen(3 downto 0) => auto_pc_to_m00_couplers_AWLEN(3 downto 0),
m_axi_awlock(1 downto 0) => auto_pc_to_m00_couplers_AWLOCK(1 downto 0),
m_axi_awprot(2 downto 0) => auto_pc_to_m00_couplers_AWPROT(2 downto 0),
m_axi_awqos(3 downto 0) => auto_pc_to_m00_couplers_AWQOS(3 downto 0),
m_axi_awready => auto_pc_to_m00_couplers_AWREADY,
m_axi_awsize(2 downto 0) => auto_pc_to_m00_couplers_AWSIZE(2 downto 0),
m_axi_awvalid => auto_pc_to_m00_couplers_AWVALID,
m_axi_bid(2 downto 0) => auto_pc_to_m00_couplers_BID(2 downto 0),
m_axi_bready => auto_pc_to_m00_couplers_BREADY,
m_axi_bresp(1 downto 0) => auto_pc_to_m00_couplers_BRESP(1 downto 0),
m_axi_bvalid => auto_pc_to_m00_couplers_BVALID,
m_axi_rdata(31 downto 0) => auto_pc_to_m00_couplers_RDATA(31 downto 0),
m_axi_rid(2 downto 0) => auto_pc_to_m00_couplers_RID(2 downto 0),
m_axi_rlast => auto_pc_to_m00_couplers_RLAST,
m_axi_rready => auto_pc_to_m00_couplers_RREADY,
m_axi_rresp(1 downto 0) => auto_pc_to_m00_couplers_RRESP(1 downto 0),
m_axi_rvalid => auto_pc_to_m00_couplers_RVALID,
m_axi_wdata(31 downto 0) => auto_pc_to_m00_couplers_WDATA(31 downto 0),
m_axi_wid(2 downto 0) => auto_pc_to_m00_couplers_WID(2 downto 0),
m_axi_wlast => auto_pc_to_m00_couplers_WLAST,
m_axi_wready => auto_pc_to_m00_couplers_WREADY,
m_axi_wstrb(3 downto 0) => auto_pc_to_m00_couplers_WSTRB(3 downto 0),
m_axi_wvalid => auto_pc_to_m00_couplers_WVALID,
s_axi_araddr(31 downto 0) => m00_couplers_to_auto_pc_ARADDR(31 downto 0),
s_axi_arburst(1 downto 0) => m00_couplers_to_auto_pc_ARBURST(1 downto 0),
s_axi_arcache(3 downto 0) => m00_couplers_to_auto_pc_ARCACHE(3 downto 0),
s_axi_arid(2 downto 0) => m00_couplers_to_auto_pc_ARID(2 downto 0),
s_axi_arlen(7 downto 0) => m00_couplers_to_auto_pc_ARLEN(7 downto 0),
s_axi_arlock(0) => m00_couplers_to_auto_pc_ARLOCK(0),
s_axi_arprot(2 downto 0) => m00_couplers_to_auto_pc_ARPROT(2 downto 0),
s_axi_arqos(3 downto 0) => m00_couplers_to_auto_pc_ARQOS(3 downto 0),
s_axi_arready => m00_couplers_to_auto_pc_ARREADY,
s_axi_arregion(3 downto 0) => m00_couplers_to_auto_pc_ARREGION(3 downto 0),
s_axi_arsize(2 downto 0) => m00_couplers_to_auto_pc_ARSIZE(2 downto 0),
s_axi_arvalid => m00_couplers_to_auto_pc_ARVALID,
s_axi_awaddr(31 downto 0) => m00_couplers_to_auto_pc_AWADDR(31 downto 0),
s_axi_awburst(1 downto 0) => m00_couplers_to_auto_pc_AWBURST(1 downto 0),
s_axi_awcache(3 downto 0) => m00_couplers_to_auto_pc_AWCACHE(3 downto 0),
s_axi_awid(2 downto 0) => m00_couplers_to_auto_pc_AWID(2 downto 0),
s_axi_awlen(7 downto 0) => m00_couplers_to_auto_pc_AWLEN(7 downto 0),
s_axi_awlock(0) => m00_couplers_to_auto_pc_AWLOCK(0),
s_axi_awprot(2 downto 0) => m00_couplers_to_auto_pc_AWPROT(2 downto 0),
s_axi_awqos(3 downto 0) => m00_couplers_to_auto_pc_AWQOS(3 downto 0),
s_axi_awready => m00_couplers_to_auto_pc_AWREADY,
s_axi_awregion(3 downto 0) => m00_couplers_to_auto_pc_AWREGION(3 downto 0),
s_axi_awsize(2 downto 0) => m00_couplers_to_auto_pc_AWSIZE(2 downto 0),
s_axi_awvalid => m00_couplers_to_auto_pc_AWVALID,
s_axi_bid(2 downto 0) => m00_couplers_to_auto_pc_BID(2 downto 0),
s_axi_bready => m00_couplers_to_auto_pc_BREADY,
s_axi_bresp(1 downto 0) => m00_couplers_to_auto_pc_BRESP(1 downto 0),
s_axi_bvalid => m00_couplers_to_auto_pc_BVALID,
s_axi_rdata(31 downto 0) => m00_couplers_to_auto_pc_RDATA(31 downto 0),
s_axi_rid(2 downto 0) => m00_couplers_to_auto_pc_RID(2 downto 0),
s_axi_rlast => m00_couplers_to_auto_pc_RLAST,
s_axi_rready => m00_couplers_to_auto_pc_RREADY,
s_axi_rresp(1 downto 0) => m00_couplers_to_auto_pc_RRESP(1 downto 0),
s_axi_rvalid => m00_couplers_to_auto_pc_RVALID,
s_axi_wdata(31 downto 0) => m00_couplers_to_auto_pc_WDATA(31 downto 0),
s_axi_wlast => m00_couplers_to_auto_pc_WLAST,
s_axi_wready => m00_couplers_to_auto_pc_WREADY,
s_axi_wstrb(3 downto 0) => m00_couplers_to_auto_pc_WSTRB(3 downto 0),
s_axi_wvalid => m00_couplers_to_auto_pc_WVALID
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity m01_couplers_imp_7OD9KA is
port (
M_ACLK : in STD_LOGIC;
M_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_arready : in STD_LOGIC;
M_AXI_arvalid : out STD_LOGIC;
M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_awready : in STD_LOGIC;
M_AXI_awvalid : out STD_LOGIC;
M_AXI_bready : out STD_LOGIC;
M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_bvalid : in STD_LOGIC;
M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_rready : out STD_LOGIC;
M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_rvalid : in STD_LOGIC;
M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_wready : in STD_LOGIC;
M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_wvalid : out STD_LOGIC;
S_ACLK : in STD_LOGIC;
S_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_arready : out STD_LOGIC;
S_AXI_arvalid : in STD_LOGIC;
S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_awready : out STD_LOGIC;
S_AXI_awvalid : in STD_LOGIC;
S_AXI_bready : in STD_LOGIC;
S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_bvalid : out STD_LOGIC;
S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_rready : in STD_LOGIC;
S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_rvalid : out STD_LOGIC;
S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_wready : out STD_LOGIC;
S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_wvalid : in STD_LOGIC
);
end m01_couplers_imp_7OD9KA;
architecture STRUCTURE of m01_couplers_imp_7OD9KA is
signal m01_couplers_to_m01_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m01_couplers_to_m01_couplers_ARREADY : STD_LOGIC;
signal m01_couplers_to_m01_couplers_ARVALID : STD_LOGIC;
signal m01_couplers_to_m01_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m01_couplers_to_m01_couplers_AWREADY : STD_LOGIC;
signal m01_couplers_to_m01_couplers_AWVALID : STD_LOGIC;
signal m01_couplers_to_m01_couplers_BREADY : STD_LOGIC;
signal m01_couplers_to_m01_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m01_couplers_to_m01_couplers_BVALID : STD_LOGIC;
signal m01_couplers_to_m01_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m01_couplers_to_m01_couplers_RREADY : STD_LOGIC;
signal m01_couplers_to_m01_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m01_couplers_to_m01_couplers_RVALID : STD_LOGIC;
signal m01_couplers_to_m01_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m01_couplers_to_m01_couplers_WREADY : STD_LOGIC;
signal m01_couplers_to_m01_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m01_couplers_to_m01_couplers_WVALID : STD_LOGIC;
begin
M_AXI_araddr(31 downto 0) <= m01_couplers_to_m01_couplers_ARADDR(31 downto 0);
M_AXI_arvalid <= m01_couplers_to_m01_couplers_ARVALID;
M_AXI_awaddr(31 downto 0) <= m01_couplers_to_m01_couplers_AWADDR(31 downto 0);
M_AXI_awvalid <= m01_couplers_to_m01_couplers_AWVALID;
M_AXI_bready <= m01_couplers_to_m01_couplers_BREADY;
M_AXI_rready <= m01_couplers_to_m01_couplers_RREADY;
M_AXI_wdata(31 downto 0) <= m01_couplers_to_m01_couplers_WDATA(31 downto 0);
M_AXI_wstrb(3 downto 0) <= m01_couplers_to_m01_couplers_WSTRB(3 downto 0);
M_AXI_wvalid <= m01_couplers_to_m01_couplers_WVALID;
S_AXI_arready <= m01_couplers_to_m01_couplers_ARREADY;
S_AXI_awready <= m01_couplers_to_m01_couplers_AWREADY;
S_AXI_bresp(1 downto 0) <= m01_couplers_to_m01_couplers_BRESP(1 downto 0);
S_AXI_bvalid <= m01_couplers_to_m01_couplers_BVALID;
S_AXI_rdata(31 downto 0) <= m01_couplers_to_m01_couplers_RDATA(31 downto 0);
S_AXI_rresp(1 downto 0) <= m01_couplers_to_m01_couplers_RRESP(1 downto 0);
S_AXI_rvalid <= m01_couplers_to_m01_couplers_RVALID;
S_AXI_wready <= m01_couplers_to_m01_couplers_WREADY;
m01_couplers_to_m01_couplers_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0);
m01_couplers_to_m01_couplers_ARREADY <= M_AXI_arready;
m01_couplers_to_m01_couplers_ARVALID <= S_AXI_arvalid;
m01_couplers_to_m01_couplers_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0);
m01_couplers_to_m01_couplers_AWREADY <= M_AXI_awready;
m01_couplers_to_m01_couplers_AWVALID <= S_AXI_awvalid;
m01_couplers_to_m01_couplers_BREADY <= S_AXI_bready;
m01_couplers_to_m01_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0);
m01_couplers_to_m01_couplers_BVALID <= M_AXI_bvalid;
m01_couplers_to_m01_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0);
m01_couplers_to_m01_couplers_RREADY <= S_AXI_rready;
m01_couplers_to_m01_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0);
m01_couplers_to_m01_couplers_RVALID <= M_AXI_rvalid;
m01_couplers_to_m01_couplers_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0);
m01_couplers_to_m01_couplers_WREADY <= M_AXI_wready;
m01_couplers_to_m01_couplers_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0);
m01_couplers_to_m01_couplers_WVALID <= S_AXI_wvalid;
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity m02_couplers_imp_1432F1V is
port (
M_ACLK : in STD_LOGIC;
M_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_arready : in STD_LOGIC;
M_AXI_arvalid : out STD_LOGIC;
M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_awready : in STD_LOGIC;
M_AXI_awvalid : out STD_LOGIC;
M_AXI_bready : out STD_LOGIC;
M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_bvalid : in STD_LOGIC;
M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_rready : out STD_LOGIC;
M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_rvalid : in STD_LOGIC;
M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_wready : in STD_LOGIC;
M_AXI_wvalid : out STD_LOGIC;
S_ACLK : in STD_LOGIC;
S_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_arready : out STD_LOGIC;
S_AXI_arvalid : in STD_LOGIC;
S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_awready : out STD_LOGIC;
S_AXI_awvalid : in STD_LOGIC;
S_AXI_bready : in STD_LOGIC;
S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_bvalid : out STD_LOGIC;
S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_rready : in STD_LOGIC;
S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_rvalid : out STD_LOGIC;
S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_wready : out STD_LOGIC;
S_AXI_wvalid : in STD_LOGIC
);
end m02_couplers_imp_1432F1V;
architecture STRUCTURE of m02_couplers_imp_1432F1V is
signal m02_couplers_to_m02_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m02_couplers_to_m02_couplers_ARREADY : STD_LOGIC;
signal m02_couplers_to_m02_couplers_ARVALID : STD_LOGIC;
signal m02_couplers_to_m02_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m02_couplers_to_m02_couplers_AWREADY : STD_LOGIC;
signal m02_couplers_to_m02_couplers_AWVALID : STD_LOGIC;
signal m02_couplers_to_m02_couplers_BREADY : STD_LOGIC;
signal m02_couplers_to_m02_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m02_couplers_to_m02_couplers_BVALID : STD_LOGIC;
signal m02_couplers_to_m02_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m02_couplers_to_m02_couplers_RREADY : STD_LOGIC;
signal m02_couplers_to_m02_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m02_couplers_to_m02_couplers_RVALID : STD_LOGIC;
signal m02_couplers_to_m02_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m02_couplers_to_m02_couplers_WREADY : STD_LOGIC;
signal m02_couplers_to_m02_couplers_WVALID : STD_LOGIC;
begin
M_AXI_araddr(31 downto 0) <= m02_couplers_to_m02_couplers_ARADDR(31 downto 0);
M_AXI_arvalid <= m02_couplers_to_m02_couplers_ARVALID;
M_AXI_awaddr(31 downto 0) <= m02_couplers_to_m02_couplers_AWADDR(31 downto 0);
M_AXI_awvalid <= m02_couplers_to_m02_couplers_AWVALID;
M_AXI_bready <= m02_couplers_to_m02_couplers_BREADY;
M_AXI_rready <= m02_couplers_to_m02_couplers_RREADY;
M_AXI_wdata(31 downto 0) <= m02_couplers_to_m02_couplers_WDATA(31 downto 0);
M_AXI_wvalid <= m02_couplers_to_m02_couplers_WVALID;
S_AXI_arready <= m02_couplers_to_m02_couplers_ARREADY;
S_AXI_awready <= m02_couplers_to_m02_couplers_AWREADY;
S_AXI_bresp(1 downto 0) <= m02_couplers_to_m02_couplers_BRESP(1 downto 0);
S_AXI_bvalid <= m02_couplers_to_m02_couplers_BVALID;
S_AXI_rdata(31 downto 0) <= m02_couplers_to_m02_couplers_RDATA(31 downto 0);
S_AXI_rresp(1 downto 0) <= m02_couplers_to_m02_couplers_RRESP(1 downto 0);
S_AXI_rvalid <= m02_couplers_to_m02_couplers_RVALID;
S_AXI_wready <= m02_couplers_to_m02_couplers_WREADY;
m02_couplers_to_m02_couplers_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0);
m02_couplers_to_m02_couplers_ARREADY <= M_AXI_arready;
m02_couplers_to_m02_couplers_ARVALID <= S_AXI_arvalid;
m02_couplers_to_m02_couplers_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0);
m02_couplers_to_m02_couplers_AWREADY <= M_AXI_awready;
m02_couplers_to_m02_couplers_AWVALID <= S_AXI_awvalid;
m02_couplers_to_m02_couplers_BREADY <= S_AXI_bready;
m02_couplers_to_m02_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0);
m02_couplers_to_m02_couplers_BVALID <= M_AXI_bvalid;
m02_couplers_to_m02_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0);
m02_couplers_to_m02_couplers_RREADY <= S_AXI_rready;
m02_couplers_to_m02_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0);
m02_couplers_to_m02_couplers_RVALID <= M_AXI_rvalid;
m02_couplers_to_m02_couplers_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0);
m02_couplers_to_m02_couplers_WREADY <= M_AXI_wready;
m02_couplers_to_m02_couplers_WVALID <= S_AXI_wvalid;
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity m03_couplers_imp_QLWQRF is
port (
M_ACLK : in STD_LOGIC;
M_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_arready : in STD_LOGIC;
M_AXI_arvalid : out STD_LOGIC;
M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_awready : in STD_LOGIC;
M_AXI_awvalid : out STD_LOGIC;
M_AXI_bready : out STD_LOGIC;
M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_bvalid : in STD_LOGIC;
M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_rready : out STD_LOGIC;
M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_rvalid : in STD_LOGIC;
M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_wready : in STD_LOGIC;
M_AXI_wvalid : out STD_LOGIC;
S_ACLK : in STD_LOGIC;
S_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_arready : out STD_LOGIC;
S_AXI_arvalid : in STD_LOGIC;
S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_awready : out STD_LOGIC;
S_AXI_awvalid : in STD_LOGIC;
S_AXI_bready : in STD_LOGIC;
S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_bvalid : out STD_LOGIC;
S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_rready : in STD_LOGIC;
S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_rvalid : out STD_LOGIC;
S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_wready : out STD_LOGIC;
S_AXI_wvalid : in STD_LOGIC
);
end m03_couplers_imp_QLWQRF;
architecture STRUCTURE of m03_couplers_imp_QLWQRF is
signal m03_couplers_to_m03_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m03_couplers_to_m03_couplers_ARREADY : STD_LOGIC;
signal m03_couplers_to_m03_couplers_ARVALID : STD_LOGIC;
signal m03_couplers_to_m03_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m03_couplers_to_m03_couplers_AWREADY : STD_LOGIC;
signal m03_couplers_to_m03_couplers_AWVALID : STD_LOGIC;
signal m03_couplers_to_m03_couplers_BREADY : STD_LOGIC;
signal m03_couplers_to_m03_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m03_couplers_to_m03_couplers_BVALID : STD_LOGIC;
signal m03_couplers_to_m03_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m03_couplers_to_m03_couplers_RREADY : STD_LOGIC;
signal m03_couplers_to_m03_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m03_couplers_to_m03_couplers_RVALID : STD_LOGIC;
signal m03_couplers_to_m03_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m03_couplers_to_m03_couplers_WREADY : STD_LOGIC;
signal m03_couplers_to_m03_couplers_WVALID : STD_LOGIC;
begin
M_AXI_araddr(31 downto 0) <= m03_couplers_to_m03_couplers_ARADDR(31 downto 0);
M_AXI_arvalid <= m03_couplers_to_m03_couplers_ARVALID;
M_AXI_awaddr(31 downto 0) <= m03_couplers_to_m03_couplers_AWADDR(31 downto 0);
M_AXI_awvalid <= m03_couplers_to_m03_couplers_AWVALID;
M_AXI_bready <= m03_couplers_to_m03_couplers_BREADY;
M_AXI_rready <= m03_couplers_to_m03_couplers_RREADY;
M_AXI_wdata(31 downto 0) <= m03_couplers_to_m03_couplers_WDATA(31 downto 0);
M_AXI_wvalid <= m03_couplers_to_m03_couplers_WVALID;
S_AXI_arready <= m03_couplers_to_m03_couplers_ARREADY;
S_AXI_awready <= m03_couplers_to_m03_couplers_AWREADY;
S_AXI_bresp(1 downto 0) <= m03_couplers_to_m03_couplers_BRESP(1 downto 0);
S_AXI_bvalid <= m03_couplers_to_m03_couplers_BVALID;
S_AXI_rdata(31 downto 0) <= m03_couplers_to_m03_couplers_RDATA(31 downto 0);
S_AXI_rresp(1 downto 0) <= m03_couplers_to_m03_couplers_RRESP(1 downto 0);
S_AXI_rvalid <= m03_couplers_to_m03_couplers_RVALID;
S_AXI_wready <= m03_couplers_to_m03_couplers_WREADY;
m03_couplers_to_m03_couplers_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0);
m03_couplers_to_m03_couplers_ARREADY <= M_AXI_arready;
m03_couplers_to_m03_couplers_ARVALID <= S_AXI_arvalid;
m03_couplers_to_m03_couplers_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0);
m03_couplers_to_m03_couplers_AWREADY <= M_AXI_awready;
m03_couplers_to_m03_couplers_AWVALID <= S_AXI_awvalid;
m03_couplers_to_m03_couplers_BREADY <= S_AXI_bready;
m03_couplers_to_m03_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0);
m03_couplers_to_m03_couplers_BVALID <= M_AXI_bvalid;
m03_couplers_to_m03_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0);
m03_couplers_to_m03_couplers_RREADY <= S_AXI_rready;
m03_couplers_to_m03_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0);
m03_couplers_to_m03_couplers_RVALID <= M_AXI_rvalid;
m03_couplers_to_m03_couplers_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0);
m03_couplers_to_m03_couplers_WREADY <= M_AXI_wready;
m03_couplers_to_m03_couplers_WVALID <= S_AXI_wvalid;
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity m04_couplers_imp_PPSTKW is
port (
M_ACLK : in STD_LOGIC;
M_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_arready : in STD_LOGIC;
M_AXI_arvalid : out STD_LOGIC;
M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_awready : in STD_LOGIC;
M_AXI_awvalid : out STD_LOGIC;
M_AXI_bready : out STD_LOGIC;
M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_bvalid : in STD_LOGIC;
M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_rready : out STD_LOGIC;
M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_rvalid : in STD_LOGIC;
M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_wready : in STD_LOGIC;
M_AXI_wvalid : out STD_LOGIC;
S_ACLK : in STD_LOGIC;
S_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_arready : out STD_LOGIC;
S_AXI_arvalid : in STD_LOGIC;
S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_awready : out STD_LOGIC;
S_AXI_awvalid : in STD_LOGIC;
S_AXI_bready : in STD_LOGIC;
S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_bvalid : out STD_LOGIC;
S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_rready : in STD_LOGIC;
S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_rvalid : out STD_LOGIC;
S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_wready : out STD_LOGIC;
S_AXI_wvalid : in STD_LOGIC
);
end m04_couplers_imp_PPSTKW;
architecture STRUCTURE of m04_couplers_imp_PPSTKW is
signal m04_couplers_to_m04_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m04_couplers_to_m04_couplers_ARREADY : STD_LOGIC;
signal m04_couplers_to_m04_couplers_ARVALID : STD_LOGIC;
signal m04_couplers_to_m04_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m04_couplers_to_m04_couplers_AWREADY : STD_LOGIC;
signal m04_couplers_to_m04_couplers_AWVALID : STD_LOGIC;
signal m04_couplers_to_m04_couplers_BREADY : STD_LOGIC;
signal m04_couplers_to_m04_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m04_couplers_to_m04_couplers_BVALID : STD_LOGIC;
signal m04_couplers_to_m04_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m04_couplers_to_m04_couplers_RREADY : STD_LOGIC;
signal m04_couplers_to_m04_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m04_couplers_to_m04_couplers_RVALID : STD_LOGIC;
signal m04_couplers_to_m04_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m04_couplers_to_m04_couplers_WREADY : STD_LOGIC;
signal m04_couplers_to_m04_couplers_WVALID : STD_LOGIC;
begin
M_AXI_araddr(31 downto 0) <= m04_couplers_to_m04_couplers_ARADDR(31 downto 0);
M_AXI_arvalid <= m04_couplers_to_m04_couplers_ARVALID;
M_AXI_awaddr(31 downto 0) <= m04_couplers_to_m04_couplers_AWADDR(31 downto 0);
M_AXI_awvalid <= m04_couplers_to_m04_couplers_AWVALID;
M_AXI_bready <= m04_couplers_to_m04_couplers_BREADY;
M_AXI_rready <= m04_couplers_to_m04_couplers_RREADY;
M_AXI_wdata(31 downto 0) <= m04_couplers_to_m04_couplers_WDATA(31 downto 0);
M_AXI_wvalid <= m04_couplers_to_m04_couplers_WVALID;
S_AXI_arready <= m04_couplers_to_m04_couplers_ARREADY;
S_AXI_awready <= m04_couplers_to_m04_couplers_AWREADY;
S_AXI_bresp(1 downto 0) <= m04_couplers_to_m04_couplers_BRESP(1 downto 0);
S_AXI_bvalid <= m04_couplers_to_m04_couplers_BVALID;
S_AXI_rdata(31 downto 0) <= m04_couplers_to_m04_couplers_RDATA(31 downto 0);
S_AXI_rresp(1 downto 0) <= m04_couplers_to_m04_couplers_RRESP(1 downto 0);
S_AXI_rvalid <= m04_couplers_to_m04_couplers_RVALID;
S_AXI_wready <= m04_couplers_to_m04_couplers_WREADY;
m04_couplers_to_m04_couplers_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0);
m04_couplers_to_m04_couplers_ARREADY <= M_AXI_arready;
m04_couplers_to_m04_couplers_ARVALID <= S_AXI_arvalid;
m04_couplers_to_m04_couplers_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0);
m04_couplers_to_m04_couplers_AWREADY <= M_AXI_awready;
m04_couplers_to_m04_couplers_AWVALID <= S_AXI_awvalid;
m04_couplers_to_m04_couplers_BREADY <= S_AXI_bready;
m04_couplers_to_m04_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0);
m04_couplers_to_m04_couplers_BVALID <= M_AXI_bvalid;
m04_couplers_to_m04_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0);
m04_couplers_to_m04_couplers_RREADY <= S_AXI_rready;
m04_couplers_to_m04_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0);
m04_couplers_to_m04_couplers_RVALID <= M_AXI_rvalid;
m04_couplers_to_m04_couplers_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0);
m04_couplers_to_m04_couplers_WREADY <= M_AXI_wready;
m04_couplers_to_m04_couplers_WVALID <= S_AXI_wvalid;
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity m05_couplers_imp_14U9M2W is
port (
M_ACLK : in STD_LOGIC;
M_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_arready : in STD_LOGIC;
M_AXI_arvalid : out STD_LOGIC;
M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_awready : in STD_LOGIC;
M_AXI_awvalid : out STD_LOGIC;
M_AXI_bready : out STD_LOGIC;
M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_bvalid : in STD_LOGIC;
M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_rready : out STD_LOGIC;
M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_rvalid : in STD_LOGIC;
M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_wready : in STD_LOGIC;
M_AXI_wvalid : out STD_LOGIC;
S_ACLK : in STD_LOGIC;
S_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_arready : out STD_LOGIC;
S_AXI_arvalid : in STD_LOGIC;
S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_awready : out STD_LOGIC;
S_AXI_awvalid : in STD_LOGIC;
S_AXI_bready : in STD_LOGIC;
S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_bvalid : out STD_LOGIC;
S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_rready : in STD_LOGIC;
S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_rvalid : out STD_LOGIC;
S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_wready : out STD_LOGIC;
S_AXI_wvalid : in STD_LOGIC
);
end m05_couplers_imp_14U9M2W;
architecture STRUCTURE of m05_couplers_imp_14U9M2W is
signal m05_couplers_to_m05_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m05_couplers_to_m05_couplers_ARREADY : STD_LOGIC;
signal m05_couplers_to_m05_couplers_ARVALID : STD_LOGIC;
signal m05_couplers_to_m05_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m05_couplers_to_m05_couplers_AWREADY : STD_LOGIC;
signal m05_couplers_to_m05_couplers_AWVALID : STD_LOGIC;
signal m05_couplers_to_m05_couplers_BREADY : STD_LOGIC;
signal m05_couplers_to_m05_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m05_couplers_to_m05_couplers_BVALID : STD_LOGIC;
signal m05_couplers_to_m05_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m05_couplers_to_m05_couplers_RREADY : STD_LOGIC;
signal m05_couplers_to_m05_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m05_couplers_to_m05_couplers_RVALID : STD_LOGIC;
signal m05_couplers_to_m05_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m05_couplers_to_m05_couplers_WREADY : STD_LOGIC;
signal m05_couplers_to_m05_couplers_WVALID : STD_LOGIC;
begin
M_AXI_araddr(31 downto 0) <= m05_couplers_to_m05_couplers_ARADDR(31 downto 0);
M_AXI_arvalid <= m05_couplers_to_m05_couplers_ARVALID;
M_AXI_awaddr(31 downto 0) <= m05_couplers_to_m05_couplers_AWADDR(31 downto 0);
M_AXI_awvalid <= m05_couplers_to_m05_couplers_AWVALID;
M_AXI_bready <= m05_couplers_to_m05_couplers_BREADY;
M_AXI_rready <= m05_couplers_to_m05_couplers_RREADY;
M_AXI_wdata(31 downto 0) <= m05_couplers_to_m05_couplers_WDATA(31 downto 0);
M_AXI_wvalid <= m05_couplers_to_m05_couplers_WVALID;
S_AXI_arready <= m05_couplers_to_m05_couplers_ARREADY;
S_AXI_awready <= m05_couplers_to_m05_couplers_AWREADY;
S_AXI_bresp(1 downto 0) <= m05_couplers_to_m05_couplers_BRESP(1 downto 0);
S_AXI_bvalid <= m05_couplers_to_m05_couplers_BVALID;
S_AXI_rdata(31 downto 0) <= m05_couplers_to_m05_couplers_RDATA(31 downto 0);
S_AXI_rresp(1 downto 0) <= m05_couplers_to_m05_couplers_RRESP(1 downto 0);
S_AXI_rvalid <= m05_couplers_to_m05_couplers_RVALID;
S_AXI_wready <= m05_couplers_to_m05_couplers_WREADY;
m05_couplers_to_m05_couplers_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0);
m05_couplers_to_m05_couplers_ARREADY <= M_AXI_arready;
m05_couplers_to_m05_couplers_ARVALID <= S_AXI_arvalid;
m05_couplers_to_m05_couplers_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0);
m05_couplers_to_m05_couplers_AWREADY <= M_AXI_awready;
m05_couplers_to_m05_couplers_AWVALID <= S_AXI_awvalid;
m05_couplers_to_m05_couplers_BREADY <= S_AXI_bready;
m05_couplers_to_m05_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0);
m05_couplers_to_m05_couplers_BVALID <= M_AXI_bvalid;
m05_couplers_to_m05_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0);
m05_couplers_to_m05_couplers_RREADY <= S_AXI_rready;
m05_couplers_to_m05_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0);
m05_couplers_to_m05_couplers_RVALID <= M_AXI_rvalid;
m05_couplers_to_m05_couplers_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0);
m05_couplers_to_m05_couplers_WREADY <= M_AXI_wready;
m05_couplers_to_m05_couplers_WVALID <= S_AXI_wvalid;
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity m06_couplers_imp_6WKA35 is
port (
M_ACLK : in STD_LOGIC;
M_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_arready : in STD_LOGIC;
M_AXI_arvalid : out STD_LOGIC;
M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_awready : in STD_LOGIC;
M_AXI_awvalid : out STD_LOGIC;
M_AXI_bready : out STD_LOGIC;
M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_bvalid : in STD_LOGIC;
M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_rready : out STD_LOGIC;
M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_rvalid : in STD_LOGIC;
M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_wready : in STD_LOGIC;
M_AXI_wvalid : out STD_LOGIC;
S_ACLK : in STD_LOGIC;
S_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_arready : out STD_LOGIC;
S_AXI_arvalid : in STD_LOGIC;
S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_awready : out STD_LOGIC;
S_AXI_awvalid : in STD_LOGIC;
S_AXI_bready : in STD_LOGIC;
S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_bvalid : out STD_LOGIC;
S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_rready : in STD_LOGIC;
S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_rvalid : out STD_LOGIC;
S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_wready : out STD_LOGIC;
S_AXI_wvalid : in STD_LOGIC
);
end m06_couplers_imp_6WKA35;
architecture STRUCTURE of m06_couplers_imp_6WKA35 is
signal m06_couplers_to_m06_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m06_couplers_to_m06_couplers_ARREADY : STD_LOGIC;
signal m06_couplers_to_m06_couplers_ARVALID : STD_LOGIC;
signal m06_couplers_to_m06_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m06_couplers_to_m06_couplers_AWREADY : STD_LOGIC;
signal m06_couplers_to_m06_couplers_AWVALID : STD_LOGIC;
signal m06_couplers_to_m06_couplers_BREADY : STD_LOGIC;
signal m06_couplers_to_m06_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m06_couplers_to_m06_couplers_BVALID : STD_LOGIC;
signal m06_couplers_to_m06_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m06_couplers_to_m06_couplers_RREADY : STD_LOGIC;
signal m06_couplers_to_m06_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m06_couplers_to_m06_couplers_RVALID : STD_LOGIC;
signal m06_couplers_to_m06_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m06_couplers_to_m06_couplers_WREADY : STD_LOGIC;
signal m06_couplers_to_m06_couplers_WVALID : STD_LOGIC;
begin
M_AXI_araddr(31 downto 0) <= m06_couplers_to_m06_couplers_ARADDR(31 downto 0);
M_AXI_arvalid <= m06_couplers_to_m06_couplers_ARVALID;
M_AXI_awaddr(31 downto 0) <= m06_couplers_to_m06_couplers_AWADDR(31 downto 0);
M_AXI_awvalid <= m06_couplers_to_m06_couplers_AWVALID;
M_AXI_bready <= m06_couplers_to_m06_couplers_BREADY;
M_AXI_rready <= m06_couplers_to_m06_couplers_RREADY;
M_AXI_wdata(31 downto 0) <= m06_couplers_to_m06_couplers_WDATA(31 downto 0);
M_AXI_wvalid <= m06_couplers_to_m06_couplers_WVALID;
S_AXI_arready <= m06_couplers_to_m06_couplers_ARREADY;
S_AXI_awready <= m06_couplers_to_m06_couplers_AWREADY;
S_AXI_bresp(1 downto 0) <= m06_couplers_to_m06_couplers_BRESP(1 downto 0);
S_AXI_bvalid <= m06_couplers_to_m06_couplers_BVALID;
S_AXI_rdata(31 downto 0) <= m06_couplers_to_m06_couplers_RDATA(31 downto 0);
S_AXI_rresp(1 downto 0) <= m06_couplers_to_m06_couplers_RRESP(1 downto 0);
S_AXI_rvalid <= m06_couplers_to_m06_couplers_RVALID;
S_AXI_wready <= m06_couplers_to_m06_couplers_WREADY;
m06_couplers_to_m06_couplers_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0);
m06_couplers_to_m06_couplers_ARREADY <= M_AXI_arready;
m06_couplers_to_m06_couplers_ARVALID <= S_AXI_arvalid;
m06_couplers_to_m06_couplers_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0);
m06_couplers_to_m06_couplers_AWREADY <= M_AXI_awready;
m06_couplers_to_m06_couplers_AWVALID <= S_AXI_awvalid;
m06_couplers_to_m06_couplers_BREADY <= S_AXI_bready;
m06_couplers_to_m06_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0);
m06_couplers_to_m06_couplers_BVALID <= M_AXI_bvalid;
m06_couplers_to_m06_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0);
m06_couplers_to_m06_couplers_RREADY <= S_AXI_rready;
m06_couplers_to_m06_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0);
m06_couplers_to_m06_couplers_RVALID <= M_AXI_rvalid;
m06_couplers_to_m06_couplers_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0);
m06_couplers_to_m06_couplers_WREADY <= M_AXI_wready;
m06_couplers_to_m06_couplers_WVALID <= S_AXI_wvalid;
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity s00_couplers_imp_14GRHI is
port (
M_ACLK : in STD_LOGIC;
M_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
M_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_arready : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_arvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_rlast : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_rready : out STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_rvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
S_ACLK : in STD_LOGIC;
S_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_arready : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_rlast : out STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_rready : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 )
);
end s00_couplers_imp_14GRHI;
architecture STRUCTURE of s00_couplers_imp_14GRHI is
signal s00_couplers_to_s00_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s00_couplers_to_s00_couplers_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s00_couplers_to_s00_couplers_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s00_couplers_to_s00_couplers_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal s00_couplers_to_s00_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s00_couplers_to_s00_couplers_ARREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_s00_couplers_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s00_couplers_to_s00_couplers_ARVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_s00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s00_couplers_to_s00_couplers_RLAST : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_s00_couplers_RREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_s00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s00_couplers_to_s00_couplers_RVALID : STD_LOGIC_VECTOR ( 0 to 0 );
begin
M_AXI_araddr(31 downto 0) <= s00_couplers_to_s00_couplers_ARADDR(31 downto 0);
M_AXI_arburst(1 downto 0) <= s00_couplers_to_s00_couplers_ARBURST(1 downto 0);
M_AXI_arcache(3 downto 0) <= s00_couplers_to_s00_couplers_ARCACHE(3 downto 0);
M_AXI_arlen(7 downto 0) <= s00_couplers_to_s00_couplers_ARLEN(7 downto 0);
M_AXI_arprot(2 downto 0) <= s00_couplers_to_s00_couplers_ARPROT(2 downto 0);
M_AXI_arsize(2 downto 0) <= s00_couplers_to_s00_couplers_ARSIZE(2 downto 0);
M_AXI_arvalid(0) <= s00_couplers_to_s00_couplers_ARVALID(0);
M_AXI_rready(0) <= s00_couplers_to_s00_couplers_RREADY(0);
S_AXI_arready(0) <= s00_couplers_to_s00_couplers_ARREADY(0);
S_AXI_rdata(31 downto 0) <= s00_couplers_to_s00_couplers_RDATA(31 downto 0);
S_AXI_rlast(0) <= s00_couplers_to_s00_couplers_RLAST(0);
S_AXI_rresp(1 downto 0) <= s00_couplers_to_s00_couplers_RRESP(1 downto 0);
S_AXI_rvalid(0) <= s00_couplers_to_s00_couplers_RVALID(0);
s00_couplers_to_s00_couplers_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0);
s00_couplers_to_s00_couplers_ARBURST(1 downto 0) <= S_AXI_arburst(1 downto 0);
s00_couplers_to_s00_couplers_ARCACHE(3 downto 0) <= S_AXI_arcache(3 downto 0);
s00_couplers_to_s00_couplers_ARLEN(7 downto 0) <= S_AXI_arlen(7 downto 0);
s00_couplers_to_s00_couplers_ARPROT(2 downto 0) <= S_AXI_arprot(2 downto 0);
s00_couplers_to_s00_couplers_ARREADY(0) <= M_AXI_arready(0);
s00_couplers_to_s00_couplers_ARSIZE(2 downto 0) <= S_AXI_arsize(2 downto 0);
s00_couplers_to_s00_couplers_ARVALID(0) <= S_AXI_arvalid(0);
s00_couplers_to_s00_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0);
s00_couplers_to_s00_couplers_RLAST(0) <= M_AXI_rlast(0);
s00_couplers_to_s00_couplers_RREADY(0) <= S_AXI_rready(0);
s00_couplers_to_s00_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0);
s00_couplers_to_s00_couplers_RVALID(0) <= M_AXI_rvalid(0);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity s00_couplers_imp_1PPRTY9 is
port (
M_ACLK : in STD_LOGIC;
M_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_arready : in STD_LOGIC;
M_AXI_arvalid : out STD_LOGIC;
M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_awready : in STD_LOGIC;
M_AXI_awvalid : out STD_LOGIC;
M_AXI_bready : out STD_LOGIC;
M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_bvalid : in STD_LOGIC;
M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_rready : out STD_LOGIC;
M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_rvalid : in STD_LOGIC;
M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_wready : in STD_LOGIC;
M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_wvalid : out STD_LOGIC;
S_ACLK : in STD_LOGIC;
S_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
S_AXI_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_arready : out STD_LOGIC;
S_AXI_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_arvalid : in STD_LOGIC;
S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
S_AXI_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_awready : out STD_LOGIC;
S_AXI_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_awvalid : in STD_LOGIC;
S_AXI_bid : out STD_LOGIC_VECTOR ( 11 downto 0 );
S_AXI_bready : in STD_LOGIC;
S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_bvalid : out STD_LOGIC;
S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_rid : out STD_LOGIC_VECTOR ( 11 downto 0 );
S_AXI_rlast : out STD_LOGIC;
S_AXI_rready : in STD_LOGIC;
S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_rvalid : out STD_LOGIC;
S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_wid : in STD_LOGIC_VECTOR ( 11 downto 0 );
S_AXI_wlast : in STD_LOGIC;
S_AXI_wready : out STD_LOGIC;
S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_wvalid : in STD_LOGIC
);
end s00_couplers_imp_1PPRTY9;
architecture STRUCTURE of s00_couplers_imp_1PPRTY9 is
component design_SWandHW_standalone_auto_pc_0 is
port (
aclk : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wlast : in STD_LOGIC;
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_awready : in STD_LOGIC;
m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_wvalid : out STD_LOGIC;
m_axi_wready : in STD_LOGIC;
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_bvalid : in STD_LOGIC;
m_axi_bready : out STD_LOGIC;
m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arvalid : out STD_LOGIC;
m_axi_arready : in STD_LOGIC;
m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rvalid : in STD_LOGIC;
m_axi_rready : out STD_LOGIC
);
end component design_SWandHW_standalone_auto_pc_0;
signal S_ACLK_1 : STD_LOGIC;
signal S_ARESETN_1 : STD_LOGIC_VECTOR ( 0 to 0 );
signal auto_pc_to_s00_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal auto_pc_to_s00_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal auto_pc_to_s00_couplers_ARREADY : STD_LOGIC;
signal auto_pc_to_s00_couplers_ARVALID : STD_LOGIC;
signal auto_pc_to_s00_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal auto_pc_to_s00_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal auto_pc_to_s00_couplers_AWREADY : STD_LOGIC;
signal auto_pc_to_s00_couplers_AWVALID : STD_LOGIC;
signal auto_pc_to_s00_couplers_BREADY : STD_LOGIC;
signal auto_pc_to_s00_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal auto_pc_to_s00_couplers_BVALID : STD_LOGIC;
signal auto_pc_to_s00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal auto_pc_to_s00_couplers_RREADY : STD_LOGIC;
signal auto_pc_to_s00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal auto_pc_to_s00_couplers_RVALID : STD_LOGIC;
signal auto_pc_to_s00_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal auto_pc_to_s00_couplers_WREADY : STD_LOGIC;
signal auto_pc_to_s00_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal auto_pc_to_s00_couplers_WVALID : STD_LOGIC;
signal s00_couplers_to_auto_pc_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s00_couplers_to_auto_pc_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s00_couplers_to_auto_pc_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s00_couplers_to_auto_pc_ARID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal s00_couplers_to_auto_pc_ARLEN : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s00_couplers_to_auto_pc_ARLOCK : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s00_couplers_to_auto_pc_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s00_couplers_to_auto_pc_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s00_couplers_to_auto_pc_ARREADY : STD_LOGIC;
signal s00_couplers_to_auto_pc_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s00_couplers_to_auto_pc_ARVALID : STD_LOGIC;
signal s00_couplers_to_auto_pc_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s00_couplers_to_auto_pc_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s00_couplers_to_auto_pc_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s00_couplers_to_auto_pc_AWID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal s00_couplers_to_auto_pc_AWLEN : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s00_couplers_to_auto_pc_AWLOCK : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s00_couplers_to_auto_pc_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s00_couplers_to_auto_pc_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s00_couplers_to_auto_pc_AWREADY : STD_LOGIC;
signal s00_couplers_to_auto_pc_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s00_couplers_to_auto_pc_AWVALID : STD_LOGIC;
signal s00_couplers_to_auto_pc_BID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal s00_couplers_to_auto_pc_BREADY : STD_LOGIC;
signal s00_couplers_to_auto_pc_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s00_couplers_to_auto_pc_BVALID : STD_LOGIC;
signal s00_couplers_to_auto_pc_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s00_couplers_to_auto_pc_RID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal s00_couplers_to_auto_pc_RLAST : STD_LOGIC;
signal s00_couplers_to_auto_pc_RREADY : STD_LOGIC;
signal s00_couplers_to_auto_pc_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s00_couplers_to_auto_pc_RVALID : STD_LOGIC;
signal s00_couplers_to_auto_pc_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s00_couplers_to_auto_pc_WID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal s00_couplers_to_auto_pc_WLAST : STD_LOGIC;
signal s00_couplers_to_auto_pc_WREADY : STD_LOGIC;
signal s00_couplers_to_auto_pc_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s00_couplers_to_auto_pc_WVALID : STD_LOGIC;
begin
M_AXI_araddr(31 downto 0) <= auto_pc_to_s00_couplers_ARADDR(31 downto 0);
M_AXI_arprot(2 downto 0) <= auto_pc_to_s00_couplers_ARPROT(2 downto 0);
M_AXI_arvalid <= auto_pc_to_s00_couplers_ARVALID;
M_AXI_awaddr(31 downto 0) <= auto_pc_to_s00_couplers_AWADDR(31 downto 0);
M_AXI_awprot(2 downto 0) <= auto_pc_to_s00_couplers_AWPROT(2 downto 0);
M_AXI_awvalid <= auto_pc_to_s00_couplers_AWVALID;
M_AXI_bready <= auto_pc_to_s00_couplers_BREADY;
M_AXI_rready <= auto_pc_to_s00_couplers_RREADY;
M_AXI_wdata(31 downto 0) <= auto_pc_to_s00_couplers_WDATA(31 downto 0);
M_AXI_wstrb(3 downto 0) <= auto_pc_to_s00_couplers_WSTRB(3 downto 0);
M_AXI_wvalid <= auto_pc_to_s00_couplers_WVALID;
S_ACLK_1 <= S_ACLK;
S_ARESETN_1(0) <= S_ARESETN(0);
S_AXI_arready <= s00_couplers_to_auto_pc_ARREADY;
S_AXI_awready <= s00_couplers_to_auto_pc_AWREADY;
S_AXI_bid(11 downto 0) <= s00_couplers_to_auto_pc_BID(11 downto 0);
S_AXI_bresp(1 downto 0) <= s00_couplers_to_auto_pc_BRESP(1 downto 0);
S_AXI_bvalid <= s00_couplers_to_auto_pc_BVALID;
S_AXI_rdata(31 downto 0) <= s00_couplers_to_auto_pc_RDATA(31 downto 0);
S_AXI_rid(11 downto 0) <= s00_couplers_to_auto_pc_RID(11 downto 0);
S_AXI_rlast <= s00_couplers_to_auto_pc_RLAST;
S_AXI_rresp(1 downto 0) <= s00_couplers_to_auto_pc_RRESP(1 downto 0);
S_AXI_rvalid <= s00_couplers_to_auto_pc_RVALID;
S_AXI_wready <= s00_couplers_to_auto_pc_WREADY;
auto_pc_to_s00_couplers_ARREADY <= M_AXI_arready;
auto_pc_to_s00_couplers_AWREADY <= M_AXI_awready;
auto_pc_to_s00_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0);
auto_pc_to_s00_couplers_BVALID <= M_AXI_bvalid;
auto_pc_to_s00_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0);
auto_pc_to_s00_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0);
auto_pc_to_s00_couplers_RVALID <= M_AXI_rvalid;
auto_pc_to_s00_couplers_WREADY <= M_AXI_wready;
s00_couplers_to_auto_pc_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0);
s00_couplers_to_auto_pc_ARBURST(1 downto 0) <= S_AXI_arburst(1 downto 0);
s00_couplers_to_auto_pc_ARCACHE(3 downto 0) <= S_AXI_arcache(3 downto 0);
s00_couplers_to_auto_pc_ARID(11 downto 0) <= S_AXI_arid(11 downto 0);
s00_couplers_to_auto_pc_ARLEN(3 downto 0) <= S_AXI_arlen(3 downto 0);
s00_couplers_to_auto_pc_ARLOCK(1 downto 0) <= S_AXI_arlock(1 downto 0);
s00_couplers_to_auto_pc_ARPROT(2 downto 0) <= S_AXI_arprot(2 downto 0);
s00_couplers_to_auto_pc_ARQOS(3 downto 0) <= S_AXI_arqos(3 downto 0);
s00_couplers_to_auto_pc_ARSIZE(2 downto 0) <= S_AXI_arsize(2 downto 0);
s00_couplers_to_auto_pc_ARVALID <= S_AXI_arvalid;
s00_couplers_to_auto_pc_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0);
s00_couplers_to_auto_pc_AWBURST(1 downto 0) <= S_AXI_awburst(1 downto 0);
s00_couplers_to_auto_pc_AWCACHE(3 downto 0) <= S_AXI_awcache(3 downto 0);
s00_couplers_to_auto_pc_AWID(11 downto 0) <= S_AXI_awid(11 downto 0);
s00_couplers_to_auto_pc_AWLEN(3 downto 0) <= S_AXI_awlen(3 downto 0);
s00_couplers_to_auto_pc_AWLOCK(1 downto 0) <= S_AXI_awlock(1 downto 0);
s00_couplers_to_auto_pc_AWPROT(2 downto 0) <= S_AXI_awprot(2 downto 0);
s00_couplers_to_auto_pc_AWQOS(3 downto 0) <= S_AXI_awqos(3 downto 0);
s00_couplers_to_auto_pc_AWSIZE(2 downto 0) <= S_AXI_awsize(2 downto 0);
s00_couplers_to_auto_pc_AWVALID <= S_AXI_awvalid;
s00_couplers_to_auto_pc_BREADY <= S_AXI_bready;
s00_couplers_to_auto_pc_RREADY <= S_AXI_rready;
s00_couplers_to_auto_pc_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0);
s00_couplers_to_auto_pc_WID(11 downto 0) <= S_AXI_wid(11 downto 0);
s00_couplers_to_auto_pc_WLAST <= S_AXI_wlast;
s00_couplers_to_auto_pc_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0);
s00_couplers_to_auto_pc_WVALID <= S_AXI_wvalid;
auto_pc: component design_SWandHW_standalone_auto_pc_0
port map (
aclk => S_ACLK_1,
aresetn => S_ARESETN_1(0),
m_axi_araddr(31 downto 0) => auto_pc_to_s00_couplers_ARADDR(31 downto 0),
m_axi_arprot(2 downto 0) => auto_pc_to_s00_couplers_ARPROT(2 downto 0),
m_axi_arready => auto_pc_to_s00_couplers_ARREADY,
m_axi_arvalid => auto_pc_to_s00_couplers_ARVALID,
m_axi_awaddr(31 downto 0) => auto_pc_to_s00_couplers_AWADDR(31 downto 0),
m_axi_awprot(2 downto 0) => auto_pc_to_s00_couplers_AWPROT(2 downto 0),
m_axi_awready => auto_pc_to_s00_couplers_AWREADY,
m_axi_awvalid => auto_pc_to_s00_couplers_AWVALID,
m_axi_bready => auto_pc_to_s00_couplers_BREADY,
m_axi_bresp(1 downto 0) => auto_pc_to_s00_couplers_BRESP(1 downto 0),
m_axi_bvalid => auto_pc_to_s00_couplers_BVALID,
m_axi_rdata(31 downto 0) => auto_pc_to_s00_couplers_RDATA(31 downto 0),
m_axi_rready => auto_pc_to_s00_couplers_RREADY,
m_axi_rresp(1 downto 0) => auto_pc_to_s00_couplers_RRESP(1 downto 0),
m_axi_rvalid => auto_pc_to_s00_couplers_RVALID,
m_axi_wdata(31 downto 0) => auto_pc_to_s00_couplers_WDATA(31 downto 0),
m_axi_wready => auto_pc_to_s00_couplers_WREADY,
m_axi_wstrb(3 downto 0) => auto_pc_to_s00_couplers_WSTRB(3 downto 0),
m_axi_wvalid => auto_pc_to_s00_couplers_WVALID,
s_axi_araddr(31 downto 0) => s00_couplers_to_auto_pc_ARADDR(31 downto 0),
s_axi_arburst(1 downto 0) => s00_couplers_to_auto_pc_ARBURST(1 downto 0),
s_axi_arcache(3 downto 0) => s00_couplers_to_auto_pc_ARCACHE(3 downto 0),
s_axi_arid(11 downto 0) => s00_couplers_to_auto_pc_ARID(11 downto 0),
s_axi_arlen(3 downto 0) => s00_couplers_to_auto_pc_ARLEN(3 downto 0),
s_axi_arlock(1 downto 0) => s00_couplers_to_auto_pc_ARLOCK(1 downto 0),
s_axi_arprot(2 downto 0) => s00_couplers_to_auto_pc_ARPROT(2 downto 0),
s_axi_arqos(3 downto 0) => s00_couplers_to_auto_pc_ARQOS(3 downto 0),
s_axi_arready => s00_couplers_to_auto_pc_ARREADY,
s_axi_arsize(2 downto 0) => s00_couplers_to_auto_pc_ARSIZE(2 downto 0),
s_axi_arvalid => s00_couplers_to_auto_pc_ARVALID,
s_axi_awaddr(31 downto 0) => s00_couplers_to_auto_pc_AWADDR(31 downto 0),
s_axi_awburst(1 downto 0) => s00_couplers_to_auto_pc_AWBURST(1 downto 0),
s_axi_awcache(3 downto 0) => s00_couplers_to_auto_pc_AWCACHE(3 downto 0),
s_axi_awid(11 downto 0) => s00_couplers_to_auto_pc_AWID(11 downto 0),
s_axi_awlen(3 downto 0) => s00_couplers_to_auto_pc_AWLEN(3 downto 0),
s_axi_awlock(1 downto 0) => s00_couplers_to_auto_pc_AWLOCK(1 downto 0),
s_axi_awprot(2 downto 0) => s00_couplers_to_auto_pc_AWPROT(2 downto 0),
s_axi_awqos(3 downto 0) => s00_couplers_to_auto_pc_AWQOS(3 downto 0),
s_axi_awready => s00_couplers_to_auto_pc_AWREADY,
s_axi_awsize(2 downto 0) => s00_couplers_to_auto_pc_AWSIZE(2 downto 0),
s_axi_awvalid => s00_couplers_to_auto_pc_AWVALID,
s_axi_bid(11 downto 0) => s00_couplers_to_auto_pc_BID(11 downto 0),
s_axi_bready => s00_couplers_to_auto_pc_BREADY,
s_axi_bresp(1 downto 0) => s00_couplers_to_auto_pc_BRESP(1 downto 0),
s_axi_bvalid => s00_couplers_to_auto_pc_BVALID,
s_axi_rdata(31 downto 0) => s00_couplers_to_auto_pc_RDATA(31 downto 0),
s_axi_rid(11 downto 0) => s00_couplers_to_auto_pc_RID(11 downto 0),
s_axi_rlast => s00_couplers_to_auto_pc_RLAST,
s_axi_rready => s00_couplers_to_auto_pc_RREADY,
s_axi_rresp(1 downto 0) => s00_couplers_to_auto_pc_RRESP(1 downto 0),
s_axi_rvalid => s00_couplers_to_auto_pc_RVALID,
s_axi_wdata(31 downto 0) => s00_couplers_to_auto_pc_WDATA(31 downto 0),
s_axi_wid(11 downto 0) => s00_couplers_to_auto_pc_WID(11 downto 0),
s_axi_wlast => s00_couplers_to_auto_pc_WLAST,
s_axi_wready => s00_couplers_to_auto_pc_WREADY,
s_axi_wstrb(3 downto 0) => s00_couplers_to_auto_pc_WSTRB(3 downto 0),
s_axi_wvalid => s00_couplers_to_auto_pc_WVALID
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity s01_couplers_imp_1KHG2CU is
port (
M_ACLK : in STD_LOGIC;
M_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
M_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_awready : in STD_LOGIC;
M_AXI_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_awvalid : out STD_LOGIC;
M_AXI_bready : out STD_LOGIC;
M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_bvalid : in STD_LOGIC;
M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_wlast : out STD_LOGIC;
M_AXI_wready : in STD_LOGIC;
M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_wvalid : out STD_LOGIC;
S_ACLK : in STD_LOGIC;
S_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_awready : out STD_LOGIC;
S_AXI_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_awvalid : in STD_LOGIC;
S_AXI_bready : in STD_LOGIC;
S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_bvalid : out STD_LOGIC;
S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_wlast : in STD_LOGIC;
S_AXI_wready : out STD_LOGIC;
S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_wvalid : in STD_LOGIC
);
end s01_couplers_imp_1KHG2CU;
architecture STRUCTURE of s01_couplers_imp_1KHG2CU is
signal s01_couplers_to_s01_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s01_couplers_to_s01_couplers_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s01_couplers_to_s01_couplers_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s01_couplers_to_s01_couplers_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal s01_couplers_to_s01_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s01_couplers_to_s01_couplers_AWREADY : STD_LOGIC;
signal s01_couplers_to_s01_couplers_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s01_couplers_to_s01_couplers_AWVALID : STD_LOGIC;
signal s01_couplers_to_s01_couplers_BREADY : STD_LOGIC;
signal s01_couplers_to_s01_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s01_couplers_to_s01_couplers_BVALID : STD_LOGIC;
signal s01_couplers_to_s01_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s01_couplers_to_s01_couplers_WLAST : STD_LOGIC;
signal s01_couplers_to_s01_couplers_WREADY : STD_LOGIC;
signal s01_couplers_to_s01_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s01_couplers_to_s01_couplers_WVALID : STD_LOGIC;
begin
M_AXI_awaddr(31 downto 0) <= s01_couplers_to_s01_couplers_AWADDR(31 downto 0);
M_AXI_awburst(1 downto 0) <= s01_couplers_to_s01_couplers_AWBURST(1 downto 0);
M_AXI_awcache(3 downto 0) <= s01_couplers_to_s01_couplers_AWCACHE(3 downto 0);
M_AXI_awlen(7 downto 0) <= s01_couplers_to_s01_couplers_AWLEN(7 downto 0);
M_AXI_awprot(2 downto 0) <= s01_couplers_to_s01_couplers_AWPROT(2 downto 0);
M_AXI_awsize(2 downto 0) <= s01_couplers_to_s01_couplers_AWSIZE(2 downto 0);
M_AXI_awvalid <= s01_couplers_to_s01_couplers_AWVALID;
M_AXI_bready <= s01_couplers_to_s01_couplers_BREADY;
M_AXI_wdata(31 downto 0) <= s01_couplers_to_s01_couplers_WDATA(31 downto 0);
M_AXI_wlast <= s01_couplers_to_s01_couplers_WLAST;
M_AXI_wstrb(3 downto 0) <= s01_couplers_to_s01_couplers_WSTRB(3 downto 0);
M_AXI_wvalid <= s01_couplers_to_s01_couplers_WVALID;
S_AXI_awready <= s01_couplers_to_s01_couplers_AWREADY;
S_AXI_bresp(1 downto 0) <= s01_couplers_to_s01_couplers_BRESP(1 downto 0);
S_AXI_bvalid <= s01_couplers_to_s01_couplers_BVALID;
S_AXI_wready <= s01_couplers_to_s01_couplers_WREADY;
s01_couplers_to_s01_couplers_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0);
s01_couplers_to_s01_couplers_AWBURST(1 downto 0) <= S_AXI_awburst(1 downto 0);
s01_couplers_to_s01_couplers_AWCACHE(3 downto 0) <= S_AXI_awcache(3 downto 0);
s01_couplers_to_s01_couplers_AWLEN(7 downto 0) <= S_AXI_awlen(7 downto 0);
s01_couplers_to_s01_couplers_AWPROT(2 downto 0) <= S_AXI_awprot(2 downto 0);
s01_couplers_to_s01_couplers_AWREADY <= M_AXI_awready;
s01_couplers_to_s01_couplers_AWSIZE(2 downto 0) <= S_AXI_awsize(2 downto 0);
s01_couplers_to_s01_couplers_AWVALID <= S_AXI_awvalid;
s01_couplers_to_s01_couplers_BREADY <= S_AXI_bready;
s01_couplers_to_s01_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0);
s01_couplers_to_s01_couplers_BVALID <= M_AXI_bvalid;
s01_couplers_to_s01_couplers_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0);
s01_couplers_to_s01_couplers_WLAST <= S_AXI_wlast;
s01_couplers_to_s01_couplers_WREADY <= M_AXI_wready;
s01_couplers_to_s01_couplers_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0);
s01_couplers_to_s01_couplers_WVALID <= S_AXI_wvalid;
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity s02_couplers_imp_HTS99Z is
port (
M_ACLK : in STD_LOGIC;
M_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
M_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_arready : in STD_LOGIC;
M_AXI_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_arvalid : out STD_LOGIC;
M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_rlast : in STD_LOGIC;
M_AXI_rready : out STD_LOGIC;
M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_rvalid : in STD_LOGIC;
S_ACLK : in STD_LOGIC;
S_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_arready : out STD_LOGIC;
S_AXI_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_arvalid : in STD_LOGIC;
S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_rlast : out STD_LOGIC;
S_AXI_rready : in STD_LOGIC;
S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_rvalid : out STD_LOGIC
);
end s02_couplers_imp_HTS99Z;
architecture STRUCTURE of s02_couplers_imp_HTS99Z is
signal s02_couplers_to_s02_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s02_couplers_to_s02_couplers_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s02_couplers_to_s02_couplers_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s02_couplers_to_s02_couplers_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal s02_couplers_to_s02_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s02_couplers_to_s02_couplers_ARREADY : STD_LOGIC;
signal s02_couplers_to_s02_couplers_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s02_couplers_to_s02_couplers_ARVALID : STD_LOGIC;
signal s02_couplers_to_s02_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s02_couplers_to_s02_couplers_RLAST : STD_LOGIC;
signal s02_couplers_to_s02_couplers_RREADY : STD_LOGIC;
signal s02_couplers_to_s02_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s02_couplers_to_s02_couplers_RVALID : STD_LOGIC;
begin
M_AXI_araddr(31 downto 0) <= s02_couplers_to_s02_couplers_ARADDR(31 downto 0);
M_AXI_arburst(1 downto 0) <= s02_couplers_to_s02_couplers_ARBURST(1 downto 0);
M_AXI_arcache(3 downto 0) <= s02_couplers_to_s02_couplers_ARCACHE(3 downto 0);
M_AXI_arlen(7 downto 0) <= s02_couplers_to_s02_couplers_ARLEN(7 downto 0);
M_AXI_arprot(2 downto 0) <= s02_couplers_to_s02_couplers_ARPROT(2 downto 0);
M_AXI_arsize(2 downto 0) <= s02_couplers_to_s02_couplers_ARSIZE(2 downto 0);
M_AXI_arvalid <= s02_couplers_to_s02_couplers_ARVALID;
M_AXI_rready <= s02_couplers_to_s02_couplers_RREADY;
S_AXI_arready <= s02_couplers_to_s02_couplers_ARREADY;
S_AXI_rdata(31 downto 0) <= s02_couplers_to_s02_couplers_RDATA(31 downto 0);
S_AXI_rlast <= s02_couplers_to_s02_couplers_RLAST;
S_AXI_rresp(1 downto 0) <= s02_couplers_to_s02_couplers_RRESP(1 downto 0);
S_AXI_rvalid <= s02_couplers_to_s02_couplers_RVALID;
s02_couplers_to_s02_couplers_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0);
s02_couplers_to_s02_couplers_ARBURST(1 downto 0) <= S_AXI_arburst(1 downto 0);
s02_couplers_to_s02_couplers_ARCACHE(3 downto 0) <= S_AXI_arcache(3 downto 0);
s02_couplers_to_s02_couplers_ARLEN(7 downto 0) <= S_AXI_arlen(7 downto 0);
s02_couplers_to_s02_couplers_ARPROT(2 downto 0) <= S_AXI_arprot(2 downto 0);
s02_couplers_to_s02_couplers_ARREADY <= M_AXI_arready;
s02_couplers_to_s02_couplers_ARSIZE(2 downto 0) <= S_AXI_arsize(2 downto 0);
s02_couplers_to_s02_couplers_ARVALID <= S_AXI_arvalid;
s02_couplers_to_s02_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0);
s02_couplers_to_s02_couplers_RLAST <= M_AXI_rlast;
s02_couplers_to_s02_couplers_RREADY <= S_AXI_rready;
s02_couplers_to_s02_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0);
s02_couplers_to_s02_couplers_RVALID <= M_AXI_rvalid;
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity s03_couplers_imp_13X1ZY7 is
port (
M_ACLK : in STD_LOGIC;
M_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
M_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_awready : in STD_LOGIC;
M_AXI_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_awvalid : out STD_LOGIC;
M_AXI_bready : out STD_LOGIC;
M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_bvalid : in STD_LOGIC;
M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_wlast : out STD_LOGIC;
M_AXI_wready : in STD_LOGIC;
M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_wvalid : out STD_LOGIC;
S_ACLK : in STD_LOGIC;
S_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_awready : out STD_LOGIC;
S_AXI_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_awvalid : in STD_LOGIC;
S_AXI_bready : in STD_LOGIC;
S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_bvalid : out STD_LOGIC;
S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_wlast : in STD_LOGIC;
S_AXI_wready : out STD_LOGIC;
S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_wvalid : in STD_LOGIC
);
end s03_couplers_imp_13X1ZY7;
architecture STRUCTURE of s03_couplers_imp_13X1ZY7 is
signal s03_couplers_to_s03_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s03_couplers_to_s03_couplers_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s03_couplers_to_s03_couplers_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s03_couplers_to_s03_couplers_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal s03_couplers_to_s03_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s03_couplers_to_s03_couplers_AWREADY : STD_LOGIC;
signal s03_couplers_to_s03_couplers_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s03_couplers_to_s03_couplers_AWVALID : STD_LOGIC;
signal s03_couplers_to_s03_couplers_BREADY : STD_LOGIC;
signal s03_couplers_to_s03_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s03_couplers_to_s03_couplers_BVALID : STD_LOGIC;
signal s03_couplers_to_s03_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s03_couplers_to_s03_couplers_WLAST : STD_LOGIC;
signal s03_couplers_to_s03_couplers_WREADY : STD_LOGIC;
signal s03_couplers_to_s03_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s03_couplers_to_s03_couplers_WVALID : STD_LOGIC;
begin
M_AXI_awaddr(31 downto 0) <= s03_couplers_to_s03_couplers_AWADDR(31 downto 0);
M_AXI_awburst(1 downto 0) <= s03_couplers_to_s03_couplers_AWBURST(1 downto 0);
M_AXI_awcache(3 downto 0) <= s03_couplers_to_s03_couplers_AWCACHE(3 downto 0);
M_AXI_awlen(7 downto 0) <= s03_couplers_to_s03_couplers_AWLEN(7 downto 0);
M_AXI_awprot(2 downto 0) <= s03_couplers_to_s03_couplers_AWPROT(2 downto 0);
M_AXI_awsize(2 downto 0) <= s03_couplers_to_s03_couplers_AWSIZE(2 downto 0);
M_AXI_awvalid <= s03_couplers_to_s03_couplers_AWVALID;
M_AXI_bready <= s03_couplers_to_s03_couplers_BREADY;
M_AXI_wdata(31 downto 0) <= s03_couplers_to_s03_couplers_WDATA(31 downto 0);
M_AXI_wlast <= s03_couplers_to_s03_couplers_WLAST;
M_AXI_wstrb(3 downto 0) <= s03_couplers_to_s03_couplers_WSTRB(3 downto 0);
M_AXI_wvalid <= s03_couplers_to_s03_couplers_WVALID;
S_AXI_awready <= s03_couplers_to_s03_couplers_AWREADY;
S_AXI_bresp(1 downto 0) <= s03_couplers_to_s03_couplers_BRESP(1 downto 0);
S_AXI_bvalid <= s03_couplers_to_s03_couplers_BVALID;
S_AXI_wready <= s03_couplers_to_s03_couplers_WREADY;
s03_couplers_to_s03_couplers_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0);
s03_couplers_to_s03_couplers_AWBURST(1 downto 0) <= S_AXI_awburst(1 downto 0);
s03_couplers_to_s03_couplers_AWCACHE(3 downto 0) <= S_AXI_awcache(3 downto 0);
s03_couplers_to_s03_couplers_AWLEN(7 downto 0) <= S_AXI_awlen(7 downto 0);
s03_couplers_to_s03_couplers_AWPROT(2 downto 0) <= S_AXI_awprot(2 downto 0);
s03_couplers_to_s03_couplers_AWREADY <= M_AXI_awready;
s03_couplers_to_s03_couplers_AWSIZE(2 downto 0) <= S_AXI_awsize(2 downto 0);
s03_couplers_to_s03_couplers_AWVALID <= S_AXI_awvalid;
s03_couplers_to_s03_couplers_BREADY <= S_AXI_bready;
s03_couplers_to_s03_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0);
s03_couplers_to_s03_couplers_BVALID <= M_AXI_bvalid;
s03_couplers_to_s03_couplers_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0);
s03_couplers_to_s03_couplers_WLAST <= S_AXI_wlast;
s03_couplers_to_s03_couplers_WREADY <= M_AXI_wready;
s03_couplers_to_s03_couplers_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0);
s03_couplers_to_s03_couplers_WVALID <= S_AXI_wvalid;
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity s04_couplers_imp_130BMV8 is
port (
M_ACLK : in STD_LOGIC;
M_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
M_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_arready : in STD_LOGIC;
M_AXI_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_arvalid : out STD_LOGIC;
M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_rlast : in STD_LOGIC;
M_AXI_rready : out STD_LOGIC;
M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_rvalid : in STD_LOGIC;
S_ACLK : in STD_LOGIC;
S_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_arready : out STD_LOGIC;
S_AXI_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_arvalid : in STD_LOGIC;
S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_rlast : out STD_LOGIC;
S_AXI_rready : in STD_LOGIC;
S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_rvalid : out STD_LOGIC
);
end s04_couplers_imp_130BMV8;
architecture STRUCTURE of s04_couplers_imp_130BMV8 is
signal s04_couplers_to_s04_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s04_couplers_to_s04_couplers_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s04_couplers_to_s04_couplers_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s04_couplers_to_s04_couplers_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal s04_couplers_to_s04_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s04_couplers_to_s04_couplers_ARREADY : STD_LOGIC;
signal s04_couplers_to_s04_couplers_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s04_couplers_to_s04_couplers_ARVALID : STD_LOGIC;
signal s04_couplers_to_s04_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s04_couplers_to_s04_couplers_RLAST : STD_LOGIC;
signal s04_couplers_to_s04_couplers_RREADY : STD_LOGIC;
signal s04_couplers_to_s04_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s04_couplers_to_s04_couplers_RVALID : STD_LOGIC;
begin
M_AXI_araddr(31 downto 0) <= s04_couplers_to_s04_couplers_ARADDR(31 downto 0);
M_AXI_arburst(1 downto 0) <= s04_couplers_to_s04_couplers_ARBURST(1 downto 0);
M_AXI_arcache(3 downto 0) <= s04_couplers_to_s04_couplers_ARCACHE(3 downto 0);
M_AXI_arlen(7 downto 0) <= s04_couplers_to_s04_couplers_ARLEN(7 downto 0);
M_AXI_arprot(2 downto 0) <= s04_couplers_to_s04_couplers_ARPROT(2 downto 0);
M_AXI_arsize(2 downto 0) <= s04_couplers_to_s04_couplers_ARSIZE(2 downto 0);
M_AXI_arvalid <= s04_couplers_to_s04_couplers_ARVALID;
M_AXI_rready <= s04_couplers_to_s04_couplers_RREADY;
S_AXI_arready <= s04_couplers_to_s04_couplers_ARREADY;
S_AXI_rdata(31 downto 0) <= s04_couplers_to_s04_couplers_RDATA(31 downto 0);
S_AXI_rlast <= s04_couplers_to_s04_couplers_RLAST;
S_AXI_rresp(1 downto 0) <= s04_couplers_to_s04_couplers_RRESP(1 downto 0);
S_AXI_rvalid <= s04_couplers_to_s04_couplers_RVALID;
s04_couplers_to_s04_couplers_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0);
s04_couplers_to_s04_couplers_ARBURST(1 downto 0) <= S_AXI_arburst(1 downto 0);
s04_couplers_to_s04_couplers_ARCACHE(3 downto 0) <= S_AXI_arcache(3 downto 0);
s04_couplers_to_s04_couplers_ARLEN(7 downto 0) <= S_AXI_arlen(7 downto 0);
s04_couplers_to_s04_couplers_ARPROT(2 downto 0) <= S_AXI_arprot(2 downto 0);
s04_couplers_to_s04_couplers_ARREADY <= M_AXI_arready;
s04_couplers_to_s04_couplers_ARSIZE(2 downto 0) <= S_AXI_arsize(2 downto 0);
s04_couplers_to_s04_couplers_ARVALID <= S_AXI_arvalid;
s04_couplers_to_s04_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0);
s04_couplers_to_s04_couplers_RLAST <= M_AXI_rlast;
s04_couplers_to_s04_couplers_RREADY <= S_AXI_rready;
s04_couplers_to_s04_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0);
s04_couplers_to_s04_couplers_RVALID <= M_AXI_rvalid;
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity design_SWandHW_standalone_axi_mem_intercon_1 is
port (
ACLK : in STD_LOGIC;
ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M00_ACLK : in STD_LOGIC;
M00_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M00_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M00_AXI_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
M00_AXI_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
M00_AXI_arid : out STD_LOGIC_VECTOR ( 2 downto 0 );
M00_AXI_arlen : out STD_LOGIC_VECTOR ( 3 downto 0 );
M00_AXI_arlock : out STD_LOGIC_VECTOR ( 1 downto 0 );
M00_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
M00_AXI_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
M00_AXI_arready : in STD_LOGIC;
M00_AXI_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
M00_AXI_arvalid : out STD_LOGIC;
M00_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M00_AXI_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
M00_AXI_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
M00_AXI_awid : out STD_LOGIC_VECTOR ( 2 downto 0 );
M00_AXI_awlen : out STD_LOGIC_VECTOR ( 3 downto 0 );
M00_AXI_awlock : out STD_LOGIC_VECTOR ( 1 downto 0 );
M00_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
M00_AXI_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
M00_AXI_awready : in STD_LOGIC;
M00_AXI_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
M00_AXI_awvalid : out STD_LOGIC;
M00_AXI_bid : in STD_LOGIC_VECTOR ( 5 downto 0 );
M00_AXI_bready : out STD_LOGIC;
M00_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M00_AXI_bvalid : in STD_LOGIC;
M00_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
M00_AXI_rid : in STD_LOGIC_VECTOR ( 5 downto 0 );
M00_AXI_rlast : in STD_LOGIC;
M00_AXI_rready : out STD_LOGIC;
M00_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M00_AXI_rvalid : in STD_LOGIC;
M00_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
M00_AXI_wid : out STD_LOGIC_VECTOR ( 2 downto 0 );
M00_AXI_wlast : out STD_LOGIC;
M00_AXI_wready : in STD_LOGIC;
M00_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
M00_AXI_wvalid : out STD_LOGIC;
S00_ACLK : in STD_LOGIC;
S00_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
S00_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S00_AXI_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S00_AXI_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
S00_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S00_AXI_arready : out STD_LOGIC_VECTOR ( 0 to 0 );
S00_AXI_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S00_AXI_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
S00_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
S00_AXI_rlast : out STD_LOGIC_VECTOR ( 0 to 0 );
S00_AXI_rready : in STD_LOGIC_VECTOR ( 0 to 0 );
S00_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S00_AXI_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
S01_ACLK : in STD_LOGIC;
S01_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
S01_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S01_AXI_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S01_AXI_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S01_AXI_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
S01_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S01_AXI_awready : out STD_LOGIC;
S01_AXI_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S01_AXI_awvalid : in STD_LOGIC;
S01_AXI_bready : in STD_LOGIC;
S01_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S01_AXI_bvalid : out STD_LOGIC;
S01_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
S01_AXI_wlast : in STD_LOGIC;
S01_AXI_wready : out STD_LOGIC;
S01_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
S01_AXI_wvalid : in STD_LOGIC;
S02_ACLK : in STD_LOGIC;
S02_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
S02_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S02_AXI_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S02_AXI_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S02_AXI_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
S02_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S02_AXI_arready : out STD_LOGIC;
S02_AXI_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S02_AXI_arvalid : in STD_LOGIC;
S02_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
S02_AXI_rlast : out STD_LOGIC;
S02_AXI_rready : in STD_LOGIC;
S02_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S02_AXI_rvalid : out STD_LOGIC;
S03_ACLK : in STD_LOGIC;
S03_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
S03_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S03_AXI_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S03_AXI_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S03_AXI_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
S03_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S03_AXI_awready : out STD_LOGIC;
S03_AXI_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S03_AXI_awvalid : in STD_LOGIC;
S03_AXI_bready : in STD_LOGIC;
S03_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S03_AXI_bvalid : out STD_LOGIC;
S03_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
S03_AXI_wlast : in STD_LOGIC;
S03_AXI_wready : out STD_LOGIC;
S03_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
S03_AXI_wvalid : in STD_LOGIC;
S04_ACLK : in STD_LOGIC;
S04_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
S04_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S04_AXI_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S04_AXI_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S04_AXI_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
S04_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S04_AXI_arready : out STD_LOGIC;
S04_AXI_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S04_AXI_arvalid : in STD_LOGIC;
S04_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
S04_AXI_rlast : out STD_LOGIC;
S04_AXI_rready : in STD_LOGIC;
S04_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S04_AXI_rvalid : out STD_LOGIC
);
end design_SWandHW_standalone_axi_mem_intercon_1;
architecture STRUCTURE of design_SWandHW_standalone_axi_mem_intercon_1 is
component design_SWandHW_standalone_xbar_2 is
port (
aclk : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 14 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 159 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 39 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 14 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 9 downto 0 );
s_axi_awlock : in STD_LOGIC_VECTOR ( 4 downto 0 );
s_axi_awcache : in STD_LOGIC_VECTOR ( 19 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 14 downto 0 );
s_axi_awqos : in STD_LOGIC_VECTOR ( 19 downto 0 );
s_axi_awvalid : in STD_LOGIC_VECTOR ( 4 downto 0 );
s_axi_awready : out STD_LOGIC_VECTOR ( 4 downto 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 159 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 19 downto 0 );
s_axi_wlast : in STD_LOGIC_VECTOR ( 4 downto 0 );
s_axi_wvalid : in STD_LOGIC_VECTOR ( 4 downto 0 );
s_axi_wready : out STD_LOGIC_VECTOR ( 4 downto 0 );
s_axi_bid : out STD_LOGIC_VECTOR ( 14 downto 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 9 downto 0 );
s_axi_bvalid : out STD_LOGIC_VECTOR ( 4 downto 0 );
s_axi_bready : in STD_LOGIC_VECTOR ( 4 downto 0 );
s_axi_arid : in STD_LOGIC_VECTOR ( 14 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 159 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 39 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 14 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 9 downto 0 );
s_axi_arlock : in STD_LOGIC_VECTOR ( 4 downto 0 );
s_axi_arcache : in STD_LOGIC_VECTOR ( 19 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 14 downto 0 );
s_axi_arqos : in STD_LOGIC_VECTOR ( 19 downto 0 );
s_axi_arvalid : in STD_LOGIC_VECTOR ( 4 downto 0 );
s_axi_arready : out STD_LOGIC_VECTOR ( 4 downto 0 );
s_axi_rid : out STD_LOGIC_VECTOR ( 14 downto 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 159 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 9 downto 0 );
s_axi_rlast : out STD_LOGIC_VECTOR ( 4 downto 0 );
s_axi_rvalid : out STD_LOGIC_VECTOR ( 4 downto 0 );
s_axi_rready : in STD_LOGIC_VECTOR ( 4 downto 0 );
m_axi_awid : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awready : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_wlast : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_wvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_wready : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_bid : in STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_bvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_bready : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arid : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arready : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_rid : in STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rlast : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_rvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_rready : out STD_LOGIC_VECTOR ( 0 to 0 )
);
end component design_SWandHW_standalone_xbar_2;
signal M00_ACLK_1 : STD_LOGIC;
signal M00_ARESETN_1 : STD_LOGIC_VECTOR ( 0 to 0 );
signal S00_ACLK_1 : STD_LOGIC;
signal S00_ARESETN_1 : STD_LOGIC_VECTOR ( 0 to 0 );
signal S01_ACLK_1 : STD_LOGIC;
signal S01_ARESETN_1 : STD_LOGIC_VECTOR ( 0 to 0 );
signal S02_ACLK_1 : STD_LOGIC;
signal S02_ARESETN_1 : STD_LOGIC_VECTOR ( 0 to 0 );
signal S03_ACLK_1 : STD_LOGIC;
signal S03_ARESETN_1 : STD_LOGIC_VECTOR ( 0 to 0 );
signal S04_ACLK_1 : STD_LOGIC;
signal S04_ARESETN_1 : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_ACLK_net : STD_LOGIC;
signal axi_mem_intercon_ARESETN_net : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s00_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_mem_intercon_to_s00_couplers_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_mem_intercon_to_s00_couplers_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_mem_intercon_to_s00_couplers_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal axi_mem_intercon_to_s00_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_mem_intercon_to_s00_couplers_ARREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s00_couplers_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_mem_intercon_to_s00_couplers_ARVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_mem_intercon_to_s00_couplers_RLAST : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s00_couplers_RREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_mem_intercon_to_s00_couplers_RVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_mem_intercon_to_s01_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_mem_intercon_to_s01_couplers_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_mem_intercon_to_s01_couplers_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_mem_intercon_to_s01_couplers_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal axi_mem_intercon_to_s01_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_mem_intercon_to_s01_couplers_AWREADY : STD_LOGIC;
signal axi_mem_intercon_to_s01_couplers_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_mem_intercon_to_s01_couplers_AWVALID : STD_LOGIC;
signal axi_mem_intercon_to_s01_couplers_BREADY : STD_LOGIC;
signal axi_mem_intercon_to_s01_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_mem_intercon_to_s01_couplers_BVALID : STD_LOGIC;
signal axi_mem_intercon_to_s01_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_mem_intercon_to_s01_couplers_WLAST : STD_LOGIC;
signal axi_mem_intercon_to_s01_couplers_WREADY : STD_LOGIC;
signal axi_mem_intercon_to_s01_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_mem_intercon_to_s01_couplers_WVALID : STD_LOGIC;
signal axi_mem_intercon_to_s02_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_mem_intercon_to_s02_couplers_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_mem_intercon_to_s02_couplers_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_mem_intercon_to_s02_couplers_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal axi_mem_intercon_to_s02_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_mem_intercon_to_s02_couplers_ARREADY : STD_LOGIC;
signal axi_mem_intercon_to_s02_couplers_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_mem_intercon_to_s02_couplers_ARVALID : STD_LOGIC;
signal axi_mem_intercon_to_s02_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_mem_intercon_to_s02_couplers_RLAST : STD_LOGIC;
signal axi_mem_intercon_to_s02_couplers_RREADY : STD_LOGIC;
signal axi_mem_intercon_to_s02_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_mem_intercon_to_s02_couplers_RVALID : STD_LOGIC;
signal axi_mem_intercon_to_s03_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_mem_intercon_to_s03_couplers_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_mem_intercon_to_s03_couplers_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_mem_intercon_to_s03_couplers_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal axi_mem_intercon_to_s03_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_mem_intercon_to_s03_couplers_AWREADY : STD_LOGIC;
signal axi_mem_intercon_to_s03_couplers_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_mem_intercon_to_s03_couplers_AWVALID : STD_LOGIC;
signal axi_mem_intercon_to_s03_couplers_BREADY : STD_LOGIC;
signal axi_mem_intercon_to_s03_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_mem_intercon_to_s03_couplers_BVALID : STD_LOGIC;
signal axi_mem_intercon_to_s03_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_mem_intercon_to_s03_couplers_WLAST : STD_LOGIC;
signal axi_mem_intercon_to_s03_couplers_WREADY : STD_LOGIC;
signal axi_mem_intercon_to_s03_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_mem_intercon_to_s03_couplers_WVALID : STD_LOGIC;
signal axi_mem_intercon_to_s04_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_mem_intercon_to_s04_couplers_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_mem_intercon_to_s04_couplers_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_mem_intercon_to_s04_couplers_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal axi_mem_intercon_to_s04_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_mem_intercon_to_s04_couplers_ARREADY : STD_LOGIC;
signal axi_mem_intercon_to_s04_couplers_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_mem_intercon_to_s04_couplers_ARVALID : STD_LOGIC;
signal axi_mem_intercon_to_s04_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_mem_intercon_to_s04_couplers_RLAST : STD_LOGIC;
signal axi_mem_intercon_to_s04_couplers_RREADY : STD_LOGIC;
signal axi_mem_intercon_to_s04_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_mem_intercon_to_s04_couplers_RVALID : STD_LOGIC;
signal m00_couplers_to_axi_mem_intercon_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_axi_mem_intercon_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m00_couplers_to_axi_mem_intercon_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m00_couplers_to_axi_mem_intercon_ARID : STD_LOGIC_VECTOR ( 2 downto 0 );
signal m00_couplers_to_axi_mem_intercon_ARLEN : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m00_couplers_to_axi_mem_intercon_ARLOCK : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m00_couplers_to_axi_mem_intercon_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal m00_couplers_to_axi_mem_intercon_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m00_couplers_to_axi_mem_intercon_ARREADY : STD_LOGIC;
signal m00_couplers_to_axi_mem_intercon_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal m00_couplers_to_axi_mem_intercon_ARVALID : STD_LOGIC;
signal m00_couplers_to_axi_mem_intercon_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_axi_mem_intercon_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m00_couplers_to_axi_mem_intercon_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m00_couplers_to_axi_mem_intercon_AWID : STD_LOGIC_VECTOR ( 2 downto 0 );
signal m00_couplers_to_axi_mem_intercon_AWLEN : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m00_couplers_to_axi_mem_intercon_AWLOCK : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m00_couplers_to_axi_mem_intercon_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal m00_couplers_to_axi_mem_intercon_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m00_couplers_to_axi_mem_intercon_AWREADY : STD_LOGIC;
signal m00_couplers_to_axi_mem_intercon_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal m00_couplers_to_axi_mem_intercon_AWVALID : STD_LOGIC;
signal m00_couplers_to_axi_mem_intercon_BID : STD_LOGIC_VECTOR ( 5 downto 0 );
signal m00_couplers_to_axi_mem_intercon_BREADY : STD_LOGIC;
signal m00_couplers_to_axi_mem_intercon_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m00_couplers_to_axi_mem_intercon_BVALID : STD_LOGIC;
signal m00_couplers_to_axi_mem_intercon_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_axi_mem_intercon_RID : STD_LOGIC_VECTOR ( 5 downto 0 );
signal m00_couplers_to_axi_mem_intercon_RLAST : STD_LOGIC;
signal m00_couplers_to_axi_mem_intercon_RREADY : STD_LOGIC;
signal m00_couplers_to_axi_mem_intercon_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m00_couplers_to_axi_mem_intercon_RVALID : STD_LOGIC;
signal m00_couplers_to_axi_mem_intercon_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_axi_mem_intercon_WID : STD_LOGIC_VECTOR ( 2 downto 0 );
signal m00_couplers_to_axi_mem_intercon_WLAST : STD_LOGIC;
signal m00_couplers_to_axi_mem_intercon_WREADY : STD_LOGIC;
signal m00_couplers_to_axi_mem_intercon_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m00_couplers_to_axi_mem_intercon_WVALID : STD_LOGIC;
signal s00_couplers_to_xbar_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s00_couplers_to_xbar_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s00_couplers_to_xbar_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s00_couplers_to_xbar_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal s00_couplers_to_xbar_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s00_couplers_to_xbar_ARREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s00_couplers_to_xbar_ARVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s00_couplers_to_xbar_RLAST : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_RREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s00_couplers_to_xbar_RVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s01_couplers_to_xbar_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s01_couplers_to_xbar_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s01_couplers_to_xbar_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s01_couplers_to_xbar_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal s01_couplers_to_xbar_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s01_couplers_to_xbar_AWREADY : STD_LOGIC_VECTOR ( 1 to 1 );
signal s01_couplers_to_xbar_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s01_couplers_to_xbar_AWVALID : STD_LOGIC;
signal s01_couplers_to_xbar_BREADY : STD_LOGIC;
signal s01_couplers_to_xbar_BRESP : STD_LOGIC_VECTOR ( 3 downto 2 );
signal s01_couplers_to_xbar_BVALID : STD_LOGIC_VECTOR ( 1 to 1 );
signal s01_couplers_to_xbar_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s01_couplers_to_xbar_WLAST : STD_LOGIC;
signal s01_couplers_to_xbar_WREADY : STD_LOGIC_VECTOR ( 1 to 1 );
signal s01_couplers_to_xbar_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s01_couplers_to_xbar_WVALID : STD_LOGIC;
signal s02_couplers_to_xbar_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s02_couplers_to_xbar_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s02_couplers_to_xbar_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s02_couplers_to_xbar_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal s02_couplers_to_xbar_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s02_couplers_to_xbar_ARREADY : STD_LOGIC_VECTOR ( 2 to 2 );
signal s02_couplers_to_xbar_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s02_couplers_to_xbar_ARVALID : STD_LOGIC;
signal s02_couplers_to_xbar_RDATA : STD_LOGIC_VECTOR ( 95 downto 64 );
signal s02_couplers_to_xbar_RLAST : STD_LOGIC_VECTOR ( 2 to 2 );
signal s02_couplers_to_xbar_RREADY : STD_LOGIC;
signal s02_couplers_to_xbar_RRESP : STD_LOGIC_VECTOR ( 5 downto 4 );
signal s02_couplers_to_xbar_RVALID : STD_LOGIC_VECTOR ( 2 to 2 );
signal s03_couplers_to_xbar_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s03_couplers_to_xbar_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s03_couplers_to_xbar_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s03_couplers_to_xbar_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal s03_couplers_to_xbar_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s03_couplers_to_xbar_AWREADY : STD_LOGIC_VECTOR ( 3 to 3 );
signal s03_couplers_to_xbar_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s03_couplers_to_xbar_AWVALID : STD_LOGIC;
signal s03_couplers_to_xbar_BREADY : STD_LOGIC;
signal s03_couplers_to_xbar_BRESP : STD_LOGIC_VECTOR ( 7 downto 6 );
signal s03_couplers_to_xbar_BVALID : STD_LOGIC_VECTOR ( 3 to 3 );
signal s03_couplers_to_xbar_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s03_couplers_to_xbar_WLAST : STD_LOGIC;
signal s03_couplers_to_xbar_WREADY : STD_LOGIC_VECTOR ( 3 to 3 );
signal s03_couplers_to_xbar_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s03_couplers_to_xbar_WVALID : STD_LOGIC;
signal s04_couplers_to_xbar_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s04_couplers_to_xbar_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s04_couplers_to_xbar_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s04_couplers_to_xbar_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal s04_couplers_to_xbar_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s04_couplers_to_xbar_ARREADY : STD_LOGIC_VECTOR ( 4 to 4 );
signal s04_couplers_to_xbar_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s04_couplers_to_xbar_ARVALID : STD_LOGIC;
signal s04_couplers_to_xbar_RDATA : STD_LOGIC_VECTOR ( 159 downto 128 );
signal s04_couplers_to_xbar_RLAST : STD_LOGIC_VECTOR ( 4 to 4 );
signal s04_couplers_to_xbar_RREADY : STD_LOGIC;
signal s04_couplers_to_xbar_RRESP : STD_LOGIC_VECTOR ( 9 downto 8 );
signal s04_couplers_to_xbar_RVALID : STD_LOGIC_VECTOR ( 4 to 4 );
signal xbar_to_m00_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal xbar_to_m00_couplers_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal xbar_to_m00_couplers_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal xbar_to_m00_couplers_ARID : STD_LOGIC_VECTOR ( 2 downto 0 );
signal xbar_to_m00_couplers_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal xbar_to_m00_couplers_ARLOCK : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal xbar_to_m00_couplers_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal xbar_to_m00_couplers_ARREADY : STD_LOGIC;
signal xbar_to_m00_couplers_ARREGION : STD_LOGIC_VECTOR ( 3 downto 0 );
signal xbar_to_m00_couplers_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal xbar_to_m00_couplers_ARVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal xbar_to_m00_couplers_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal xbar_to_m00_couplers_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal xbar_to_m00_couplers_AWID : STD_LOGIC_VECTOR ( 2 downto 0 );
signal xbar_to_m00_couplers_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal xbar_to_m00_couplers_AWLOCK : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal xbar_to_m00_couplers_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal xbar_to_m00_couplers_AWREADY : STD_LOGIC;
signal xbar_to_m00_couplers_AWREGION : STD_LOGIC_VECTOR ( 3 downto 0 );
signal xbar_to_m00_couplers_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal xbar_to_m00_couplers_AWVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_BID : STD_LOGIC_VECTOR ( 2 downto 0 );
signal xbar_to_m00_couplers_BREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal xbar_to_m00_couplers_BVALID : STD_LOGIC;
signal xbar_to_m00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal xbar_to_m00_couplers_RID : STD_LOGIC_VECTOR ( 2 downto 0 );
signal xbar_to_m00_couplers_RLAST : STD_LOGIC;
signal xbar_to_m00_couplers_RREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal xbar_to_m00_couplers_RVALID : STD_LOGIC;
signal xbar_to_m00_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal xbar_to_m00_couplers_WLAST : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_WREADY : STD_LOGIC;
signal xbar_to_m00_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal xbar_to_m00_couplers_WVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_xbar_s_axi_arready_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 1 );
signal NLW_xbar_s_axi_awready_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_xbar_s_axi_bid_UNCONNECTED : STD_LOGIC_VECTOR ( 14 downto 0 );
signal NLW_xbar_s_axi_bresp_UNCONNECTED : STD_LOGIC_VECTOR ( 9 downto 0 );
signal NLW_xbar_s_axi_bvalid_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_xbar_s_axi_rdata_UNCONNECTED : STD_LOGIC_VECTOR ( 127 downto 32 );
signal NLW_xbar_s_axi_rid_UNCONNECTED : STD_LOGIC_VECTOR ( 14 downto 0 );
signal NLW_xbar_s_axi_rlast_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 1 );
signal NLW_xbar_s_axi_rresp_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 2 );
signal NLW_xbar_s_axi_rvalid_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 1 );
signal NLW_xbar_s_axi_wready_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
begin
M00_ACLK_1 <= M00_ACLK;
M00_ARESETN_1(0) <= M00_ARESETN(0);
M00_AXI_araddr(31 downto 0) <= m00_couplers_to_axi_mem_intercon_ARADDR(31 downto 0);
M00_AXI_arburst(1 downto 0) <= m00_couplers_to_axi_mem_intercon_ARBURST(1 downto 0);
M00_AXI_arcache(3 downto 0) <= m00_couplers_to_axi_mem_intercon_ARCACHE(3 downto 0);
M00_AXI_arid(2 downto 0) <= m00_couplers_to_axi_mem_intercon_ARID(2 downto 0);
M00_AXI_arlen(3 downto 0) <= m00_couplers_to_axi_mem_intercon_ARLEN(3 downto 0);
M00_AXI_arlock(1 downto 0) <= m00_couplers_to_axi_mem_intercon_ARLOCK(1 downto 0);
M00_AXI_arprot(2 downto 0) <= m00_couplers_to_axi_mem_intercon_ARPROT(2 downto 0);
M00_AXI_arqos(3 downto 0) <= m00_couplers_to_axi_mem_intercon_ARQOS(3 downto 0);
M00_AXI_arsize(2 downto 0) <= m00_couplers_to_axi_mem_intercon_ARSIZE(2 downto 0);
M00_AXI_arvalid <= m00_couplers_to_axi_mem_intercon_ARVALID;
M00_AXI_awaddr(31 downto 0) <= m00_couplers_to_axi_mem_intercon_AWADDR(31 downto 0);
M00_AXI_awburst(1 downto 0) <= m00_couplers_to_axi_mem_intercon_AWBURST(1 downto 0);
M00_AXI_awcache(3 downto 0) <= m00_couplers_to_axi_mem_intercon_AWCACHE(3 downto 0);
M00_AXI_awid(2 downto 0) <= m00_couplers_to_axi_mem_intercon_AWID(2 downto 0);
M00_AXI_awlen(3 downto 0) <= m00_couplers_to_axi_mem_intercon_AWLEN(3 downto 0);
M00_AXI_awlock(1 downto 0) <= m00_couplers_to_axi_mem_intercon_AWLOCK(1 downto 0);
M00_AXI_awprot(2 downto 0) <= m00_couplers_to_axi_mem_intercon_AWPROT(2 downto 0);
M00_AXI_awqos(3 downto 0) <= m00_couplers_to_axi_mem_intercon_AWQOS(3 downto 0);
M00_AXI_awsize(2 downto 0) <= m00_couplers_to_axi_mem_intercon_AWSIZE(2 downto 0);
M00_AXI_awvalid <= m00_couplers_to_axi_mem_intercon_AWVALID;
M00_AXI_bready <= m00_couplers_to_axi_mem_intercon_BREADY;
M00_AXI_rready <= m00_couplers_to_axi_mem_intercon_RREADY;
M00_AXI_wdata(31 downto 0) <= m00_couplers_to_axi_mem_intercon_WDATA(31 downto 0);
M00_AXI_wid(2 downto 0) <= m00_couplers_to_axi_mem_intercon_WID(2 downto 0);
M00_AXI_wlast <= m00_couplers_to_axi_mem_intercon_WLAST;
M00_AXI_wstrb(3 downto 0) <= m00_couplers_to_axi_mem_intercon_WSTRB(3 downto 0);
M00_AXI_wvalid <= m00_couplers_to_axi_mem_intercon_WVALID;
S00_ACLK_1 <= S00_ACLK;
S00_ARESETN_1(0) <= S00_ARESETN(0);
S00_AXI_arready(0) <= axi_mem_intercon_to_s00_couplers_ARREADY(0);
S00_AXI_rdata(31 downto 0) <= axi_mem_intercon_to_s00_couplers_RDATA(31 downto 0);
S00_AXI_rlast(0) <= axi_mem_intercon_to_s00_couplers_RLAST(0);
S00_AXI_rresp(1 downto 0) <= axi_mem_intercon_to_s00_couplers_RRESP(1 downto 0);
S00_AXI_rvalid(0) <= axi_mem_intercon_to_s00_couplers_RVALID(0);
S01_ACLK_1 <= S01_ACLK;
S01_ARESETN_1(0) <= S01_ARESETN(0);
S01_AXI_awready <= axi_mem_intercon_to_s01_couplers_AWREADY;
S01_AXI_bresp(1 downto 0) <= axi_mem_intercon_to_s01_couplers_BRESP(1 downto 0);
S01_AXI_bvalid <= axi_mem_intercon_to_s01_couplers_BVALID;
S01_AXI_wready <= axi_mem_intercon_to_s01_couplers_WREADY;
S02_ACLK_1 <= S02_ACLK;
S02_ARESETN_1(0) <= S02_ARESETN(0);
S02_AXI_arready <= axi_mem_intercon_to_s02_couplers_ARREADY;
S02_AXI_rdata(31 downto 0) <= axi_mem_intercon_to_s02_couplers_RDATA(31 downto 0);
S02_AXI_rlast <= axi_mem_intercon_to_s02_couplers_RLAST;
S02_AXI_rresp(1 downto 0) <= axi_mem_intercon_to_s02_couplers_RRESP(1 downto 0);
S02_AXI_rvalid <= axi_mem_intercon_to_s02_couplers_RVALID;
S03_ACLK_1 <= S03_ACLK;
S03_ARESETN_1(0) <= S03_ARESETN(0);
S03_AXI_awready <= axi_mem_intercon_to_s03_couplers_AWREADY;
S03_AXI_bresp(1 downto 0) <= axi_mem_intercon_to_s03_couplers_BRESP(1 downto 0);
S03_AXI_bvalid <= axi_mem_intercon_to_s03_couplers_BVALID;
S03_AXI_wready <= axi_mem_intercon_to_s03_couplers_WREADY;
S04_ACLK_1 <= S04_ACLK;
S04_ARESETN_1(0) <= S04_ARESETN(0);
S04_AXI_arready <= axi_mem_intercon_to_s04_couplers_ARREADY;
S04_AXI_rdata(31 downto 0) <= axi_mem_intercon_to_s04_couplers_RDATA(31 downto 0);
S04_AXI_rlast <= axi_mem_intercon_to_s04_couplers_RLAST;
S04_AXI_rresp(1 downto 0) <= axi_mem_intercon_to_s04_couplers_RRESP(1 downto 0);
S04_AXI_rvalid <= axi_mem_intercon_to_s04_couplers_RVALID;
axi_mem_intercon_ACLK_net <= ACLK;
axi_mem_intercon_ARESETN_net(0) <= ARESETN(0);
axi_mem_intercon_to_s00_couplers_ARADDR(31 downto 0) <= S00_AXI_araddr(31 downto 0);
axi_mem_intercon_to_s00_couplers_ARBURST(1 downto 0) <= S00_AXI_arburst(1 downto 0);
axi_mem_intercon_to_s00_couplers_ARCACHE(3 downto 0) <= S00_AXI_arcache(3 downto 0);
axi_mem_intercon_to_s00_couplers_ARLEN(7 downto 0) <= S00_AXI_arlen(7 downto 0);
axi_mem_intercon_to_s00_couplers_ARPROT(2 downto 0) <= S00_AXI_arprot(2 downto 0);
axi_mem_intercon_to_s00_couplers_ARSIZE(2 downto 0) <= S00_AXI_arsize(2 downto 0);
axi_mem_intercon_to_s00_couplers_ARVALID(0) <= S00_AXI_arvalid(0);
axi_mem_intercon_to_s00_couplers_RREADY(0) <= S00_AXI_rready(0);
axi_mem_intercon_to_s01_couplers_AWADDR(31 downto 0) <= S01_AXI_awaddr(31 downto 0);
axi_mem_intercon_to_s01_couplers_AWBURST(1 downto 0) <= S01_AXI_awburst(1 downto 0);
axi_mem_intercon_to_s01_couplers_AWCACHE(3 downto 0) <= S01_AXI_awcache(3 downto 0);
axi_mem_intercon_to_s01_couplers_AWLEN(7 downto 0) <= S01_AXI_awlen(7 downto 0);
axi_mem_intercon_to_s01_couplers_AWPROT(2 downto 0) <= S01_AXI_awprot(2 downto 0);
axi_mem_intercon_to_s01_couplers_AWSIZE(2 downto 0) <= S01_AXI_awsize(2 downto 0);
axi_mem_intercon_to_s01_couplers_AWVALID <= S01_AXI_awvalid;
axi_mem_intercon_to_s01_couplers_BREADY <= S01_AXI_bready;
axi_mem_intercon_to_s01_couplers_WDATA(31 downto 0) <= S01_AXI_wdata(31 downto 0);
axi_mem_intercon_to_s01_couplers_WLAST <= S01_AXI_wlast;
axi_mem_intercon_to_s01_couplers_WSTRB(3 downto 0) <= S01_AXI_wstrb(3 downto 0);
axi_mem_intercon_to_s01_couplers_WVALID <= S01_AXI_wvalid;
axi_mem_intercon_to_s02_couplers_ARADDR(31 downto 0) <= S02_AXI_araddr(31 downto 0);
axi_mem_intercon_to_s02_couplers_ARBURST(1 downto 0) <= S02_AXI_arburst(1 downto 0);
axi_mem_intercon_to_s02_couplers_ARCACHE(3 downto 0) <= S02_AXI_arcache(3 downto 0);
axi_mem_intercon_to_s02_couplers_ARLEN(7 downto 0) <= S02_AXI_arlen(7 downto 0);
axi_mem_intercon_to_s02_couplers_ARPROT(2 downto 0) <= S02_AXI_arprot(2 downto 0);
axi_mem_intercon_to_s02_couplers_ARSIZE(2 downto 0) <= S02_AXI_arsize(2 downto 0);
axi_mem_intercon_to_s02_couplers_ARVALID <= S02_AXI_arvalid;
axi_mem_intercon_to_s02_couplers_RREADY <= S02_AXI_rready;
axi_mem_intercon_to_s03_couplers_AWADDR(31 downto 0) <= S03_AXI_awaddr(31 downto 0);
axi_mem_intercon_to_s03_couplers_AWBURST(1 downto 0) <= S03_AXI_awburst(1 downto 0);
axi_mem_intercon_to_s03_couplers_AWCACHE(3 downto 0) <= S03_AXI_awcache(3 downto 0);
axi_mem_intercon_to_s03_couplers_AWLEN(7 downto 0) <= S03_AXI_awlen(7 downto 0);
axi_mem_intercon_to_s03_couplers_AWPROT(2 downto 0) <= S03_AXI_awprot(2 downto 0);
axi_mem_intercon_to_s03_couplers_AWSIZE(2 downto 0) <= S03_AXI_awsize(2 downto 0);
axi_mem_intercon_to_s03_couplers_AWVALID <= S03_AXI_awvalid;
axi_mem_intercon_to_s03_couplers_BREADY <= S03_AXI_bready;
axi_mem_intercon_to_s03_couplers_WDATA(31 downto 0) <= S03_AXI_wdata(31 downto 0);
axi_mem_intercon_to_s03_couplers_WLAST <= S03_AXI_wlast;
axi_mem_intercon_to_s03_couplers_WSTRB(3 downto 0) <= S03_AXI_wstrb(3 downto 0);
axi_mem_intercon_to_s03_couplers_WVALID <= S03_AXI_wvalid;
axi_mem_intercon_to_s04_couplers_ARADDR(31 downto 0) <= S04_AXI_araddr(31 downto 0);
axi_mem_intercon_to_s04_couplers_ARBURST(1 downto 0) <= S04_AXI_arburst(1 downto 0);
axi_mem_intercon_to_s04_couplers_ARCACHE(3 downto 0) <= S04_AXI_arcache(3 downto 0);
axi_mem_intercon_to_s04_couplers_ARLEN(7 downto 0) <= S04_AXI_arlen(7 downto 0);
axi_mem_intercon_to_s04_couplers_ARPROT(2 downto 0) <= S04_AXI_arprot(2 downto 0);
axi_mem_intercon_to_s04_couplers_ARSIZE(2 downto 0) <= S04_AXI_arsize(2 downto 0);
axi_mem_intercon_to_s04_couplers_ARVALID <= S04_AXI_arvalid;
axi_mem_intercon_to_s04_couplers_RREADY <= S04_AXI_rready;
m00_couplers_to_axi_mem_intercon_ARREADY <= M00_AXI_arready;
m00_couplers_to_axi_mem_intercon_AWREADY <= M00_AXI_awready;
m00_couplers_to_axi_mem_intercon_BID(5 downto 0) <= M00_AXI_bid(5 downto 0);
m00_couplers_to_axi_mem_intercon_BRESP(1 downto 0) <= M00_AXI_bresp(1 downto 0);
m00_couplers_to_axi_mem_intercon_BVALID <= M00_AXI_bvalid;
m00_couplers_to_axi_mem_intercon_RDATA(31 downto 0) <= M00_AXI_rdata(31 downto 0);
m00_couplers_to_axi_mem_intercon_RID(5 downto 0) <= M00_AXI_rid(5 downto 0);
m00_couplers_to_axi_mem_intercon_RLAST <= M00_AXI_rlast;
m00_couplers_to_axi_mem_intercon_RRESP(1 downto 0) <= M00_AXI_rresp(1 downto 0);
m00_couplers_to_axi_mem_intercon_RVALID <= M00_AXI_rvalid;
m00_couplers_to_axi_mem_intercon_WREADY <= M00_AXI_wready;
m00_couplers: entity work.m00_couplers_imp_3Z6JOL
port map (
M_ACLK => M00_ACLK_1,
M_ARESETN(0) => M00_ARESETN_1(0),
M_AXI_araddr(31 downto 0) => m00_couplers_to_axi_mem_intercon_ARADDR(31 downto 0),
M_AXI_arburst(1 downto 0) => m00_couplers_to_axi_mem_intercon_ARBURST(1 downto 0),
M_AXI_arcache(3 downto 0) => m00_couplers_to_axi_mem_intercon_ARCACHE(3 downto 0),
M_AXI_arid(2 downto 0) => m00_couplers_to_axi_mem_intercon_ARID(2 downto 0),
M_AXI_arlen(3 downto 0) => m00_couplers_to_axi_mem_intercon_ARLEN(3 downto 0),
M_AXI_arlock(1 downto 0) => m00_couplers_to_axi_mem_intercon_ARLOCK(1 downto 0),
M_AXI_arprot(2 downto 0) => m00_couplers_to_axi_mem_intercon_ARPROT(2 downto 0),
M_AXI_arqos(3 downto 0) => m00_couplers_to_axi_mem_intercon_ARQOS(3 downto 0),
M_AXI_arready => m00_couplers_to_axi_mem_intercon_ARREADY,
M_AXI_arsize(2 downto 0) => m00_couplers_to_axi_mem_intercon_ARSIZE(2 downto 0),
M_AXI_arvalid => m00_couplers_to_axi_mem_intercon_ARVALID,
M_AXI_awaddr(31 downto 0) => m00_couplers_to_axi_mem_intercon_AWADDR(31 downto 0),
M_AXI_awburst(1 downto 0) => m00_couplers_to_axi_mem_intercon_AWBURST(1 downto 0),
M_AXI_awcache(3 downto 0) => m00_couplers_to_axi_mem_intercon_AWCACHE(3 downto 0),
M_AXI_awid(2 downto 0) => m00_couplers_to_axi_mem_intercon_AWID(2 downto 0),
M_AXI_awlen(3 downto 0) => m00_couplers_to_axi_mem_intercon_AWLEN(3 downto 0),
M_AXI_awlock(1 downto 0) => m00_couplers_to_axi_mem_intercon_AWLOCK(1 downto 0),
M_AXI_awprot(2 downto 0) => m00_couplers_to_axi_mem_intercon_AWPROT(2 downto 0),
M_AXI_awqos(3 downto 0) => m00_couplers_to_axi_mem_intercon_AWQOS(3 downto 0),
M_AXI_awready => m00_couplers_to_axi_mem_intercon_AWREADY,
M_AXI_awsize(2 downto 0) => m00_couplers_to_axi_mem_intercon_AWSIZE(2 downto 0),
M_AXI_awvalid => m00_couplers_to_axi_mem_intercon_AWVALID,
M_AXI_bid(5 downto 0) => m00_couplers_to_axi_mem_intercon_BID(5 downto 0),
M_AXI_bready => m00_couplers_to_axi_mem_intercon_BREADY,
M_AXI_bresp(1 downto 0) => m00_couplers_to_axi_mem_intercon_BRESP(1 downto 0),
M_AXI_bvalid => m00_couplers_to_axi_mem_intercon_BVALID,
M_AXI_rdata(31 downto 0) => m00_couplers_to_axi_mem_intercon_RDATA(31 downto 0),
M_AXI_rid(5 downto 0) => m00_couplers_to_axi_mem_intercon_RID(5 downto 0),
M_AXI_rlast => m00_couplers_to_axi_mem_intercon_RLAST,
M_AXI_rready => m00_couplers_to_axi_mem_intercon_RREADY,
M_AXI_rresp(1 downto 0) => m00_couplers_to_axi_mem_intercon_RRESP(1 downto 0),
M_AXI_rvalid => m00_couplers_to_axi_mem_intercon_RVALID,
M_AXI_wdata(31 downto 0) => m00_couplers_to_axi_mem_intercon_WDATA(31 downto 0),
M_AXI_wid(2 downto 0) => m00_couplers_to_axi_mem_intercon_WID(2 downto 0),
M_AXI_wlast => m00_couplers_to_axi_mem_intercon_WLAST,
M_AXI_wready => m00_couplers_to_axi_mem_intercon_WREADY,
M_AXI_wstrb(3 downto 0) => m00_couplers_to_axi_mem_intercon_WSTRB(3 downto 0),
M_AXI_wvalid => m00_couplers_to_axi_mem_intercon_WVALID,
S_ACLK => axi_mem_intercon_ACLK_net,
S_ARESETN(0) => axi_mem_intercon_ARESETN_net(0),
S_AXI_araddr(31 downto 0) => xbar_to_m00_couplers_ARADDR(31 downto 0),
S_AXI_arburst(1 downto 0) => xbar_to_m00_couplers_ARBURST(1 downto 0),
S_AXI_arcache(3 downto 0) => xbar_to_m00_couplers_ARCACHE(3 downto 0),
S_AXI_arid(2 downto 0) => xbar_to_m00_couplers_ARID(2 downto 0),
S_AXI_arlen(7 downto 0) => xbar_to_m00_couplers_ARLEN(7 downto 0),
S_AXI_arlock(0) => xbar_to_m00_couplers_ARLOCK(0),
S_AXI_arprot(2 downto 0) => xbar_to_m00_couplers_ARPROT(2 downto 0),
S_AXI_arqos(3 downto 0) => xbar_to_m00_couplers_ARQOS(3 downto 0),
S_AXI_arready => xbar_to_m00_couplers_ARREADY,
S_AXI_arregion(3 downto 0) => xbar_to_m00_couplers_ARREGION(3 downto 0),
S_AXI_arsize(2 downto 0) => xbar_to_m00_couplers_ARSIZE(2 downto 0),
S_AXI_arvalid => xbar_to_m00_couplers_ARVALID(0),
S_AXI_awaddr(31 downto 0) => xbar_to_m00_couplers_AWADDR(31 downto 0),
S_AXI_awburst(1 downto 0) => xbar_to_m00_couplers_AWBURST(1 downto 0),
S_AXI_awcache(3 downto 0) => xbar_to_m00_couplers_AWCACHE(3 downto 0),
S_AXI_awid(2 downto 0) => xbar_to_m00_couplers_AWID(2 downto 0),
S_AXI_awlen(7 downto 0) => xbar_to_m00_couplers_AWLEN(7 downto 0),
S_AXI_awlock(0) => xbar_to_m00_couplers_AWLOCK(0),
S_AXI_awprot(2 downto 0) => xbar_to_m00_couplers_AWPROT(2 downto 0),
S_AXI_awqos(3 downto 0) => xbar_to_m00_couplers_AWQOS(3 downto 0),
S_AXI_awready => xbar_to_m00_couplers_AWREADY,
S_AXI_awregion(3 downto 0) => xbar_to_m00_couplers_AWREGION(3 downto 0),
S_AXI_awsize(2 downto 0) => xbar_to_m00_couplers_AWSIZE(2 downto 0),
S_AXI_awvalid => xbar_to_m00_couplers_AWVALID(0),
S_AXI_bid(2 downto 0) => xbar_to_m00_couplers_BID(2 downto 0),
S_AXI_bready => xbar_to_m00_couplers_BREADY(0),
S_AXI_bresp(1 downto 0) => xbar_to_m00_couplers_BRESP(1 downto 0),
S_AXI_bvalid => xbar_to_m00_couplers_BVALID,
S_AXI_rdata(31 downto 0) => xbar_to_m00_couplers_RDATA(31 downto 0),
S_AXI_rid(2 downto 0) => xbar_to_m00_couplers_RID(2 downto 0),
S_AXI_rlast => xbar_to_m00_couplers_RLAST,
S_AXI_rready => xbar_to_m00_couplers_RREADY(0),
S_AXI_rresp(1 downto 0) => xbar_to_m00_couplers_RRESP(1 downto 0),
S_AXI_rvalid => xbar_to_m00_couplers_RVALID,
S_AXI_wdata(31 downto 0) => xbar_to_m00_couplers_WDATA(31 downto 0),
S_AXI_wlast => xbar_to_m00_couplers_WLAST(0),
S_AXI_wready => xbar_to_m00_couplers_WREADY,
S_AXI_wstrb(3 downto 0) => xbar_to_m00_couplers_WSTRB(3 downto 0),
S_AXI_wvalid => xbar_to_m00_couplers_WVALID(0)
);
s00_couplers: entity work.s00_couplers_imp_14GRHI
port map (
M_ACLK => axi_mem_intercon_ACLK_net,
M_ARESETN(0) => axi_mem_intercon_ARESETN_net(0),
M_AXI_araddr(31 downto 0) => s00_couplers_to_xbar_ARADDR(31 downto 0),
M_AXI_arburst(1 downto 0) => s00_couplers_to_xbar_ARBURST(1 downto 0),
M_AXI_arcache(3 downto 0) => s00_couplers_to_xbar_ARCACHE(3 downto 0),
M_AXI_arlen(7 downto 0) => s00_couplers_to_xbar_ARLEN(7 downto 0),
M_AXI_arprot(2 downto 0) => s00_couplers_to_xbar_ARPROT(2 downto 0),
M_AXI_arready(0) => s00_couplers_to_xbar_ARREADY(0),
M_AXI_arsize(2 downto 0) => s00_couplers_to_xbar_ARSIZE(2 downto 0),
M_AXI_arvalid(0) => s00_couplers_to_xbar_ARVALID(0),
M_AXI_rdata(31 downto 0) => s00_couplers_to_xbar_RDATA(31 downto 0),
M_AXI_rlast(0) => s00_couplers_to_xbar_RLAST(0),
M_AXI_rready(0) => s00_couplers_to_xbar_RREADY(0),
M_AXI_rresp(1 downto 0) => s00_couplers_to_xbar_RRESP(1 downto 0),
M_AXI_rvalid(0) => s00_couplers_to_xbar_RVALID(0),
S_ACLK => S00_ACLK_1,
S_ARESETN(0) => S00_ARESETN_1(0),
S_AXI_araddr(31 downto 0) => axi_mem_intercon_to_s00_couplers_ARADDR(31 downto 0),
S_AXI_arburst(1 downto 0) => axi_mem_intercon_to_s00_couplers_ARBURST(1 downto 0),
S_AXI_arcache(3 downto 0) => axi_mem_intercon_to_s00_couplers_ARCACHE(3 downto 0),
S_AXI_arlen(7 downto 0) => axi_mem_intercon_to_s00_couplers_ARLEN(7 downto 0),
S_AXI_arprot(2 downto 0) => axi_mem_intercon_to_s00_couplers_ARPROT(2 downto 0),
S_AXI_arready(0) => axi_mem_intercon_to_s00_couplers_ARREADY(0),
S_AXI_arsize(2 downto 0) => axi_mem_intercon_to_s00_couplers_ARSIZE(2 downto 0),
S_AXI_arvalid(0) => axi_mem_intercon_to_s00_couplers_ARVALID(0),
S_AXI_rdata(31 downto 0) => axi_mem_intercon_to_s00_couplers_RDATA(31 downto 0),
S_AXI_rlast(0) => axi_mem_intercon_to_s00_couplers_RLAST(0),
S_AXI_rready(0) => axi_mem_intercon_to_s00_couplers_RREADY(0),
S_AXI_rresp(1 downto 0) => axi_mem_intercon_to_s00_couplers_RRESP(1 downto 0),
S_AXI_rvalid(0) => axi_mem_intercon_to_s00_couplers_RVALID(0)
);
s01_couplers: entity work.s01_couplers_imp_1KHG2CU
port map (
M_ACLK => axi_mem_intercon_ACLK_net,
M_ARESETN(0) => axi_mem_intercon_ARESETN_net(0),
M_AXI_awaddr(31 downto 0) => s01_couplers_to_xbar_AWADDR(31 downto 0),
M_AXI_awburst(1 downto 0) => s01_couplers_to_xbar_AWBURST(1 downto 0),
M_AXI_awcache(3 downto 0) => s01_couplers_to_xbar_AWCACHE(3 downto 0),
M_AXI_awlen(7 downto 0) => s01_couplers_to_xbar_AWLEN(7 downto 0),
M_AXI_awprot(2 downto 0) => s01_couplers_to_xbar_AWPROT(2 downto 0),
M_AXI_awready => s01_couplers_to_xbar_AWREADY(1),
M_AXI_awsize(2 downto 0) => s01_couplers_to_xbar_AWSIZE(2 downto 0),
M_AXI_awvalid => s01_couplers_to_xbar_AWVALID,
M_AXI_bready => s01_couplers_to_xbar_BREADY,
M_AXI_bresp(1 downto 0) => s01_couplers_to_xbar_BRESP(3 downto 2),
M_AXI_bvalid => s01_couplers_to_xbar_BVALID(1),
M_AXI_wdata(31 downto 0) => s01_couplers_to_xbar_WDATA(31 downto 0),
M_AXI_wlast => s01_couplers_to_xbar_WLAST,
M_AXI_wready => s01_couplers_to_xbar_WREADY(1),
M_AXI_wstrb(3 downto 0) => s01_couplers_to_xbar_WSTRB(3 downto 0),
M_AXI_wvalid => s01_couplers_to_xbar_WVALID,
S_ACLK => S01_ACLK_1,
S_ARESETN(0) => S01_ARESETN_1(0),
S_AXI_awaddr(31 downto 0) => axi_mem_intercon_to_s01_couplers_AWADDR(31 downto 0),
S_AXI_awburst(1 downto 0) => axi_mem_intercon_to_s01_couplers_AWBURST(1 downto 0),
S_AXI_awcache(3 downto 0) => axi_mem_intercon_to_s01_couplers_AWCACHE(3 downto 0),
S_AXI_awlen(7 downto 0) => axi_mem_intercon_to_s01_couplers_AWLEN(7 downto 0),
S_AXI_awprot(2 downto 0) => axi_mem_intercon_to_s01_couplers_AWPROT(2 downto 0),
S_AXI_awready => axi_mem_intercon_to_s01_couplers_AWREADY,
S_AXI_awsize(2 downto 0) => axi_mem_intercon_to_s01_couplers_AWSIZE(2 downto 0),
S_AXI_awvalid => axi_mem_intercon_to_s01_couplers_AWVALID,
S_AXI_bready => axi_mem_intercon_to_s01_couplers_BREADY,
S_AXI_bresp(1 downto 0) => axi_mem_intercon_to_s01_couplers_BRESP(1 downto 0),
S_AXI_bvalid => axi_mem_intercon_to_s01_couplers_BVALID,
S_AXI_wdata(31 downto 0) => axi_mem_intercon_to_s01_couplers_WDATA(31 downto 0),
S_AXI_wlast => axi_mem_intercon_to_s01_couplers_WLAST,
S_AXI_wready => axi_mem_intercon_to_s01_couplers_WREADY,
S_AXI_wstrb(3 downto 0) => axi_mem_intercon_to_s01_couplers_WSTRB(3 downto 0),
S_AXI_wvalid => axi_mem_intercon_to_s01_couplers_WVALID
);
s02_couplers: entity work.s02_couplers_imp_HTS99Z
port map (
M_ACLK => axi_mem_intercon_ACLK_net,
M_ARESETN(0) => axi_mem_intercon_ARESETN_net(0),
M_AXI_araddr(31 downto 0) => s02_couplers_to_xbar_ARADDR(31 downto 0),
M_AXI_arburst(1 downto 0) => s02_couplers_to_xbar_ARBURST(1 downto 0),
M_AXI_arcache(3 downto 0) => s02_couplers_to_xbar_ARCACHE(3 downto 0),
M_AXI_arlen(7 downto 0) => s02_couplers_to_xbar_ARLEN(7 downto 0),
M_AXI_arprot(2 downto 0) => s02_couplers_to_xbar_ARPROT(2 downto 0),
M_AXI_arready => s02_couplers_to_xbar_ARREADY(2),
M_AXI_arsize(2 downto 0) => s02_couplers_to_xbar_ARSIZE(2 downto 0),
M_AXI_arvalid => s02_couplers_to_xbar_ARVALID,
M_AXI_rdata(31 downto 0) => s02_couplers_to_xbar_RDATA(95 downto 64),
M_AXI_rlast => s02_couplers_to_xbar_RLAST(2),
M_AXI_rready => s02_couplers_to_xbar_RREADY,
M_AXI_rresp(1 downto 0) => s02_couplers_to_xbar_RRESP(5 downto 4),
M_AXI_rvalid => s02_couplers_to_xbar_RVALID(2),
S_ACLK => S02_ACLK_1,
S_ARESETN(0) => S02_ARESETN_1(0),
S_AXI_araddr(31 downto 0) => axi_mem_intercon_to_s02_couplers_ARADDR(31 downto 0),
S_AXI_arburst(1 downto 0) => axi_mem_intercon_to_s02_couplers_ARBURST(1 downto 0),
S_AXI_arcache(3 downto 0) => axi_mem_intercon_to_s02_couplers_ARCACHE(3 downto 0),
S_AXI_arlen(7 downto 0) => axi_mem_intercon_to_s02_couplers_ARLEN(7 downto 0),
S_AXI_arprot(2 downto 0) => axi_mem_intercon_to_s02_couplers_ARPROT(2 downto 0),
S_AXI_arready => axi_mem_intercon_to_s02_couplers_ARREADY,
S_AXI_arsize(2 downto 0) => axi_mem_intercon_to_s02_couplers_ARSIZE(2 downto 0),
S_AXI_arvalid => axi_mem_intercon_to_s02_couplers_ARVALID,
S_AXI_rdata(31 downto 0) => axi_mem_intercon_to_s02_couplers_RDATA(31 downto 0),
S_AXI_rlast => axi_mem_intercon_to_s02_couplers_RLAST,
S_AXI_rready => axi_mem_intercon_to_s02_couplers_RREADY,
S_AXI_rresp(1 downto 0) => axi_mem_intercon_to_s02_couplers_RRESP(1 downto 0),
S_AXI_rvalid => axi_mem_intercon_to_s02_couplers_RVALID
);
s03_couplers: entity work.s03_couplers_imp_13X1ZY7
port map (
M_ACLK => axi_mem_intercon_ACLK_net,
M_ARESETN(0) => axi_mem_intercon_ARESETN_net(0),
M_AXI_awaddr(31 downto 0) => s03_couplers_to_xbar_AWADDR(31 downto 0),
M_AXI_awburst(1 downto 0) => s03_couplers_to_xbar_AWBURST(1 downto 0),
M_AXI_awcache(3 downto 0) => s03_couplers_to_xbar_AWCACHE(3 downto 0),
M_AXI_awlen(7 downto 0) => s03_couplers_to_xbar_AWLEN(7 downto 0),
M_AXI_awprot(2 downto 0) => s03_couplers_to_xbar_AWPROT(2 downto 0),
M_AXI_awready => s03_couplers_to_xbar_AWREADY(3),
M_AXI_awsize(2 downto 0) => s03_couplers_to_xbar_AWSIZE(2 downto 0),
M_AXI_awvalid => s03_couplers_to_xbar_AWVALID,
M_AXI_bready => s03_couplers_to_xbar_BREADY,
M_AXI_bresp(1 downto 0) => s03_couplers_to_xbar_BRESP(7 downto 6),
M_AXI_bvalid => s03_couplers_to_xbar_BVALID(3),
M_AXI_wdata(31 downto 0) => s03_couplers_to_xbar_WDATA(31 downto 0),
M_AXI_wlast => s03_couplers_to_xbar_WLAST,
M_AXI_wready => s03_couplers_to_xbar_WREADY(3),
M_AXI_wstrb(3 downto 0) => s03_couplers_to_xbar_WSTRB(3 downto 0),
M_AXI_wvalid => s03_couplers_to_xbar_WVALID,
S_ACLK => S03_ACLK_1,
S_ARESETN(0) => S03_ARESETN_1(0),
S_AXI_awaddr(31 downto 0) => axi_mem_intercon_to_s03_couplers_AWADDR(31 downto 0),
S_AXI_awburst(1 downto 0) => axi_mem_intercon_to_s03_couplers_AWBURST(1 downto 0),
S_AXI_awcache(3 downto 0) => axi_mem_intercon_to_s03_couplers_AWCACHE(3 downto 0),
S_AXI_awlen(7 downto 0) => axi_mem_intercon_to_s03_couplers_AWLEN(7 downto 0),
S_AXI_awprot(2 downto 0) => axi_mem_intercon_to_s03_couplers_AWPROT(2 downto 0),
S_AXI_awready => axi_mem_intercon_to_s03_couplers_AWREADY,
S_AXI_awsize(2 downto 0) => axi_mem_intercon_to_s03_couplers_AWSIZE(2 downto 0),
S_AXI_awvalid => axi_mem_intercon_to_s03_couplers_AWVALID,
S_AXI_bready => axi_mem_intercon_to_s03_couplers_BREADY,
S_AXI_bresp(1 downto 0) => axi_mem_intercon_to_s03_couplers_BRESP(1 downto 0),
S_AXI_bvalid => axi_mem_intercon_to_s03_couplers_BVALID,
S_AXI_wdata(31 downto 0) => axi_mem_intercon_to_s03_couplers_WDATA(31 downto 0),
S_AXI_wlast => axi_mem_intercon_to_s03_couplers_WLAST,
S_AXI_wready => axi_mem_intercon_to_s03_couplers_WREADY,
S_AXI_wstrb(3 downto 0) => axi_mem_intercon_to_s03_couplers_WSTRB(3 downto 0),
S_AXI_wvalid => axi_mem_intercon_to_s03_couplers_WVALID
);
s04_couplers: entity work.s04_couplers_imp_130BMV8
port map (
M_ACLK => axi_mem_intercon_ACLK_net,
M_ARESETN(0) => axi_mem_intercon_ARESETN_net(0),
M_AXI_araddr(31 downto 0) => s04_couplers_to_xbar_ARADDR(31 downto 0),
M_AXI_arburst(1 downto 0) => s04_couplers_to_xbar_ARBURST(1 downto 0),
M_AXI_arcache(3 downto 0) => s04_couplers_to_xbar_ARCACHE(3 downto 0),
M_AXI_arlen(7 downto 0) => s04_couplers_to_xbar_ARLEN(7 downto 0),
M_AXI_arprot(2 downto 0) => s04_couplers_to_xbar_ARPROT(2 downto 0),
M_AXI_arready => s04_couplers_to_xbar_ARREADY(4),
M_AXI_arsize(2 downto 0) => s04_couplers_to_xbar_ARSIZE(2 downto 0),
M_AXI_arvalid => s04_couplers_to_xbar_ARVALID,
M_AXI_rdata(31 downto 0) => s04_couplers_to_xbar_RDATA(159 downto 128),
M_AXI_rlast => s04_couplers_to_xbar_RLAST(4),
M_AXI_rready => s04_couplers_to_xbar_RREADY,
M_AXI_rresp(1 downto 0) => s04_couplers_to_xbar_RRESP(9 downto 8),
M_AXI_rvalid => s04_couplers_to_xbar_RVALID(4),
S_ACLK => S04_ACLK_1,
S_ARESETN(0) => S04_ARESETN_1(0),
S_AXI_araddr(31 downto 0) => axi_mem_intercon_to_s04_couplers_ARADDR(31 downto 0),
S_AXI_arburst(1 downto 0) => axi_mem_intercon_to_s04_couplers_ARBURST(1 downto 0),
S_AXI_arcache(3 downto 0) => axi_mem_intercon_to_s04_couplers_ARCACHE(3 downto 0),
S_AXI_arlen(7 downto 0) => axi_mem_intercon_to_s04_couplers_ARLEN(7 downto 0),
S_AXI_arprot(2 downto 0) => axi_mem_intercon_to_s04_couplers_ARPROT(2 downto 0),
S_AXI_arready => axi_mem_intercon_to_s04_couplers_ARREADY,
S_AXI_arsize(2 downto 0) => axi_mem_intercon_to_s04_couplers_ARSIZE(2 downto 0),
S_AXI_arvalid => axi_mem_intercon_to_s04_couplers_ARVALID,
S_AXI_rdata(31 downto 0) => axi_mem_intercon_to_s04_couplers_RDATA(31 downto 0),
S_AXI_rlast => axi_mem_intercon_to_s04_couplers_RLAST,
S_AXI_rready => axi_mem_intercon_to_s04_couplers_RREADY,
S_AXI_rresp(1 downto 0) => axi_mem_intercon_to_s04_couplers_RRESP(1 downto 0),
S_AXI_rvalid => axi_mem_intercon_to_s04_couplers_RVALID
);
xbar: component design_SWandHW_standalone_xbar_2
port map (
aclk => axi_mem_intercon_ACLK_net,
aresetn => axi_mem_intercon_ARESETN_net(0),
m_axi_araddr(31 downto 0) => xbar_to_m00_couplers_ARADDR(31 downto 0),
m_axi_arburst(1 downto 0) => xbar_to_m00_couplers_ARBURST(1 downto 0),
m_axi_arcache(3 downto 0) => xbar_to_m00_couplers_ARCACHE(3 downto 0),
m_axi_arid(2 downto 0) => xbar_to_m00_couplers_ARID(2 downto 0),
m_axi_arlen(7 downto 0) => xbar_to_m00_couplers_ARLEN(7 downto 0),
m_axi_arlock(0) => xbar_to_m00_couplers_ARLOCK(0),
m_axi_arprot(2 downto 0) => xbar_to_m00_couplers_ARPROT(2 downto 0),
m_axi_arqos(3 downto 0) => xbar_to_m00_couplers_ARQOS(3 downto 0),
m_axi_arready(0) => xbar_to_m00_couplers_ARREADY,
m_axi_arregion(3 downto 0) => xbar_to_m00_couplers_ARREGION(3 downto 0),
m_axi_arsize(2 downto 0) => xbar_to_m00_couplers_ARSIZE(2 downto 0),
m_axi_arvalid(0) => xbar_to_m00_couplers_ARVALID(0),
m_axi_awaddr(31 downto 0) => xbar_to_m00_couplers_AWADDR(31 downto 0),
m_axi_awburst(1 downto 0) => xbar_to_m00_couplers_AWBURST(1 downto 0),
m_axi_awcache(3 downto 0) => xbar_to_m00_couplers_AWCACHE(3 downto 0),
m_axi_awid(2 downto 0) => xbar_to_m00_couplers_AWID(2 downto 0),
m_axi_awlen(7 downto 0) => xbar_to_m00_couplers_AWLEN(7 downto 0),
m_axi_awlock(0) => xbar_to_m00_couplers_AWLOCK(0),
m_axi_awprot(2 downto 0) => xbar_to_m00_couplers_AWPROT(2 downto 0),
m_axi_awqos(3 downto 0) => xbar_to_m00_couplers_AWQOS(3 downto 0),
m_axi_awready(0) => xbar_to_m00_couplers_AWREADY,
m_axi_awregion(3 downto 0) => xbar_to_m00_couplers_AWREGION(3 downto 0),
m_axi_awsize(2 downto 0) => xbar_to_m00_couplers_AWSIZE(2 downto 0),
m_axi_awvalid(0) => xbar_to_m00_couplers_AWVALID(0),
m_axi_bid(2 downto 0) => xbar_to_m00_couplers_BID(2 downto 0),
m_axi_bready(0) => xbar_to_m00_couplers_BREADY(0),
m_axi_bresp(1 downto 0) => xbar_to_m00_couplers_BRESP(1 downto 0),
m_axi_bvalid(0) => xbar_to_m00_couplers_BVALID,
m_axi_rdata(31 downto 0) => xbar_to_m00_couplers_RDATA(31 downto 0),
m_axi_rid(2 downto 0) => xbar_to_m00_couplers_RID(2 downto 0),
m_axi_rlast(0) => xbar_to_m00_couplers_RLAST,
m_axi_rready(0) => xbar_to_m00_couplers_RREADY(0),
m_axi_rresp(1 downto 0) => xbar_to_m00_couplers_RRESP(1 downto 0),
m_axi_rvalid(0) => xbar_to_m00_couplers_RVALID,
m_axi_wdata(31 downto 0) => xbar_to_m00_couplers_WDATA(31 downto 0),
m_axi_wlast(0) => xbar_to_m00_couplers_WLAST(0),
m_axi_wready(0) => xbar_to_m00_couplers_WREADY,
m_axi_wstrb(3 downto 0) => xbar_to_m00_couplers_WSTRB(3 downto 0),
m_axi_wvalid(0) => xbar_to_m00_couplers_WVALID(0),
s_axi_araddr(159 downto 128) => s04_couplers_to_xbar_ARADDR(31 downto 0),
s_axi_araddr(127 downto 96) => B"00000000000000000000000000000000",
s_axi_araddr(95 downto 64) => s02_couplers_to_xbar_ARADDR(31 downto 0),
s_axi_araddr(63 downto 32) => B"00000000000000000000000000000000",
s_axi_araddr(31 downto 0) => s00_couplers_to_xbar_ARADDR(31 downto 0),
s_axi_arburst(9 downto 8) => s04_couplers_to_xbar_ARBURST(1 downto 0),
s_axi_arburst(7 downto 6) => B"00",
s_axi_arburst(5 downto 4) => s02_couplers_to_xbar_ARBURST(1 downto 0),
s_axi_arburst(3 downto 2) => B"00",
s_axi_arburst(1 downto 0) => s00_couplers_to_xbar_ARBURST(1 downto 0),
s_axi_arcache(19 downto 16) => s04_couplers_to_xbar_ARCACHE(3 downto 0),
s_axi_arcache(15 downto 12) => B"0000",
s_axi_arcache(11 downto 8) => s02_couplers_to_xbar_ARCACHE(3 downto 0),
s_axi_arcache(7 downto 4) => B"0000",
s_axi_arcache(3 downto 0) => s00_couplers_to_xbar_ARCACHE(3 downto 0),
s_axi_arid(14 downto 0) => B"000000000000000",
s_axi_arlen(39 downto 32) => s04_couplers_to_xbar_ARLEN(7 downto 0),
s_axi_arlen(31 downto 24) => B"00000000",
s_axi_arlen(23 downto 16) => s02_couplers_to_xbar_ARLEN(7 downto 0),
s_axi_arlen(15 downto 8) => B"00000000",
s_axi_arlen(7 downto 0) => s00_couplers_to_xbar_ARLEN(7 downto 0),
s_axi_arlock(4 downto 0) => B"00000",
s_axi_arprot(14 downto 12) => s04_couplers_to_xbar_ARPROT(2 downto 0),
s_axi_arprot(11 downto 9) => B"000",
s_axi_arprot(8 downto 6) => s02_couplers_to_xbar_ARPROT(2 downto 0),
s_axi_arprot(5 downto 3) => B"000",
s_axi_arprot(2 downto 0) => s00_couplers_to_xbar_ARPROT(2 downto 0),
s_axi_arqos(19 downto 0) => B"00000000000000000000",
s_axi_arready(4) => s04_couplers_to_xbar_ARREADY(4),
s_axi_arready(3) => NLW_xbar_s_axi_arready_UNCONNECTED(3),
s_axi_arready(2) => s02_couplers_to_xbar_ARREADY(2),
s_axi_arready(1) => NLW_xbar_s_axi_arready_UNCONNECTED(1),
s_axi_arready(0) => s00_couplers_to_xbar_ARREADY(0),
s_axi_arsize(14 downto 12) => s04_couplers_to_xbar_ARSIZE(2 downto 0),
s_axi_arsize(11 downto 9) => B"000",
s_axi_arsize(8 downto 6) => s02_couplers_to_xbar_ARSIZE(2 downto 0),
s_axi_arsize(5 downto 3) => B"000",
s_axi_arsize(2 downto 0) => s00_couplers_to_xbar_ARSIZE(2 downto 0),
s_axi_arvalid(4) => s04_couplers_to_xbar_ARVALID,
s_axi_arvalid(3) => '0',
s_axi_arvalid(2) => s02_couplers_to_xbar_ARVALID,
s_axi_arvalid(1) => '0',
s_axi_arvalid(0) => s00_couplers_to_xbar_ARVALID(0),
s_axi_awaddr(159 downto 128) => B"00000000000000000000000000000000",
s_axi_awaddr(127 downto 96) => s03_couplers_to_xbar_AWADDR(31 downto 0),
s_axi_awaddr(95 downto 64) => B"00000000000000000000000000000000",
s_axi_awaddr(63 downto 32) => s01_couplers_to_xbar_AWADDR(31 downto 0),
s_axi_awaddr(31 downto 0) => B"00000000000000000000000000000000",
s_axi_awburst(9 downto 8) => B"00",
s_axi_awburst(7 downto 6) => s03_couplers_to_xbar_AWBURST(1 downto 0),
s_axi_awburst(5 downto 4) => B"00",
s_axi_awburst(3 downto 2) => s01_couplers_to_xbar_AWBURST(1 downto 0),
s_axi_awburst(1 downto 0) => B"00",
s_axi_awcache(19 downto 16) => B"0000",
s_axi_awcache(15 downto 12) => s03_couplers_to_xbar_AWCACHE(3 downto 0),
s_axi_awcache(11 downto 8) => B"0000",
s_axi_awcache(7 downto 4) => s01_couplers_to_xbar_AWCACHE(3 downto 0),
s_axi_awcache(3 downto 0) => B"0000",
s_axi_awid(14 downto 0) => B"000000000000000",
s_axi_awlen(39 downto 32) => B"00000000",
s_axi_awlen(31 downto 24) => s03_couplers_to_xbar_AWLEN(7 downto 0),
s_axi_awlen(23 downto 16) => B"00000000",
s_axi_awlen(15 downto 8) => s01_couplers_to_xbar_AWLEN(7 downto 0),
s_axi_awlen(7 downto 0) => B"00000000",
s_axi_awlock(4 downto 0) => B"00000",
s_axi_awprot(14 downto 12) => B"000",
s_axi_awprot(11 downto 9) => s03_couplers_to_xbar_AWPROT(2 downto 0),
s_axi_awprot(8 downto 6) => B"000",
s_axi_awprot(5 downto 3) => s01_couplers_to_xbar_AWPROT(2 downto 0),
s_axi_awprot(2 downto 0) => B"000",
s_axi_awqos(19 downto 0) => B"00000000000000000000",
s_axi_awready(4) => NLW_xbar_s_axi_awready_UNCONNECTED(4),
s_axi_awready(3) => s03_couplers_to_xbar_AWREADY(3),
s_axi_awready(2) => NLW_xbar_s_axi_awready_UNCONNECTED(2),
s_axi_awready(1) => s01_couplers_to_xbar_AWREADY(1),
s_axi_awready(0) => NLW_xbar_s_axi_awready_UNCONNECTED(0),
s_axi_awsize(14 downto 12) => B"000",
s_axi_awsize(11 downto 9) => s03_couplers_to_xbar_AWSIZE(2 downto 0),
s_axi_awsize(8 downto 6) => B"000",
s_axi_awsize(5 downto 3) => s01_couplers_to_xbar_AWSIZE(2 downto 0),
s_axi_awsize(2 downto 0) => B"000",
s_axi_awvalid(4) => '0',
s_axi_awvalid(3) => s03_couplers_to_xbar_AWVALID,
s_axi_awvalid(2) => '0',
s_axi_awvalid(1) => s01_couplers_to_xbar_AWVALID,
s_axi_awvalid(0) => '0',
s_axi_bid(14 downto 0) => NLW_xbar_s_axi_bid_UNCONNECTED(14 downto 0),
s_axi_bready(4) => '0',
s_axi_bready(3) => s03_couplers_to_xbar_BREADY,
s_axi_bready(2) => '1',
s_axi_bready(1) => s01_couplers_to_xbar_BREADY,
s_axi_bready(0) => '0',
s_axi_bresp(9 downto 8) => NLW_xbar_s_axi_bresp_UNCONNECTED(9 downto 8),
s_axi_bresp(7 downto 6) => s03_couplers_to_xbar_BRESP(7 downto 6),
s_axi_bresp(5 downto 4) => NLW_xbar_s_axi_bresp_UNCONNECTED(5 downto 4),
s_axi_bresp(3 downto 2) => s01_couplers_to_xbar_BRESP(3 downto 2),
s_axi_bresp(1 downto 0) => NLW_xbar_s_axi_bresp_UNCONNECTED(1 downto 0),
s_axi_bvalid(4) => NLW_xbar_s_axi_bvalid_UNCONNECTED(4),
s_axi_bvalid(3) => s03_couplers_to_xbar_BVALID(3),
s_axi_bvalid(2) => NLW_xbar_s_axi_bvalid_UNCONNECTED(2),
s_axi_bvalid(1) => s01_couplers_to_xbar_BVALID(1),
s_axi_bvalid(0) => NLW_xbar_s_axi_bvalid_UNCONNECTED(0),
s_axi_rdata(159 downto 128) => s04_couplers_to_xbar_RDATA(159 downto 128),
s_axi_rdata(127 downto 96) => NLW_xbar_s_axi_rdata_UNCONNECTED(127 downto 96),
s_axi_rdata(95 downto 64) => s02_couplers_to_xbar_RDATA(95 downto 64),
s_axi_rdata(63 downto 32) => NLW_xbar_s_axi_rdata_UNCONNECTED(63 downto 32),
s_axi_rdata(31 downto 0) => s00_couplers_to_xbar_RDATA(31 downto 0),
s_axi_rid(14 downto 0) => NLW_xbar_s_axi_rid_UNCONNECTED(14 downto 0),
s_axi_rlast(4) => s04_couplers_to_xbar_RLAST(4),
s_axi_rlast(3) => NLW_xbar_s_axi_rlast_UNCONNECTED(3),
s_axi_rlast(2) => s02_couplers_to_xbar_RLAST(2),
s_axi_rlast(1) => NLW_xbar_s_axi_rlast_UNCONNECTED(1),
s_axi_rlast(0) => s00_couplers_to_xbar_RLAST(0),
s_axi_rready(4) => s04_couplers_to_xbar_RREADY,
s_axi_rready(3) => '0',
s_axi_rready(2) => s02_couplers_to_xbar_RREADY,
s_axi_rready(1) => '0',
s_axi_rready(0) => s00_couplers_to_xbar_RREADY(0),
s_axi_rresp(9 downto 8) => s04_couplers_to_xbar_RRESP(9 downto 8),
s_axi_rresp(7 downto 6) => NLW_xbar_s_axi_rresp_UNCONNECTED(7 downto 6),
s_axi_rresp(5 downto 4) => s02_couplers_to_xbar_RRESP(5 downto 4),
s_axi_rresp(3 downto 2) => NLW_xbar_s_axi_rresp_UNCONNECTED(3 downto 2),
s_axi_rresp(1 downto 0) => s00_couplers_to_xbar_RRESP(1 downto 0),
s_axi_rvalid(4) => s04_couplers_to_xbar_RVALID(4),
s_axi_rvalid(3) => NLW_xbar_s_axi_rvalid_UNCONNECTED(3),
s_axi_rvalid(2) => s02_couplers_to_xbar_RVALID(2),
s_axi_rvalid(1) => NLW_xbar_s_axi_rvalid_UNCONNECTED(1),
s_axi_rvalid(0) => s00_couplers_to_xbar_RVALID(0),
s_axi_wdata(159 downto 128) => B"00000000000000000000000000000000",
s_axi_wdata(127 downto 96) => s03_couplers_to_xbar_WDATA(31 downto 0),
s_axi_wdata(95 downto 64) => B"00000000000000000000000000000000",
s_axi_wdata(63 downto 32) => s01_couplers_to_xbar_WDATA(31 downto 0),
s_axi_wdata(31 downto 0) => B"00000000000000000000000000000000",
s_axi_wlast(4) => '0',
s_axi_wlast(3) => s03_couplers_to_xbar_WLAST,
s_axi_wlast(2) => '1',
s_axi_wlast(1) => s01_couplers_to_xbar_WLAST,
s_axi_wlast(0) => '1',
s_axi_wready(4) => NLW_xbar_s_axi_wready_UNCONNECTED(4),
s_axi_wready(3) => s03_couplers_to_xbar_WREADY(3),
s_axi_wready(2) => NLW_xbar_s_axi_wready_UNCONNECTED(2),
s_axi_wready(1) => s01_couplers_to_xbar_WREADY(1),
s_axi_wready(0) => NLW_xbar_s_axi_wready_UNCONNECTED(0),
s_axi_wstrb(19 downto 16) => B"0000",
s_axi_wstrb(15 downto 12) => s03_couplers_to_xbar_WSTRB(3 downto 0),
s_axi_wstrb(11 downto 8) => B"0000",
s_axi_wstrb(7 downto 4) => s01_couplers_to_xbar_WSTRB(3 downto 0),
s_axi_wstrb(3 downto 0) => B"1111",
s_axi_wvalid(4) => '0',
s_axi_wvalid(3) => s03_couplers_to_xbar_WVALID,
s_axi_wvalid(2) => '1',
s_axi_wvalid(1) => s01_couplers_to_xbar_WVALID,
s_axi_wvalid(0) => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity design_SWandHW_standalone_processing_system7_0_axi_periph_0 is
port (
ACLK : in STD_LOGIC;
ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M00_ACLK : in STD_LOGIC;
M00_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M00_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M00_AXI_arready : in STD_LOGIC_VECTOR ( 0 to 0 );
M00_AXI_arvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
M00_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M00_AXI_awready : in STD_LOGIC_VECTOR ( 0 to 0 );
M00_AXI_awvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
M00_AXI_bready : out STD_LOGIC_VECTOR ( 0 to 0 );
M00_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M00_AXI_bvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
M00_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
M00_AXI_rready : out STD_LOGIC_VECTOR ( 0 to 0 );
M00_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M00_AXI_rvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
M00_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
M00_AXI_wready : in STD_LOGIC_VECTOR ( 0 to 0 );
M00_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
M00_AXI_wvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
M01_ACLK : in STD_LOGIC;
M01_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M01_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M01_AXI_arready : in STD_LOGIC;
M01_AXI_arvalid : out STD_LOGIC;
M01_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M01_AXI_awready : in STD_LOGIC;
M01_AXI_awvalid : out STD_LOGIC;
M01_AXI_bready : out STD_LOGIC;
M01_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M01_AXI_bvalid : in STD_LOGIC;
M01_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
M01_AXI_rready : out STD_LOGIC;
M01_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M01_AXI_rvalid : in STD_LOGIC;
M01_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
M01_AXI_wready : in STD_LOGIC;
M01_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
M01_AXI_wvalid : out STD_LOGIC;
M02_ACLK : in STD_LOGIC;
M02_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M02_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M02_AXI_arready : in STD_LOGIC;
M02_AXI_arvalid : out STD_LOGIC;
M02_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M02_AXI_awready : in STD_LOGIC;
M02_AXI_awvalid : out STD_LOGIC;
M02_AXI_bready : out STD_LOGIC;
M02_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M02_AXI_bvalid : in STD_LOGIC;
M02_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
M02_AXI_rready : out STD_LOGIC;
M02_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M02_AXI_rvalid : in STD_LOGIC;
M02_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
M02_AXI_wready : in STD_LOGIC;
M02_AXI_wvalid : out STD_LOGIC;
M03_ACLK : in STD_LOGIC;
M03_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M03_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M03_AXI_arready : in STD_LOGIC;
M03_AXI_arvalid : out STD_LOGIC;
M03_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M03_AXI_awready : in STD_LOGIC;
M03_AXI_awvalid : out STD_LOGIC;
M03_AXI_bready : out STD_LOGIC;
M03_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M03_AXI_bvalid : in STD_LOGIC;
M03_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
M03_AXI_rready : out STD_LOGIC;
M03_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M03_AXI_rvalid : in STD_LOGIC;
M03_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
M03_AXI_wready : in STD_LOGIC;
M03_AXI_wvalid : out STD_LOGIC;
M04_ACLK : in STD_LOGIC;
M04_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M04_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M04_AXI_arready : in STD_LOGIC;
M04_AXI_arvalid : out STD_LOGIC;
M04_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M04_AXI_awready : in STD_LOGIC;
M04_AXI_awvalid : out STD_LOGIC;
M04_AXI_bready : out STD_LOGIC;
M04_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M04_AXI_bvalid : in STD_LOGIC;
M04_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
M04_AXI_rready : out STD_LOGIC;
M04_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M04_AXI_rvalid : in STD_LOGIC;
M04_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
M04_AXI_wready : in STD_LOGIC;
M04_AXI_wvalid : out STD_LOGIC;
M05_ACLK : in STD_LOGIC;
M05_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M05_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M05_AXI_arready : in STD_LOGIC;
M05_AXI_arvalid : out STD_LOGIC;
M05_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M05_AXI_awready : in STD_LOGIC;
M05_AXI_awvalid : out STD_LOGIC;
M05_AXI_bready : out STD_LOGIC;
M05_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M05_AXI_bvalid : in STD_LOGIC;
M05_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
M05_AXI_rready : out STD_LOGIC;
M05_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M05_AXI_rvalid : in STD_LOGIC;
M05_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
M05_AXI_wready : in STD_LOGIC;
M05_AXI_wvalid : out STD_LOGIC;
M06_ACLK : in STD_LOGIC;
M06_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
M06_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M06_AXI_arready : in STD_LOGIC;
M06_AXI_arvalid : out STD_LOGIC;
M06_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
M06_AXI_awready : in STD_LOGIC;
M06_AXI_awvalid : out STD_LOGIC;
M06_AXI_bready : out STD_LOGIC;
M06_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M06_AXI_bvalid : in STD_LOGIC;
M06_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
M06_AXI_rready : out STD_LOGIC;
M06_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
M06_AXI_rvalid : in STD_LOGIC;
M06_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
M06_AXI_wready : in STD_LOGIC;
M06_AXI_wvalid : out STD_LOGIC;
S00_ACLK : in STD_LOGIC;
S00_ARESETN : in STD_LOGIC_VECTOR ( 0 to 0 );
S00_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S00_AXI_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S00_AXI_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_arid : in STD_LOGIC_VECTOR ( 11 downto 0 );
S00_AXI_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
S00_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S00_AXI_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_arready : out STD_LOGIC;
S00_AXI_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S00_AXI_arvalid : in STD_LOGIC;
S00_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
S00_AXI_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
S00_AXI_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_awid : in STD_LOGIC_VECTOR ( 11 downto 0 );
S00_AXI_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 );
S00_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
S00_AXI_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_awready : out STD_LOGIC;
S00_AXI_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
S00_AXI_awvalid : in STD_LOGIC;
S00_AXI_bid : out STD_LOGIC_VECTOR ( 11 downto 0 );
S00_AXI_bready : in STD_LOGIC;
S00_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S00_AXI_bvalid : out STD_LOGIC;
S00_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
S00_AXI_rid : out STD_LOGIC_VECTOR ( 11 downto 0 );
S00_AXI_rlast : out STD_LOGIC;
S00_AXI_rready : in STD_LOGIC;
S00_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
S00_AXI_rvalid : out STD_LOGIC;
S00_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
S00_AXI_wid : in STD_LOGIC_VECTOR ( 11 downto 0 );
S00_AXI_wlast : in STD_LOGIC;
S00_AXI_wready : out STD_LOGIC;
S00_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
S00_AXI_wvalid : in STD_LOGIC
);
end design_SWandHW_standalone_processing_system7_0_axi_periph_0;
architecture STRUCTURE of design_SWandHW_standalone_processing_system7_0_axi_periph_0 is
component design_SWandHW_standalone_xbar_0 is
port (
aclk : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awready : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wready : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_arready : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awaddr : out STD_LOGIC_VECTOR ( 223 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 20 downto 0 );
m_axi_awvalid : out STD_LOGIC_VECTOR ( 6 downto 0 );
m_axi_awready : in STD_LOGIC_VECTOR ( 6 downto 0 );
m_axi_wdata : out STD_LOGIC_VECTOR ( 223 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 27 downto 0 );
m_axi_wvalid : out STD_LOGIC_VECTOR ( 6 downto 0 );
m_axi_wready : in STD_LOGIC_VECTOR ( 6 downto 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 13 downto 0 );
m_axi_bvalid : in STD_LOGIC_VECTOR ( 6 downto 0 );
m_axi_bready : out STD_LOGIC_VECTOR ( 6 downto 0 );
m_axi_araddr : out STD_LOGIC_VECTOR ( 223 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 20 downto 0 );
m_axi_arvalid : out STD_LOGIC_VECTOR ( 6 downto 0 );
m_axi_arready : in STD_LOGIC_VECTOR ( 6 downto 0 );
m_axi_rdata : in STD_LOGIC_VECTOR ( 223 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 13 downto 0 );
m_axi_rvalid : in STD_LOGIC_VECTOR ( 6 downto 0 );
m_axi_rready : out STD_LOGIC_VECTOR ( 6 downto 0 )
);
end component design_SWandHW_standalone_xbar_0;
signal M00_ACLK_1 : STD_LOGIC;
signal M00_ARESETN_1 : STD_LOGIC_VECTOR ( 0 to 0 );
signal M01_ACLK_1 : STD_LOGIC;
signal M01_ARESETN_1 : STD_LOGIC_VECTOR ( 0 to 0 );
signal M02_ACLK_1 : STD_LOGIC;
signal M02_ARESETN_1 : STD_LOGIC_VECTOR ( 0 to 0 );
signal M03_ACLK_1 : STD_LOGIC;
signal M03_ARESETN_1 : STD_LOGIC_VECTOR ( 0 to 0 );
signal M04_ACLK_1 : STD_LOGIC;
signal M04_ARESETN_1 : STD_LOGIC_VECTOR ( 0 to 0 );
signal M05_ACLK_1 : STD_LOGIC;
signal M05_ARESETN_1 : STD_LOGIC_VECTOR ( 0 to 0 );
signal M06_ACLK_1 : STD_LOGIC;
signal M06_ARESETN_1 : STD_LOGIC_VECTOR ( 0 to 0 );
signal S00_ACLK_1 : STD_LOGIC;
signal S00_ARESETN_1 : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_processing_system7_0_axi_periph_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_processing_system7_0_axi_periph_ARREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_processing_system7_0_axi_periph_ARVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_processing_system7_0_axi_periph_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_processing_system7_0_axi_periph_AWREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_processing_system7_0_axi_periph_AWVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_processing_system7_0_axi_periph_BREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_processing_system7_0_axi_periph_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m00_couplers_to_processing_system7_0_axi_periph_BVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_processing_system7_0_axi_periph_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_processing_system7_0_axi_periph_RREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_processing_system7_0_axi_periph_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m00_couplers_to_processing_system7_0_axi_periph_RVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_processing_system7_0_axi_periph_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m00_couplers_to_processing_system7_0_axi_periph_WREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal m00_couplers_to_processing_system7_0_axi_periph_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m00_couplers_to_processing_system7_0_axi_periph_WVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal m01_couplers_to_processing_system7_0_axi_periph_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m01_couplers_to_processing_system7_0_axi_periph_ARREADY : STD_LOGIC;
signal m01_couplers_to_processing_system7_0_axi_periph_ARVALID : STD_LOGIC;
signal m01_couplers_to_processing_system7_0_axi_periph_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m01_couplers_to_processing_system7_0_axi_periph_AWREADY : STD_LOGIC;
signal m01_couplers_to_processing_system7_0_axi_periph_AWVALID : STD_LOGIC;
signal m01_couplers_to_processing_system7_0_axi_periph_BREADY : STD_LOGIC;
signal m01_couplers_to_processing_system7_0_axi_periph_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m01_couplers_to_processing_system7_0_axi_periph_BVALID : STD_LOGIC;
signal m01_couplers_to_processing_system7_0_axi_periph_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m01_couplers_to_processing_system7_0_axi_periph_RREADY : STD_LOGIC;
signal m01_couplers_to_processing_system7_0_axi_periph_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m01_couplers_to_processing_system7_0_axi_periph_RVALID : STD_LOGIC;
signal m01_couplers_to_processing_system7_0_axi_periph_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m01_couplers_to_processing_system7_0_axi_periph_WREADY : STD_LOGIC;
signal m01_couplers_to_processing_system7_0_axi_periph_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal m01_couplers_to_processing_system7_0_axi_periph_WVALID : STD_LOGIC;
signal m02_couplers_to_processing_system7_0_axi_periph_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m02_couplers_to_processing_system7_0_axi_periph_ARREADY : STD_LOGIC;
signal m02_couplers_to_processing_system7_0_axi_periph_ARVALID : STD_LOGIC;
signal m02_couplers_to_processing_system7_0_axi_periph_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m02_couplers_to_processing_system7_0_axi_periph_AWREADY : STD_LOGIC;
signal m02_couplers_to_processing_system7_0_axi_periph_AWVALID : STD_LOGIC;
signal m02_couplers_to_processing_system7_0_axi_periph_BREADY : STD_LOGIC;
signal m02_couplers_to_processing_system7_0_axi_periph_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m02_couplers_to_processing_system7_0_axi_periph_BVALID : STD_LOGIC;
signal m02_couplers_to_processing_system7_0_axi_periph_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m02_couplers_to_processing_system7_0_axi_periph_RREADY : STD_LOGIC;
signal m02_couplers_to_processing_system7_0_axi_periph_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m02_couplers_to_processing_system7_0_axi_periph_RVALID : STD_LOGIC;
signal m02_couplers_to_processing_system7_0_axi_periph_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m02_couplers_to_processing_system7_0_axi_periph_WREADY : STD_LOGIC;
signal m02_couplers_to_processing_system7_0_axi_periph_WVALID : STD_LOGIC;
signal m03_couplers_to_processing_system7_0_axi_periph_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m03_couplers_to_processing_system7_0_axi_periph_ARREADY : STD_LOGIC;
signal m03_couplers_to_processing_system7_0_axi_periph_ARVALID : STD_LOGIC;
signal m03_couplers_to_processing_system7_0_axi_periph_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m03_couplers_to_processing_system7_0_axi_periph_AWREADY : STD_LOGIC;
signal m03_couplers_to_processing_system7_0_axi_periph_AWVALID : STD_LOGIC;
signal m03_couplers_to_processing_system7_0_axi_periph_BREADY : STD_LOGIC;
signal m03_couplers_to_processing_system7_0_axi_periph_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m03_couplers_to_processing_system7_0_axi_periph_BVALID : STD_LOGIC;
signal m03_couplers_to_processing_system7_0_axi_periph_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m03_couplers_to_processing_system7_0_axi_periph_RREADY : STD_LOGIC;
signal m03_couplers_to_processing_system7_0_axi_periph_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m03_couplers_to_processing_system7_0_axi_periph_RVALID : STD_LOGIC;
signal m03_couplers_to_processing_system7_0_axi_periph_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m03_couplers_to_processing_system7_0_axi_periph_WREADY : STD_LOGIC;
signal m03_couplers_to_processing_system7_0_axi_periph_WVALID : STD_LOGIC;
signal m04_couplers_to_processing_system7_0_axi_periph_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m04_couplers_to_processing_system7_0_axi_periph_ARREADY : STD_LOGIC;
signal m04_couplers_to_processing_system7_0_axi_periph_ARVALID : STD_LOGIC;
signal m04_couplers_to_processing_system7_0_axi_periph_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m04_couplers_to_processing_system7_0_axi_periph_AWREADY : STD_LOGIC;
signal m04_couplers_to_processing_system7_0_axi_periph_AWVALID : STD_LOGIC;
signal m04_couplers_to_processing_system7_0_axi_periph_BREADY : STD_LOGIC;
signal m04_couplers_to_processing_system7_0_axi_periph_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m04_couplers_to_processing_system7_0_axi_periph_BVALID : STD_LOGIC;
signal m04_couplers_to_processing_system7_0_axi_periph_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m04_couplers_to_processing_system7_0_axi_periph_RREADY : STD_LOGIC;
signal m04_couplers_to_processing_system7_0_axi_periph_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m04_couplers_to_processing_system7_0_axi_periph_RVALID : STD_LOGIC;
signal m04_couplers_to_processing_system7_0_axi_periph_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m04_couplers_to_processing_system7_0_axi_periph_WREADY : STD_LOGIC;
signal m04_couplers_to_processing_system7_0_axi_periph_WVALID : STD_LOGIC;
signal m05_couplers_to_processing_system7_0_axi_periph_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m05_couplers_to_processing_system7_0_axi_periph_ARREADY : STD_LOGIC;
signal m05_couplers_to_processing_system7_0_axi_periph_ARVALID : STD_LOGIC;
signal m05_couplers_to_processing_system7_0_axi_periph_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m05_couplers_to_processing_system7_0_axi_periph_AWREADY : STD_LOGIC;
signal m05_couplers_to_processing_system7_0_axi_periph_AWVALID : STD_LOGIC;
signal m05_couplers_to_processing_system7_0_axi_periph_BREADY : STD_LOGIC;
signal m05_couplers_to_processing_system7_0_axi_periph_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m05_couplers_to_processing_system7_0_axi_periph_BVALID : STD_LOGIC;
signal m05_couplers_to_processing_system7_0_axi_periph_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m05_couplers_to_processing_system7_0_axi_periph_RREADY : STD_LOGIC;
signal m05_couplers_to_processing_system7_0_axi_periph_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m05_couplers_to_processing_system7_0_axi_periph_RVALID : STD_LOGIC;
signal m05_couplers_to_processing_system7_0_axi_periph_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m05_couplers_to_processing_system7_0_axi_periph_WREADY : STD_LOGIC;
signal m05_couplers_to_processing_system7_0_axi_periph_WVALID : STD_LOGIC;
signal m06_couplers_to_processing_system7_0_axi_periph_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m06_couplers_to_processing_system7_0_axi_periph_ARREADY : STD_LOGIC;
signal m06_couplers_to_processing_system7_0_axi_periph_ARVALID : STD_LOGIC;
signal m06_couplers_to_processing_system7_0_axi_periph_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m06_couplers_to_processing_system7_0_axi_periph_AWREADY : STD_LOGIC;
signal m06_couplers_to_processing_system7_0_axi_periph_AWVALID : STD_LOGIC;
signal m06_couplers_to_processing_system7_0_axi_periph_BREADY : STD_LOGIC;
signal m06_couplers_to_processing_system7_0_axi_periph_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m06_couplers_to_processing_system7_0_axi_periph_BVALID : STD_LOGIC;
signal m06_couplers_to_processing_system7_0_axi_periph_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m06_couplers_to_processing_system7_0_axi_periph_RREADY : STD_LOGIC;
signal m06_couplers_to_processing_system7_0_axi_periph_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal m06_couplers_to_processing_system7_0_axi_periph_RVALID : STD_LOGIC;
signal m06_couplers_to_processing_system7_0_axi_periph_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal m06_couplers_to_processing_system7_0_axi_periph_WREADY : STD_LOGIC;
signal m06_couplers_to_processing_system7_0_axi_periph_WVALID : STD_LOGIC;
signal processing_system7_0_axi_periph_ACLK_net : STD_LOGIC;
signal processing_system7_0_axi_periph_ARESETN_net : STD_LOGIC_VECTOR ( 0 to 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_ARID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_ARLEN : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_ARLOCK : STD_LOGIC_VECTOR ( 1 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_ARREADY : STD_LOGIC;
signal processing_system7_0_axi_periph_to_s00_couplers_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_ARVALID : STD_LOGIC;
signal processing_system7_0_axi_periph_to_s00_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_AWID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_AWLEN : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_AWLOCK : STD_LOGIC_VECTOR ( 1 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_AWREADY : STD_LOGIC;
signal processing_system7_0_axi_periph_to_s00_couplers_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_AWVALID : STD_LOGIC;
signal processing_system7_0_axi_periph_to_s00_couplers_BID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_BREADY : STD_LOGIC;
signal processing_system7_0_axi_periph_to_s00_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_BVALID : STD_LOGIC;
signal processing_system7_0_axi_periph_to_s00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_RID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_RLAST : STD_LOGIC;
signal processing_system7_0_axi_periph_to_s00_couplers_RREADY : STD_LOGIC;
signal processing_system7_0_axi_periph_to_s00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_RVALID : STD_LOGIC;
signal processing_system7_0_axi_periph_to_s00_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_WID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_WLAST : STD_LOGIC;
signal processing_system7_0_axi_periph_to_s00_couplers_WREADY : STD_LOGIC;
signal processing_system7_0_axi_periph_to_s00_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_axi_periph_to_s00_couplers_WVALID : STD_LOGIC;
signal s00_couplers_to_xbar_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s00_couplers_to_xbar_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s00_couplers_to_xbar_ARREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_ARVALID : STD_LOGIC;
signal s00_couplers_to_xbar_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s00_couplers_to_xbar_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal s00_couplers_to_xbar_AWREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_AWVALID : STD_LOGIC;
signal s00_couplers_to_xbar_BREADY : STD_LOGIC;
signal s00_couplers_to_xbar_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s00_couplers_to_xbar_BVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s00_couplers_to_xbar_RREADY : STD_LOGIC;
signal s00_couplers_to_xbar_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal s00_couplers_to_xbar_RVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal s00_couplers_to_xbar_WREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal s00_couplers_to_xbar_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal s00_couplers_to_xbar_WVALID : STD_LOGIC;
signal xbar_to_m00_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal xbar_to_m00_couplers_ARREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_ARVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal xbar_to_m00_couplers_AWREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_AWVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_BREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal xbar_to_m00_couplers_BVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal xbar_to_m00_couplers_RREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal xbar_to_m00_couplers_RVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal xbar_to_m00_couplers_WREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m00_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal xbar_to_m00_couplers_WVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal xbar_to_m01_couplers_ARADDR : STD_LOGIC_VECTOR ( 63 downto 32 );
signal xbar_to_m01_couplers_ARREADY : STD_LOGIC;
signal xbar_to_m01_couplers_ARVALID : STD_LOGIC_VECTOR ( 1 to 1 );
signal xbar_to_m01_couplers_AWADDR : STD_LOGIC_VECTOR ( 63 downto 32 );
signal xbar_to_m01_couplers_AWREADY : STD_LOGIC;
signal xbar_to_m01_couplers_AWVALID : STD_LOGIC_VECTOR ( 1 to 1 );
signal xbar_to_m01_couplers_BREADY : STD_LOGIC_VECTOR ( 1 to 1 );
signal xbar_to_m01_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal xbar_to_m01_couplers_BVALID : STD_LOGIC;
signal xbar_to_m01_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal xbar_to_m01_couplers_RREADY : STD_LOGIC_VECTOR ( 1 to 1 );
signal xbar_to_m01_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal xbar_to_m01_couplers_RVALID : STD_LOGIC;
signal xbar_to_m01_couplers_WDATA : STD_LOGIC_VECTOR ( 63 downto 32 );
signal xbar_to_m01_couplers_WREADY : STD_LOGIC;
signal xbar_to_m01_couplers_WSTRB : STD_LOGIC_VECTOR ( 7 downto 4 );
signal xbar_to_m01_couplers_WVALID : STD_LOGIC_VECTOR ( 1 to 1 );
signal xbar_to_m02_couplers_ARADDR : STD_LOGIC_VECTOR ( 95 downto 64 );
signal xbar_to_m02_couplers_ARREADY : STD_LOGIC;
signal xbar_to_m02_couplers_ARVALID : STD_LOGIC_VECTOR ( 2 to 2 );
signal xbar_to_m02_couplers_AWADDR : STD_LOGIC_VECTOR ( 95 downto 64 );
signal xbar_to_m02_couplers_AWREADY : STD_LOGIC;
signal xbar_to_m02_couplers_AWVALID : STD_LOGIC_VECTOR ( 2 to 2 );
signal xbar_to_m02_couplers_BREADY : STD_LOGIC_VECTOR ( 2 to 2 );
signal xbar_to_m02_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal xbar_to_m02_couplers_BVALID : STD_LOGIC;
signal xbar_to_m02_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal xbar_to_m02_couplers_RREADY : STD_LOGIC_VECTOR ( 2 to 2 );
signal xbar_to_m02_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal xbar_to_m02_couplers_RVALID : STD_LOGIC;
signal xbar_to_m02_couplers_WDATA : STD_LOGIC_VECTOR ( 95 downto 64 );
signal xbar_to_m02_couplers_WREADY : STD_LOGIC;
signal xbar_to_m02_couplers_WVALID : STD_LOGIC_VECTOR ( 2 to 2 );
signal xbar_to_m03_couplers_ARADDR : STD_LOGIC_VECTOR ( 127 downto 96 );
signal xbar_to_m03_couplers_ARREADY : STD_LOGIC;
signal xbar_to_m03_couplers_ARVALID : STD_LOGIC_VECTOR ( 3 to 3 );
signal xbar_to_m03_couplers_AWADDR : STD_LOGIC_VECTOR ( 127 downto 96 );
signal xbar_to_m03_couplers_AWREADY : STD_LOGIC;
signal xbar_to_m03_couplers_AWVALID : STD_LOGIC_VECTOR ( 3 to 3 );
signal xbar_to_m03_couplers_BREADY : STD_LOGIC_VECTOR ( 3 to 3 );
signal xbar_to_m03_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal xbar_to_m03_couplers_BVALID : STD_LOGIC;
signal xbar_to_m03_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal xbar_to_m03_couplers_RREADY : STD_LOGIC_VECTOR ( 3 to 3 );
signal xbar_to_m03_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal xbar_to_m03_couplers_RVALID : STD_LOGIC;
signal xbar_to_m03_couplers_WDATA : STD_LOGIC_VECTOR ( 127 downto 96 );
signal xbar_to_m03_couplers_WREADY : STD_LOGIC;
signal xbar_to_m03_couplers_WVALID : STD_LOGIC_VECTOR ( 3 to 3 );
signal xbar_to_m04_couplers_ARADDR : STD_LOGIC_VECTOR ( 159 downto 128 );
signal xbar_to_m04_couplers_ARREADY : STD_LOGIC;
signal xbar_to_m04_couplers_ARVALID : STD_LOGIC_VECTOR ( 4 to 4 );
signal xbar_to_m04_couplers_AWADDR : STD_LOGIC_VECTOR ( 159 downto 128 );
signal xbar_to_m04_couplers_AWREADY : STD_LOGIC;
signal xbar_to_m04_couplers_AWVALID : STD_LOGIC_VECTOR ( 4 to 4 );
signal xbar_to_m04_couplers_BREADY : STD_LOGIC_VECTOR ( 4 to 4 );
signal xbar_to_m04_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal xbar_to_m04_couplers_BVALID : STD_LOGIC;
signal xbar_to_m04_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal xbar_to_m04_couplers_RREADY : STD_LOGIC_VECTOR ( 4 to 4 );
signal xbar_to_m04_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal xbar_to_m04_couplers_RVALID : STD_LOGIC;
signal xbar_to_m04_couplers_WDATA : STD_LOGIC_VECTOR ( 159 downto 128 );
signal xbar_to_m04_couplers_WREADY : STD_LOGIC;
signal xbar_to_m04_couplers_WVALID : STD_LOGIC_VECTOR ( 4 to 4 );
signal xbar_to_m05_couplers_ARADDR : STD_LOGIC_VECTOR ( 191 downto 160 );
signal xbar_to_m05_couplers_ARREADY : STD_LOGIC;
signal xbar_to_m05_couplers_ARVALID : STD_LOGIC_VECTOR ( 5 to 5 );
signal xbar_to_m05_couplers_AWADDR : STD_LOGIC_VECTOR ( 191 downto 160 );
signal xbar_to_m05_couplers_AWREADY : STD_LOGIC;
signal xbar_to_m05_couplers_AWVALID : STD_LOGIC_VECTOR ( 5 to 5 );
signal xbar_to_m05_couplers_BREADY : STD_LOGIC_VECTOR ( 5 to 5 );
signal xbar_to_m05_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal xbar_to_m05_couplers_BVALID : STD_LOGIC;
signal xbar_to_m05_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal xbar_to_m05_couplers_RREADY : STD_LOGIC_VECTOR ( 5 to 5 );
signal xbar_to_m05_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal xbar_to_m05_couplers_RVALID : STD_LOGIC;
signal xbar_to_m05_couplers_WDATA : STD_LOGIC_VECTOR ( 191 downto 160 );
signal xbar_to_m05_couplers_WREADY : STD_LOGIC;
signal xbar_to_m05_couplers_WVALID : STD_LOGIC_VECTOR ( 5 to 5 );
signal xbar_to_m06_couplers_ARADDR : STD_LOGIC_VECTOR ( 223 downto 192 );
signal xbar_to_m06_couplers_ARREADY : STD_LOGIC;
signal xbar_to_m06_couplers_ARVALID : STD_LOGIC_VECTOR ( 6 to 6 );
signal xbar_to_m06_couplers_AWADDR : STD_LOGIC_VECTOR ( 223 downto 192 );
signal xbar_to_m06_couplers_AWREADY : STD_LOGIC;
signal xbar_to_m06_couplers_AWVALID : STD_LOGIC_VECTOR ( 6 to 6 );
signal xbar_to_m06_couplers_BREADY : STD_LOGIC_VECTOR ( 6 to 6 );
signal xbar_to_m06_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal xbar_to_m06_couplers_BVALID : STD_LOGIC;
signal xbar_to_m06_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal xbar_to_m06_couplers_RREADY : STD_LOGIC_VECTOR ( 6 to 6 );
signal xbar_to_m06_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal xbar_to_m06_couplers_RVALID : STD_LOGIC;
signal xbar_to_m06_couplers_WDATA : STD_LOGIC_VECTOR ( 223 downto 192 );
signal xbar_to_m06_couplers_WREADY : STD_LOGIC;
signal xbar_to_m06_couplers_WVALID : STD_LOGIC_VECTOR ( 6 to 6 );
signal NLW_xbar_m_axi_arprot_UNCONNECTED : STD_LOGIC_VECTOR ( 20 downto 0 );
signal NLW_xbar_m_axi_awprot_UNCONNECTED : STD_LOGIC_VECTOR ( 20 downto 0 );
signal NLW_xbar_m_axi_wstrb_UNCONNECTED : STD_LOGIC_VECTOR ( 27 downto 8 );
begin
M00_ACLK_1 <= M00_ACLK;
M00_ARESETN_1(0) <= M00_ARESETN(0);
M00_AXI_araddr(31 downto 0) <= m00_couplers_to_processing_system7_0_axi_periph_ARADDR(31 downto 0);
M00_AXI_arvalid(0) <= m00_couplers_to_processing_system7_0_axi_periph_ARVALID(0);
M00_AXI_awaddr(31 downto 0) <= m00_couplers_to_processing_system7_0_axi_periph_AWADDR(31 downto 0);
M00_AXI_awvalid(0) <= m00_couplers_to_processing_system7_0_axi_periph_AWVALID(0);
M00_AXI_bready(0) <= m00_couplers_to_processing_system7_0_axi_periph_BREADY(0);
M00_AXI_rready(0) <= m00_couplers_to_processing_system7_0_axi_periph_RREADY(0);
M00_AXI_wdata(31 downto 0) <= m00_couplers_to_processing_system7_0_axi_periph_WDATA(31 downto 0);
M00_AXI_wstrb(3 downto 0) <= m00_couplers_to_processing_system7_0_axi_periph_WSTRB(3 downto 0);
M00_AXI_wvalid(0) <= m00_couplers_to_processing_system7_0_axi_periph_WVALID(0);
M01_ACLK_1 <= M01_ACLK;
M01_ARESETN_1(0) <= M01_ARESETN(0);
M01_AXI_araddr(31 downto 0) <= m01_couplers_to_processing_system7_0_axi_periph_ARADDR(31 downto 0);
M01_AXI_arvalid <= m01_couplers_to_processing_system7_0_axi_periph_ARVALID;
M01_AXI_awaddr(31 downto 0) <= m01_couplers_to_processing_system7_0_axi_periph_AWADDR(31 downto 0);
M01_AXI_awvalid <= m01_couplers_to_processing_system7_0_axi_periph_AWVALID;
M01_AXI_bready <= m01_couplers_to_processing_system7_0_axi_periph_BREADY;
M01_AXI_rready <= m01_couplers_to_processing_system7_0_axi_periph_RREADY;
M01_AXI_wdata(31 downto 0) <= m01_couplers_to_processing_system7_0_axi_periph_WDATA(31 downto 0);
M01_AXI_wstrb(3 downto 0) <= m01_couplers_to_processing_system7_0_axi_periph_WSTRB(3 downto 0);
M01_AXI_wvalid <= m01_couplers_to_processing_system7_0_axi_periph_WVALID;
M02_ACLK_1 <= M02_ACLK;
M02_ARESETN_1(0) <= M02_ARESETN(0);
M02_AXI_araddr(31 downto 0) <= m02_couplers_to_processing_system7_0_axi_periph_ARADDR(31 downto 0);
M02_AXI_arvalid <= m02_couplers_to_processing_system7_0_axi_periph_ARVALID;
M02_AXI_awaddr(31 downto 0) <= m02_couplers_to_processing_system7_0_axi_periph_AWADDR(31 downto 0);
M02_AXI_awvalid <= m02_couplers_to_processing_system7_0_axi_periph_AWVALID;
M02_AXI_bready <= m02_couplers_to_processing_system7_0_axi_periph_BREADY;
M02_AXI_rready <= m02_couplers_to_processing_system7_0_axi_periph_RREADY;
M02_AXI_wdata(31 downto 0) <= m02_couplers_to_processing_system7_0_axi_periph_WDATA(31 downto 0);
M02_AXI_wvalid <= m02_couplers_to_processing_system7_0_axi_periph_WVALID;
M03_ACLK_1 <= M03_ACLK;
M03_ARESETN_1(0) <= M03_ARESETN(0);
M03_AXI_araddr(31 downto 0) <= m03_couplers_to_processing_system7_0_axi_periph_ARADDR(31 downto 0);
M03_AXI_arvalid <= m03_couplers_to_processing_system7_0_axi_periph_ARVALID;
M03_AXI_awaddr(31 downto 0) <= m03_couplers_to_processing_system7_0_axi_periph_AWADDR(31 downto 0);
M03_AXI_awvalid <= m03_couplers_to_processing_system7_0_axi_periph_AWVALID;
M03_AXI_bready <= m03_couplers_to_processing_system7_0_axi_periph_BREADY;
M03_AXI_rready <= m03_couplers_to_processing_system7_0_axi_periph_RREADY;
M03_AXI_wdata(31 downto 0) <= m03_couplers_to_processing_system7_0_axi_periph_WDATA(31 downto 0);
M03_AXI_wvalid <= m03_couplers_to_processing_system7_0_axi_periph_WVALID;
M04_ACLK_1 <= M04_ACLK;
M04_ARESETN_1(0) <= M04_ARESETN(0);
M04_AXI_araddr(31 downto 0) <= m04_couplers_to_processing_system7_0_axi_periph_ARADDR(31 downto 0);
M04_AXI_arvalid <= m04_couplers_to_processing_system7_0_axi_periph_ARVALID;
M04_AXI_awaddr(31 downto 0) <= m04_couplers_to_processing_system7_0_axi_periph_AWADDR(31 downto 0);
M04_AXI_awvalid <= m04_couplers_to_processing_system7_0_axi_periph_AWVALID;
M04_AXI_bready <= m04_couplers_to_processing_system7_0_axi_periph_BREADY;
M04_AXI_rready <= m04_couplers_to_processing_system7_0_axi_periph_RREADY;
M04_AXI_wdata(31 downto 0) <= m04_couplers_to_processing_system7_0_axi_periph_WDATA(31 downto 0);
M04_AXI_wvalid <= m04_couplers_to_processing_system7_0_axi_periph_WVALID;
M05_ACLK_1 <= M05_ACLK;
M05_ARESETN_1(0) <= M05_ARESETN(0);
M05_AXI_araddr(31 downto 0) <= m05_couplers_to_processing_system7_0_axi_periph_ARADDR(31 downto 0);
M05_AXI_arvalid <= m05_couplers_to_processing_system7_0_axi_periph_ARVALID;
M05_AXI_awaddr(31 downto 0) <= m05_couplers_to_processing_system7_0_axi_periph_AWADDR(31 downto 0);
M05_AXI_awvalid <= m05_couplers_to_processing_system7_0_axi_periph_AWVALID;
M05_AXI_bready <= m05_couplers_to_processing_system7_0_axi_periph_BREADY;
M05_AXI_rready <= m05_couplers_to_processing_system7_0_axi_periph_RREADY;
M05_AXI_wdata(31 downto 0) <= m05_couplers_to_processing_system7_0_axi_periph_WDATA(31 downto 0);
M05_AXI_wvalid <= m05_couplers_to_processing_system7_0_axi_periph_WVALID;
M06_ACLK_1 <= M06_ACLK;
M06_ARESETN_1(0) <= M06_ARESETN(0);
M06_AXI_araddr(31 downto 0) <= m06_couplers_to_processing_system7_0_axi_periph_ARADDR(31 downto 0);
M06_AXI_arvalid <= m06_couplers_to_processing_system7_0_axi_periph_ARVALID;
M06_AXI_awaddr(31 downto 0) <= m06_couplers_to_processing_system7_0_axi_periph_AWADDR(31 downto 0);
M06_AXI_awvalid <= m06_couplers_to_processing_system7_0_axi_periph_AWVALID;
M06_AXI_bready <= m06_couplers_to_processing_system7_0_axi_periph_BREADY;
M06_AXI_rready <= m06_couplers_to_processing_system7_0_axi_periph_RREADY;
M06_AXI_wdata(31 downto 0) <= m06_couplers_to_processing_system7_0_axi_periph_WDATA(31 downto 0);
M06_AXI_wvalid <= m06_couplers_to_processing_system7_0_axi_periph_WVALID;
S00_ACLK_1 <= S00_ACLK;
S00_ARESETN_1(0) <= S00_ARESETN(0);
S00_AXI_arready <= processing_system7_0_axi_periph_to_s00_couplers_ARREADY;
S00_AXI_awready <= processing_system7_0_axi_periph_to_s00_couplers_AWREADY;
S00_AXI_bid(11 downto 0) <= processing_system7_0_axi_periph_to_s00_couplers_BID(11 downto 0);
S00_AXI_bresp(1 downto 0) <= processing_system7_0_axi_periph_to_s00_couplers_BRESP(1 downto 0);
S00_AXI_bvalid <= processing_system7_0_axi_periph_to_s00_couplers_BVALID;
S00_AXI_rdata(31 downto 0) <= processing_system7_0_axi_periph_to_s00_couplers_RDATA(31 downto 0);
S00_AXI_rid(11 downto 0) <= processing_system7_0_axi_periph_to_s00_couplers_RID(11 downto 0);
S00_AXI_rlast <= processing_system7_0_axi_periph_to_s00_couplers_RLAST;
S00_AXI_rresp(1 downto 0) <= processing_system7_0_axi_periph_to_s00_couplers_RRESP(1 downto 0);
S00_AXI_rvalid <= processing_system7_0_axi_periph_to_s00_couplers_RVALID;
S00_AXI_wready <= processing_system7_0_axi_periph_to_s00_couplers_WREADY;
m00_couplers_to_processing_system7_0_axi_periph_ARREADY(0) <= M00_AXI_arready(0);
m00_couplers_to_processing_system7_0_axi_periph_AWREADY(0) <= M00_AXI_awready(0);
m00_couplers_to_processing_system7_0_axi_periph_BRESP(1 downto 0) <= M00_AXI_bresp(1 downto 0);
m00_couplers_to_processing_system7_0_axi_periph_BVALID(0) <= M00_AXI_bvalid(0);
m00_couplers_to_processing_system7_0_axi_periph_RDATA(31 downto 0) <= M00_AXI_rdata(31 downto 0);
m00_couplers_to_processing_system7_0_axi_periph_RRESP(1 downto 0) <= M00_AXI_rresp(1 downto 0);
m00_couplers_to_processing_system7_0_axi_periph_RVALID(0) <= M00_AXI_rvalid(0);
m00_couplers_to_processing_system7_0_axi_periph_WREADY(0) <= M00_AXI_wready(0);
m01_couplers_to_processing_system7_0_axi_periph_ARREADY <= M01_AXI_arready;
m01_couplers_to_processing_system7_0_axi_periph_AWREADY <= M01_AXI_awready;
m01_couplers_to_processing_system7_0_axi_periph_BRESP(1 downto 0) <= M01_AXI_bresp(1 downto 0);
m01_couplers_to_processing_system7_0_axi_periph_BVALID <= M01_AXI_bvalid;
m01_couplers_to_processing_system7_0_axi_periph_RDATA(31 downto 0) <= M01_AXI_rdata(31 downto 0);
m01_couplers_to_processing_system7_0_axi_periph_RRESP(1 downto 0) <= M01_AXI_rresp(1 downto 0);
m01_couplers_to_processing_system7_0_axi_periph_RVALID <= M01_AXI_rvalid;
m01_couplers_to_processing_system7_0_axi_periph_WREADY <= M01_AXI_wready;
m02_couplers_to_processing_system7_0_axi_periph_ARREADY <= M02_AXI_arready;
m02_couplers_to_processing_system7_0_axi_periph_AWREADY <= M02_AXI_awready;
m02_couplers_to_processing_system7_0_axi_periph_BRESP(1 downto 0) <= M02_AXI_bresp(1 downto 0);
m02_couplers_to_processing_system7_0_axi_periph_BVALID <= M02_AXI_bvalid;
m02_couplers_to_processing_system7_0_axi_periph_RDATA(31 downto 0) <= M02_AXI_rdata(31 downto 0);
m02_couplers_to_processing_system7_0_axi_periph_RRESP(1 downto 0) <= M02_AXI_rresp(1 downto 0);
m02_couplers_to_processing_system7_0_axi_periph_RVALID <= M02_AXI_rvalid;
m02_couplers_to_processing_system7_0_axi_periph_WREADY <= M02_AXI_wready;
m03_couplers_to_processing_system7_0_axi_periph_ARREADY <= M03_AXI_arready;
m03_couplers_to_processing_system7_0_axi_periph_AWREADY <= M03_AXI_awready;
m03_couplers_to_processing_system7_0_axi_periph_BRESP(1 downto 0) <= M03_AXI_bresp(1 downto 0);
m03_couplers_to_processing_system7_0_axi_periph_BVALID <= M03_AXI_bvalid;
m03_couplers_to_processing_system7_0_axi_periph_RDATA(31 downto 0) <= M03_AXI_rdata(31 downto 0);
m03_couplers_to_processing_system7_0_axi_periph_RRESP(1 downto 0) <= M03_AXI_rresp(1 downto 0);
m03_couplers_to_processing_system7_0_axi_periph_RVALID <= M03_AXI_rvalid;
m03_couplers_to_processing_system7_0_axi_periph_WREADY <= M03_AXI_wready;
m04_couplers_to_processing_system7_0_axi_periph_ARREADY <= M04_AXI_arready;
m04_couplers_to_processing_system7_0_axi_periph_AWREADY <= M04_AXI_awready;
m04_couplers_to_processing_system7_0_axi_periph_BRESP(1 downto 0) <= M04_AXI_bresp(1 downto 0);
m04_couplers_to_processing_system7_0_axi_periph_BVALID <= M04_AXI_bvalid;
m04_couplers_to_processing_system7_0_axi_periph_RDATA(31 downto 0) <= M04_AXI_rdata(31 downto 0);
m04_couplers_to_processing_system7_0_axi_periph_RRESP(1 downto 0) <= M04_AXI_rresp(1 downto 0);
m04_couplers_to_processing_system7_0_axi_periph_RVALID <= M04_AXI_rvalid;
m04_couplers_to_processing_system7_0_axi_periph_WREADY <= M04_AXI_wready;
m05_couplers_to_processing_system7_0_axi_periph_ARREADY <= M05_AXI_arready;
m05_couplers_to_processing_system7_0_axi_periph_AWREADY <= M05_AXI_awready;
m05_couplers_to_processing_system7_0_axi_periph_BRESP(1 downto 0) <= M05_AXI_bresp(1 downto 0);
m05_couplers_to_processing_system7_0_axi_periph_BVALID <= M05_AXI_bvalid;
m05_couplers_to_processing_system7_0_axi_periph_RDATA(31 downto 0) <= M05_AXI_rdata(31 downto 0);
m05_couplers_to_processing_system7_0_axi_periph_RRESP(1 downto 0) <= M05_AXI_rresp(1 downto 0);
m05_couplers_to_processing_system7_0_axi_periph_RVALID <= M05_AXI_rvalid;
m05_couplers_to_processing_system7_0_axi_periph_WREADY <= M05_AXI_wready;
m06_couplers_to_processing_system7_0_axi_periph_ARREADY <= M06_AXI_arready;
m06_couplers_to_processing_system7_0_axi_periph_AWREADY <= M06_AXI_awready;
m06_couplers_to_processing_system7_0_axi_periph_BRESP(1 downto 0) <= M06_AXI_bresp(1 downto 0);
m06_couplers_to_processing_system7_0_axi_periph_BVALID <= M06_AXI_bvalid;
m06_couplers_to_processing_system7_0_axi_periph_RDATA(31 downto 0) <= M06_AXI_rdata(31 downto 0);
m06_couplers_to_processing_system7_0_axi_periph_RRESP(1 downto 0) <= M06_AXI_rresp(1 downto 0);
m06_couplers_to_processing_system7_0_axi_periph_RVALID <= M06_AXI_rvalid;
m06_couplers_to_processing_system7_0_axi_periph_WREADY <= M06_AXI_wready;
processing_system7_0_axi_periph_ACLK_net <= ACLK;
processing_system7_0_axi_periph_ARESETN_net(0) <= ARESETN(0);
processing_system7_0_axi_periph_to_s00_couplers_ARADDR(31 downto 0) <= S00_AXI_araddr(31 downto 0);
processing_system7_0_axi_periph_to_s00_couplers_ARBURST(1 downto 0) <= S00_AXI_arburst(1 downto 0);
processing_system7_0_axi_periph_to_s00_couplers_ARCACHE(3 downto 0) <= S00_AXI_arcache(3 downto 0);
processing_system7_0_axi_periph_to_s00_couplers_ARID(11 downto 0) <= S00_AXI_arid(11 downto 0);
processing_system7_0_axi_periph_to_s00_couplers_ARLEN(3 downto 0) <= S00_AXI_arlen(3 downto 0);
processing_system7_0_axi_periph_to_s00_couplers_ARLOCK(1 downto 0) <= S00_AXI_arlock(1 downto 0);
processing_system7_0_axi_periph_to_s00_couplers_ARPROT(2 downto 0) <= S00_AXI_arprot(2 downto 0);
processing_system7_0_axi_periph_to_s00_couplers_ARQOS(3 downto 0) <= S00_AXI_arqos(3 downto 0);
processing_system7_0_axi_periph_to_s00_couplers_ARSIZE(2 downto 0) <= S00_AXI_arsize(2 downto 0);
processing_system7_0_axi_periph_to_s00_couplers_ARVALID <= S00_AXI_arvalid;
processing_system7_0_axi_periph_to_s00_couplers_AWADDR(31 downto 0) <= S00_AXI_awaddr(31 downto 0);
processing_system7_0_axi_periph_to_s00_couplers_AWBURST(1 downto 0) <= S00_AXI_awburst(1 downto 0);
processing_system7_0_axi_periph_to_s00_couplers_AWCACHE(3 downto 0) <= S00_AXI_awcache(3 downto 0);
processing_system7_0_axi_periph_to_s00_couplers_AWID(11 downto 0) <= S00_AXI_awid(11 downto 0);
processing_system7_0_axi_periph_to_s00_couplers_AWLEN(3 downto 0) <= S00_AXI_awlen(3 downto 0);
processing_system7_0_axi_periph_to_s00_couplers_AWLOCK(1 downto 0) <= S00_AXI_awlock(1 downto 0);
processing_system7_0_axi_periph_to_s00_couplers_AWPROT(2 downto 0) <= S00_AXI_awprot(2 downto 0);
processing_system7_0_axi_periph_to_s00_couplers_AWQOS(3 downto 0) <= S00_AXI_awqos(3 downto 0);
processing_system7_0_axi_periph_to_s00_couplers_AWSIZE(2 downto 0) <= S00_AXI_awsize(2 downto 0);
processing_system7_0_axi_periph_to_s00_couplers_AWVALID <= S00_AXI_awvalid;
processing_system7_0_axi_periph_to_s00_couplers_BREADY <= S00_AXI_bready;
processing_system7_0_axi_periph_to_s00_couplers_RREADY <= S00_AXI_rready;
processing_system7_0_axi_periph_to_s00_couplers_WDATA(31 downto 0) <= S00_AXI_wdata(31 downto 0);
processing_system7_0_axi_periph_to_s00_couplers_WID(11 downto 0) <= S00_AXI_wid(11 downto 0);
processing_system7_0_axi_periph_to_s00_couplers_WLAST <= S00_AXI_wlast;
processing_system7_0_axi_periph_to_s00_couplers_WSTRB(3 downto 0) <= S00_AXI_wstrb(3 downto 0);
processing_system7_0_axi_periph_to_s00_couplers_WVALID <= S00_AXI_wvalid;
m00_couplers: entity work.m00_couplers_imp_1MVOGV6
port map (
M_ACLK => M00_ACLK_1,
M_ARESETN(0) => M00_ARESETN_1(0),
M_AXI_araddr(31 downto 0) => m00_couplers_to_processing_system7_0_axi_periph_ARADDR(31 downto 0),
M_AXI_arready(0) => m00_couplers_to_processing_system7_0_axi_periph_ARREADY(0),
M_AXI_arvalid(0) => m00_couplers_to_processing_system7_0_axi_periph_ARVALID(0),
M_AXI_awaddr(31 downto 0) => m00_couplers_to_processing_system7_0_axi_periph_AWADDR(31 downto 0),
M_AXI_awready(0) => m00_couplers_to_processing_system7_0_axi_periph_AWREADY(0),
M_AXI_awvalid(0) => m00_couplers_to_processing_system7_0_axi_periph_AWVALID(0),
M_AXI_bready(0) => m00_couplers_to_processing_system7_0_axi_periph_BREADY(0),
M_AXI_bresp(1 downto 0) => m00_couplers_to_processing_system7_0_axi_periph_BRESP(1 downto 0),
M_AXI_bvalid(0) => m00_couplers_to_processing_system7_0_axi_periph_BVALID(0),
M_AXI_rdata(31 downto 0) => m00_couplers_to_processing_system7_0_axi_periph_RDATA(31 downto 0),
M_AXI_rready(0) => m00_couplers_to_processing_system7_0_axi_periph_RREADY(0),
M_AXI_rresp(1 downto 0) => m00_couplers_to_processing_system7_0_axi_periph_RRESP(1 downto 0),
M_AXI_rvalid(0) => m00_couplers_to_processing_system7_0_axi_periph_RVALID(0),
M_AXI_wdata(31 downto 0) => m00_couplers_to_processing_system7_0_axi_periph_WDATA(31 downto 0),
M_AXI_wready(0) => m00_couplers_to_processing_system7_0_axi_periph_WREADY(0),
M_AXI_wstrb(3 downto 0) => m00_couplers_to_processing_system7_0_axi_periph_WSTRB(3 downto 0),
M_AXI_wvalid(0) => m00_couplers_to_processing_system7_0_axi_periph_WVALID(0),
S_ACLK => processing_system7_0_axi_periph_ACLK_net,
S_ARESETN(0) => processing_system7_0_axi_periph_ARESETN_net(0),
S_AXI_araddr(31 downto 0) => xbar_to_m00_couplers_ARADDR(31 downto 0),
S_AXI_arready(0) => xbar_to_m00_couplers_ARREADY(0),
S_AXI_arvalid(0) => xbar_to_m00_couplers_ARVALID(0),
S_AXI_awaddr(31 downto 0) => xbar_to_m00_couplers_AWADDR(31 downto 0),
S_AXI_awready(0) => xbar_to_m00_couplers_AWREADY(0),
S_AXI_awvalid(0) => xbar_to_m00_couplers_AWVALID(0),
S_AXI_bready(0) => xbar_to_m00_couplers_BREADY(0),
S_AXI_bresp(1 downto 0) => xbar_to_m00_couplers_BRESP(1 downto 0),
S_AXI_bvalid(0) => xbar_to_m00_couplers_BVALID(0),
S_AXI_rdata(31 downto 0) => xbar_to_m00_couplers_RDATA(31 downto 0),
S_AXI_rready(0) => xbar_to_m00_couplers_RREADY(0),
S_AXI_rresp(1 downto 0) => xbar_to_m00_couplers_RRESP(1 downto 0),
S_AXI_rvalid(0) => xbar_to_m00_couplers_RVALID(0),
S_AXI_wdata(31 downto 0) => xbar_to_m00_couplers_WDATA(31 downto 0),
S_AXI_wready(0) => xbar_to_m00_couplers_WREADY(0),
S_AXI_wstrb(3 downto 0) => xbar_to_m00_couplers_WSTRB(3 downto 0),
S_AXI_wvalid(0) => xbar_to_m00_couplers_WVALID(0)
);
m01_couplers: entity work.m01_couplers_imp_7OD9KA
port map (
M_ACLK => M01_ACLK_1,
M_ARESETN(0) => M01_ARESETN_1(0),
M_AXI_araddr(31 downto 0) => m01_couplers_to_processing_system7_0_axi_periph_ARADDR(31 downto 0),
M_AXI_arready => m01_couplers_to_processing_system7_0_axi_periph_ARREADY,
M_AXI_arvalid => m01_couplers_to_processing_system7_0_axi_periph_ARVALID,
M_AXI_awaddr(31 downto 0) => m01_couplers_to_processing_system7_0_axi_periph_AWADDR(31 downto 0),
M_AXI_awready => m01_couplers_to_processing_system7_0_axi_periph_AWREADY,
M_AXI_awvalid => m01_couplers_to_processing_system7_0_axi_periph_AWVALID,
M_AXI_bready => m01_couplers_to_processing_system7_0_axi_periph_BREADY,
M_AXI_bresp(1 downto 0) => m01_couplers_to_processing_system7_0_axi_periph_BRESP(1 downto 0),
M_AXI_bvalid => m01_couplers_to_processing_system7_0_axi_periph_BVALID,
M_AXI_rdata(31 downto 0) => m01_couplers_to_processing_system7_0_axi_periph_RDATA(31 downto 0),
M_AXI_rready => m01_couplers_to_processing_system7_0_axi_periph_RREADY,
M_AXI_rresp(1 downto 0) => m01_couplers_to_processing_system7_0_axi_periph_RRESP(1 downto 0),
M_AXI_rvalid => m01_couplers_to_processing_system7_0_axi_periph_RVALID,
M_AXI_wdata(31 downto 0) => m01_couplers_to_processing_system7_0_axi_periph_WDATA(31 downto 0),
M_AXI_wready => m01_couplers_to_processing_system7_0_axi_periph_WREADY,
M_AXI_wstrb(3 downto 0) => m01_couplers_to_processing_system7_0_axi_periph_WSTRB(3 downto 0),
M_AXI_wvalid => m01_couplers_to_processing_system7_0_axi_periph_WVALID,
S_ACLK => processing_system7_0_axi_periph_ACLK_net,
S_ARESETN(0) => processing_system7_0_axi_periph_ARESETN_net(0),
S_AXI_araddr(31 downto 0) => xbar_to_m01_couplers_ARADDR(63 downto 32),
S_AXI_arready => xbar_to_m01_couplers_ARREADY,
S_AXI_arvalid => xbar_to_m01_couplers_ARVALID(1),
S_AXI_awaddr(31 downto 0) => xbar_to_m01_couplers_AWADDR(63 downto 32),
S_AXI_awready => xbar_to_m01_couplers_AWREADY,
S_AXI_awvalid => xbar_to_m01_couplers_AWVALID(1),
S_AXI_bready => xbar_to_m01_couplers_BREADY(1),
S_AXI_bresp(1 downto 0) => xbar_to_m01_couplers_BRESP(1 downto 0),
S_AXI_bvalid => xbar_to_m01_couplers_BVALID,
S_AXI_rdata(31 downto 0) => xbar_to_m01_couplers_RDATA(31 downto 0),
S_AXI_rready => xbar_to_m01_couplers_RREADY(1),
S_AXI_rresp(1 downto 0) => xbar_to_m01_couplers_RRESP(1 downto 0),
S_AXI_rvalid => xbar_to_m01_couplers_RVALID,
S_AXI_wdata(31 downto 0) => xbar_to_m01_couplers_WDATA(63 downto 32),
S_AXI_wready => xbar_to_m01_couplers_WREADY,
S_AXI_wstrb(3 downto 0) => xbar_to_m01_couplers_WSTRB(7 downto 4),
S_AXI_wvalid => xbar_to_m01_couplers_WVALID(1)
);
m02_couplers: entity work.m02_couplers_imp_1432F1V
port map (
M_ACLK => M02_ACLK_1,
M_ARESETN(0) => M02_ARESETN_1(0),
M_AXI_araddr(31 downto 0) => m02_couplers_to_processing_system7_0_axi_periph_ARADDR(31 downto 0),
M_AXI_arready => m02_couplers_to_processing_system7_0_axi_periph_ARREADY,
M_AXI_arvalid => m02_couplers_to_processing_system7_0_axi_periph_ARVALID,
M_AXI_awaddr(31 downto 0) => m02_couplers_to_processing_system7_0_axi_periph_AWADDR(31 downto 0),
M_AXI_awready => m02_couplers_to_processing_system7_0_axi_periph_AWREADY,
M_AXI_awvalid => m02_couplers_to_processing_system7_0_axi_periph_AWVALID,
M_AXI_bready => m02_couplers_to_processing_system7_0_axi_periph_BREADY,
M_AXI_bresp(1 downto 0) => m02_couplers_to_processing_system7_0_axi_periph_BRESP(1 downto 0),
M_AXI_bvalid => m02_couplers_to_processing_system7_0_axi_periph_BVALID,
M_AXI_rdata(31 downto 0) => m02_couplers_to_processing_system7_0_axi_periph_RDATA(31 downto 0),
M_AXI_rready => m02_couplers_to_processing_system7_0_axi_periph_RREADY,
M_AXI_rresp(1 downto 0) => m02_couplers_to_processing_system7_0_axi_periph_RRESP(1 downto 0),
M_AXI_rvalid => m02_couplers_to_processing_system7_0_axi_periph_RVALID,
M_AXI_wdata(31 downto 0) => m02_couplers_to_processing_system7_0_axi_periph_WDATA(31 downto 0),
M_AXI_wready => m02_couplers_to_processing_system7_0_axi_periph_WREADY,
M_AXI_wvalid => m02_couplers_to_processing_system7_0_axi_periph_WVALID,
S_ACLK => processing_system7_0_axi_periph_ACLK_net,
S_ARESETN(0) => processing_system7_0_axi_periph_ARESETN_net(0),
S_AXI_araddr(31 downto 0) => xbar_to_m02_couplers_ARADDR(95 downto 64),
S_AXI_arready => xbar_to_m02_couplers_ARREADY,
S_AXI_arvalid => xbar_to_m02_couplers_ARVALID(2),
S_AXI_awaddr(31 downto 0) => xbar_to_m02_couplers_AWADDR(95 downto 64),
S_AXI_awready => xbar_to_m02_couplers_AWREADY,
S_AXI_awvalid => xbar_to_m02_couplers_AWVALID(2),
S_AXI_bready => xbar_to_m02_couplers_BREADY(2),
S_AXI_bresp(1 downto 0) => xbar_to_m02_couplers_BRESP(1 downto 0),
S_AXI_bvalid => xbar_to_m02_couplers_BVALID,
S_AXI_rdata(31 downto 0) => xbar_to_m02_couplers_RDATA(31 downto 0),
S_AXI_rready => xbar_to_m02_couplers_RREADY(2),
S_AXI_rresp(1 downto 0) => xbar_to_m02_couplers_RRESP(1 downto 0),
S_AXI_rvalid => xbar_to_m02_couplers_RVALID,
S_AXI_wdata(31 downto 0) => xbar_to_m02_couplers_WDATA(95 downto 64),
S_AXI_wready => xbar_to_m02_couplers_WREADY,
S_AXI_wvalid => xbar_to_m02_couplers_WVALID(2)
);
m03_couplers: entity work.m03_couplers_imp_QLWQRF
port map (
M_ACLK => M03_ACLK_1,
M_ARESETN(0) => M03_ARESETN_1(0),
M_AXI_araddr(31 downto 0) => m03_couplers_to_processing_system7_0_axi_periph_ARADDR(31 downto 0),
M_AXI_arready => m03_couplers_to_processing_system7_0_axi_periph_ARREADY,
M_AXI_arvalid => m03_couplers_to_processing_system7_0_axi_periph_ARVALID,
M_AXI_awaddr(31 downto 0) => m03_couplers_to_processing_system7_0_axi_periph_AWADDR(31 downto 0),
M_AXI_awready => m03_couplers_to_processing_system7_0_axi_periph_AWREADY,
M_AXI_awvalid => m03_couplers_to_processing_system7_0_axi_periph_AWVALID,
M_AXI_bready => m03_couplers_to_processing_system7_0_axi_periph_BREADY,
M_AXI_bresp(1 downto 0) => m03_couplers_to_processing_system7_0_axi_periph_BRESP(1 downto 0),
M_AXI_bvalid => m03_couplers_to_processing_system7_0_axi_periph_BVALID,
M_AXI_rdata(31 downto 0) => m03_couplers_to_processing_system7_0_axi_periph_RDATA(31 downto 0),
M_AXI_rready => m03_couplers_to_processing_system7_0_axi_periph_RREADY,
M_AXI_rresp(1 downto 0) => m03_couplers_to_processing_system7_0_axi_periph_RRESP(1 downto 0),
M_AXI_rvalid => m03_couplers_to_processing_system7_0_axi_periph_RVALID,
M_AXI_wdata(31 downto 0) => m03_couplers_to_processing_system7_0_axi_periph_WDATA(31 downto 0),
M_AXI_wready => m03_couplers_to_processing_system7_0_axi_periph_WREADY,
M_AXI_wvalid => m03_couplers_to_processing_system7_0_axi_periph_WVALID,
S_ACLK => processing_system7_0_axi_periph_ACLK_net,
S_ARESETN(0) => processing_system7_0_axi_periph_ARESETN_net(0),
S_AXI_araddr(31 downto 0) => xbar_to_m03_couplers_ARADDR(127 downto 96),
S_AXI_arready => xbar_to_m03_couplers_ARREADY,
S_AXI_arvalid => xbar_to_m03_couplers_ARVALID(3),
S_AXI_awaddr(31 downto 0) => xbar_to_m03_couplers_AWADDR(127 downto 96),
S_AXI_awready => xbar_to_m03_couplers_AWREADY,
S_AXI_awvalid => xbar_to_m03_couplers_AWVALID(3),
S_AXI_bready => xbar_to_m03_couplers_BREADY(3),
S_AXI_bresp(1 downto 0) => xbar_to_m03_couplers_BRESP(1 downto 0),
S_AXI_bvalid => xbar_to_m03_couplers_BVALID,
S_AXI_rdata(31 downto 0) => xbar_to_m03_couplers_RDATA(31 downto 0),
S_AXI_rready => xbar_to_m03_couplers_RREADY(3),
S_AXI_rresp(1 downto 0) => xbar_to_m03_couplers_RRESP(1 downto 0),
S_AXI_rvalid => xbar_to_m03_couplers_RVALID,
S_AXI_wdata(31 downto 0) => xbar_to_m03_couplers_WDATA(127 downto 96),
S_AXI_wready => xbar_to_m03_couplers_WREADY,
S_AXI_wvalid => xbar_to_m03_couplers_WVALID(3)
);
m04_couplers: entity work.m04_couplers_imp_PPSTKW
port map (
M_ACLK => M04_ACLK_1,
M_ARESETN(0) => M04_ARESETN_1(0),
M_AXI_araddr(31 downto 0) => m04_couplers_to_processing_system7_0_axi_periph_ARADDR(31 downto 0),
M_AXI_arready => m04_couplers_to_processing_system7_0_axi_periph_ARREADY,
M_AXI_arvalid => m04_couplers_to_processing_system7_0_axi_periph_ARVALID,
M_AXI_awaddr(31 downto 0) => m04_couplers_to_processing_system7_0_axi_periph_AWADDR(31 downto 0),
M_AXI_awready => m04_couplers_to_processing_system7_0_axi_periph_AWREADY,
M_AXI_awvalid => m04_couplers_to_processing_system7_0_axi_periph_AWVALID,
M_AXI_bready => m04_couplers_to_processing_system7_0_axi_periph_BREADY,
M_AXI_bresp(1 downto 0) => m04_couplers_to_processing_system7_0_axi_periph_BRESP(1 downto 0),
M_AXI_bvalid => m04_couplers_to_processing_system7_0_axi_periph_BVALID,
M_AXI_rdata(31 downto 0) => m04_couplers_to_processing_system7_0_axi_periph_RDATA(31 downto 0),
M_AXI_rready => m04_couplers_to_processing_system7_0_axi_periph_RREADY,
M_AXI_rresp(1 downto 0) => m04_couplers_to_processing_system7_0_axi_periph_RRESP(1 downto 0),
M_AXI_rvalid => m04_couplers_to_processing_system7_0_axi_periph_RVALID,
M_AXI_wdata(31 downto 0) => m04_couplers_to_processing_system7_0_axi_periph_WDATA(31 downto 0),
M_AXI_wready => m04_couplers_to_processing_system7_0_axi_periph_WREADY,
M_AXI_wvalid => m04_couplers_to_processing_system7_0_axi_periph_WVALID,
S_ACLK => processing_system7_0_axi_periph_ACLK_net,
S_ARESETN(0) => processing_system7_0_axi_periph_ARESETN_net(0),
S_AXI_araddr(31 downto 0) => xbar_to_m04_couplers_ARADDR(159 downto 128),
S_AXI_arready => xbar_to_m04_couplers_ARREADY,
S_AXI_arvalid => xbar_to_m04_couplers_ARVALID(4),
S_AXI_awaddr(31 downto 0) => xbar_to_m04_couplers_AWADDR(159 downto 128),
S_AXI_awready => xbar_to_m04_couplers_AWREADY,
S_AXI_awvalid => xbar_to_m04_couplers_AWVALID(4),
S_AXI_bready => xbar_to_m04_couplers_BREADY(4),
S_AXI_bresp(1 downto 0) => xbar_to_m04_couplers_BRESP(1 downto 0),
S_AXI_bvalid => xbar_to_m04_couplers_BVALID,
S_AXI_rdata(31 downto 0) => xbar_to_m04_couplers_RDATA(31 downto 0),
S_AXI_rready => xbar_to_m04_couplers_RREADY(4),
S_AXI_rresp(1 downto 0) => xbar_to_m04_couplers_RRESP(1 downto 0),
S_AXI_rvalid => xbar_to_m04_couplers_RVALID,
S_AXI_wdata(31 downto 0) => xbar_to_m04_couplers_WDATA(159 downto 128),
S_AXI_wready => xbar_to_m04_couplers_WREADY,
S_AXI_wvalid => xbar_to_m04_couplers_WVALID(4)
);
m05_couplers: entity work.m05_couplers_imp_14U9M2W
port map (
M_ACLK => M05_ACLK_1,
M_ARESETN(0) => M05_ARESETN_1(0),
M_AXI_araddr(31 downto 0) => m05_couplers_to_processing_system7_0_axi_periph_ARADDR(31 downto 0),
M_AXI_arready => m05_couplers_to_processing_system7_0_axi_periph_ARREADY,
M_AXI_arvalid => m05_couplers_to_processing_system7_0_axi_periph_ARVALID,
M_AXI_awaddr(31 downto 0) => m05_couplers_to_processing_system7_0_axi_periph_AWADDR(31 downto 0),
M_AXI_awready => m05_couplers_to_processing_system7_0_axi_periph_AWREADY,
M_AXI_awvalid => m05_couplers_to_processing_system7_0_axi_periph_AWVALID,
M_AXI_bready => m05_couplers_to_processing_system7_0_axi_periph_BREADY,
M_AXI_bresp(1 downto 0) => m05_couplers_to_processing_system7_0_axi_periph_BRESP(1 downto 0),
M_AXI_bvalid => m05_couplers_to_processing_system7_0_axi_periph_BVALID,
M_AXI_rdata(31 downto 0) => m05_couplers_to_processing_system7_0_axi_periph_RDATA(31 downto 0),
M_AXI_rready => m05_couplers_to_processing_system7_0_axi_periph_RREADY,
M_AXI_rresp(1 downto 0) => m05_couplers_to_processing_system7_0_axi_periph_RRESP(1 downto 0),
M_AXI_rvalid => m05_couplers_to_processing_system7_0_axi_periph_RVALID,
M_AXI_wdata(31 downto 0) => m05_couplers_to_processing_system7_0_axi_periph_WDATA(31 downto 0),
M_AXI_wready => m05_couplers_to_processing_system7_0_axi_periph_WREADY,
M_AXI_wvalid => m05_couplers_to_processing_system7_0_axi_periph_WVALID,
S_ACLK => processing_system7_0_axi_periph_ACLK_net,
S_ARESETN(0) => processing_system7_0_axi_periph_ARESETN_net(0),
S_AXI_araddr(31 downto 0) => xbar_to_m05_couplers_ARADDR(191 downto 160),
S_AXI_arready => xbar_to_m05_couplers_ARREADY,
S_AXI_arvalid => xbar_to_m05_couplers_ARVALID(5),
S_AXI_awaddr(31 downto 0) => xbar_to_m05_couplers_AWADDR(191 downto 160),
S_AXI_awready => xbar_to_m05_couplers_AWREADY,
S_AXI_awvalid => xbar_to_m05_couplers_AWVALID(5),
S_AXI_bready => xbar_to_m05_couplers_BREADY(5),
S_AXI_bresp(1 downto 0) => xbar_to_m05_couplers_BRESP(1 downto 0),
S_AXI_bvalid => xbar_to_m05_couplers_BVALID,
S_AXI_rdata(31 downto 0) => xbar_to_m05_couplers_RDATA(31 downto 0),
S_AXI_rready => xbar_to_m05_couplers_RREADY(5),
S_AXI_rresp(1 downto 0) => xbar_to_m05_couplers_RRESP(1 downto 0),
S_AXI_rvalid => xbar_to_m05_couplers_RVALID,
S_AXI_wdata(31 downto 0) => xbar_to_m05_couplers_WDATA(191 downto 160),
S_AXI_wready => xbar_to_m05_couplers_WREADY,
S_AXI_wvalid => xbar_to_m05_couplers_WVALID(5)
);
m06_couplers: entity work.m06_couplers_imp_6WKA35
port map (
M_ACLK => M06_ACLK_1,
M_ARESETN(0) => M06_ARESETN_1(0),
M_AXI_araddr(31 downto 0) => m06_couplers_to_processing_system7_0_axi_periph_ARADDR(31 downto 0),
M_AXI_arready => m06_couplers_to_processing_system7_0_axi_periph_ARREADY,
M_AXI_arvalid => m06_couplers_to_processing_system7_0_axi_periph_ARVALID,
M_AXI_awaddr(31 downto 0) => m06_couplers_to_processing_system7_0_axi_periph_AWADDR(31 downto 0),
M_AXI_awready => m06_couplers_to_processing_system7_0_axi_periph_AWREADY,
M_AXI_awvalid => m06_couplers_to_processing_system7_0_axi_periph_AWVALID,
M_AXI_bready => m06_couplers_to_processing_system7_0_axi_periph_BREADY,
M_AXI_bresp(1 downto 0) => m06_couplers_to_processing_system7_0_axi_periph_BRESP(1 downto 0),
M_AXI_bvalid => m06_couplers_to_processing_system7_0_axi_periph_BVALID,
M_AXI_rdata(31 downto 0) => m06_couplers_to_processing_system7_0_axi_periph_RDATA(31 downto 0),
M_AXI_rready => m06_couplers_to_processing_system7_0_axi_periph_RREADY,
M_AXI_rresp(1 downto 0) => m06_couplers_to_processing_system7_0_axi_periph_RRESP(1 downto 0),
M_AXI_rvalid => m06_couplers_to_processing_system7_0_axi_periph_RVALID,
M_AXI_wdata(31 downto 0) => m06_couplers_to_processing_system7_0_axi_periph_WDATA(31 downto 0),
M_AXI_wready => m06_couplers_to_processing_system7_0_axi_periph_WREADY,
M_AXI_wvalid => m06_couplers_to_processing_system7_0_axi_periph_WVALID,
S_ACLK => processing_system7_0_axi_periph_ACLK_net,
S_ARESETN(0) => processing_system7_0_axi_periph_ARESETN_net(0),
S_AXI_araddr(31 downto 0) => xbar_to_m06_couplers_ARADDR(223 downto 192),
S_AXI_arready => xbar_to_m06_couplers_ARREADY,
S_AXI_arvalid => xbar_to_m06_couplers_ARVALID(6),
S_AXI_awaddr(31 downto 0) => xbar_to_m06_couplers_AWADDR(223 downto 192),
S_AXI_awready => xbar_to_m06_couplers_AWREADY,
S_AXI_awvalid => xbar_to_m06_couplers_AWVALID(6),
S_AXI_bready => xbar_to_m06_couplers_BREADY(6),
S_AXI_bresp(1 downto 0) => xbar_to_m06_couplers_BRESP(1 downto 0),
S_AXI_bvalid => xbar_to_m06_couplers_BVALID,
S_AXI_rdata(31 downto 0) => xbar_to_m06_couplers_RDATA(31 downto 0),
S_AXI_rready => xbar_to_m06_couplers_RREADY(6),
S_AXI_rresp(1 downto 0) => xbar_to_m06_couplers_RRESP(1 downto 0),
S_AXI_rvalid => xbar_to_m06_couplers_RVALID,
S_AXI_wdata(31 downto 0) => xbar_to_m06_couplers_WDATA(223 downto 192),
S_AXI_wready => xbar_to_m06_couplers_WREADY,
S_AXI_wvalid => xbar_to_m06_couplers_WVALID(6)
);
s00_couplers: entity work.s00_couplers_imp_1PPRTY9
port map (
M_ACLK => processing_system7_0_axi_periph_ACLK_net,
M_ARESETN(0) => processing_system7_0_axi_periph_ARESETN_net(0),
M_AXI_araddr(31 downto 0) => s00_couplers_to_xbar_ARADDR(31 downto 0),
M_AXI_arprot(2 downto 0) => s00_couplers_to_xbar_ARPROT(2 downto 0),
M_AXI_arready => s00_couplers_to_xbar_ARREADY(0),
M_AXI_arvalid => s00_couplers_to_xbar_ARVALID,
M_AXI_awaddr(31 downto 0) => s00_couplers_to_xbar_AWADDR(31 downto 0),
M_AXI_awprot(2 downto 0) => s00_couplers_to_xbar_AWPROT(2 downto 0),
M_AXI_awready => s00_couplers_to_xbar_AWREADY(0),
M_AXI_awvalid => s00_couplers_to_xbar_AWVALID,
M_AXI_bready => s00_couplers_to_xbar_BREADY,
M_AXI_bresp(1 downto 0) => s00_couplers_to_xbar_BRESP(1 downto 0),
M_AXI_bvalid => s00_couplers_to_xbar_BVALID(0),
M_AXI_rdata(31 downto 0) => s00_couplers_to_xbar_RDATA(31 downto 0),
M_AXI_rready => s00_couplers_to_xbar_RREADY,
M_AXI_rresp(1 downto 0) => s00_couplers_to_xbar_RRESP(1 downto 0),
M_AXI_rvalid => s00_couplers_to_xbar_RVALID(0),
M_AXI_wdata(31 downto 0) => s00_couplers_to_xbar_WDATA(31 downto 0),
M_AXI_wready => s00_couplers_to_xbar_WREADY(0),
M_AXI_wstrb(3 downto 0) => s00_couplers_to_xbar_WSTRB(3 downto 0),
M_AXI_wvalid => s00_couplers_to_xbar_WVALID,
S_ACLK => S00_ACLK_1,
S_ARESETN(0) => S00_ARESETN_1(0),
S_AXI_araddr(31 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_ARADDR(31 downto 0),
S_AXI_arburst(1 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_ARBURST(1 downto 0),
S_AXI_arcache(3 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_ARCACHE(3 downto 0),
S_AXI_arid(11 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_ARID(11 downto 0),
S_AXI_arlen(3 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_ARLEN(3 downto 0),
S_AXI_arlock(1 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_ARLOCK(1 downto 0),
S_AXI_arprot(2 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_ARPROT(2 downto 0),
S_AXI_arqos(3 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_ARQOS(3 downto 0),
S_AXI_arready => processing_system7_0_axi_periph_to_s00_couplers_ARREADY,
S_AXI_arsize(2 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_ARSIZE(2 downto 0),
S_AXI_arvalid => processing_system7_0_axi_periph_to_s00_couplers_ARVALID,
S_AXI_awaddr(31 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_AWADDR(31 downto 0),
S_AXI_awburst(1 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_AWBURST(1 downto 0),
S_AXI_awcache(3 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_AWCACHE(3 downto 0),
S_AXI_awid(11 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_AWID(11 downto 0),
S_AXI_awlen(3 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_AWLEN(3 downto 0),
S_AXI_awlock(1 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_AWLOCK(1 downto 0),
S_AXI_awprot(2 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_AWPROT(2 downto 0),
S_AXI_awqos(3 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_AWQOS(3 downto 0),
S_AXI_awready => processing_system7_0_axi_periph_to_s00_couplers_AWREADY,
S_AXI_awsize(2 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_AWSIZE(2 downto 0),
S_AXI_awvalid => processing_system7_0_axi_periph_to_s00_couplers_AWVALID,
S_AXI_bid(11 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_BID(11 downto 0),
S_AXI_bready => processing_system7_0_axi_periph_to_s00_couplers_BREADY,
S_AXI_bresp(1 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_BRESP(1 downto 0),
S_AXI_bvalid => processing_system7_0_axi_periph_to_s00_couplers_BVALID,
S_AXI_rdata(31 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_RDATA(31 downto 0),
S_AXI_rid(11 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_RID(11 downto 0),
S_AXI_rlast => processing_system7_0_axi_periph_to_s00_couplers_RLAST,
S_AXI_rready => processing_system7_0_axi_periph_to_s00_couplers_RREADY,
S_AXI_rresp(1 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_RRESP(1 downto 0),
S_AXI_rvalid => processing_system7_0_axi_periph_to_s00_couplers_RVALID,
S_AXI_wdata(31 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_WDATA(31 downto 0),
S_AXI_wid(11 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_WID(11 downto 0),
S_AXI_wlast => processing_system7_0_axi_periph_to_s00_couplers_WLAST,
S_AXI_wready => processing_system7_0_axi_periph_to_s00_couplers_WREADY,
S_AXI_wstrb(3 downto 0) => processing_system7_0_axi_periph_to_s00_couplers_WSTRB(3 downto 0),
S_AXI_wvalid => processing_system7_0_axi_periph_to_s00_couplers_WVALID
);
xbar: component design_SWandHW_standalone_xbar_0
port map (
aclk => processing_system7_0_axi_periph_ACLK_net,
aresetn => processing_system7_0_axi_periph_ARESETN_net(0),
m_axi_araddr(223 downto 192) => xbar_to_m06_couplers_ARADDR(223 downto 192),
m_axi_araddr(191 downto 160) => xbar_to_m05_couplers_ARADDR(191 downto 160),
m_axi_araddr(159 downto 128) => xbar_to_m04_couplers_ARADDR(159 downto 128),
m_axi_araddr(127 downto 96) => xbar_to_m03_couplers_ARADDR(127 downto 96),
m_axi_araddr(95 downto 64) => xbar_to_m02_couplers_ARADDR(95 downto 64),
m_axi_araddr(63 downto 32) => xbar_to_m01_couplers_ARADDR(63 downto 32),
m_axi_araddr(31 downto 0) => xbar_to_m00_couplers_ARADDR(31 downto 0),
m_axi_arprot(20 downto 0) => NLW_xbar_m_axi_arprot_UNCONNECTED(20 downto 0),
m_axi_arready(6) => xbar_to_m06_couplers_ARREADY,
m_axi_arready(5) => xbar_to_m05_couplers_ARREADY,
m_axi_arready(4) => xbar_to_m04_couplers_ARREADY,
m_axi_arready(3) => xbar_to_m03_couplers_ARREADY,
m_axi_arready(2) => xbar_to_m02_couplers_ARREADY,
m_axi_arready(1) => xbar_to_m01_couplers_ARREADY,
m_axi_arready(0) => xbar_to_m00_couplers_ARREADY(0),
m_axi_arvalid(6) => xbar_to_m06_couplers_ARVALID(6),
m_axi_arvalid(5) => xbar_to_m05_couplers_ARVALID(5),
m_axi_arvalid(4) => xbar_to_m04_couplers_ARVALID(4),
m_axi_arvalid(3) => xbar_to_m03_couplers_ARVALID(3),
m_axi_arvalid(2) => xbar_to_m02_couplers_ARVALID(2),
m_axi_arvalid(1) => xbar_to_m01_couplers_ARVALID(1),
m_axi_arvalid(0) => xbar_to_m00_couplers_ARVALID(0),
m_axi_awaddr(223 downto 192) => xbar_to_m06_couplers_AWADDR(223 downto 192),
m_axi_awaddr(191 downto 160) => xbar_to_m05_couplers_AWADDR(191 downto 160),
m_axi_awaddr(159 downto 128) => xbar_to_m04_couplers_AWADDR(159 downto 128),
m_axi_awaddr(127 downto 96) => xbar_to_m03_couplers_AWADDR(127 downto 96),
m_axi_awaddr(95 downto 64) => xbar_to_m02_couplers_AWADDR(95 downto 64),
m_axi_awaddr(63 downto 32) => xbar_to_m01_couplers_AWADDR(63 downto 32),
m_axi_awaddr(31 downto 0) => xbar_to_m00_couplers_AWADDR(31 downto 0),
m_axi_awprot(20 downto 0) => NLW_xbar_m_axi_awprot_UNCONNECTED(20 downto 0),
m_axi_awready(6) => xbar_to_m06_couplers_AWREADY,
m_axi_awready(5) => xbar_to_m05_couplers_AWREADY,
m_axi_awready(4) => xbar_to_m04_couplers_AWREADY,
m_axi_awready(3) => xbar_to_m03_couplers_AWREADY,
m_axi_awready(2) => xbar_to_m02_couplers_AWREADY,
m_axi_awready(1) => xbar_to_m01_couplers_AWREADY,
m_axi_awready(0) => xbar_to_m00_couplers_AWREADY(0),
m_axi_awvalid(6) => xbar_to_m06_couplers_AWVALID(6),
m_axi_awvalid(5) => xbar_to_m05_couplers_AWVALID(5),
m_axi_awvalid(4) => xbar_to_m04_couplers_AWVALID(4),
m_axi_awvalid(3) => xbar_to_m03_couplers_AWVALID(3),
m_axi_awvalid(2) => xbar_to_m02_couplers_AWVALID(2),
m_axi_awvalid(1) => xbar_to_m01_couplers_AWVALID(1),
m_axi_awvalid(0) => xbar_to_m00_couplers_AWVALID(0),
m_axi_bready(6) => xbar_to_m06_couplers_BREADY(6),
m_axi_bready(5) => xbar_to_m05_couplers_BREADY(5),
m_axi_bready(4) => xbar_to_m04_couplers_BREADY(4),
m_axi_bready(3) => xbar_to_m03_couplers_BREADY(3),
m_axi_bready(2) => xbar_to_m02_couplers_BREADY(2),
m_axi_bready(1) => xbar_to_m01_couplers_BREADY(1),
m_axi_bready(0) => xbar_to_m00_couplers_BREADY(0),
m_axi_bresp(13 downto 12) => xbar_to_m06_couplers_BRESP(1 downto 0),
m_axi_bresp(11 downto 10) => xbar_to_m05_couplers_BRESP(1 downto 0),
m_axi_bresp(9 downto 8) => xbar_to_m04_couplers_BRESP(1 downto 0),
m_axi_bresp(7 downto 6) => xbar_to_m03_couplers_BRESP(1 downto 0),
m_axi_bresp(5 downto 4) => xbar_to_m02_couplers_BRESP(1 downto 0),
m_axi_bresp(3 downto 2) => xbar_to_m01_couplers_BRESP(1 downto 0),
m_axi_bresp(1 downto 0) => xbar_to_m00_couplers_BRESP(1 downto 0),
m_axi_bvalid(6) => xbar_to_m06_couplers_BVALID,
m_axi_bvalid(5) => xbar_to_m05_couplers_BVALID,
m_axi_bvalid(4) => xbar_to_m04_couplers_BVALID,
m_axi_bvalid(3) => xbar_to_m03_couplers_BVALID,
m_axi_bvalid(2) => xbar_to_m02_couplers_BVALID,
m_axi_bvalid(1) => xbar_to_m01_couplers_BVALID,
m_axi_bvalid(0) => xbar_to_m00_couplers_BVALID(0),
m_axi_rdata(223 downto 192) => xbar_to_m06_couplers_RDATA(31 downto 0),
m_axi_rdata(191 downto 160) => xbar_to_m05_couplers_RDATA(31 downto 0),
m_axi_rdata(159 downto 128) => xbar_to_m04_couplers_RDATA(31 downto 0),
m_axi_rdata(127 downto 96) => xbar_to_m03_couplers_RDATA(31 downto 0),
m_axi_rdata(95 downto 64) => xbar_to_m02_couplers_RDATA(31 downto 0),
m_axi_rdata(63 downto 32) => xbar_to_m01_couplers_RDATA(31 downto 0),
m_axi_rdata(31 downto 0) => xbar_to_m00_couplers_RDATA(31 downto 0),
m_axi_rready(6) => xbar_to_m06_couplers_RREADY(6),
m_axi_rready(5) => xbar_to_m05_couplers_RREADY(5),
m_axi_rready(4) => xbar_to_m04_couplers_RREADY(4),
m_axi_rready(3) => xbar_to_m03_couplers_RREADY(3),
m_axi_rready(2) => xbar_to_m02_couplers_RREADY(2),
m_axi_rready(1) => xbar_to_m01_couplers_RREADY(1),
m_axi_rready(0) => xbar_to_m00_couplers_RREADY(0),
m_axi_rresp(13 downto 12) => xbar_to_m06_couplers_RRESP(1 downto 0),
m_axi_rresp(11 downto 10) => xbar_to_m05_couplers_RRESP(1 downto 0),
m_axi_rresp(9 downto 8) => xbar_to_m04_couplers_RRESP(1 downto 0),
m_axi_rresp(7 downto 6) => xbar_to_m03_couplers_RRESP(1 downto 0),
m_axi_rresp(5 downto 4) => xbar_to_m02_couplers_RRESP(1 downto 0),
m_axi_rresp(3 downto 2) => xbar_to_m01_couplers_RRESP(1 downto 0),
m_axi_rresp(1 downto 0) => xbar_to_m00_couplers_RRESP(1 downto 0),
m_axi_rvalid(6) => xbar_to_m06_couplers_RVALID,
m_axi_rvalid(5) => xbar_to_m05_couplers_RVALID,
m_axi_rvalid(4) => xbar_to_m04_couplers_RVALID,
m_axi_rvalid(3) => xbar_to_m03_couplers_RVALID,
m_axi_rvalid(2) => xbar_to_m02_couplers_RVALID,
m_axi_rvalid(1) => xbar_to_m01_couplers_RVALID,
m_axi_rvalid(0) => xbar_to_m00_couplers_RVALID(0),
m_axi_wdata(223 downto 192) => xbar_to_m06_couplers_WDATA(223 downto 192),
m_axi_wdata(191 downto 160) => xbar_to_m05_couplers_WDATA(191 downto 160),
m_axi_wdata(159 downto 128) => xbar_to_m04_couplers_WDATA(159 downto 128),
m_axi_wdata(127 downto 96) => xbar_to_m03_couplers_WDATA(127 downto 96),
m_axi_wdata(95 downto 64) => xbar_to_m02_couplers_WDATA(95 downto 64),
m_axi_wdata(63 downto 32) => xbar_to_m01_couplers_WDATA(63 downto 32),
m_axi_wdata(31 downto 0) => xbar_to_m00_couplers_WDATA(31 downto 0),
m_axi_wready(6) => xbar_to_m06_couplers_WREADY,
m_axi_wready(5) => xbar_to_m05_couplers_WREADY,
m_axi_wready(4) => xbar_to_m04_couplers_WREADY,
m_axi_wready(3) => xbar_to_m03_couplers_WREADY,
m_axi_wready(2) => xbar_to_m02_couplers_WREADY,
m_axi_wready(1) => xbar_to_m01_couplers_WREADY,
m_axi_wready(0) => xbar_to_m00_couplers_WREADY(0),
m_axi_wstrb(27 downto 8) => NLW_xbar_m_axi_wstrb_UNCONNECTED(27 downto 8),
m_axi_wstrb(7 downto 4) => xbar_to_m01_couplers_WSTRB(7 downto 4),
m_axi_wstrb(3 downto 0) => xbar_to_m00_couplers_WSTRB(3 downto 0),
m_axi_wvalid(6) => xbar_to_m06_couplers_WVALID(6),
m_axi_wvalid(5) => xbar_to_m05_couplers_WVALID(5),
m_axi_wvalid(4) => xbar_to_m04_couplers_WVALID(4),
m_axi_wvalid(3) => xbar_to_m03_couplers_WVALID(3),
m_axi_wvalid(2) => xbar_to_m02_couplers_WVALID(2),
m_axi_wvalid(1) => xbar_to_m01_couplers_WVALID(1),
m_axi_wvalid(0) => xbar_to_m00_couplers_WVALID(0),
s_axi_araddr(31 downto 0) => s00_couplers_to_xbar_ARADDR(31 downto 0),
s_axi_arprot(2 downto 0) => s00_couplers_to_xbar_ARPROT(2 downto 0),
s_axi_arready(0) => s00_couplers_to_xbar_ARREADY(0),
s_axi_arvalid(0) => s00_couplers_to_xbar_ARVALID,
s_axi_awaddr(31 downto 0) => s00_couplers_to_xbar_AWADDR(31 downto 0),
s_axi_awprot(2 downto 0) => s00_couplers_to_xbar_AWPROT(2 downto 0),
s_axi_awready(0) => s00_couplers_to_xbar_AWREADY(0),
s_axi_awvalid(0) => s00_couplers_to_xbar_AWVALID,
s_axi_bready(0) => s00_couplers_to_xbar_BREADY,
s_axi_bresp(1 downto 0) => s00_couplers_to_xbar_BRESP(1 downto 0),
s_axi_bvalid(0) => s00_couplers_to_xbar_BVALID(0),
s_axi_rdata(31 downto 0) => s00_couplers_to_xbar_RDATA(31 downto 0),
s_axi_rready(0) => s00_couplers_to_xbar_RREADY,
s_axi_rresp(1 downto 0) => s00_couplers_to_xbar_RRESP(1 downto 0),
s_axi_rvalid(0) => s00_couplers_to_xbar_RVALID(0),
s_axi_wdata(31 downto 0) => s00_couplers_to_xbar_WDATA(31 downto 0),
s_axi_wready(0) => s00_couplers_to_xbar_WREADY(0),
s_axi_wstrb(3 downto 0) => s00_couplers_to_xbar_WSTRB(3 downto 0),
s_axi_wvalid(0) => s00_couplers_to_xbar_WVALID
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity design_SWandHW_standalone is
port (
DDR_addr : inout STD_LOGIC_VECTOR ( 14 downto 0 );
DDR_ba : inout STD_LOGIC_VECTOR ( 2 downto 0 );
DDR_cas_n : inout STD_LOGIC;
DDR_ck_n : inout STD_LOGIC;
DDR_ck_p : inout STD_LOGIC;
DDR_cke : inout STD_LOGIC;
DDR_cs_n : inout STD_LOGIC;
DDR_dm : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_dq : inout STD_LOGIC_VECTOR ( 31 downto 0 );
DDR_dqs_n : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_dqs_p : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_odt : inout STD_LOGIC;
DDR_ras_n : inout STD_LOGIC;
DDR_reset_n : inout STD_LOGIC;
DDR_we_n : inout STD_LOGIC;
FIXED_IO_ddr_vrn : inout STD_LOGIC;
FIXED_IO_ddr_vrp : inout STD_LOGIC;
FIXED_IO_mio : inout STD_LOGIC_VECTOR ( 53 downto 0 );
FIXED_IO_ps_clk : inout STD_LOGIC;
FIXED_IO_ps_porb : inout STD_LOGIC;
FIXED_IO_ps_srstb : inout STD_LOGIC;
leds_4bits_tri_i : in STD_LOGIC_VECTOR ( 3 downto 0 );
leds_4bits_tri_o : out STD_LOGIC_VECTOR ( 3 downto 0 );
leds_4bits_tri_t : out STD_LOGIC_VECTOR ( 3 downto 0 )
);
attribute CORE_GENERATION_INFO : string;
attribute CORE_GENERATION_INFO of design_SWandHW_standalone : entity is "design_SWandHW_standalone,IP_Integrator,{x_ipVendor=xilinx.com,x_ipLibrary=BlockDiagram,x_ipName=design_SWandHW_standalone,x_ipVersion=1.00.a,x_ipLanguage=VHDL,numBlks=32,numReposBlks=16,numNonXlnxBlks=1,numHierBlks=16,maxHierDepth=0,da_axi4_cnt=14,da_axi4_s2mm_cnt=7,da_board_cnt=1,da_ps7_cnt=1,synth_mode=Global}";
attribute HW_HANDOFF : string;
attribute HW_HANDOFF of design_SWandHW_standalone : entity is "design_SWandHW_standalone.hwdef";
end design_SWandHW_standalone;
architecture STRUCTURE of design_SWandHW_standalone is
component design_SWandHW_standalone_processing_system7_0_0 is
port (
SDIO0_WP : in STD_LOGIC;
TTC0_WAVE0_OUT : out STD_LOGIC;
TTC0_WAVE1_OUT : out STD_LOGIC;
TTC0_WAVE2_OUT : out STD_LOGIC;
USB0_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 );
USB0_VBUS_PWRSELECT : out STD_LOGIC;
USB0_VBUS_PWRFAULT : in STD_LOGIC;
M_AXI_GP0_ARVALID : out STD_LOGIC;
M_AXI_GP0_AWVALID : out STD_LOGIC;
M_AXI_GP0_BREADY : out STD_LOGIC;
M_AXI_GP0_RREADY : out STD_LOGIC;
M_AXI_GP0_WLAST : out STD_LOGIC;
M_AXI_GP0_WVALID : out STD_LOGIC;
M_AXI_GP0_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_WID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ACLK : in STD_LOGIC;
M_AXI_GP0_ARREADY : in STD_LOGIC;
M_AXI_GP0_AWREADY : in STD_LOGIC;
M_AXI_GP0_BVALID : in STD_LOGIC;
M_AXI_GP0_RLAST : in STD_LOGIC;
M_AXI_GP0_RVALID : in STD_LOGIC;
M_AXI_GP0_WREADY : in STD_LOGIC;
M_AXI_GP0_BID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_RID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP0_ARREADY : out STD_LOGIC;
S_AXI_HP0_AWREADY : out STD_LOGIC;
S_AXI_HP0_BVALID : out STD_LOGIC;
S_AXI_HP0_RLAST : out STD_LOGIC;
S_AXI_HP0_RVALID : out STD_LOGIC;
S_AXI_HP0_WREADY : out STD_LOGIC;
S_AXI_HP0_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_BID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_RID : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP0_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP0_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 );
S_AXI_HP0_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_ACLK : in STD_LOGIC;
S_AXI_HP0_ARVALID : in STD_LOGIC;
S_AXI_HP0_AWVALID : in STD_LOGIC;
S_AXI_HP0_BREADY : in STD_LOGIC;
S_AXI_HP0_RDISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP0_RREADY : in STD_LOGIC;
S_AXI_HP0_WLAST : in STD_LOGIC;
S_AXI_HP0_WRISSUECAP1_EN : in STD_LOGIC;
S_AXI_HP0_WVALID : in STD_LOGIC;
S_AXI_HP0_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 );
S_AXI_HP0_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 );
S_AXI_HP0_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP0_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP0_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 );
S_AXI_HP0_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_WID : in STD_LOGIC_VECTOR ( 5 downto 0 );
S_AXI_HP0_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
S_AXI_HP0_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 );
IRQ_F2P : in STD_LOGIC_VECTOR ( 5 downto 0 );
FCLK_CLK0 : out STD_LOGIC;
FCLK_RESET0_N : out STD_LOGIC;
MIO : inout STD_LOGIC_VECTOR ( 53 downto 0 );
DDR_CAS_n : inout STD_LOGIC;
DDR_CKE : inout STD_LOGIC;
DDR_Clk_n : inout STD_LOGIC;
DDR_Clk : inout STD_LOGIC;
DDR_CS_n : inout STD_LOGIC;
DDR_DRSTB : inout STD_LOGIC;
DDR_ODT : inout STD_LOGIC;
DDR_RAS_n : inout STD_LOGIC;
DDR_WEB : inout STD_LOGIC;
DDR_BankAddr : inout STD_LOGIC_VECTOR ( 2 downto 0 );
DDR_Addr : inout STD_LOGIC_VECTOR ( 14 downto 0 );
DDR_VRN : inout STD_LOGIC;
DDR_VRP : inout STD_LOGIC;
DDR_DM : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQ : inout STD_LOGIC_VECTOR ( 31 downto 0 );
DDR_DQS_n : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQS : inout STD_LOGIC_VECTOR ( 3 downto 0 );
PS_SRSTB : inout STD_LOGIC;
PS_CLK : inout STD_LOGIC;
PS_PORB : inout STD_LOGIC
);
end component design_SWandHW_standalone_processing_system7_0_0;
component design_SWandHW_standalone_axi_gpio_0_0 is
port (
s_axi_aclk : in STD_LOGIC;
s_axi_aresetn : in STD_LOGIC;
s_axi_awaddr : in STD_LOGIC_VECTOR ( 8 downto 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_araddr : in STD_LOGIC_VECTOR ( 8 downto 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
gpio_io_i : in STD_LOGIC_VECTOR ( 3 downto 0 );
gpio_io_o : out STD_LOGIC_VECTOR ( 3 downto 0 );
gpio_io_t : out STD_LOGIC_VECTOR ( 3 downto 0 )
);
end component design_SWandHW_standalone_axi_gpio_0_0;
component design_SWandHW_standalone_rst_processing_system7_0_100M_0 is
port (
slowest_sync_clk : in STD_LOGIC;
ext_reset_in : in STD_LOGIC;
aux_reset_in : in STD_LOGIC;
mb_debug_sys_rst : in STD_LOGIC;
dcm_locked : in STD_LOGIC;
mb_reset : out STD_LOGIC;
bus_struct_reset : out STD_LOGIC_VECTOR ( 0 to 0 );
peripheral_reset : out STD_LOGIC_VECTOR ( 0 to 0 );
interconnect_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 );
peripheral_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 )
);
end component design_SWandHW_standalone_rst_processing_system7_0_100M_0;
component design_SWandHW_standalone_feedforward_0_0 is
port (
s_axi_AXILiteS_AWADDR : in STD_LOGIC_VECTOR ( 4 downto 0 );
s_axi_AXILiteS_AWVALID : in STD_LOGIC;
s_axi_AXILiteS_AWREADY : out STD_LOGIC;
s_axi_AXILiteS_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_AXILiteS_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_AXILiteS_WVALID : in STD_LOGIC;
s_axi_AXILiteS_WREADY : out STD_LOGIC;
s_axi_AXILiteS_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_AXILiteS_BVALID : out STD_LOGIC;
s_axi_AXILiteS_BREADY : in STD_LOGIC;
s_axi_AXILiteS_ARADDR : in STD_LOGIC_VECTOR ( 4 downto 0 );
s_axi_AXILiteS_ARVALID : in STD_LOGIC;
s_axi_AXILiteS_ARREADY : out STD_LOGIC;
s_axi_AXILiteS_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_AXILiteS_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_AXILiteS_RVALID : out STD_LOGIC;
s_axi_AXILiteS_RREADY : in STD_LOGIC;
ap_clk : in STD_LOGIC;
ap_rst_n : in STD_LOGIC;
interrupt : out STD_LOGIC;
P_config_TVALID : in STD_LOGIC;
P_config_TREADY : out STD_LOGIC;
P_config_TDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
P_WandB_TVALID : in STD_LOGIC;
P_WandB_TREADY : out STD_LOGIC;
P_WandB_TDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
P_uOut_TVALID : out STD_LOGIC;
P_uOut_TREADY : in STD_LOGIC;
P_uOut_TDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
P_netIn_TVALID : in STD_LOGIC;
P_netIn_TREADY : out STD_LOGIC;
P_netIn_TDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
P_netOut_TVALID : out STD_LOGIC;
P_netOut_TREADY : in STD_LOGIC;
P_netOut_TDATA : out STD_LOGIC_VECTOR ( 31 downto 0 )
);
end component design_SWandHW_standalone_feedforward_0_0;
component design_SWandHW_standalone_xlconcat_0_0 is
port (
In0 : in STD_LOGIC_VECTOR ( 0 to 0 );
In1 : in STD_LOGIC_VECTOR ( 0 to 0 );
In2 : in STD_LOGIC_VECTOR ( 0 to 0 );
In3 : in STD_LOGIC_VECTOR ( 0 to 0 );
In4 : in STD_LOGIC_VECTOR ( 0 to 0 );
In5 : in STD_LOGIC_VECTOR ( 0 to 0 );
dout : out STD_LOGIC_VECTOR ( 5 downto 0 )
);
end component design_SWandHW_standalone_xlconcat_0_0;
component design_SWandHW_standalone_axi_dma_1 is
port (
s_axi_lite_aclk : in STD_LOGIC;
m_axi_mm2s_aclk : in STD_LOGIC;
axi_resetn : in STD_LOGIC;
s_axi_lite_awvalid : in STD_LOGIC;
s_axi_lite_awready : out STD_LOGIC;
s_axi_lite_awaddr : in STD_LOGIC_VECTOR ( 9 downto 0 );
s_axi_lite_wvalid : in STD_LOGIC;
s_axi_lite_wready : out STD_LOGIC;
s_axi_lite_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_lite_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_lite_bvalid : out STD_LOGIC;
s_axi_lite_bready : in STD_LOGIC;
s_axi_lite_arvalid : in STD_LOGIC;
s_axi_lite_arready : out STD_LOGIC;
s_axi_lite_araddr : in STD_LOGIC_VECTOR ( 9 downto 0 );
s_axi_lite_rvalid : out STD_LOGIC;
s_axi_lite_rready : in STD_LOGIC;
s_axi_lite_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_lite_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_mm2s_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_mm2s_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_mm2s_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_mm2s_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_mm2s_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_mm2s_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_mm2s_arvalid : out STD_LOGIC;
m_axi_mm2s_arready : in STD_LOGIC;
m_axi_mm2s_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_mm2s_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_mm2s_rlast : in STD_LOGIC;
m_axi_mm2s_rvalid : in STD_LOGIC;
m_axi_mm2s_rready : out STD_LOGIC;
mm2s_prmry_reset_out_n : out STD_LOGIC;
m_axis_mm2s_tdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axis_mm2s_tkeep : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axis_mm2s_tvalid : out STD_LOGIC;
m_axis_mm2s_tready : in STD_LOGIC;
m_axis_mm2s_tlast : out STD_LOGIC;
mm2s_introut : out STD_LOGIC
);
end component design_SWandHW_standalone_axi_dma_1;
component design_SWandHW_standalone_axi_dma_1_1 is
port (
s_axi_lite_aclk : in STD_LOGIC;
m_axi_s2mm_aclk : in STD_LOGIC;
axi_resetn : in STD_LOGIC;
s_axi_lite_awvalid : in STD_LOGIC;
s_axi_lite_awready : out STD_LOGIC;
s_axi_lite_awaddr : in STD_LOGIC_VECTOR ( 9 downto 0 );
s_axi_lite_wvalid : in STD_LOGIC;
s_axi_lite_wready : out STD_LOGIC;
s_axi_lite_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_lite_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_lite_bvalid : out STD_LOGIC;
s_axi_lite_bready : in STD_LOGIC;
s_axi_lite_arvalid : in STD_LOGIC;
s_axi_lite_arready : out STD_LOGIC;
s_axi_lite_araddr : in STD_LOGIC_VECTOR ( 9 downto 0 );
s_axi_lite_rvalid : out STD_LOGIC;
s_axi_lite_rready : in STD_LOGIC;
s_axi_lite_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_lite_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_s2mm_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_s2mm_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_s2mm_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_s2mm_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_s2mm_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_s2mm_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_s2mm_awvalid : out STD_LOGIC;
m_axi_s2mm_awready : in STD_LOGIC;
m_axi_s2mm_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_s2mm_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_s2mm_wlast : out STD_LOGIC;
m_axi_s2mm_wvalid : out STD_LOGIC;
m_axi_s2mm_wready : in STD_LOGIC;
m_axi_s2mm_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_s2mm_bvalid : in STD_LOGIC;
m_axi_s2mm_bready : out STD_LOGIC;
s2mm_prmry_reset_out_n : out STD_LOGIC;
s_axis_s2mm_tdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axis_s2mm_tkeep : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axis_s2mm_tvalid : in STD_LOGIC;
s_axis_s2mm_tready : out STD_LOGIC;
s_axis_s2mm_tlast : in STD_LOGIC;
s2mm_introut : out STD_LOGIC
);
end component design_SWandHW_standalone_axi_dma_1_1;
component design_SWandHW_standalone_axi_dma_2_0 is
port (
s_axi_lite_aclk : in STD_LOGIC;
m_axi_mm2s_aclk : in STD_LOGIC;
axi_resetn : in STD_LOGIC;
s_axi_lite_awvalid : in STD_LOGIC;
s_axi_lite_awready : out STD_LOGIC;
s_axi_lite_awaddr : in STD_LOGIC_VECTOR ( 9 downto 0 );
s_axi_lite_wvalid : in STD_LOGIC;
s_axi_lite_wready : out STD_LOGIC;
s_axi_lite_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_lite_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_lite_bvalid : out STD_LOGIC;
s_axi_lite_bready : in STD_LOGIC;
s_axi_lite_arvalid : in STD_LOGIC;
s_axi_lite_arready : out STD_LOGIC;
s_axi_lite_araddr : in STD_LOGIC_VECTOR ( 9 downto 0 );
s_axi_lite_rvalid : out STD_LOGIC;
s_axi_lite_rready : in STD_LOGIC;
s_axi_lite_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_lite_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_mm2s_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_mm2s_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_mm2s_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_mm2s_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_mm2s_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_mm2s_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_mm2s_arvalid : out STD_LOGIC;
m_axi_mm2s_arready : in STD_LOGIC;
m_axi_mm2s_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_mm2s_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_mm2s_rlast : in STD_LOGIC;
m_axi_mm2s_rvalid : in STD_LOGIC;
m_axi_mm2s_rready : out STD_LOGIC;
mm2s_prmry_reset_out_n : out STD_LOGIC;
m_axis_mm2s_tdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axis_mm2s_tkeep : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axis_mm2s_tvalid : out STD_LOGIC;
m_axis_mm2s_tready : in STD_LOGIC;
m_axis_mm2s_tlast : out STD_LOGIC;
mm2s_introut : out STD_LOGIC
);
end component design_SWandHW_standalone_axi_dma_2_0;
component design_SWandHW_standalone_axi_dma_3_0 is
port (
s_axi_lite_aclk : in STD_LOGIC;
m_axi_s2mm_aclk : in STD_LOGIC;
axi_resetn : in STD_LOGIC;
s_axi_lite_awvalid : in STD_LOGIC;
s_axi_lite_awready : out STD_LOGIC;
s_axi_lite_awaddr : in STD_LOGIC_VECTOR ( 9 downto 0 );
s_axi_lite_wvalid : in STD_LOGIC;
s_axi_lite_wready : out STD_LOGIC;
s_axi_lite_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_lite_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_lite_bvalid : out STD_LOGIC;
s_axi_lite_bready : in STD_LOGIC;
s_axi_lite_arvalid : in STD_LOGIC;
s_axi_lite_arready : out STD_LOGIC;
s_axi_lite_araddr : in STD_LOGIC_VECTOR ( 9 downto 0 );
s_axi_lite_rvalid : out STD_LOGIC;
s_axi_lite_rready : in STD_LOGIC;
s_axi_lite_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_lite_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_s2mm_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_s2mm_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_s2mm_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_s2mm_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_s2mm_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_s2mm_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_s2mm_awvalid : out STD_LOGIC;
m_axi_s2mm_awready : in STD_LOGIC;
m_axi_s2mm_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_s2mm_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_s2mm_wlast : out STD_LOGIC;
m_axi_s2mm_wvalid : out STD_LOGIC;
m_axi_s2mm_wready : in STD_LOGIC;
m_axi_s2mm_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_s2mm_bvalid : in STD_LOGIC;
m_axi_s2mm_bready : out STD_LOGIC;
s2mm_prmry_reset_out_n : out STD_LOGIC;
s_axis_s2mm_tdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axis_s2mm_tkeep : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axis_s2mm_tvalid : in STD_LOGIC;
s_axis_s2mm_tready : out STD_LOGIC;
s_axis_s2mm_tlast : in STD_LOGIC;
s2mm_introut : out STD_LOGIC
);
end component design_SWandHW_standalone_axi_dma_3_0;
component design_SWandHW_standalone_axi_dma_4_0 is
port (
s_axi_lite_aclk : in STD_LOGIC;
m_axi_mm2s_aclk : in STD_LOGIC;
axi_resetn : in STD_LOGIC;
s_axi_lite_awvalid : in STD_LOGIC;
s_axi_lite_awready : out STD_LOGIC;
s_axi_lite_awaddr : in STD_LOGIC_VECTOR ( 9 downto 0 );
s_axi_lite_wvalid : in STD_LOGIC;
s_axi_lite_wready : out STD_LOGIC;
s_axi_lite_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_lite_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_lite_bvalid : out STD_LOGIC;
s_axi_lite_bready : in STD_LOGIC;
s_axi_lite_arvalid : in STD_LOGIC;
s_axi_lite_arready : out STD_LOGIC;
s_axi_lite_araddr : in STD_LOGIC_VECTOR ( 9 downto 0 );
s_axi_lite_rvalid : out STD_LOGIC;
s_axi_lite_rready : in STD_LOGIC;
s_axi_lite_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_lite_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_mm2s_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_mm2s_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_mm2s_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_mm2s_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_mm2s_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_mm2s_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_mm2s_arvalid : out STD_LOGIC;
m_axi_mm2s_arready : in STD_LOGIC;
m_axi_mm2s_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_mm2s_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_mm2s_rlast : in STD_LOGIC;
m_axi_mm2s_rvalid : in STD_LOGIC;
m_axi_mm2s_rready : out STD_LOGIC;
mm2s_prmry_reset_out_n : out STD_LOGIC;
m_axis_mm2s_tdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axis_mm2s_tkeep : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axis_mm2s_tvalid : out STD_LOGIC;
m_axis_mm2s_tready : in STD_LOGIC;
m_axis_mm2s_tlast : out STD_LOGIC;
mm2s_introut : out STD_LOGIC
);
end component design_SWandHW_standalone_axi_dma_4_0;
component design_SWandHW_standalone_axis_data_fifo_0_0 is
port (
s_axis_aresetn : in STD_LOGIC;
s_axis_aclk : in STD_LOGIC;
s_axis_tvalid : in STD_LOGIC;
s_axis_tready : out STD_LOGIC;
s_axis_tdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axis_tkeep : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axis_tlast : in STD_LOGIC;
m_axis_tvalid : out STD_LOGIC;
m_axis_tready : in STD_LOGIC;
m_axis_tdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axis_tkeep : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axis_tlast : out STD_LOGIC;
axis_data_count : out STD_LOGIC_VECTOR ( 31 downto 0 );
axis_wr_data_count : out STD_LOGIC_VECTOR ( 31 downto 0 );
axis_rd_data_count : out STD_LOGIC_VECTOR ( 31 downto 0 )
);
end component design_SWandHW_standalone_axis_data_fifo_0_0;
component design_SWandHW_standalone_axis_data_fifo_1_0 is
port (
s_axis_aresetn : in STD_LOGIC;
s_axis_aclk : in STD_LOGIC;
s_axis_tvalid : in STD_LOGIC;
s_axis_tready : out STD_LOGIC;
s_axis_tdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axis_tkeep : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axis_tlast : in STD_LOGIC;
m_axis_tvalid : out STD_LOGIC;
m_axis_tready : in STD_LOGIC;
m_axis_tdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axis_tkeep : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axis_tlast : out STD_LOGIC;
axis_data_count : out STD_LOGIC_VECTOR ( 31 downto 0 );
axis_wr_data_count : out STD_LOGIC_VECTOR ( 31 downto 0 );
axis_rd_data_count : out STD_LOGIC_VECTOR ( 31 downto 0 )
);
end component design_SWandHW_standalone_axis_data_fifo_1_0;
signal axi_dma_1_M_AXI_S2MM_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_dma_1_M_AXI_S2MM_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_dma_1_M_AXI_S2MM_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_dma_1_M_AXI_S2MM_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal axi_dma_1_M_AXI_S2MM_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_dma_1_M_AXI_S2MM_AWREADY : STD_LOGIC;
signal axi_dma_1_M_AXI_S2MM_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_dma_1_M_AXI_S2MM_AWVALID : STD_LOGIC;
signal axi_dma_1_M_AXI_S2MM_BREADY : STD_LOGIC;
signal axi_dma_1_M_AXI_S2MM_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_dma_1_M_AXI_S2MM_BVALID : STD_LOGIC;
signal axi_dma_1_M_AXI_S2MM_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_dma_1_M_AXI_S2MM_WLAST : STD_LOGIC;
signal axi_dma_1_M_AXI_S2MM_WREADY : STD_LOGIC;
signal axi_dma_1_M_AXI_S2MM_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_dma_1_M_AXI_S2MM_WVALID : STD_LOGIC;
signal axi_dma_1_s2mm_introut : STD_LOGIC;
signal axi_dma_2_M_AXIS_MM2S_TDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_dma_2_M_AXIS_MM2S_TREADY : STD_LOGIC;
signal axi_dma_2_M_AXIS_MM2S_TVALID : STD_LOGIC;
signal axi_dma_2_M_AXI_MM2S_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_dma_2_M_AXI_MM2S_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_dma_2_M_AXI_MM2S_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_dma_2_M_AXI_MM2S_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal axi_dma_2_M_AXI_MM2S_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_dma_2_M_AXI_MM2S_ARREADY : STD_LOGIC;
signal axi_dma_2_M_AXI_MM2S_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_dma_2_M_AXI_MM2S_ARVALID : STD_LOGIC;
signal axi_dma_2_M_AXI_MM2S_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_dma_2_M_AXI_MM2S_RLAST : STD_LOGIC;
signal axi_dma_2_M_AXI_MM2S_RREADY : STD_LOGIC;
signal axi_dma_2_M_AXI_MM2S_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_dma_2_M_AXI_MM2S_RVALID : STD_LOGIC;
signal axi_dma_2_mm2s_introut : STD_LOGIC;
signal axi_dma_3_M_AXI_S2MM_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_dma_3_M_AXI_S2MM_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_dma_3_M_AXI_S2MM_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_dma_3_M_AXI_S2MM_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal axi_dma_3_M_AXI_S2MM_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_dma_3_M_AXI_S2MM_AWREADY : STD_LOGIC;
signal axi_dma_3_M_AXI_S2MM_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_dma_3_M_AXI_S2MM_AWVALID : STD_LOGIC;
signal axi_dma_3_M_AXI_S2MM_BREADY : STD_LOGIC;
signal axi_dma_3_M_AXI_S2MM_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_dma_3_M_AXI_S2MM_BVALID : STD_LOGIC;
signal axi_dma_3_M_AXI_S2MM_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_dma_3_M_AXI_S2MM_WLAST : STD_LOGIC;
signal axi_dma_3_M_AXI_S2MM_WREADY : STD_LOGIC;
signal axi_dma_3_M_AXI_S2MM_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_dma_3_M_AXI_S2MM_WVALID : STD_LOGIC;
signal axi_dma_3_s2mm_introut : STD_LOGIC;
signal axi_dma_4_M_AXIS_MM2S_TDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_dma_4_M_AXIS_MM2S_TREADY : STD_LOGIC;
signal axi_dma_4_M_AXIS_MM2S_TVALID : STD_LOGIC;
signal axi_dma_4_M_AXI_MM2S_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_dma_4_M_AXI_MM2S_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_dma_4_M_AXI_MM2S_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_dma_4_M_AXI_MM2S_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal axi_dma_4_M_AXI_MM2S_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_dma_4_M_AXI_MM2S_ARREADY : STD_LOGIC;
signal axi_dma_4_M_AXI_MM2S_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_dma_4_M_AXI_MM2S_ARVALID : STD_LOGIC;
signal axi_dma_4_M_AXI_MM2S_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_dma_4_M_AXI_MM2S_RLAST : STD_LOGIC;
signal axi_dma_4_M_AXI_MM2S_RREADY : STD_LOGIC;
signal axi_dma_4_M_AXI_MM2S_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_dma_4_M_AXI_MM2S_RVALID : STD_LOGIC;
signal axi_dma_4_mm2s_introut : STD_LOGIC;
signal axi_dma_M_AXIS_MM2S_TDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_dma_M_AXIS_MM2S_TREADY : STD_LOGIC;
signal axi_dma_M_AXIS_MM2S_TVALID : STD_LOGIC;
signal axi_dma_M_AXI_MM2S_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_dma_M_AXI_MM2S_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_dma_M_AXI_MM2S_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_dma_M_AXI_MM2S_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 );
signal axi_dma_M_AXI_MM2S_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_dma_M_AXI_MM2S_ARREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_dma_M_AXI_MM2S_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_dma_M_AXI_MM2S_ARVALID : STD_LOGIC;
signal axi_dma_M_AXI_MM2S_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_dma_M_AXI_MM2S_RLAST : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_dma_M_AXI_MM2S_RREADY : STD_LOGIC;
signal axi_dma_M_AXI_MM2S_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_dma_M_AXI_MM2S_RVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal axi_dma_mm2s_introut : STD_LOGIC;
signal axi_gpio_0_GPIO_TRI_I : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_gpio_0_GPIO_TRI_O : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_gpio_0_GPIO_TRI_T : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_mem_intercon_M00_AXI_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_mem_intercon_M00_AXI_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_mem_intercon_M00_AXI_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_mem_intercon_M00_AXI_ARID : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_mem_intercon_M00_AXI_ARLEN : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_mem_intercon_M00_AXI_ARLOCK : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_mem_intercon_M00_AXI_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_mem_intercon_M00_AXI_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_mem_intercon_M00_AXI_ARREADY : STD_LOGIC;
signal axi_mem_intercon_M00_AXI_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_mem_intercon_M00_AXI_ARVALID : STD_LOGIC;
signal axi_mem_intercon_M00_AXI_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_mem_intercon_M00_AXI_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_mem_intercon_M00_AXI_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_mem_intercon_M00_AXI_AWID : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_mem_intercon_M00_AXI_AWLEN : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_mem_intercon_M00_AXI_AWLOCK : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_mem_intercon_M00_AXI_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_mem_intercon_M00_AXI_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_mem_intercon_M00_AXI_AWREADY : STD_LOGIC;
signal axi_mem_intercon_M00_AXI_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_mem_intercon_M00_AXI_AWVALID : STD_LOGIC;
signal axi_mem_intercon_M00_AXI_BID : STD_LOGIC_VECTOR ( 5 downto 0 );
signal axi_mem_intercon_M00_AXI_BREADY : STD_LOGIC;
signal axi_mem_intercon_M00_AXI_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_mem_intercon_M00_AXI_BVALID : STD_LOGIC;
signal axi_mem_intercon_M00_AXI_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_mem_intercon_M00_AXI_RID : STD_LOGIC_VECTOR ( 5 downto 0 );
signal axi_mem_intercon_M00_AXI_RLAST : STD_LOGIC;
signal axi_mem_intercon_M00_AXI_RREADY : STD_LOGIC;
signal axi_mem_intercon_M00_AXI_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_mem_intercon_M00_AXI_RVALID : STD_LOGIC;
signal axi_mem_intercon_M00_AXI_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axi_mem_intercon_M00_AXI_WID : STD_LOGIC_VECTOR ( 2 downto 0 );
signal axi_mem_intercon_M00_AXI_WLAST : STD_LOGIC;
signal axi_mem_intercon_M00_AXI_WREADY : STD_LOGIC;
signal axi_mem_intercon_M00_AXI_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axi_mem_intercon_M00_AXI_WVALID : STD_LOGIC;
signal axis_data_fifo_0_M_AXIS_TDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axis_data_fifo_0_M_AXIS_TKEEP : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axis_data_fifo_0_M_AXIS_TLAST : STD_LOGIC;
signal axis_data_fifo_0_M_AXIS_TREADY : STD_LOGIC;
signal axis_data_fifo_0_M_AXIS_TVALID : STD_LOGIC;
signal axis_data_fifo_1_M_AXIS_TDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal axis_data_fifo_1_M_AXIS_TKEEP : STD_LOGIC_VECTOR ( 3 downto 0 );
signal axis_data_fifo_1_M_AXIS_TLAST : STD_LOGIC;
signal axis_data_fifo_1_M_AXIS_TREADY : STD_LOGIC;
signal axis_data_fifo_1_M_AXIS_TVALID : STD_LOGIC;
signal feedforward_0_P_netOut_TDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal feedforward_0_P_netOut_TREADY : STD_LOGIC;
signal feedforward_0_P_netOut_TVALID : STD_LOGIC;
signal feedforward_0_P_uOut_TDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal feedforward_0_P_uOut_TREADY : STD_LOGIC;
signal feedforward_0_P_uOut_TVALID : STD_LOGIC;
signal feedforward_0_interrupt : STD_LOGIC;
signal processing_system7_0_DDR_ADDR : STD_LOGIC_VECTOR ( 14 downto 0 );
signal processing_system7_0_DDR_BA : STD_LOGIC_VECTOR ( 2 downto 0 );
signal processing_system7_0_DDR_CAS_N : STD_LOGIC;
signal processing_system7_0_DDR_CKE : STD_LOGIC;
signal processing_system7_0_DDR_CK_N : STD_LOGIC;
signal processing_system7_0_DDR_CK_P : STD_LOGIC;
signal processing_system7_0_DDR_CS_N : STD_LOGIC;
signal processing_system7_0_DDR_DM : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_DDR_DQ : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_DDR_DQS_N : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_DDR_DQS_P : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_DDR_ODT : STD_LOGIC;
signal processing_system7_0_DDR_RAS_N : STD_LOGIC;
signal processing_system7_0_DDR_RESET_N : STD_LOGIC;
signal processing_system7_0_DDR_WE_N : STD_LOGIC;
signal processing_system7_0_FCLK_CLK0 : STD_LOGIC;
signal processing_system7_0_FCLK_RESET0_N : STD_LOGIC;
signal processing_system7_0_FIXED_IO_DDR_VRN : STD_LOGIC;
signal processing_system7_0_FIXED_IO_DDR_VRP : STD_LOGIC;
signal processing_system7_0_FIXED_IO_MIO : STD_LOGIC_VECTOR ( 53 downto 0 );
signal processing_system7_0_FIXED_IO_PS_CLK : STD_LOGIC;
signal processing_system7_0_FIXED_IO_PS_PORB : STD_LOGIC;
signal processing_system7_0_FIXED_IO_PS_SRSTB : STD_LOGIC;
signal processing_system7_0_M_AXI_GP0_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_M_AXI_GP0_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal processing_system7_0_M_AXI_GP0_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_M_AXI_GP0_ARID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal processing_system7_0_M_AXI_GP0_ARLEN : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_M_AXI_GP0_ARLOCK : STD_LOGIC_VECTOR ( 1 downto 0 );
signal processing_system7_0_M_AXI_GP0_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal processing_system7_0_M_AXI_GP0_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_M_AXI_GP0_ARREADY : STD_LOGIC;
signal processing_system7_0_M_AXI_GP0_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal processing_system7_0_M_AXI_GP0_ARVALID : STD_LOGIC;
signal processing_system7_0_M_AXI_GP0_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_M_AXI_GP0_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 );
signal processing_system7_0_M_AXI_GP0_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_M_AXI_GP0_AWID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal processing_system7_0_M_AXI_GP0_AWLEN : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_M_AXI_GP0_AWLOCK : STD_LOGIC_VECTOR ( 1 downto 0 );
signal processing_system7_0_M_AXI_GP0_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 );
signal processing_system7_0_M_AXI_GP0_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_M_AXI_GP0_AWREADY : STD_LOGIC;
signal processing_system7_0_M_AXI_GP0_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 );
signal processing_system7_0_M_AXI_GP0_AWVALID : STD_LOGIC;
signal processing_system7_0_M_AXI_GP0_BID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal processing_system7_0_M_AXI_GP0_BREADY : STD_LOGIC;
signal processing_system7_0_M_AXI_GP0_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal processing_system7_0_M_AXI_GP0_BVALID : STD_LOGIC;
signal processing_system7_0_M_AXI_GP0_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_M_AXI_GP0_RID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal processing_system7_0_M_AXI_GP0_RLAST : STD_LOGIC;
signal processing_system7_0_M_AXI_GP0_RREADY : STD_LOGIC;
signal processing_system7_0_M_AXI_GP0_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal processing_system7_0_M_AXI_GP0_RVALID : STD_LOGIC;
signal processing_system7_0_M_AXI_GP0_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_M_AXI_GP0_WID : STD_LOGIC_VECTOR ( 11 downto 0 );
signal processing_system7_0_M_AXI_GP0_WLAST : STD_LOGIC;
signal processing_system7_0_M_AXI_GP0_WREADY : STD_LOGIC;
signal processing_system7_0_M_AXI_GP0_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_M_AXI_GP0_WVALID : STD_LOGIC;
signal processing_system7_0_axi_periph_M00_AXI_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_axi_periph_M00_AXI_ARREADY : STD_LOGIC;
signal processing_system7_0_axi_periph_M00_AXI_ARVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal processing_system7_0_axi_periph_M00_AXI_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_axi_periph_M00_AXI_AWREADY : STD_LOGIC;
signal processing_system7_0_axi_periph_M00_AXI_AWVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal processing_system7_0_axi_periph_M00_AXI_BREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal processing_system7_0_axi_periph_M00_AXI_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal processing_system7_0_axi_periph_M00_AXI_BVALID : STD_LOGIC;
signal processing_system7_0_axi_periph_M00_AXI_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_axi_periph_M00_AXI_RREADY : STD_LOGIC_VECTOR ( 0 to 0 );
signal processing_system7_0_axi_periph_M00_AXI_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal processing_system7_0_axi_periph_M00_AXI_RVALID : STD_LOGIC;
signal processing_system7_0_axi_periph_M00_AXI_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_axi_periph_M00_AXI_WREADY : STD_LOGIC;
signal processing_system7_0_axi_periph_M00_AXI_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_axi_periph_M00_AXI_WVALID : STD_LOGIC_VECTOR ( 0 to 0 );
signal processing_system7_0_axi_periph_M01_AXI_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_axi_periph_M01_AXI_ARREADY : STD_LOGIC;
signal processing_system7_0_axi_periph_M01_AXI_ARVALID : STD_LOGIC;
signal processing_system7_0_axi_periph_M01_AXI_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_axi_periph_M01_AXI_AWREADY : STD_LOGIC;
signal processing_system7_0_axi_periph_M01_AXI_AWVALID : STD_LOGIC;
signal processing_system7_0_axi_periph_M01_AXI_BREADY : STD_LOGIC;
signal processing_system7_0_axi_periph_M01_AXI_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal processing_system7_0_axi_periph_M01_AXI_BVALID : STD_LOGIC;
signal processing_system7_0_axi_periph_M01_AXI_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_axi_periph_M01_AXI_RREADY : STD_LOGIC;
signal processing_system7_0_axi_periph_M01_AXI_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal processing_system7_0_axi_periph_M01_AXI_RVALID : STD_LOGIC;
signal processing_system7_0_axi_periph_M01_AXI_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_axi_periph_M01_AXI_WREADY : STD_LOGIC;
signal processing_system7_0_axi_periph_M01_AXI_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 );
signal processing_system7_0_axi_periph_M01_AXI_WVALID : STD_LOGIC;
signal processing_system7_0_axi_periph_M02_AXI_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_axi_periph_M02_AXI_ARREADY : STD_LOGIC;
signal processing_system7_0_axi_periph_M02_AXI_ARVALID : STD_LOGIC;
signal processing_system7_0_axi_periph_M02_AXI_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_axi_periph_M02_AXI_AWREADY : STD_LOGIC;
signal processing_system7_0_axi_periph_M02_AXI_AWVALID : STD_LOGIC;
signal processing_system7_0_axi_periph_M02_AXI_BREADY : STD_LOGIC;
signal processing_system7_0_axi_periph_M02_AXI_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal processing_system7_0_axi_periph_M02_AXI_BVALID : STD_LOGIC;
signal processing_system7_0_axi_periph_M02_AXI_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_axi_periph_M02_AXI_RREADY : STD_LOGIC;
signal processing_system7_0_axi_periph_M02_AXI_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal processing_system7_0_axi_periph_M02_AXI_RVALID : STD_LOGIC;
signal processing_system7_0_axi_periph_M02_AXI_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_axi_periph_M02_AXI_WREADY : STD_LOGIC;
signal processing_system7_0_axi_periph_M02_AXI_WVALID : STD_LOGIC;
signal processing_system7_0_axi_periph_M03_AXI_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_axi_periph_M03_AXI_ARREADY : STD_LOGIC;
signal processing_system7_0_axi_periph_M03_AXI_ARVALID : STD_LOGIC;
signal processing_system7_0_axi_periph_M03_AXI_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_axi_periph_M03_AXI_AWREADY : STD_LOGIC;
signal processing_system7_0_axi_periph_M03_AXI_AWVALID : STD_LOGIC;
signal processing_system7_0_axi_periph_M03_AXI_BREADY : STD_LOGIC;
signal processing_system7_0_axi_periph_M03_AXI_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal processing_system7_0_axi_periph_M03_AXI_BVALID : STD_LOGIC;
signal processing_system7_0_axi_periph_M03_AXI_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_axi_periph_M03_AXI_RREADY : STD_LOGIC;
signal processing_system7_0_axi_periph_M03_AXI_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal processing_system7_0_axi_periph_M03_AXI_RVALID : STD_LOGIC;
signal processing_system7_0_axi_periph_M03_AXI_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_axi_periph_M03_AXI_WREADY : STD_LOGIC;
signal processing_system7_0_axi_periph_M03_AXI_WVALID : STD_LOGIC;
signal processing_system7_0_axi_periph_M04_AXI_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_axi_periph_M04_AXI_ARREADY : STD_LOGIC;
signal processing_system7_0_axi_periph_M04_AXI_ARVALID : STD_LOGIC;
signal processing_system7_0_axi_periph_M04_AXI_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_axi_periph_M04_AXI_AWREADY : STD_LOGIC;
signal processing_system7_0_axi_periph_M04_AXI_AWVALID : STD_LOGIC;
signal processing_system7_0_axi_periph_M04_AXI_BREADY : STD_LOGIC;
signal processing_system7_0_axi_periph_M04_AXI_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal processing_system7_0_axi_periph_M04_AXI_BVALID : STD_LOGIC;
signal processing_system7_0_axi_periph_M04_AXI_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_axi_periph_M04_AXI_RREADY : STD_LOGIC;
signal processing_system7_0_axi_periph_M04_AXI_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal processing_system7_0_axi_periph_M04_AXI_RVALID : STD_LOGIC;
signal processing_system7_0_axi_periph_M04_AXI_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_axi_periph_M04_AXI_WREADY : STD_LOGIC;
signal processing_system7_0_axi_periph_M04_AXI_WVALID : STD_LOGIC;
signal processing_system7_0_axi_periph_M05_AXI_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_axi_periph_M05_AXI_ARREADY : STD_LOGIC;
signal processing_system7_0_axi_periph_M05_AXI_ARVALID : STD_LOGIC;
signal processing_system7_0_axi_periph_M05_AXI_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_axi_periph_M05_AXI_AWREADY : STD_LOGIC;
signal processing_system7_0_axi_periph_M05_AXI_AWVALID : STD_LOGIC;
signal processing_system7_0_axi_periph_M05_AXI_BREADY : STD_LOGIC;
signal processing_system7_0_axi_periph_M05_AXI_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal processing_system7_0_axi_periph_M05_AXI_BVALID : STD_LOGIC;
signal processing_system7_0_axi_periph_M05_AXI_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_axi_periph_M05_AXI_RREADY : STD_LOGIC;
signal processing_system7_0_axi_periph_M05_AXI_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal processing_system7_0_axi_periph_M05_AXI_RVALID : STD_LOGIC;
signal processing_system7_0_axi_periph_M05_AXI_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_axi_periph_M05_AXI_WREADY : STD_LOGIC;
signal processing_system7_0_axi_periph_M05_AXI_WVALID : STD_LOGIC;
signal processing_system7_0_axi_periph_M06_AXI_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_axi_periph_M06_AXI_ARREADY : STD_LOGIC;
signal processing_system7_0_axi_periph_M06_AXI_ARVALID : STD_LOGIC;
signal processing_system7_0_axi_periph_M06_AXI_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_axi_periph_M06_AXI_AWREADY : STD_LOGIC;
signal processing_system7_0_axi_periph_M06_AXI_AWVALID : STD_LOGIC;
signal processing_system7_0_axi_periph_M06_AXI_BREADY : STD_LOGIC;
signal processing_system7_0_axi_periph_M06_AXI_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal processing_system7_0_axi_periph_M06_AXI_BVALID : STD_LOGIC;
signal processing_system7_0_axi_periph_M06_AXI_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_axi_periph_M06_AXI_RREADY : STD_LOGIC;
signal processing_system7_0_axi_periph_M06_AXI_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 );
signal processing_system7_0_axi_periph_M06_AXI_RVALID : STD_LOGIC;
signal processing_system7_0_axi_periph_M06_AXI_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 );
signal processing_system7_0_axi_periph_M06_AXI_WREADY : STD_LOGIC;
signal processing_system7_0_axi_periph_M06_AXI_WVALID : STD_LOGIC;
signal rst_processing_system7_0_100M_interconnect_aresetn : STD_LOGIC_VECTOR ( 0 to 0 );
signal rst_processing_system7_0_100M_peripheral_aresetn : STD_LOGIC_VECTOR ( 0 to 0 );
signal xlconcat_0_dout : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_axi_dma_m_axis_mm2s_tlast_UNCONNECTED : STD_LOGIC;
signal NLW_axi_dma_mm2s_prmry_reset_out_n_UNCONNECTED : STD_LOGIC;
signal NLW_axi_dma_m_axis_mm2s_tkeep_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_axi_dma_1_s2mm_prmry_reset_out_n_UNCONNECTED : STD_LOGIC;
signal NLW_axi_dma_2_m_axis_mm2s_tlast_UNCONNECTED : STD_LOGIC;
signal NLW_axi_dma_2_mm2s_prmry_reset_out_n_UNCONNECTED : STD_LOGIC;
signal NLW_axi_dma_2_m_axis_mm2s_tkeep_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_axi_dma_3_s2mm_prmry_reset_out_n_UNCONNECTED : STD_LOGIC;
signal NLW_axi_dma_4_m_axis_mm2s_tlast_UNCONNECTED : STD_LOGIC;
signal NLW_axi_dma_4_mm2s_prmry_reset_out_n_UNCONNECTED : STD_LOGIC;
signal NLW_axi_dma_4_m_axis_mm2s_tkeep_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_axis_data_fifo_0_axis_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_axis_data_fifo_0_axis_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_axis_data_fifo_0_axis_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_axis_data_fifo_1_axis_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_axis_data_fifo_1_axis_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_axis_data_fifo_1_axis_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_processing_system7_0_TTC0_WAVE0_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_processing_system7_0_TTC0_WAVE1_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_processing_system7_0_TTC0_WAVE2_OUT_UNCONNECTED : STD_LOGIC;
signal NLW_processing_system7_0_USB0_VBUS_PWRSELECT_UNCONNECTED : STD_LOGIC;
signal NLW_processing_system7_0_S_AXI_HP0_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_processing_system7_0_S_AXI_HP0_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_processing_system7_0_S_AXI_HP0_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 );
signal NLW_processing_system7_0_S_AXI_HP0_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_processing_system7_0_USB0_PORT_INDCTL_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_rst_processing_system7_0_100M_mb_reset_UNCONNECTED : STD_LOGIC;
signal NLW_rst_processing_system7_0_100M_bus_struct_reset_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_rst_processing_system7_0_100M_peripheral_reset_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
begin
axi_gpio_0_GPIO_TRI_I(3 downto 0) <= leds_4bits_tri_i(3 downto 0);
leds_4bits_tri_o(3 downto 0) <= axi_gpio_0_GPIO_TRI_O(3 downto 0);
leds_4bits_tri_t(3 downto 0) <= axi_gpio_0_GPIO_TRI_T(3 downto 0);
axi_dma: component design_SWandHW_standalone_axi_dma_1
port map (
axi_resetn => rst_processing_system7_0_100M_peripheral_aresetn(0),
m_axi_mm2s_aclk => processing_system7_0_FCLK_CLK0,
m_axi_mm2s_araddr(31 downto 0) => axi_dma_M_AXI_MM2S_ARADDR(31 downto 0),
m_axi_mm2s_arburst(1 downto 0) => axi_dma_M_AXI_MM2S_ARBURST(1 downto 0),
m_axi_mm2s_arcache(3 downto 0) => axi_dma_M_AXI_MM2S_ARCACHE(3 downto 0),
m_axi_mm2s_arlen(7 downto 0) => axi_dma_M_AXI_MM2S_ARLEN(7 downto 0),
m_axi_mm2s_arprot(2 downto 0) => axi_dma_M_AXI_MM2S_ARPROT(2 downto 0),
m_axi_mm2s_arready => axi_dma_M_AXI_MM2S_ARREADY(0),
m_axi_mm2s_arsize(2 downto 0) => axi_dma_M_AXI_MM2S_ARSIZE(2 downto 0),
m_axi_mm2s_arvalid => axi_dma_M_AXI_MM2S_ARVALID,
m_axi_mm2s_rdata(31 downto 0) => axi_dma_M_AXI_MM2S_RDATA(31 downto 0),
m_axi_mm2s_rlast => axi_dma_M_AXI_MM2S_RLAST(0),
m_axi_mm2s_rready => axi_dma_M_AXI_MM2S_RREADY,
m_axi_mm2s_rresp(1 downto 0) => axi_dma_M_AXI_MM2S_RRESP(1 downto 0),
m_axi_mm2s_rvalid => axi_dma_M_AXI_MM2S_RVALID(0),
m_axis_mm2s_tdata(31 downto 0) => axi_dma_M_AXIS_MM2S_TDATA(31 downto 0),
m_axis_mm2s_tkeep(3 downto 0) => NLW_axi_dma_m_axis_mm2s_tkeep_UNCONNECTED(3 downto 0),
m_axis_mm2s_tlast => NLW_axi_dma_m_axis_mm2s_tlast_UNCONNECTED,
m_axis_mm2s_tready => axi_dma_M_AXIS_MM2S_TREADY,
m_axis_mm2s_tvalid => axi_dma_M_AXIS_MM2S_TVALID,
mm2s_introut => axi_dma_mm2s_introut,
mm2s_prmry_reset_out_n => NLW_axi_dma_mm2s_prmry_reset_out_n_UNCONNECTED,
s_axi_lite_aclk => processing_system7_0_FCLK_CLK0,
s_axi_lite_araddr(9 downto 0) => processing_system7_0_axi_periph_M02_AXI_ARADDR(9 downto 0),
s_axi_lite_arready => processing_system7_0_axi_periph_M02_AXI_ARREADY,
s_axi_lite_arvalid => processing_system7_0_axi_periph_M02_AXI_ARVALID,
s_axi_lite_awaddr(9 downto 0) => processing_system7_0_axi_periph_M02_AXI_AWADDR(9 downto 0),
s_axi_lite_awready => processing_system7_0_axi_periph_M02_AXI_AWREADY,
s_axi_lite_awvalid => processing_system7_0_axi_periph_M02_AXI_AWVALID,
s_axi_lite_bready => processing_system7_0_axi_periph_M02_AXI_BREADY,
s_axi_lite_bresp(1 downto 0) => processing_system7_0_axi_periph_M02_AXI_BRESP(1 downto 0),
s_axi_lite_bvalid => processing_system7_0_axi_periph_M02_AXI_BVALID,
s_axi_lite_rdata(31 downto 0) => processing_system7_0_axi_periph_M02_AXI_RDATA(31 downto 0),
s_axi_lite_rready => processing_system7_0_axi_periph_M02_AXI_RREADY,
s_axi_lite_rresp(1 downto 0) => processing_system7_0_axi_periph_M02_AXI_RRESP(1 downto 0),
s_axi_lite_rvalid => processing_system7_0_axi_periph_M02_AXI_RVALID,
s_axi_lite_wdata(31 downto 0) => processing_system7_0_axi_periph_M02_AXI_WDATA(31 downto 0),
s_axi_lite_wready => processing_system7_0_axi_periph_M02_AXI_WREADY,
s_axi_lite_wvalid => processing_system7_0_axi_periph_M02_AXI_WVALID
);
axi_dma_1: component design_SWandHW_standalone_axi_dma_1_1
port map (
axi_resetn => rst_processing_system7_0_100M_peripheral_aresetn(0),
m_axi_s2mm_aclk => processing_system7_0_FCLK_CLK0,
m_axi_s2mm_awaddr(31 downto 0) => axi_dma_1_M_AXI_S2MM_AWADDR(31 downto 0),
m_axi_s2mm_awburst(1 downto 0) => axi_dma_1_M_AXI_S2MM_AWBURST(1 downto 0),
m_axi_s2mm_awcache(3 downto 0) => axi_dma_1_M_AXI_S2MM_AWCACHE(3 downto 0),
m_axi_s2mm_awlen(7 downto 0) => axi_dma_1_M_AXI_S2MM_AWLEN(7 downto 0),
m_axi_s2mm_awprot(2 downto 0) => axi_dma_1_M_AXI_S2MM_AWPROT(2 downto 0),
m_axi_s2mm_awready => axi_dma_1_M_AXI_S2MM_AWREADY,
m_axi_s2mm_awsize(2 downto 0) => axi_dma_1_M_AXI_S2MM_AWSIZE(2 downto 0),
m_axi_s2mm_awvalid => axi_dma_1_M_AXI_S2MM_AWVALID,
m_axi_s2mm_bready => axi_dma_1_M_AXI_S2MM_BREADY,
m_axi_s2mm_bresp(1 downto 0) => axi_dma_1_M_AXI_S2MM_BRESP(1 downto 0),
m_axi_s2mm_bvalid => axi_dma_1_M_AXI_S2MM_BVALID,
m_axi_s2mm_wdata(31 downto 0) => axi_dma_1_M_AXI_S2MM_WDATA(31 downto 0),
m_axi_s2mm_wlast => axi_dma_1_M_AXI_S2MM_WLAST,
m_axi_s2mm_wready => axi_dma_1_M_AXI_S2MM_WREADY,
m_axi_s2mm_wstrb(3 downto 0) => axi_dma_1_M_AXI_S2MM_WSTRB(3 downto 0),
m_axi_s2mm_wvalid => axi_dma_1_M_AXI_S2MM_WVALID,
s2mm_introut => axi_dma_1_s2mm_introut,
s2mm_prmry_reset_out_n => NLW_axi_dma_1_s2mm_prmry_reset_out_n_UNCONNECTED,
s_axi_lite_aclk => processing_system7_0_FCLK_CLK0,
s_axi_lite_araddr(9 downto 0) => processing_system7_0_axi_periph_M03_AXI_ARADDR(9 downto 0),
s_axi_lite_arready => processing_system7_0_axi_periph_M03_AXI_ARREADY,
s_axi_lite_arvalid => processing_system7_0_axi_periph_M03_AXI_ARVALID,
s_axi_lite_awaddr(9 downto 0) => processing_system7_0_axi_periph_M03_AXI_AWADDR(9 downto 0),
s_axi_lite_awready => processing_system7_0_axi_periph_M03_AXI_AWREADY,
s_axi_lite_awvalid => processing_system7_0_axi_periph_M03_AXI_AWVALID,
s_axi_lite_bready => processing_system7_0_axi_periph_M03_AXI_BREADY,
s_axi_lite_bresp(1 downto 0) => processing_system7_0_axi_periph_M03_AXI_BRESP(1 downto 0),
s_axi_lite_bvalid => processing_system7_0_axi_periph_M03_AXI_BVALID,
s_axi_lite_rdata(31 downto 0) => processing_system7_0_axi_periph_M03_AXI_RDATA(31 downto 0),
s_axi_lite_rready => processing_system7_0_axi_periph_M03_AXI_RREADY,
s_axi_lite_rresp(1 downto 0) => processing_system7_0_axi_periph_M03_AXI_RRESP(1 downto 0),
s_axi_lite_rvalid => processing_system7_0_axi_periph_M03_AXI_RVALID,
s_axi_lite_wdata(31 downto 0) => processing_system7_0_axi_periph_M03_AXI_WDATA(31 downto 0),
s_axi_lite_wready => processing_system7_0_axi_periph_M03_AXI_WREADY,
s_axi_lite_wvalid => processing_system7_0_axi_periph_M03_AXI_WVALID,
s_axis_s2mm_tdata(31 downto 0) => axis_data_fifo_1_M_AXIS_TDATA(31 downto 0),
s_axis_s2mm_tkeep(3 downto 0) => axis_data_fifo_1_M_AXIS_TKEEP(3 downto 0),
s_axis_s2mm_tlast => axis_data_fifo_1_M_AXIS_TLAST,
s_axis_s2mm_tready => axis_data_fifo_1_M_AXIS_TREADY,
s_axis_s2mm_tvalid => axis_data_fifo_1_M_AXIS_TVALID
);
axi_dma_2: component design_SWandHW_standalone_axi_dma_2_0
port map (
axi_resetn => rst_processing_system7_0_100M_peripheral_aresetn(0),
m_axi_mm2s_aclk => processing_system7_0_FCLK_CLK0,
m_axi_mm2s_araddr(31 downto 0) => axi_dma_2_M_AXI_MM2S_ARADDR(31 downto 0),
m_axi_mm2s_arburst(1 downto 0) => axi_dma_2_M_AXI_MM2S_ARBURST(1 downto 0),
m_axi_mm2s_arcache(3 downto 0) => axi_dma_2_M_AXI_MM2S_ARCACHE(3 downto 0),
m_axi_mm2s_arlen(7 downto 0) => axi_dma_2_M_AXI_MM2S_ARLEN(7 downto 0),
m_axi_mm2s_arprot(2 downto 0) => axi_dma_2_M_AXI_MM2S_ARPROT(2 downto 0),
m_axi_mm2s_arready => axi_dma_2_M_AXI_MM2S_ARREADY,
m_axi_mm2s_arsize(2 downto 0) => axi_dma_2_M_AXI_MM2S_ARSIZE(2 downto 0),
m_axi_mm2s_arvalid => axi_dma_2_M_AXI_MM2S_ARVALID,
m_axi_mm2s_rdata(31 downto 0) => axi_dma_2_M_AXI_MM2S_RDATA(31 downto 0),
m_axi_mm2s_rlast => axi_dma_2_M_AXI_MM2S_RLAST,
m_axi_mm2s_rready => axi_dma_2_M_AXI_MM2S_RREADY,
m_axi_mm2s_rresp(1 downto 0) => axi_dma_2_M_AXI_MM2S_RRESP(1 downto 0),
m_axi_mm2s_rvalid => axi_dma_2_M_AXI_MM2S_RVALID,
m_axis_mm2s_tdata(31 downto 0) => axi_dma_2_M_AXIS_MM2S_TDATA(31 downto 0),
m_axis_mm2s_tkeep(3 downto 0) => NLW_axi_dma_2_m_axis_mm2s_tkeep_UNCONNECTED(3 downto 0),
m_axis_mm2s_tlast => NLW_axi_dma_2_m_axis_mm2s_tlast_UNCONNECTED,
m_axis_mm2s_tready => axi_dma_2_M_AXIS_MM2S_TREADY,
m_axis_mm2s_tvalid => axi_dma_2_M_AXIS_MM2S_TVALID,
mm2s_introut => axi_dma_2_mm2s_introut,
mm2s_prmry_reset_out_n => NLW_axi_dma_2_mm2s_prmry_reset_out_n_UNCONNECTED,
s_axi_lite_aclk => processing_system7_0_FCLK_CLK0,
s_axi_lite_araddr(9 downto 0) => processing_system7_0_axi_periph_M04_AXI_ARADDR(9 downto 0),
s_axi_lite_arready => processing_system7_0_axi_periph_M04_AXI_ARREADY,
s_axi_lite_arvalid => processing_system7_0_axi_periph_M04_AXI_ARVALID,
s_axi_lite_awaddr(9 downto 0) => processing_system7_0_axi_periph_M04_AXI_AWADDR(9 downto 0),
s_axi_lite_awready => processing_system7_0_axi_periph_M04_AXI_AWREADY,
s_axi_lite_awvalid => processing_system7_0_axi_periph_M04_AXI_AWVALID,
s_axi_lite_bready => processing_system7_0_axi_periph_M04_AXI_BREADY,
s_axi_lite_bresp(1 downto 0) => processing_system7_0_axi_periph_M04_AXI_BRESP(1 downto 0),
s_axi_lite_bvalid => processing_system7_0_axi_periph_M04_AXI_BVALID,
s_axi_lite_rdata(31 downto 0) => processing_system7_0_axi_periph_M04_AXI_RDATA(31 downto 0),
s_axi_lite_rready => processing_system7_0_axi_periph_M04_AXI_RREADY,
s_axi_lite_rresp(1 downto 0) => processing_system7_0_axi_periph_M04_AXI_RRESP(1 downto 0),
s_axi_lite_rvalid => processing_system7_0_axi_periph_M04_AXI_RVALID,
s_axi_lite_wdata(31 downto 0) => processing_system7_0_axi_periph_M04_AXI_WDATA(31 downto 0),
s_axi_lite_wready => processing_system7_0_axi_periph_M04_AXI_WREADY,
s_axi_lite_wvalid => processing_system7_0_axi_periph_M04_AXI_WVALID
);
axi_dma_3: component design_SWandHW_standalone_axi_dma_3_0
port map (
axi_resetn => rst_processing_system7_0_100M_peripheral_aresetn(0),
m_axi_s2mm_aclk => processing_system7_0_FCLK_CLK0,
m_axi_s2mm_awaddr(31 downto 0) => axi_dma_3_M_AXI_S2MM_AWADDR(31 downto 0),
m_axi_s2mm_awburst(1 downto 0) => axi_dma_3_M_AXI_S2MM_AWBURST(1 downto 0),
m_axi_s2mm_awcache(3 downto 0) => axi_dma_3_M_AXI_S2MM_AWCACHE(3 downto 0),
m_axi_s2mm_awlen(7 downto 0) => axi_dma_3_M_AXI_S2MM_AWLEN(7 downto 0),
m_axi_s2mm_awprot(2 downto 0) => axi_dma_3_M_AXI_S2MM_AWPROT(2 downto 0),
m_axi_s2mm_awready => axi_dma_3_M_AXI_S2MM_AWREADY,
m_axi_s2mm_awsize(2 downto 0) => axi_dma_3_M_AXI_S2MM_AWSIZE(2 downto 0),
m_axi_s2mm_awvalid => axi_dma_3_M_AXI_S2MM_AWVALID,
m_axi_s2mm_bready => axi_dma_3_M_AXI_S2MM_BREADY,
m_axi_s2mm_bresp(1 downto 0) => axi_dma_3_M_AXI_S2MM_BRESP(1 downto 0),
m_axi_s2mm_bvalid => axi_dma_3_M_AXI_S2MM_BVALID,
m_axi_s2mm_wdata(31 downto 0) => axi_dma_3_M_AXI_S2MM_WDATA(31 downto 0),
m_axi_s2mm_wlast => axi_dma_3_M_AXI_S2MM_WLAST,
m_axi_s2mm_wready => axi_dma_3_M_AXI_S2MM_WREADY,
m_axi_s2mm_wstrb(3 downto 0) => axi_dma_3_M_AXI_S2MM_WSTRB(3 downto 0),
m_axi_s2mm_wvalid => axi_dma_3_M_AXI_S2MM_WVALID,
s2mm_introut => axi_dma_3_s2mm_introut,
s2mm_prmry_reset_out_n => NLW_axi_dma_3_s2mm_prmry_reset_out_n_UNCONNECTED,
s_axi_lite_aclk => processing_system7_0_FCLK_CLK0,
s_axi_lite_araddr(9 downto 0) => processing_system7_0_axi_periph_M05_AXI_ARADDR(9 downto 0),
s_axi_lite_arready => processing_system7_0_axi_periph_M05_AXI_ARREADY,
s_axi_lite_arvalid => processing_system7_0_axi_periph_M05_AXI_ARVALID,
s_axi_lite_awaddr(9 downto 0) => processing_system7_0_axi_periph_M05_AXI_AWADDR(9 downto 0),
s_axi_lite_awready => processing_system7_0_axi_periph_M05_AXI_AWREADY,
s_axi_lite_awvalid => processing_system7_0_axi_periph_M05_AXI_AWVALID,
s_axi_lite_bready => processing_system7_0_axi_periph_M05_AXI_BREADY,
s_axi_lite_bresp(1 downto 0) => processing_system7_0_axi_periph_M05_AXI_BRESP(1 downto 0),
s_axi_lite_bvalid => processing_system7_0_axi_periph_M05_AXI_BVALID,
s_axi_lite_rdata(31 downto 0) => processing_system7_0_axi_periph_M05_AXI_RDATA(31 downto 0),
s_axi_lite_rready => processing_system7_0_axi_periph_M05_AXI_RREADY,
s_axi_lite_rresp(1 downto 0) => processing_system7_0_axi_periph_M05_AXI_RRESP(1 downto 0),
s_axi_lite_rvalid => processing_system7_0_axi_periph_M05_AXI_RVALID,
s_axi_lite_wdata(31 downto 0) => processing_system7_0_axi_periph_M05_AXI_WDATA(31 downto 0),
s_axi_lite_wready => processing_system7_0_axi_periph_M05_AXI_WREADY,
s_axi_lite_wvalid => processing_system7_0_axi_periph_M05_AXI_WVALID,
s_axis_s2mm_tdata(31 downto 0) => axis_data_fifo_0_M_AXIS_TDATA(31 downto 0),
s_axis_s2mm_tkeep(3 downto 0) => axis_data_fifo_0_M_AXIS_TKEEP(3 downto 0),
s_axis_s2mm_tlast => axis_data_fifo_0_M_AXIS_TLAST,
s_axis_s2mm_tready => axis_data_fifo_0_M_AXIS_TREADY,
s_axis_s2mm_tvalid => axis_data_fifo_0_M_AXIS_TVALID
);
axi_dma_4: component design_SWandHW_standalone_axi_dma_4_0
port map (
axi_resetn => rst_processing_system7_0_100M_peripheral_aresetn(0),
m_axi_mm2s_aclk => processing_system7_0_FCLK_CLK0,
m_axi_mm2s_araddr(31 downto 0) => axi_dma_4_M_AXI_MM2S_ARADDR(31 downto 0),
m_axi_mm2s_arburst(1 downto 0) => axi_dma_4_M_AXI_MM2S_ARBURST(1 downto 0),
m_axi_mm2s_arcache(3 downto 0) => axi_dma_4_M_AXI_MM2S_ARCACHE(3 downto 0),
m_axi_mm2s_arlen(7 downto 0) => axi_dma_4_M_AXI_MM2S_ARLEN(7 downto 0),
m_axi_mm2s_arprot(2 downto 0) => axi_dma_4_M_AXI_MM2S_ARPROT(2 downto 0),
m_axi_mm2s_arready => axi_dma_4_M_AXI_MM2S_ARREADY,
m_axi_mm2s_arsize(2 downto 0) => axi_dma_4_M_AXI_MM2S_ARSIZE(2 downto 0),
m_axi_mm2s_arvalid => axi_dma_4_M_AXI_MM2S_ARVALID,
m_axi_mm2s_rdata(31 downto 0) => axi_dma_4_M_AXI_MM2S_RDATA(31 downto 0),
m_axi_mm2s_rlast => axi_dma_4_M_AXI_MM2S_RLAST,
m_axi_mm2s_rready => axi_dma_4_M_AXI_MM2S_RREADY,
m_axi_mm2s_rresp(1 downto 0) => axi_dma_4_M_AXI_MM2S_RRESP(1 downto 0),
m_axi_mm2s_rvalid => axi_dma_4_M_AXI_MM2S_RVALID,
m_axis_mm2s_tdata(31 downto 0) => axi_dma_4_M_AXIS_MM2S_TDATA(31 downto 0),
m_axis_mm2s_tkeep(3 downto 0) => NLW_axi_dma_4_m_axis_mm2s_tkeep_UNCONNECTED(3 downto 0),
m_axis_mm2s_tlast => NLW_axi_dma_4_m_axis_mm2s_tlast_UNCONNECTED,
m_axis_mm2s_tready => axi_dma_4_M_AXIS_MM2S_TREADY,
m_axis_mm2s_tvalid => axi_dma_4_M_AXIS_MM2S_TVALID,
mm2s_introut => axi_dma_4_mm2s_introut,
mm2s_prmry_reset_out_n => NLW_axi_dma_4_mm2s_prmry_reset_out_n_UNCONNECTED,
s_axi_lite_aclk => processing_system7_0_FCLK_CLK0,
s_axi_lite_araddr(9 downto 0) => processing_system7_0_axi_periph_M06_AXI_ARADDR(9 downto 0),
s_axi_lite_arready => processing_system7_0_axi_periph_M06_AXI_ARREADY,
s_axi_lite_arvalid => processing_system7_0_axi_periph_M06_AXI_ARVALID,
s_axi_lite_awaddr(9 downto 0) => processing_system7_0_axi_periph_M06_AXI_AWADDR(9 downto 0),
s_axi_lite_awready => processing_system7_0_axi_periph_M06_AXI_AWREADY,
s_axi_lite_awvalid => processing_system7_0_axi_periph_M06_AXI_AWVALID,
s_axi_lite_bready => processing_system7_0_axi_periph_M06_AXI_BREADY,
s_axi_lite_bresp(1 downto 0) => processing_system7_0_axi_periph_M06_AXI_BRESP(1 downto 0),
s_axi_lite_bvalid => processing_system7_0_axi_periph_M06_AXI_BVALID,
s_axi_lite_rdata(31 downto 0) => processing_system7_0_axi_periph_M06_AXI_RDATA(31 downto 0),
s_axi_lite_rready => processing_system7_0_axi_periph_M06_AXI_RREADY,
s_axi_lite_rresp(1 downto 0) => processing_system7_0_axi_periph_M06_AXI_RRESP(1 downto 0),
s_axi_lite_rvalid => processing_system7_0_axi_periph_M06_AXI_RVALID,
s_axi_lite_wdata(31 downto 0) => processing_system7_0_axi_periph_M06_AXI_WDATA(31 downto 0),
s_axi_lite_wready => processing_system7_0_axi_periph_M06_AXI_WREADY,
s_axi_lite_wvalid => processing_system7_0_axi_periph_M06_AXI_WVALID
);
axi_gpio_0: component design_SWandHW_standalone_axi_gpio_0_0
port map (
gpio_io_i(3 downto 0) => axi_gpio_0_GPIO_TRI_I(3 downto 0),
gpio_io_o(3 downto 0) => axi_gpio_0_GPIO_TRI_O(3 downto 0),
gpio_io_t(3 downto 0) => axi_gpio_0_GPIO_TRI_T(3 downto 0),
s_axi_aclk => processing_system7_0_FCLK_CLK0,
s_axi_araddr(8 downto 0) => processing_system7_0_axi_periph_M00_AXI_ARADDR(8 downto 0),
s_axi_aresetn => rst_processing_system7_0_100M_peripheral_aresetn(0),
s_axi_arready => processing_system7_0_axi_periph_M00_AXI_ARREADY,
s_axi_arvalid => processing_system7_0_axi_periph_M00_AXI_ARVALID(0),
s_axi_awaddr(8 downto 0) => processing_system7_0_axi_periph_M00_AXI_AWADDR(8 downto 0),
s_axi_awready => processing_system7_0_axi_periph_M00_AXI_AWREADY,
s_axi_awvalid => processing_system7_0_axi_periph_M00_AXI_AWVALID(0),
s_axi_bready => processing_system7_0_axi_periph_M00_AXI_BREADY(0),
s_axi_bresp(1 downto 0) => processing_system7_0_axi_periph_M00_AXI_BRESP(1 downto 0),
s_axi_bvalid => processing_system7_0_axi_periph_M00_AXI_BVALID,
s_axi_rdata(31 downto 0) => processing_system7_0_axi_periph_M00_AXI_RDATA(31 downto 0),
s_axi_rready => processing_system7_0_axi_periph_M00_AXI_RREADY(0),
s_axi_rresp(1 downto 0) => processing_system7_0_axi_periph_M00_AXI_RRESP(1 downto 0),
s_axi_rvalid => processing_system7_0_axi_periph_M00_AXI_RVALID,
s_axi_wdata(31 downto 0) => processing_system7_0_axi_periph_M00_AXI_WDATA(31 downto 0),
s_axi_wready => processing_system7_0_axi_periph_M00_AXI_WREADY,
s_axi_wstrb(3 downto 0) => processing_system7_0_axi_periph_M00_AXI_WSTRB(3 downto 0),
s_axi_wvalid => processing_system7_0_axi_periph_M00_AXI_WVALID(0)
);
axi_mem_intercon: entity work.design_SWandHW_standalone_axi_mem_intercon_1
port map (
ACLK => processing_system7_0_FCLK_CLK0,
ARESETN(0) => rst_processing_system7_0_100M_interconnect_aresetn(0),
M00_ACLK => processing_system7_0_FCLK_CLK0,
M00_ARESETN(0) => rst_processing_system7_0_100M_peripheral_aresetn(0),
M00_AXI_araddr(31 downto 0) => axi_mem_intercon_M00_AXI_ARADDR(31 downto 0),
M00_AXI_arburst(1 downto 0) => axi_mem_intercon_M00_AXI_ARBURST(1 downto 0),
M00_AXI_arcache(3 downto 0) => axi_mem_intercon_M00_AXI_ARCACHE(3 downto 0),
M00_AXI_arid(2 downto 0) => axi_mem_intercon_M00_AXI_ARID(2 downto 0),
M00_AXI_arlen(3 downto 0) => axi_mem_intercon_M00_AXI_ARLEN(3 downto 0),
M00_AXI_arlock(1 downto 0) => axi_mem_intercon_M00_AXI_ARLOCK(1 downto 0),
M00_AXI_arprot(2 downto 0) => axi_mem_intercon_M00_AXI_ARPROT(2 downto 0),
M00_AXI_arqos(3 downto 0) => axi_mem_intercon_M00_AXI_ARQOS(3 downto 0),
M00_AXI_arready => axi_mem_intercon_M00_AXI_ARREADY,
M00_AXI_arsize(2 downto 0) => axi_mem_intercon_M00_AXI_ARSIZE(2 downto 0),
M00_AXI_arvalid => axi_mem_intercon_M00_AXI_ARVALID,
M00_AXI_awaddr(31 downto 0) => axi_mem_intercon_M00_AXI_AWADDR(31 downto 0),
M00_AXI_awburst(1 downto 0) => axi_mem_intercon_M00_AXI_AWBURST(1 downto 0),
M00_AXI_awcache(3 downto 0) => axi_mem_intercon_M00_AXI_AWCACHE(3 downto 0),
M00_AXI_awid(2 downto 0) => axi_mem_intercon_M00_AXI_AWID(2 downto 0),
M00_AXI_awlen(3 downto 0) => axi_mem_intercon_M00_AXI_AWLEN(3 downto 0),
M00_AXI_awlock(1 downto 0) => axi_mem_intercon_M00_AXI_AWLOCK(1 downto 0),
M00_AXI_awprot(2 downto 0) => axi_mem_intercon_M00_AXI_AWPROT(2 downto 0),
M00_AXI_awqos(3 downto 0) => axi_mem_intercon_M00_AXI_AWQOS(3 downto 0),
M00_AXI_awready => axi_mem_intercon_M00_AXI_AWREADY,
M00_AXI_awsize(2 downto 0) => axi_mem_intercon_M00_AXI_AWSIZE(2 downto 0),
M00_AXI_awvalid => axi_mem_intercon_M00_AXI_AWVALID,
M00_AXI_bid(5 downto 0) => axi_mem_intercon_M00_AXI_BID(5 downto 0),
M00_AXI_bready => axi_mem_intercon_M00_AXI_BREADY,
M00_AXI_bresp(1 downto 0) => axi_mem_intercon_M00_AXI_BRESP(1 downto 0),
M00_AXI_bvalid => axi_mem_intercon_M00_AXI_BVALID,
M00_AXI_rdata(31 downto 0) => axi_mem_intercon_M00_AXI_RDATA(31 downto 0),
M00_AXI_rid(5 downto 0) => axi_mem_intercon_M00_AXI_RID(5 downto 0),
M00_AXI_rlast => axi_mem_intercon_M00_AXI_RLAST,
M00_AXI_rready => axi_mem_intercon_M00_AXI_RREADY,
M00_AXI_rresp(1 downto 0) => axi_mem_intercon_M00_AXI_RRESP(1 downto 0),
M00_AXI_rvalid => axi_mem_intercon_M00_AXI_RVALID,
M00_AXI_wdata(31 downto 0) => axi_mem_intercon_M00_AXI_WDATA(31 downto 0),
M00_AXI_wid(2 downto 0) => axi_mem_intercon_M00_AXI_WID(2 downto 0),
M00_AXI_wlast => axi_mem_intercon_M00_AXI_WLAST,
M00_AXI_wready => axi_mem_intercon_M00_AXI_WREADY,
M00_AXI_wstrb(3 downto 0) => axi_mem_intercon_M00_AXI_WSTRB(3 downto 0),
M00_AXI_wvalid => axi_mem_intercon_M00_AXI_WVALID,
S00_ACLK => processing_system7_0_FCLK_CLK0,
S00_ARESETN(0) => rst_processing_system7_0_100M_peripheral_aresetn(0),
S00_AXI_araddr(31 downto 0) => axi_dma_M_AXI_MM2S_ARADDR(31 downto 0),
S00_AXI_arburst(1 downto 0) => axi_dma_M_AXI_MM2S_ARBURST(1 downto 0),
S00_AXI_arcache(3 downto 0) => axi_dma_M_AXI_MM2S_ARCACHE(3 downto 0),
S00_AXI_arlen(7 downto 0) => axi_dma_M_AXI_MM2S_ARLEN(7 downto 0),
S00_AXI_arprot(2 downto 0) => axi_dma_M_AXI_MM2S_ARPROT(2 downto 0),
S00_AXI_arready(0) => axi_dma_M_AXI_MM2S_ARREADY(0),
S00_AXI_arsize(2 downto 0) => axi_dma_M_AXI_MM2S_ARSIZE(2 downto 0),
S00_AXI_arvalid(0) => axi_dma_M_AXI_MM2S_ARVALID,
S00_AXI_rdata(31 downto 0) => axi_dma_M_AXI_MM2S_RDATA(31 downto 0),
S00_AXI_rlast(0) => axi_dma_M_AXI_MM2S_RLAST(0),
S00_AXI_rready(0) => axi_dma_M_AXI_MM2S_RREADY,
S00_AXI_rresp(1 downto 0) => axi_dma_M_AXI_MM2S_RRESP(1 downto 0),
S00_AXI_rvalid(0) => axi_dma_M_AXI_MM2S_RVALID(0),
S01_ACLK => processing_system7_0_FCLK_CLK0,
S01_ARESETN(0) => rst_processing_system7_0_100M_peripheral_aresetn(0),
S01_AXI_awaddr(31 downto 0) => axi_dma_1_M_AXI_S2MM_AWADDR(31 downto 0),
S01_AXI_awburst(1 downto 0) => axi_dma_1_M_AXI_S2MM_AWBURST(1 downto 0),
S01_AXI_awcache(3 downto 0) => axi_dma_1_M_AXI_S2MM_AWCACHE(3 downto 0),
S01_AXI_awlen(7 downto 0) => axi_dma_1_M_AXI_S2MM_AWLEN(7 downto 0),
S01_AXI_awprot(2 downto 0) => axi_dma_1_M_AXI_S2MM_AWPROT(2 downto 0),
S01_AXI_awready => axi_dma_1_M_AXI_S2MM_AWREADY,
S01_AXI_awsize(2 downto 0) => axi_dma_1_M_AXI_S2MM_AWSIZE(2 downto 0),
S01_AXI_awvalid => axi_dma_1_M_AXI_S2MM_AWVALID,
S01_AXI_bready => axi_dma_1_M_AXI_S2MM_BREADY,
S01_AXI_bresp(1 downto 0) => axi_dma_1_M_AXI_S2MM_BRESP(1 downto 0),
S01_AXI_bvalid => axi_dma_1_M_AXI_S2MM_BVALID,
S01_AXI_wdata(31 downto 0) => axi_dma_1_M_AXI_S2MM_WDATA(31 downto 0),
S01_AXI_wlast => axi_dma_1_M_AXI_S2MM_WLAST,
S01_AXI_wready => axi_dma_1_M_AXI_S2MM_WREADY,
S01_AXI_wstrb(3 downto 0) => axi_dma_1_M_AXI_S2MM_WSTRB(3 downto 0),
S01_AXI_wvalid => axi_dma_1_M_AXI_S2MM_WVALID,
S02_ACLK => processing_system7_0_FCLK_CLK0,
S02_ARESETN(0) => rst_processing_system7_0_100M_peripheral_aresetn(0),
S02_AXI_araddr(31 downto 0) => axi_dma_2_M_AXI_MM2S_ARADDR(31 downto 0),
S02_AXI_arburst(1 downto 0) => axi_dma_2_M_AXI_MM2S_ARBURST(1 downto 0),
S02_AXI_arcache(3 downto 0) => axi_dma_2_M_AXI_MM2S_ARCACHE(3 downto 0),
S02_AXI_arlen(7 downto 0) => axi_dma_2_M_AXI_MM2S_ARLEN(7 downto 0),
S02_AXI_arprot(2 downto 0) => axi_dma_2_M_AXI_MM2S_ARPROT(2 downto 0),
S02_AXI_arready => axi_dma_2_M_AXI_MM2S_ARREADY,
S02_AXI_arsize(2 downto 0) => axi_dma_2_M_AXI_MM2S_ARSIZE(2 downto 0),
S02_AXI_arvalid => axi_dma_2_M_AXI_MM2S_ARVALID,
S02_AXI_rdata(31 downto 0) => axi_dma_2_M_AXI_MM2S_RDATA(31 downto 0),
S02_AXI_rlast => axi_dma_2_M_AXI_MM2S_RLAST,
S02_AXI_rready => axi_dma_2_M_AXI_MM2S_RREADY,
S02_AXI_rresp(1 downto 0) => axi_dma_2_M_AXI_MM2S_RRESP(1 downto 0),
S02_AXI_rvalid => axi_dma_2_M_AXI_MM2S_RVALID,
S03_ACLK => processing_system7_0_FCLK_CLK0,
S03_ARESETN(0) => rst_processing_system7_0_100M_peripheral_aresetn(0),
S03_AXI_awaddr(31 downto 0) => axi_dma_3_M_AXI_S2MM_AWADDR(31 downto 0),
S03_AXI_awburst(1 downto 0) => axi_dma_3_M_AXI_S2MM_AWBURST(1 downto 0),
S03_AXI_awcache(3 downto 0) => axi_dma_3_M_AXI_S2MM_AWCACHE(3 downto 0),
S03_AXI_awlen(7 downto 0) => axi_dma_3_M_AXI_S2MM_AWLEN(7 downto 0),
S03_AXI_awprot(2 downto 0) => axi_dma_3_M_AXI_S2MM_AWPROT(2 downto 0),
S03_AXI_awready => axi_dma_3_M_AXI_S2MM_AWREADY,
S03_AXI_awsize(2 downto 0) => axi_dma_3_M_AXI_S2MM_AWSIZE(2 downto 0),
S03_AXI_awvalid => axi_dma_3_M_AXI_S2MM_AWVALID,
S03_AXI_bready => axi_dma_3_M_AXI_S2MM_BREADY,
S03_AXI_bresp(1 downto 0) => axi_dma_3_M_AXI_S2MM_BRESP(1 downto 0),
S03_AXI_bvalid => axi_dma_3_M_AXI_S2MM_BVALID,
S03_AXI_wdata(31 downto 0) => axi_dma_3_M_AXI_S2MM_WDATA(31 downto 0),
S03_AXI_wlast => axi_dma_3_M_AXI_S2MM_WLAST,
S03_AXI_wready => axi_dma_3_M_AXI_S2MM_WREADY,
S03_AXI_wstrb(3 downto 0) => axi_dma_3_M_AXI_S2MM_WSTRB(3 downto 0),
S03_AXI_wvalid => axi_dma_3_M_AXI_S2MM_WVALID,
S04_ACLK => processing_system7_0_FCLK_CLK0,
S04_ARESETN(0) => rst_processing_system7_0_100M_peripheral_aresetn(0),
S04_AXI_araddr(31 downto 0) => axi_dma_4_M_AXI_MM2S_ARADDR(31 downto 0),
S04_AXI_arburst(1 downto 0) => axi_dma_4_M_AXI_MM2S_ARBURST(1 downto 0),
S04_AXI_arcache(3 downto 0) => axi_dma_4_M_AXI_MM2S_ARCACHE(3 downto 0),
S04_AXI_arlen(7 downto 0) => axi_dma_4_M_AXI_MM2S_ARLEN(7 downto 0),
S04_AXI_arprot(2 downto 0) => axi_dma_4_M_AXI_MM2S_ARPROT(2 downto 0),
S04_AXI_arready => axi_dma_4_M_AXI_MM2S_ARREADY,
S04_AXI_arsize(2 downto 0) => axi_dma_4_M_AXI_MM2S_ARSIZE(2 downto 0),
S04_AXI_arvalid => axi_dma_4_M_AXI_MM2S_ARVALID,
S04_AXI_rdata(31 downto 0) => axi_dma_4_M_AXI_MM2S_RDATA(31 downto 0),
S04_AXI_rlast => axi_dma_4_M_AXI_MM2S_RLAST,
S04_AXI_rready => axi_dma_4_M_AXI_MM2S_RREADY,
S04_AXI_rresp(1 downto 0) => axi_dma_4_M_AXI_MM2S_RRESP(1 downto 0),
S04_AXI_rvalid => axi_dma_4_M_AXI_MM2S_RVALID
);
axis_data_fifo_0: component design_SWandHW_standalone_axis_data_fifo_0_0
port map (
axis_data_count(31 downto 0) => NLW_axis_data_fifo_0_axis_data_count_UNCONNECTED(31 downto 0),
axis_rd_data_count(31 downto 0) => NLW_axis_data_fifo_0_axis_rd_data_count_UNCONNECTED(31 downto 0),
axis_wr_data_count(31 downto 0) => NLW_axis_data_fifo_0_axis_wr_data_count_UNCONNECTED(31 downto 0),
m_axis_tdata(31 downto 0) => axis_data_fifo_0_M_AXIS_TDATA(31 downto 0),
m_axis_tkeep(3 downto 0) => axis_data_fifo_0_M_AXIS_TKEEP(3 downto 0),
m_axis_tlast => axis_data_fifo_0_M_AXIS_TLAST,
m_axis_tready => axis_data_fifo_0_M_AXIS_TREADY,
m_axis_tvalid => axis_data_fifo_0_M_AXIS_TVALID,
s_axis_aclk => processing_system7_0_FCLK_CLK0,
s_axis_aresetn => rst_processing_system7_0_100M_peripheral_aresetn(0),
s_axis_tdata(31 downto 0) => feedforward_0_P_uOut_TDATA(31 downto 0),
s_axis_tkeep(3 downto 0) => B"1111",
s_axis_tlast => '1',
s_axis_tready => feedforward_0_P_uOut_TREADY,
s_axis_tvalid => feedforward_0_P_uOut_TVALID
);
axis_data_fifo_1: component design_SWandHW_standalone_axis_data_fifo_1_0
port map (
axis_data_count(31 downto 0) => NLW_axis_data_fifo_1_axis_data_count_UNCONNECTED(31 downto 0),
axis_rd_data_count(31 downto 0) => NLW_axis_data_fifo_1_axis_rd_data_count_UNCONNECTED(31 downto 0),
axis_wr_data_count(31 downto 0) => NLW_axis_data_fifo_1_axis_wr_data_count_UNCONNECTED(31 downto 0),
m_axis_tdata(31 downto 0) => axis_data_fifo_1_M_AXIS_TDATA(31 downto 0),
m_axis_tkeep(3 downto 0) => axis_data_fifo_1_M_AXIS_TKEEP(3 downto 0),
m_axis_tlast => axis_data_fifo_1_M_AXIS_TLAST,
m_axis_tready => axis_data_fifo_1_M_AXIS_TREADY,
m_axis_tvalid => axis_data_fifo_1_M_AXIS_TVALID,
s_axis_aclk => processing_system7_0_FCLK_CLK0,
s_axis_aresetn => rst_processing_system7_0_100M_peripheral_aresetn(0),
s_axis_tdata(31 downto 0) => feedforward_0_P_netOut_TDATA(31 downto 0),
s_axis_tkeep(3 downto 0) => B"1111",
s_axis_tlast => '1',
s_axis_tready => feedforward_0_P_netOut_TREADY,
s_axis_tvalid => feedforward_0_P_netOut_TVALID
);
feedforward_0: component design_SWandHW_standalone_feedforward_0_0
port map (
P_WandB_TDATA(31 downto 0) => axi_dma_4_M_AXIS_MM2S_TDATA(31 downto 0),
P_WandB_TREADY => axi_dma_4_M_AXIS_MM2S_TREADY,
P_WandB_TVALID => axi_dma_4_M_AXIS_MM2S_TVALID,
P_config_TDATA(31 downto 0) => axi_dma_2_M_AXIS_MM2S_TDATA(31 downto 0),
P_config_TREADY => axi_dma_2_M_AXIS_MM2S_TREADY,
P_config_TVALID => axi_dma_2_M_AXIS_MM2S_TVALID,
P_netIn_TDATA(31 downto 0) => axi_dma_M_AXIS_MM2S_TDATA(31 downto 0),
P_netIn_TREADY => axi_dma_M_AXIS_MM2S_TREADY,
P_netIn_TVALID => axi_dma_M_AXIS_MM2S_TVALID,
P_netOut_TDATA(31 downto 0) => feedforward_0_P_netOut_TDATA(31 downto 0),
P_netOut_TREADY => feedforward_0_P_netOut_TREADY,
P_netOut_TVALID => feedforward_0_P_netOut_TVALID,
P_uOut_TDATA(31 downto 0) => feedforward_0_P_uOut_TDATA(31 downto 0),
P_uOut_TREADY => feedforward_0_P_uOut_TREADY,
P_uOut_TVALID => feedforward_0_P_uOut_TVALID,
ap_clk => processing_system7_0_FCLK_CLK0,
ap_rst_n => rst_processing_system7_0_100M_peripheral_aresetn(0),
interrupt => feedforward_0_interrupt,
s_axi_AXILiteS_ARADDR(4 downto 0) => processing_system7_0_axi_periph_M01_AXI_ARADDR(4 downto 0),
s_axi_AXILiteS_ARREADY => processing_system7_0_axi_periph_M01_AXI_ARREADY,
s_axi_AXILiteS_ARVALID => processing_system7_0_axi_periph_M01_AXI_ARVALID,
s_axi_AXILiteS_AWADDR(4 downto 0) => processing_system7_0_axi_periph_M01_AXI_AWADDR(4 downto 0),
s_axi_AXILiteS_AWREADY => processing_system7_0_axi_periph_M01_AXI_AWREADY,
s_axi_AXILiteS_AWVALID => processing_system7_0_axi_periph_M01_AXI_AWVALID,
s_axi_AXILiteS_BREADY => processing_system7_0_axi_periph_M01_AXI_BREADY,
s_axi_AXILiteS_BRESP(1 downto 0) => processing_system7_0_axi_periph_M01_AXI_BRESP(1 downto 0),
s_axi_AXILiteS_BVALID => processing_system7_0_axi_periph_M01_AXI_BVALID,
s_axi_AXILiteS_RDATA(31 downto 0) => processing_system7_0_axi_periph_M01_AXI_RDATA(31 downto 0),
s_axi_AXILiteS_RREADY => processing_system7_0_axi_periph_M01_AXI_RREADY,
s_axi_AXILiteS_RRESP(1 downto 0) => processing_system7_0_axi_periph_M01_AXI_RRESP(1 downto 0),
s_axi_AXILiteS_RVALID => processing_system7_0_axi_periph_M01_AXI_RVALID,
s_axi_AXILiteS_WDATA(31 downto 0) => processing_system7_0_axi_periph_M01_AXI_WDATA(31 downto 0),
s_axi_AXILiteS_WREADY => processing_system7_0_axi_periph_M01_AXI_WREADY,
s_axi_AXILiteS_WSTRB(3 downto 0) => processing_system7_0_axi_periph_M01_AXI_WSTRB(3 downto 0),
s_axi_AXILiteS_WVALID => processing_system7_0_axi_periph_M01_AXI_WVALID
);
processing_system7_0: component design_SWandHW_standalone_processing_system7_0_0
port map (
DDR_Addr(14 downto 0) => DDR_addr(14 downto 0),
DDR_BankAddr(2 downto 0) => DDR_ba(2 downto 0),
DDR_CAS_n => DDR_cas_n,
DDR_CKE => DDR_cke,
DDR_CS_n => DDR_cs_n,
DDR_Clk => DDR_ck_p,
DDR_Clk_n => DDR_ck_n,
DDR_DM(3 downto 0) => DDR_dm(3 downto 0),
DDR_DQ(31 downto 0) => DDR_dq(31 downto 0),
DDR_DQS(3 downto 0) => DDR_dqs_p(3 downto 0),
DDR_DQS_n(3 downto 0) => DDR_dqs_n(3 downto 0),
DDR_DRSTB => DDR_reset_n,
DDR_ODT => DDR_odt,
DDR_RAS_n => DDR_ras_n,
DDR_VRN => FIXED_IO_ddr_vrn,
DDR_VRP => FIXED_IO_ddr_vrp,
DDR_WEB => DDR_we_n,
FCLK_CLK0 => processing_system7_0_FCLK_CLK0,
FCLK_RESET0_N => processing_system7_0_FCLK_RESET0_N,
IRQ_F2P(5 downto 0) => xlconcat_0_dout(5 downto 0),
MIO(53 downto 0) => FIXED_IO_mio(53 downto 0),
M_AXI_GP0_ACLK => processing_system7_0_FCLK_CLK0,
M_AXI_GP0_ARADDR(31 downto 0) => processing_system7_0_M_AXI_GP0_ARADDR(31 downto 0),
M_AXI_GP0_ARBURST(1 downto 0) => processing_system7_0_M_AXI_GP0_ARBURST(1 downto 0),
M_AXI_GP0_ARCACHE(3 downto 0) => processing_system7_0_M_AXI_GP0_ARCACHE(3 downto 0),
M_AXI_GP0_ARID(11 downto 0) => processing_system7_0_M_AXI_GP0_ARID(11 downto 0),
M_AXI_GP0_ARLEN(3 downto 0) => processing_system7_0_M_AXI_GP0_ARLEN(3 downto 0),
M_AXI_GP0_ARLOCK(1 downto 0) => processing_system7_0_M_AXI_GP0_ARLOCK(1 downto 0),
M_AXI_GP0_ARPROT(2 downto 0) => processing_system7_0_M_AXI_GP0_ARPROT(2 downto 0),
M_AXI_GP0_ARQOS(3 downto 0) => processing_system7_0_M_AXI_GP0_ARQOS(3 downto 0),
M_AXI_GP0_ARREADY => processing_system7_0_M_AXI_GP0_ARREADY,
M_AXI_GP0_ARSIZE(2 downto 0) => processing_system7_0_M_AXI_GP0_ARSIZE(2 downto 0),
M_AXI_GP0_ARVALID => processing_system7_0_M_AXI_GP0_ARVALID,
M_AXI_GP0_AWADDR(31 downto 0) => processing_system7_0_M_AXI_GP0_AWADDR(31 downto 0),
M_AXI_GP0_AWBURST(1 downto 0) => processing_system7_0_M_AXI_GP0_AWBURST(1 downto 0),
M_AXI_GP0_AWCACHE(3 downto 0) => processing_system7_0_M_AXI_GP0_AWCACHE(3 downto 0),
M_AXI_GP0_AWID(11 downto 0) => processing_system7_0_M_AXI_GP0_AWID(11 downto 0),
M_AXI_GP0_AWLEN(3 downto 0) => processing_system7_0_M_AXI_GP0_AWLEN(3 downto 0),
M_AXI_GP0_AWLOCK(1 downto 0) => processing_system7_0_M_AXI_GP0_AWLOCK(1 downto 0),
M_AXI_GP0_AWPROT(2 downto 0) => processing_system7_0_M_AXI_GP0_AWPROT(2 downto 0),
M_AXI_GP0_AWQOS(3 downto 0) => processing_system7_0_M_AXI_GP0_AWQOS(3 downto 0),
M_AXI_GP0_AWREADY => processing_system7_0_M_AXI_GP0_AWREADY,
M_AXI_GP0_AWSIZE(2 downto 0) => processing_system7_0_M_AXI_GP0_AWSIZE(2 downto 0),
M_AXI_GP0_AWVALID => processing_system7_0_M_AXI_GP0_AWVALID,
M_AXI_GP0_BID(11 downto 0) => processing_system7_0_M_AXI_GP0_BID(11 downto 0),
M_AXI_GP0_BREADY => processing_system7_0_M_AXI_GP0_BREADY,
M_AXI_GP0_BRESP(1 downto 0) => processing_system7_0_M_AXI_GP0_BRESP(1 downto 0),
M_AXI_GP0_BVALID => processing_system7_0_M_AXI_GP0_BVALID,
M_AXI_GP0_RDATA(31 downto 0) => processing_system7_0_M_AXI_GP0_RDATA(31 downto 0),
M_AXI_GP0_RID(11 downto 0) => processing_system7_0_M_AXI_GP0_RID(11 downto 0),
M_AXI_GP0_RLAST => processing_system7_0_M_AXI_GP0_RLAST,
M_AXI_GP0_RREADY => processing_system7_0_M_AXI_GP0_RREADY,
M_AXI_GP0_RRESP(1 downto 0) => processing_system7_0_M_AXI_GP0_RRESP(1 downto 0),
M_AXI_GP0_RVALID => processing_system7_0_M_AXI_GP0_RVALID,
M_AXI_GP0_WDATA(31 downto 0) => processing_system7_0_M_AXI_GP0_WDATA(31 downto 0),
M_AXI_GP0_WID(11 downto 0) => processing_system7_0_M_AXI_GP0_WID(11 downto 0),
M_AXI_GP0_WLAST => processing_system7_0_M_AXI_GP0_WLAST,
M_AXI_GP0_WREADY => processing_system7_0_M_AXI_GP0_WREADY,
M_AXI_GP0_WSTRB(3 downto 0) => processing_system7_0_M_AXI_GP0_WSTRB(3 downto 0),
M_AXI_GP0_WVALID => processing_system7_0_M_AXI_GP0_WVALID,
PS_CLK => FIXED_IO_ps_clk,
PS_PORB => FIXED_IO_ps_porb,
PS_SRSTB => FIXED_IO_ps_srstb,
SDIO0_WP => '0',
S_AXI_HP0_ACLK => processing_system7_0_FCLK_CLK0,
S_AXI_HP0_ARADDR(31 downto 0) => axi_mem_intercon_M00_AXI_ARADDR(31 downto 0),
S_AXI_HP0_ARBURST(1 downto 0) => axi_mem_intercon_M00_AXI_ARBURST(1 downto 0),
S_AXI_HP0_ARCACHE(3 downto 0) => axi_mem_intercon_M00_AXI_ARCACHE(3 downto 0),
S_AXI_HP0_ARID(5 downto 3) => B"000",
S_AXI_HP0_ARID(2 downto 0) => axi_mem_intercon_M00_AXI_ARID(2 downto 0),
S_AXI_HP0_ARLEN(3 downto 0) => axi_mem_intercon_M00_AXI_ARLEN(3 downto 0),
S_AXI_HP0_ARLOCK(1 downto 0) => axi_mem_intercon_M00_AXI_ARLOCK(1 downto 0),
S_AXI_HP0_ARPROT(2 downto 0) => axi_mem_intercon_M00_AXI_ARPROT(2 downto 0),
S_AXI_HP0_ARQOS(3 downto 0) => axi_mem_intercon_M00_AXI_ARQOS(3 downto 0),
S_AXI_HP0_ARREADY => axi_mem_intercon_M00_AXI_ARREADY,
S_AXI_HP0_ARSIZE(2 downto 0) => axi_mem_intercon_M00_AXI_ARSIZE(2 downto 0),
S_AXI_HP0_ARVALID => axi_mem_intercon_M00_AXI_ARVALID,
S_AXI_HP0_AWADDR(31 downto 0) => axi_mem_intercon_M00_AXI_AWADDR(31 downto 0),
S_AXI_HP0_AWBURST(1 downto 0) => axi_mem_intercon_M00_AXI_AWBURST(1 downto 0),
S_AXI_HP0_AWCACHE(3 downto 0) => axi_mem_intercon_M00_AXI_AWCACHE(3 downto 0),
S_AXI_HP0_AWID(5 downto 3) => B"000",
S_AXI_HP0_AWID(2 downto 0) => axi_mem_intercon_M00_AXI_AWID(2 downto 0),
S_AXI_HP0_AWLEN(3 downto 0) => axi_mem_intercon_M00_AXI_AWLEN(3 downto 0),
S_AXI_HP0_AWLOCK(1 downto 0) => axi_mem_intercon_M00_AXI_AWLOCK(1 downto 0),
S_AXI_HP0_AWPROT(2 downto 0) => axi_mem_intercon_M00_AXI_AWPROT(2 downto 0),
S_AXI_HP0_AWQOS(3 downto 0) => axi_mem_intercon_M00_AXI_AWQOS(3 downto 0),
S_AXI_HP0_AWREADY => axi_mem_intercon_M00_AXI_AWREADY,
S_AXI_HP0_AWSIZE(2 downto 0) => axi_mem_intercon_M00_AXI_AWSIZE(2 downto 0),
S_AXI_HP0_AWVALID => axi_mem_intercon_M00_AXI_AWVALID,
S_AXI_HP0_BID(5 downto 0) => axi_mem_intercon_M00_AXI_BID(5 downto 0),
S_AXI_HP0_BREADY => axi_mem_intercon_M00_AXI_BREADY,
S_AXI_HP0_BRESP(1 downto 0) => axi_mem_intercon_M00_AXI_BRESP(1 downto 0),
S_AXI_HP0_BVALID => axi_mem_intercon_M00_AXI_BVALID,
S_AXI_HP0_RACOUNT(2 downto 0) => NLW_processing_system7_0_S_AXI_HP0_RACOUNT_UNCONNECTED(2 downto 0),
S_AXI_HP0_RCOUNT(7 downto 0) => NLW_processing_system7_0_S_AXI_HP0_RCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP0_RDATA(31 downto 0) => axi_mem_intercon_M00_AXI_RDATA(31 downto 0),
S_AXI_HP0_RDISSUECAP1_EN => '0',
S_AXI_HP0_RID(5 downto 0) => axi_mem_intercon_M00_AXI_RID(5 downto 0),
S_AXI_HP0_RLAST => axi_mem_intercon_M00_AXI_RLAST,
S_AXI_HP0_RREADY => axi_mem_intercon_M00_AXI_RREADY,
S_AXI_HP0_RRESP(1 downto 0) => axi_mem_intercon_M00_AXI_RRESP(1 downto 0),
S_AXI_HP0_RVALID => axi_mem_intercon_M00_AXI_RVALID,
S_AXI_HP0_WACOUNT(5 downto 0) => NLW_processing_system7_0_S_AXI_HP0_WACOUNT_UNCONNECTED(5 downto 0),
S_AXI_HP0_WCOUNT(7 downto 0) => NLW_processing_system7_0_S_AXI_HP0_WCOUNT_UNCONNECTED(7 downto 0),
S_AXI_HP0_WDATA(31 downto 0) => axi_mem_intercon_M00_AXI_WDATA(31 downto 0),
S_AXI_HP0_WID(5 downto 3) => B"000",
S_AXI_HP0_WID(2 downto 0) => axi_mem_intercon_M00_AXI_WID(2 downto 0),
S_AXI_HP0_WLAST => axi_mem_intercon_M00_AXI_WLAST,
S_AXI_HP0_WREADY => axi_mem_intercon_M00_AXI_WREADY,
S_AXI_HP0_WRISSUECAP1_EN => '0',
S_AXI_HP0_WSTRB(3 downto 0) => axi_mem_intercon_M00_AXI_WSTRB(3 downto 0),
S_AXI_HP0_WVALID => axi_mem_intercon_M00_AXI_WVALID,
TTC0_WAVE0_OUT => NLW_processing_system7_0_TTC0_WAVE0_OUT_UNCONNECTED,
TTC0_WAVE1_OUT => NLW_processing_system7_0_TTC0_WAVE1_OUT_UNCONNECTED,
TTC0_WAVE2_OUT => NLW_processing_system7_0_TTC0_WAVE2_OUT_UNCONNECTED,
USB0_PORT_INDCTL(1 downto 0) => NLW_processing_system7_0_USB0_PORT_INDCTL_UNCONNECTED(1 downto 0),
USB0_VBUS_PWRFAULT => '0',
USB0_VBUS_PWRSELECT => NLW_processing_system7_0_USB0_VBUS_PWRSELECT_UNCONNECTED
);
processing_system7_0_axi_periph: entity work.design_SWandHW_standalone_processing_system7_0_axi_periph_0
port map (
ACLK => processing_system7_0_FCLK_CLK0,
ARESETN(0) => rst_processing_system7_0_100M_interconnect_aresetn(0),
M00_ACLK => processing_system7_0_FCLK_CLK0,
M00_ARESETN(0) => rst_processing_system7_0_100M_peripheral_aresetn(0),
M00_AXI_araddr(31 downto 0) => processing_system7_0_axi_periph_M00_AXI_ARADDR(31 downto 0),
M00_AXI_arready(0) => processing_system7_0_axi_periph_M00_AXI_ARREADY,
M00_AXI_arvalid(0) => processing_system7_0_axi_periph_M00_AXI_ARVALID(0),
M00_AXI_awaddr(31 downto 0) => processing_system7_0_axi_periph_M00_AXI_AWADDR(31 downto 0),
M00_AXI_awready(0) => processing_system7_0_axi_periph_M00_AXI_AWREADY,
M00_AXI_awvalid(0) => processing_system7_0_axi_periph_M00_AXI_AWVALID(0),
M00_AXI_bready(0) => processing_system7_0_axi_periph_M00_AXI_BREADY(0),
M00_AXI_bresp(1 downto 0) => processing_system7_0_axi_periph_M00_AXI_BRESP(1 downto 0),
M00_AXI_bvalid(0) => processing_system7_0_axi_periph_M00_AXI_BVALID,
M00_AXI_rdata(31 downto 0) => processing_system7_0_axi_periph_M00_AXI_RDATA(31 downto 0),
M00_AXI_rready(0) => processing_system7_0_axi_periph_M00_AXI_RREADY(0),
M00_AXI_rresp(1 downto 0) => processing_system7_0_axi_periph_M00_AXI_RRESP(1 downto 0),
M00_AXI_rvalid(0) => processing_system7_0_axi_periph_M00_AXI_RVALID,
M00_AXI_wdata(31 downto 0) => processing_system7_0_axi_periph_M00_AXI_WDATA(31 downto 0),
M00_AXI_wready(0) => processing_system7_0_axi_periph_M00_AXI_WREADY,
M00_AXI_wstrb(3 downto 0) => processing_system7_0_axi_periph_M00_AXI_WSTRB(3 downto 0),
M00_AXI_wvalid(0) => processing_system7_0_axi_periph_M00_AXI_WVALID(0),
M01_ACLK => processing_system7_0_FCLK_CLK0,
M01_ARESETN(0) => rst_processing_system7_0_100M_peripheral_aresetn(0),
M01_AXI_araddr(31 downto 0) => processing_system7_0_axi_periph_M01_AXI_ARADDR(31 downto 0),
M01_AXI_arready => processing_system7_0_axi_periph_M01_AXI_ARREADY,
M01_AXI_arvalid => processing_system7_0_axi_periph_M01_AXI_ARVALID,
M01_AXI_awaddr(31 downto 0) => processing_system7_0_axi_periph_M01_AXI_AWADDR(31 downto 0),
M01_AXI_awready => processing_system7_0_axi_periph_M01_AXI_AWREADY,
M01_AXI_awvalid => processing_system7_0_axi_periph_M01_AXI_AWVALID,
M01_AXI_bready => processing_system7_0_axi_periph_M01_AXI_BREADY,
M01_AXI_bresp(1 downto 0) => processing_system7_0_axi_periph_M01_AXI_BRESP(1 downto 0),
M01_AXI_bvalid => processing_system7_0_axi_periph_M01_AXI_BVALID,
M01_AXI_rdata(31 downto 0) => processing_system7_0_axi_periph_M01_AXI_RDATA(31 downto 0),
M01_AXI_rready => processing_system7_0_axi_periph_M01_AXI_RREADY,
M01_AXI_rresp(1 downto 0) => processing_system7_0_axi_periph_M01_AXI_RRESP(1 downto 0),
M01_AXI_rvalid => processing_system7_0_axi_periph_M01_AXI_RVALID,
M01_AXI_wdata(31 downto 0) => processing_system7_0_axi_periph_M01_AXI_WDATA(31 downto 0),
M01_AXI_wready => processing_system7_0_axi_periph_M01_AXI_WREADY,
M01_AXI_wstrb(3 downto 0) => processing_system7_0_axi_periph_M01_AXI_WSTRB(3 downto 0),
M01_AXI_wvalid => processing_system7_0_axi_periph_M01_AXI_WVALID,
M02_ACLK => processing_system7_0_FCLK_CLK0,
M02_ARESETN(0) => rst_processing_system7_0_100M_peripheral_aresetn(0),
M02_AXI_araddr(31 downto 0) => processing_system7_0_axi_periph_M02_AXI_ARADDR(31 downto 0),
M02_AXI_arready => processing_system7_0_axi_periph_M02_AXI_ARREADY,
M02_AXI_arvalid => processing_system7_0_axi_periph_M02_AXI_ARVALID,
M02_AXI_awaddr(31 downto 0) => processing_system7_0_axi_periph_M02_AXI_AWADDR(31 downto 0),
M02_AXI_awready => processing_system7_0_axi_periph_M02_AXI_AWREADY,
M02_AXI_awvalid => processing_system7_0_axi_periph_M02_AXI_AWVALID,
M02_AXI_bready => processing_system7_0_axi_periph_M02_AXI_BREADY,
M02_AXI_bresp(1 downto 0) => processing_system7_0_axi_periph_M02_AXI_BRESP(1 downto 0),
M02_AXI_bvalid => processing_system7_0_axi_periph_M02_AXI_BVALID,
M02_AXI_rdata(31 downto 0) => processing_system7_0_axi_periph_M02_AXI_RDATA(31 downto 0),
M02_AXI_rready => processing_system7_0_axi_periph_M02_AXI_RREADY,
M02_AXI_rresp(1 downto 0) => processing_system7_0_axi_periph_M02_AXI_RRESP(1 downto 0),
M02_AXI_rvalid => processing_system7_0_axi_periph_M02_AXI_RVALID,
M02_AXI_wdata(31 downto 0) => processing_system7_0_axi_periph_M02_AXI_WDATA(31 downto 0),
M02_AXI_wready => processing_system7_0_axi_periph_M02_AXI_WREADY,
M02_AXI_wvalid => processing_system7_0_axi_periph_M02_AXI_WVALID,
M03_ACLK => processing_system7_0_FCLK_CLK0,
M03_ARESETN(0) => rst_processing_system7_0_100M_peripheral_aresetn(0),
M03_AXI_araddr(31 downto 0) => processing_system7_0_axi_periph_M03_AXI_ARADDR(31 downto 0),
M03_AXI_arready => processing_system7_0_axi_periph_M03_AXI_ARREADY,
M03_AXI_arvalid => processing_system7_0_axi_periph_M03_AXI_ARVALID,
M03_AXI_awaddr(31 downto 0) => processing_system7_0_axi_periph_M03_AXI_AWADDR(31 downto 0),
M03_AXI_awready => processing_system7_0_axi_periph_M03_AXI_AWREADY,
M03_AXI_awvalid => processing_system7_0_axi_periph_M03_AXI_AWVALID,
M03_AXI_bready => processing_system7_0_axi_periph_M03_AXI_BREADY,
M03_AXI_bresp(1 downto 0) => processing_system7_0_axi_periph_M03_AXI_BRESP(1 downto 0),
M03_AXI_bvalid => processing_system7_0_axi_periph_M03_AXI_BVALID,
M03_AXI_rdata(31 downto 0) => processing_system7_0_axi_periph_M03_AXI_RDATA(31 downto 0),
M03_AXI_rready => processing_system7_0_axi_periph_M03_AXI_RREADY,
M03_AXI_rresp(1 downto 0) => processing_system7_0_axi_periph_M03_AXI_RRESP(1 downto 0),
M03_AXI_rvalid => processing_system7_0_axi_periph_M03_AXI_RVALID,
M03_AXI_wdata(31 downto 0) => processing_system7_0_axi_periph_M03_AXI_WDATA(31 downto 0),
M03_AXI_wready => processing_system7_0_axi_periph_M03_AXI_WREADY,
M03_AXI_wvalid => processing_system7_0_axi_periph_M03_AXI_WVALID,
M04_ACLK => processing_system7_0_FCLK_CLK0,
M04_ARESETN(0) => rst_processing_system7_0_100M_peripheral_aresetn(0),
M04_AXI_araddr(31 downto 0) => processing_system7_0_axi_periph_M04_AXI_ARADDR(31 downto 0),
M04_AXI_arready => processing_system7_0_axi_periph_M04_AXI_ARREADY,
M04_AXI_arvalid => processing_system7_0_axi_periph_M04_AXI_ARVALID,
M04_AXI_awaddr(31 downto 0) => processing_system7_0_axi_periph_M04_AXI_AWADDR(31 downto 0),
M04_AXI_awready => processing_system7_0_axi_periph_M04_AXI_AWREADY,
M04_AXI_awvalid => processing_system7_0_axi_periph_M04_AXI_AWVALID,
M04_AXI_bready => processing_system7_0_axi_periph_M04_AXI_BREADY,
M04_AXI_bresp(1 downto 0) => processing_system7_0_axi_periph_M04_AXI_BRESP(1 downto 0),
M04_AXI_bvalid => processing_system7_0_axi_periph_M04_AXI_BVALID,
M04_AXI_rdata(31 downto 0) => processing_system7_0_axi_periph_M04_AXI_RDATA(31 downto 0),
M04_AXI_rready => processing_system7_0_axi_periph_M04_AXI_RREADY,
M04_AXI_rresp(1 downto 0) => processing_system7_0_axi_periph_M04_AXI_RRESP(1 downto 0),
M04_AXI_rvalid => processing_system7_0_axi_periph_M04_AXI_RVALID,
M04_AXI_wdata(31 downto 0) => processing_system7_0_axi_periph_M04_AXI_WDATA(31 downto 0),
M04_AXI_wready => processing_system7_0_axi_periph_M04_AXI_WREADY,
M04_AXI_wvalid => processing_system7_0_axi_periph_M04_AXI_WVALID,
M05_ACLK => processing_system7_0_FCLK_CLK0,
M05_ARESETN(0) => rst_processing_system7_0_100M_peripheral_aresetn(0),
M05_AXI_araddr(31 downto 0) => processing_system7_0_axi_periph_M05_AXI_ARADDR(31 downto 0),
M05_AXI_arready => processing_system7_0_axi_periph_M05_AXI_ARREADY,
M05_AXI_arvalid => processing_system7_0_axi_periph_M05_AXI_ARVALID,
M05_AXI_awaddr(31 downto 0) => processing_system7_0_axi_periph_M05_AXI_AWADDR(31 downto 0),
M05_AXI_awready => processing_system7_0_axi_periph_M05_AXI_AWREADY,
M05_AXI_awvalid => processing_system7_0_axi_periph_M05_AXI_AWVALID,
M05_AXI_bready => processing_system7_0_axi_periph_M05_AXI_BREADY,
M05_AXI_bresp(1 downto 0) => processing_system7_0_axi_periph_M05_AXI_BRESP(1 downto 0),
M05_AXI_bvalid => processing_system7_0_axi_periph_M05_AXI_BVALID,
M05_AXI_rdata(31 downto 0) => processing_system7_0_axi_periph_M05_AXI_RDATA(31 downto 0),
M05_AXI_rready => processing_system7_0_axi_periph_M05_AXI_RREADY,
M05_AXI_rresp(1 downto 0) => processing_system7_0_axi_periph_M05_AXI_RRESP(1 downto 0),
M05_AXI_rvalid => processing_system7_0_axi_periph_M05_AXI_RVALID,
M05_AXI_wdata(31 downto 0) => processing_system7_0_axi_periph_M05_AXI_WDATA(31 downto 0),
M05_AXI_wready => processing_system7_0_axi_periph_M05_AXI_WREADY,
M05_AXI_wvalid => processing_system7_0_axi_periph_M05_AXI_WVALID,
M06_ACLK => processing_system7_0_FCLK_CLK0,
M06_ARESETN(0) => rst_processing_system7_0_100M_peripheral_aresetn(0),
M06_AXI_araddr(31 downto 0) => processing_system7_0_axi_periph_M06_AXI_ARADDR(31 downto 0),
M06_AXI_arready => processing_system7_0_axi_periph_M06_AXI_ARREADY,
M06_AXI_arvalid => processing_system7_0_axi_periph_M06_AXI_ARVALID,
M06_AXI_awaddr(31 downto 0) => processing_system7_0_axi_periph_M06_AXI_AWADDR(31 downto 0),
M06_AXI_awready => processing_system7_0_axi_periph_M06_AXI_AWREADY,
M06_AXI_awvalid => processing_system7_0_axi_periph_M06_AXI_AWVALID,
M06_AXI_bready => processing_system7_0_axi_periph_M06_AXI_BREADY,
M06_AXI_bresp(1 downto 0) => processing_system7_0_axi_periph_M06_AXI_BRESP(1 downto 0),
M06_AXI_bvalid => processing_system7_0_axi_periph_M06_AXI_BVALID,
M06_AXI_rdata(31 downto 0) => processing_system7_0_axi_periph_M06_AXI_RDATA(31 downto 0),
M06_AXI_rready => processing_system7_0_axi_periph_M06_AXI_RREADY,
M06_AXI_rresp(1 downto 0) => processing_system7_0_axi_periph_M06_AXI_RRESP(1 downto 0),
M06_AXI_rvalid => processing_system7_0_axi_periph_M06_AXI_RVALID,
M06_AXI_wdata(31 downto 0) => processing_system7_0_axi_periph_M06_AXI_WDATA(31 downto 0),
M06_AXI_wready => processing_system7_0_axi_periph_M06_AXI_WREADY,
M06_AXI_wvalid => processing_system7_0_axi_periph_M06_AXI_WVALID,
S00_ACLK => processing_system7_0_FCLK_CLK0,
S00_ARESETN(0) => rst_processing_system7_0_100M_peripheral_aresetn(0),
S00_AXI_araddr(31 downto 0) => processing_system7_0_M_AXI_GP0_ARADDR(31 downto 0),
S00_AXI_arburst(1 downto 0) => processing_system7_0_M_AXI_GP0_ARBURST(1 downto 0),
S00_AXI_arcache(3 downto 0) => processing_system7_0_M_AXI_GP0_ARCACHE(3 downto 0),
S00_AXI_arid(11 downto 0) => processing_system7_0_M_AXI_GP0_ARID(11 downto 0),
S00_AXI_arlen(3 downto 0) => processing_system7_0_M_AXI_GP0_ARLEN(3 downto 0),
S00_AXI_arlock(1 downto 0) => processing_system7_0_M_AXI_GP0_ARLOCK(1 downto 0),
S00_AXI_arprot(2 downto 0) => processing_system7_0_M_AXI_GP0_ARPROT(2 downto 0),
S00_AXI_arqos(3 downto 0) => processing_system7_0_M_AXI_GP0_ARQOS(3 downto 0),
S00_AXI_arready => processing_system7_0_M_AXI_GP0_ARREADY,
S00_AXI_arsize(2 downto 0) => processing_system7_0_M_AXI_GP0_ARSIZE(2 downto 0),
S00_AXI_arvalid => processing_system7_0_M_AXI_GP0_ARVALID,
S00_AXI_awaddr(31 downto 0) => processing_system7_0_M_AXI_GP0_AWADDR(31 downto 0),
S00_AXI_awburst(1 downto 0) => processing_system7_0_M_AXI_GP0_AWBURST(1 downto 0),
S00_AXI_awcache(3 downto 0) => processing_system7_0_M_AXI_GP0_AWCACHE(3 downto 0),
S00_AXI_awid(11 downto 0) => processing_system7_0_M_AXI_GP0_AWID(11 downto 0),
S00_AXI_awlen(3 downto 0) => processing_system7_0_M_AXI_GP0_AWLEN(3 downto 0),
S00_AXI_awlock(1 downto 0) => processing_system7_0_M_AXI_GP0_AWLOCK(1 downto 0),
S00_AXI_awprot(2 downto 0) => processing_system7_0_M_AXI_GP0_AWPROT(2 downto 0),
S00_AXI_awqos(3 downto 0) => processing_system7_0_M_AXI_GP0_AWQOS(3 downto 0),
S00_AXI_awready => processing_system7_0_M_AXI_GP0_AWREADY,
S00_AXI_awsize(2 downto 0) => processing_system7_0_M_AXI_GP0_AWSIZE(2 downto 0),
S00_AXI_awvalid => processing_system7_0_M_AXI_GP0_AWVALID,
S00_AXI_bid(11 downto 0) => processing_system7_0_M_AXI_GP0_BID(11 downto 0),
S00_AXI_bready => processing_system7_0_M_AXI_GP0_BREADY,
S00_AXI_bresp(1 downto 0) => processing_system7_0_M_AXI_GP0_BRESP(1 downto 0),
S00_AXI_bvalid => processing_system7_0_M_AXI_GP0_BVALID,
S00_AXI_rdata(31 downto 0) => processing_system7_0_M_AXI_GP0_RDATA(31 downto 0),
S00_AXI_rid(11 downto 0) => processing_system7_0_M_AXI_GP0_RID(11 downto 0),
S00_AXI_rlast => processing_system7_0_M_AXI_GP0_RLAST,
S00_AXI_rready => processing_system7_0_M_AXI_GP0_RREADY,
S00_AXI_rresp(1 downto 0) => processing_system7_0_M_AXI_GP0_RRESP(1 downto 0),
S00_AXI_rvalid => processing_system7_0_M_AXI_GP0_RVALID,
S00_AXI_wdata(31 downto 0) => processing_system7_0_M_AXI_GP0_WDATA(31 downto 0),
S00_AXI_wid(11 downto 0) => processing_system7_0_M_AXI_GP0_WID(11 downto 0),
S00_AXI_wlast => processing_system7_0_M_AXI_GP0_WLAST,
S00_AXI_wready => processing_system7_0_M_AXI_GP0_WREADY,
S00_AXI_wstrb(3 downto 0) => processing_system7_0_M_AXI_GP0_WSTRB(3 downto 0),
S00_AXI_wvalid => processing_system7_0_M_AXI_GP0_WVALID
);
rst_processing_system7_0_100M: component design_SWandHW_standalone_rst_processing_system7_0_100M_0
port map (
aux_reset_in => '1',
bus_struct_reset(0) => NLW_rst_processing_system7_0_100M_bus_struct_reset_UNCONNECTED(0),
dcm_locked => '1',
ext_reset_in => processing_system7_0_FCLK_RESET0_N,
interconnect_aresetn(0) => rst_processing_system7_0_100M_interconnect_aresetn(0),
mb_debug_sys_rst => '0',
mb_reset => NLW_rst_processing_system7_0_100M_mb_reset_UNCONNECTED,
peripheral_aresetn(0) => rst_processing_system7_0_100M_peripheral_aresetn(0),
peripheral_reset(0) => NLW_rst_processing_system7_0_100M_peripheral_reset_UNCONNECTED(0),
slowest_sync_clk => processing_system7_0_FCLK_CLK0
);
xlconcat_0: component design_SWandHW_standalone_xlconcat_0_0
port map (
In0(0) => feedforward_0_interrupt,
In1(0) => axi_dma_mm2s_introut,
In2(0) => axi_dma_1_s2mm_introut,
In3(0) => axi_dma_2_mm2s_introut,
In4(0) => axi_dma_3_s2mm_introut,
In5(0) => axi_dma_4_mm2s_introut,
dout(5 downto 0) => xlconcat_0_dout(5 downto 0)
);
end STRUCTURE;
|
entity tb_test is
generic(
ROW_BITS : integer := 4;
WIDTH : integer := 64
);
end tb_test;
library ieee;
use ieee.std_logic_1164.all;
architecture behav of tb_test is
signal clk : std_logic;
signal rd_addr : std_logic_vector(ROW_BITS - 1 downto 0);
signal rd_data : std_logic_vector(WIDTH - 1 downto 0);
signal wr_en : std_logic;
signal wr_sel : std_logic_vector(WIDTH/8 - 1 downto 0);
signal wr_addr : std_logic_vector(ROW_BITS - 1 downto 0);
signal wr_data : std_logic_vector(WIDTH - 1 downto 0);
begin
dut: entity work.test
generic map (
ROW_BITS => ROW_BITS,
WIDTH => WIDTH)
port map (
clk => clk,
rd_addr => rd_addr,
rd_data => rd_data,
wr_en => wr_en,
wr_sel => wr_sel,
wr_addr => wr_addr,
wr_data => wr_data);
process
procedure pulse is
begin
clk <= '0';
wait for 1 ns;
clk <= '1';
wait for 1 ns;
end pulse;
begin
rd_addr <= x"0";
wr_addr <= x"0";
wr_data <= x"01_23_45_67_89_ab_cd_ef";
wr_sel <= x"ff";
wr_en <= '1';
pulse;
-- Simple read.
rd_addr <= x"0";
-- And write at a different address.
wr_addr <= x"1";
wr_data <= x"ff_ee_dd_cc_bb_aa_99_88";
wr_en <= '1';
wr_sel <= x"ff";
pulse;
assert rd_data = x"01_23_45_67_89_ab_cd_ef" severity failure;
rd_addr <= x"1";
-- Partial write
wr_addr <= x"0";
wr_data <= x"00_ee_00_00_00_00_00_00";
wr_sel <= x"40";
pulse;
assert rd_data = x"ff_ee_dd_cc_bb_aa_99_88" severity failure;
-- Check result.
rd_addr <= x"0";
wr_en <= '0';
pulse;
assert rd_data = x"01_ee_45_67_89_ab_cd_ef" severity failure;
-- Check that read is synchronous with clock.
rd_addr <= x"1";
assert rd_data = x"01_ee_45_67_89_ab_cd_ef" severity failure;
-- Check that read occurs before write.
wr_addr <= x"1";
wr_data <= x"f0_00_00_00_00_00_00_00";
wr_sel <= x"80";
rd_addr <= x"1";
wr_en <= '1';
pulse;
assert rd_data = x"ff_ee_dd_cc_bb_aa_99_88" severity failure;
wr_en <= '0';
wr_data <= x"00_00_00_00_00_00_00_00";
wr_sel <= x"ff";
pulse;
assert rd_data = x"f0_ee_dd_cc_bb_aa_99_88" severity failure;
pulse;
assert rd_data = x"f0_ee_dd_cc_bb_aa_99_88" severity failure;
wait;
end process;
end behav;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2013, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
------------------------------------------------------------------------------
-- Entity: serializer
-- File: serializer.vhd
-- Author: Jan Andersson - Gaisler Research AB
-- jan@gaisler.com
--
-- Description: Takes in three vectors and serializes them into one
-- output vector. Intended to be used to serialize
-- RGB VGA data.
--
library ieee;
use ieee.std_logic_1164.all;
entity serializer is
generic (
length : integer := 8 -- vector length
);
port (
clk : in std_ulogic;
sync : in std_ulogic;
ivec0 : in std_logic_vector((length-1) downto 0);
ivec1 : in std_logic_vector((length-1) downto 0);
ivec2 : in std_logic_vector((length-1) downto 0);
ovec : out std_logic_vector((length-1) downto 0)
);
end entity serializer;
architecture rtl of serializer is
type state_type is (vec0, vec1, vec2);
type sreg_type is record
state : state_type;
sync : std_logic_vector(1 downto 0);
end record;
signal r, rin : sreg_type;
begin -- rtl
comb: process (r, clk, sync, ivec0, ivec1, ivec2)
variable v : sreg_type;
begin -- process comb
v := r;
v.sync := r.sync(0) & sync;
case r.state is
when vec0 =>
ovec <= ivec0;
v.state := vec1;
when vec1 =>
ovec <= ivec1;
v.state := vec2;
when vec2 =>
ovec <= ivec2;
v.state := vec0;
end case;
if (r.sync(0) xor sync) = '1' then
v.state := vec1;
end if;
rin <= v;
end process comb;
reg: process (clk)
begin -- process reg
if rising_edge(clk) then
r <= rin;
end if;
end process reg;
end rtl;
|
library ieee;
use ieee.std_logic_1164.all;
package cnn_types is
constant PIXEL_CONST : integer := 8;
type pixel_array is array ( integer range <> ) of std_logic_vector (PIXEL_CONST-1 downto 0);
end cnn_types;
|
--! @file dpRamSplx-rtl-a.vhd
--
--! @brief Simplex Dual Port Ram Register Transfer Level Architecture
--
--! @details This is the Simplex DPRAM intended for synthesis on Xilinx
--! platforms only.
--! Timing as follows [clk-cycles]: write=0 / read=1
--
-------------------------------------------------------------------------------
-- Architecture : rtl
-------------------------------------------------------------------------------
--
-- (c) B&R Industrial Automation GmbH, 2014
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- 3. Neither the name of B&R nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without prior written permission. For written
-- permission, please contact office@br-automation.com
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
-- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
--! Common library
library libcommon;
--! Use common library global package
use libcommon.global.all;
architecture rtl of dpRamSplx is
--! Width configuration type
type tWidthConfig is (
sUnsupported,
sAsym_16_32,
sAsym_32_16,
sSym
);
--! Function to return width configuration.
function getWidthConfig (
wordWidthA : natural;
byteEnableWidthA : natural;
wordWidthB : natural
) return tWidthConfig is
begin
if wordWidthA = 16 and wordWidthB = 32 and byteEnableWidthA = 2 then
return sAsym_16_32;
elsif wordWidthA = 32 and wordWidthB = 16 and byteEnableWidthA = 4 then
return sAsym_32_16;
elsif wordWidthA = wordWidthB and byteEnableWidthA = wordWidthA/cByteLength then
return sSym;
else
return sUnsupported;
end if;
end function;
--! Width configuration
constant cWidthConfig : tWidthConfig := getWidthConfig(gWordWidthA, gByteenableWidthA, gWordWidthB);
--! Words of dpram
constant cDprWords : natural := minimum(gNumberOfWordsA, gNumberOfWordsB);
--! Word width of dpram
constant cDprWordWidth : natural := maximum(gWordWidthA, gWordWidthB);
--! Dpr write port address
signal writeAddress : std_logic_vector(logDualis(cDprWords)-1 downto 0);
--! Dpr write port enables
signal writeByteenable : std_logic_vector(cDprWordWidth/cByteLength-1 downto 0);
--! Dpr write port
signal writedata : std_logic_vector(cDprWordWidth-1 downto 0);
--! Dpr read port address
signal readAddress : std_logic_vector(logDualis(cDprWords)-1 downto 0);
--! Dpr read port
signal readdata : std_logic_vector(cDprWordWidth-1 downto 0);
begin
assert (cWidthConfig /= sUnsupported)
report "The width configuration is not supported!"
severity failure;
assert (gInitFile = "UNUSED")
report "Memory initialization is not supported in this architecture!"
severity warning;
assert (gWordWidthA*gNumberOfWordsA = gWordWidthB*gNumberOfWordsB)
report "Memory size of port A and B are different!"
severity failure;
--! Instantiate true dual ported ram entity
TRUEDPRAM : entity work.dpRam
generic map (
gWordWidth => cDprWordWidth,
gNumberOfWords => cDprWords,
gInitFile => "UNUSED"
)
port map (
iClk_A => iClk_A,
iEnable_A => iEnable_A,
iWriteEnable_A => iWriteEnable_A,
iAddress_A => writeAddress,
iByteenable_A => writeByteenable,
iWritedata_A => writedata,
oReaddata_A => open,
iClk_B => iClk_B,
iEnable_B => iEnable_B,
iWriteEnable_B => cInactivated,
iByteenable_B => (others => cInactivated),
iAddress_B => readAddress,
iWritedata_B => (others => cInactivated),
oReaddata_B => readdata
);
--! This generate block assigns the 16 bit write port and
--! the 32 bit read port.
WIDTHCFG_16_32 : if cWidthConfig = sAsym_16_32 generate
writeAddress <= iAddress_A(iAddress_A'left downto 1);
writeByteenable(3) <= iByteenable_A(1) and iAddress_A(0);
writeByteenable(2) <= iByteenable_A(0) and iAddress_A(0);
writeByteenable(1) <= iByteenable_A(1) and not iAddress_A(0);
writeByteenable(0) <= iByteenable_A(0) and not iAddress_A(0);
writedata <= iWritedata_A & iWritedata_A;
readAddress <= iAddress_B;
oReaddata_B <= readdata;
end generate WIDTHCFG_16_32;
--! This generate block assigns the 32 bit write port and
--! the 16 bit read port.
WIDTHCFG_32_16 : if cWidthConfig = sAsym_32_16 generate
writeAddress <= iAddress_A;
writeByteenable <= iByteenable_A;
writedata <= iWritedata_A;
readAddress <= iAddress_B(iAddress_B'left downto 1);
oReaddata_B <= readdata(31 downto 16) when iAddress_B(0) = cActivated else
readdata(15 downto 0);
end generate WIDTHCFG_32_16;
--! This generate block assigns the symmetric write and read ports.
WIDTHCFG_SYM : if cWidthConfig = sSym generate
writeAddress <= iAddress_A;
writeByteenable <= iByteenable_A;
writedata <= iWritedata_A;
readAddress <= iAddress_B;
oReaddata_B <= readdata;
end generate WIDTHCFG_SYM;
end architecture rtl;
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
library work;
use work.all;
use work.procedures.all;
Library UNISIM;
use UNISIM.vcomponents.all;
entity p4mem1k8 is
port(
clk : in std_logic;
clk2x : in std_logic;
dia : in t_data;
addra : in std_logic_vector(9 downto 0);
ena : in std_logic;
wea : in std_logic;
doa : out t_data;
dib : in t_data;
addrb : in std_logic_vector(9 downto 0);
enb : in std_logic;
web : in std_logic;
dob : out t_data;
dic : in t_data;
addrc : in std_logic_vector(9 downto 0);
enc : in std_logic;
wec : in std_logic;
doc : out t_data;
did : in t_data;
addrd : in std_logic_vector(9 downto 0);
en_d : in std_logic;
wed : in std_logic;
dod : out t_data
);
end p4mem1k8;
architecture Structural of p4mem1k8 is
signal int_dia : t_data;
signal int_addra : std_logic_vector(10 downto 0);
signal int_ena : std_logic;
signal int_wea : std_logic;
signal int_doa : t_data;
signal int_dib : t_data;
signal int_addrb : std_logic_vector(10 downto 0);
signal int_enb : std_logic;
signal int_web : std_logic;
signal int_dob : t_data;
signal enb1 : std_logic;
signal end1 : std_logic;
begin
RAMB16_S9_S9_inst : RAMB16_S9_S9
generic map (
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
SIM_COLLISION_CHECK => "ALL")
port map (
DOA => int_doa,
DOB => int_dob,
DOPA => open,
DOPB => open,
ADDRA => int_addra,
ADDRB => int_addrb,
CLKA => clk2x,
CLKB => clk2x,
DIA => int_dia,
DIB => int_dib,
DIPA => "1",
DIPB => "1",
ENA => int_ena,
ENB => int_enb,
SSRA => '0',
SSRB => '0',
WEA => int_wea,
WEB => int_web
);
int_addra(10) <= '1';
int_addrb(10) <= '1';
int_dia <= dia when clk = '1' else
dib;
int_addra(9 downto 0) <= addra when clk = '1' else
addrb;
int_ena <= ena when clk = '1' else
enb;
int_wea <= wea when clk = '1' else
web;
int_dib <= dic when clk = '1' else
did;
int_addrb(9 downto 0) <= addrc when clk = '1' else
addrd;
int_enb <= enc when clk = '1' else
en_d;
int_web <= wec when clk = '1' else
wed;
outputs: process(clk)
begin
if rising_edge(clk) then
if ena = '1' then
doa <= int_doa;
end if;
if enc = '1' then
doc <= int_dob;
end if;
end if;
if falling_edge(clk) then
if enb1 = '1' then
dob <= int_doa;
end if;
if end1 = '1' then
dod <= int_dob;
end if;
enb1 <= enb;
end1 <= en_d;
end if;
end process;
end Structural;
|
-- This is one neuron
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity neuron is
generic (
-- Parameters for the neurons
WDATA : natural := 32;
WWEIGHT : natural := 16;
WACCU : natural := 32;
-- Parameters for the frame size
FSIZE : natural := 784;
WADDR : natural := 10
);
port (
clk : in std_logic;
-- Control signals, test
ctrl_we_mode : in std_logic;
ctrl_we_shift : in std_logic;
ctrl_we_valid : in std_logic;
ctrl_accu_clear : in std_logic;
ctrl_accu_add : in std_logic;
ctrl_shift_en : in std_logic;
ctrl_shift_copy : in std_logic;
-- Address used for Read and Write
addr : in std_logic_vector(WADDR-1 downto 0);
-- Ports for Write Enable
we_prev : in std_logic;
we_next : out std_logic;
write_data : in std_logic_vector(WDATA-1 downto 0);
-- Data input, 2 bits
data_in : in std_logic_vector(WDATA-1 downto 0);
-- Scan chain to extract values
sh_data_in : in std_logic_vector(WACCU-1 downto 0);
sh_data_out : out std_logic_vector(WACCU-1 downto 0);
-- Sensors, for synchronization with the controller
sensor_shift : out std_logic;
sensor_copy : out std_logic;
sensor_we_mode : out std_logic;
sensor_we_shift : out std_logic;
sensor_we_valid : out std_logic
);
end neuron;
architecture synth of neuron is
-- Registre contenant l'accumulation du DSP
signal accu : signed(47 downto 0) := (others => '0');
-- Registre contenant la copy de l'accu
signal mirror : std_logic_vector(WACCU-1 downto 0) := (others => '0');
-- Registre memorisant si on se trouve dans un etat de config
signal reg_config : std_logic := '0';
-- output signals
signal out_sensor_shift : std_logic := '0';
signal out_sensor_copy : std_logic := '0';
signal out_sensor_we_mode : std_logic := '0';
signal out_sensor_we_shift : std_logic := '0';
signal weight : std_logic_vector(WWEIGHT-1 downto 0);
signal write_data_in : std_logic_vector(WWEIGHT-1 downto 0);
component ram is
generic (
WDATA : natural := 16;
SIZE : natural := 784;
WADDR : natural := 10
);
port ( clk : in std_logic;
we : in std_logic;
en : in std_logic;
addr : in std_logic_vector(WADDR-1 downto 0);
di : in std_logic_vector(WDATA-1 downto 0);
do : out std_logic_vector(WDATA-1 downto 0));
end component;
signal we_ram : std_logic := '0';
signal en_ram : std_logic := '0';
begin
-------------------------------------------------------------------
-- instanciation of component
-------------------------------------------------------------------
i_ram: ram
generic map (
WDATA => WWEIGHT,
SIZE => FSIZE,
WADDR => WADDR
)
port map (
clk => clk,
we => we_ram,
en => en_ram,
addr => addr,
di => write_data_in,
do => weight
);
---------------------------------------------
----------- Sequential processes ------------
---------------------------------------------
mac : process (clk)
begin
if rising_edge(clk) then
-- Mode accumulation
if (ctrl_we_mode = '0') then
-- we need to clear accu
if (ctrl_accu_clear = '1') then
accu <= (others => '0');
-- data available
elsif (ctrl_accu_add = '1') then
accu <= accu + signed(data_in(24 downto 0))*(resize(signed(weight), 18));
end if;
end if;
end if;
end process mac;
shift: process (clk)
begin
if (rising_edge(clk)) then
-- we have to copy the accu reg into the miroir reg
if ((ctrl_shift_copy = '1')) then
mirror <= std_logic_vector(accu(WACCU-1 downto 0));
elsif (ctrl_shift_en = '1') then
-- we have to shift the miroir prev into the miroir next
mirror <= sh_data_in;
end if;
end if;
end process;
reg_conf : process (clk)
begin
if rising_edge(clk) then
if (ctrl_we_mode = '1') and (ctrl_we_shift = '1') then
-- update the reg_config
reg_config <= we_prev;
end if;
end if;
end process reg_conf;
---------------------------------------------
--------- Combinatorial processes -----------
---------------------------------------------
sensor : process (ctrl_we_mode, ctrl_we_shift, ctrl_shift_copy, ctrl_shift_en)
begin
-- updating the reg_conf
if (ctrl_we_shift = '1') then
-- notify the fsm
out_sensor_we_shift <= '1';
else
out_sensor_we_shift <= '0';
end if;
if (ctrl_we_mode = '1') then
out_sensor_we_mode <= '1';
else
out_sensor_we_mode <= '0';
end if;
-- we have to copy the accu reg into the miroir reg
if (ctrl_shift_copy = '1') then
out_sensor_copy <= '1';
else
out_sensor_copy <= '0';
end if;
-- we have to shift the miroir prev into the miroir next
if (ctrl_shift_en = '1') then
out_sensor_shift <= '1';
else
out_sensor_shift <= '0';
end if;
end process sensor;
---------------------------------------------
----------- Ports assignements --------------
---------------------------------------------
en_ram <= '1';
we_ram <= ctrl_we_mode and reg_config and not(ctrl_we_shift);
we_next <= reg_config;
sh_data_out <= mirror;
-- not used, but need to be set
sensor_we_valid <= '1';
sensor_shift <= out_sensor_shift;
sensor_copy <= out_sensor_copy;
sensor_we_mode <= out_sensor_we_mode;
sensor_we_shift <= out_sensor_we_shift;
-- to get right conversion for the BRAM
write_data_in <= std_logic_vector(resize(signed(write_data), WWEIGHT));
end architecture;
|
architecture rtl of fifo is
alias DESIGNATOR is name;
alias DESIGNATOR is name;
begin
end architecture rtl;
|
entity sub is
port ( x : buffer natural );
end entity;
architecture test of sub is
begin
test: process is
begin
x <= 1;
wait for 1 ns;
x <= 2;
wait for 1 ns;
assert x = 2;
wait;
end process;
end architecture;
entity buffer1 is
end entity;
architecture test of buffer1 is
signal x : natural;
begin
uut: entity work.sub port map ( x );
main: process is
begin
assert x = 0;
wait for 1 ns;
assert x = 1;
wait for 1 ns;
assert x = 2;
wait;
end process;
end architecture;
|
-- NetUP Universal Dual DVB-CI FPGA firmware
-- http://www.netup.tv
--
-- Copyright (c) 2014 NetUP Inc, AVB Labs
-- License: GPLv3
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity fifo_in_8b_sync is
generic (
FIFO_DEPTH : natural range 8 to 64 := 16;
BUS_WIDTH : natural range 16 to 64 := 32
);
port (
rst : in std_logic;
clk : in std_logic;
irq : out std_logic;
-- Avalon-MM 32-bits slave
addr : in std_logic_vector(1 downto 0);
byte_en : in std_logic_vector(3 downto 0) := (others => '1');
in_data : in std_logic_vector(31 downto 0);
wr_en : in std_logic;
out_data : out std_logic_vector(31 downto 0);
rd_en : in std_logic;
wait_req : out std_logic;
-- output stream
st_data : in std_logic_vector(7 downto 0);
st_valid : in std_logic;
st_ready : out std_logic
);
end entity;
architecture RTL of fifo_in_8b_sync is
function log2ceil (arg: natural) return natural is
begin
if arg < 2 then
return 1;
else
return log2ceil(arg / 2) + 1;
end if;
end function;
constant FIFO_ADDR_WIDTH : natural := log2ceil(FIFO_DEPTH - 1);
constant REG_DATA : std_logic_vector(1 downto 0) := "00";
constant REG_CTRL_STAT : std_logic_vector(1 downto 0) := "10";
signal read_ptr : unsigned(FIFO_ADDR_WIDTH downto 0);
signal write_ptr : unsigned(FIFO_ADDR_WIDTH downto 0);
signal not_empty : std_logic;
signal data_rden : std_logic;
signal ctrl_wren : std_logic;
signal read_phase : unsigned(1 downto 0);
signal end_phase : std_logic_vector(2 downto 0);
signal read_not_compl : std_logic;
signal fifo_reset : std_logic;
signal imask : std_logic;
signal ipend : std_logic;
signal fill : unsigned(FIFO_ADDR_WIDTH downto 0);
signal threshold : unsigned(FIFO_ADDR_WIDTH - 1 downto 0);
signal valid_i : std_logic;
signal read_buffer : std_logic_vector(BUS_WIDTH - 1 downto 0);
signal read_data : std_logic_vector(BUS_WIDTH - 1 downto 0);
type mem_t is array(0 to FIFO_DEPTH - 1) of std_logic_vector(7 downto 0);
signal fifo_ram : mem_t;
signal fifo_ram_we : std_logic;
signal fifo_ram_re : std_logic;
signal fifo_ram_d : std_logic_vector(7 downto 0);
signal fifo_ram_q : std_logic_vector(7 downto 0);
attribute ramstyle : string;
attribute ramstyle of fifo_ram : signal is "logic";
begin
-- RAM block logic
process (clk)
begin
if rising_edge(clk) then
if fifo_ram_we = '1' then
fifo_ram(to_integer(write_ptr(FIFO_ADDR_WIDTH - 1 downto 0))) <= fifo_ram_d;
end if;
if fifo_ram_re = '1' then
fifo_ram_q <= fifo_ram(to_integer(read_ptr(FIFO_ADDR_WIDTH - 1 downto 0)));
end if;
end if;
end process;
-- FIFO pointers logic
process (rst, clk)
begin
if rising_edge(clk) then
if fifo_ram_we = '1' then
write_ptr <= write_ptr + 1;
end if;
if fifo_ram_re = '1' then
read_ptr <= read_ptr + 1;
end if;
valid_i <= not_empty or (valid_i and not end_phase(2));
if fifo_reset = '1' then
read_ptr <= (others => '0');
write_ptr <= (others => '0');
valid_i <= '0';
end if;
end if;
if rst = '1' then
read_ptr <= (others => '0');
write_ptr <= (others => '0');
valid_i <= '0';
end if;
end process;
not_empty <= '0' when read_ptr = write_ptr else '1';
fill <= write_ptr - read_ptr when valid_i = '0' else write_ptr - read_ptr + 1;
-- bus interface logic
ipend <= '1' when fill > unsigned('0' & threshold) else '0';
with addr select
out_data <= (BUS_WIDTH - 1 downto 16 => '0') & imask & (14 downto FIFO_ADDR_WIDTH + 8 => '0') & std_logic_vector(threshold) & ipend & (6 downto FIFO_ADDR_WIDTH + 1 => '0') & std_logic_vector(fill) when REG_CTRL_STAT,
read_data when others;
data_rden <= (rd_en and valid_i) when addr = REG_DATA else '0';
ctrl_wren <= (byte_en(1) and wr_en) when addr = REG_CTRL_STAT else '0';
fifo_reset <= ctrl_wren and in_data(14);
with byte_en select
end_phase <= data_rden & "00" when "0001",
data_rden & "01" when "0011",
data_rden & "11" when "1111",
"000" when others;
read_not_compl <= end_phase(2) when read_phase /= unsigned(end_phase(1 downto 0)) else '0';
process (rst, clk)
begin
if rising_edge(clk) then
if ctrl_wren = '1' then
imask <= in_data(15);
threshold <= unsigned(in_data(FIFO_ADDR_WIDTH + 7 downto 8));
end if;
if read_not_compl = '0' then
read_phase <= (others => '0');
else
read_phase <= read_phase + 1;
end if;
if read_not_compl = '1' then
case read_phase(1 downto 0) is
when "00" =>
read_buffer(7 downto 0) <= fifo_ram_q;
when "01" =>
read_buffer(15 downto 8) <= fifo_ram_q;
when "10" =>
read_buffer(23 downto 16) <= fifo_ram_q;
when others =>
read_buffer(31 downto 24) <= fifo_ram_q;
end case;
end if;
end if;
if rst = '1' then
imask <= '0';
threshold <= (others => '0');
read_phase <= (others => '0');
read_buffer <= (others => '0');
end if;
end process;
wait_req <= read_not_compl;
read_data(31 downto 24) <= fifo_ram_q when read_phase(1 downto 0) = 3 else read_buffer(31 downto 24);
read_data(23 downto 16) <= fifo_ram_q when read_phase(1 downto 0) = 2 else read_buffer(23 downto 16);
read_data(15 downto 8) <= fifo_ram_q when read_phase(1 downto 0) = 1 else read_buffer(15 downto 8);
read_data(7 downto 0) <= fifo_ram_q when read_phase(1 downto 0) = 0 else read_buffer(7 downto 0);
fifo_ram_d <= st_data;
fifo_ram_we <= st_valid and not fill(FIFO_ADDR_WIDTH);
fifo_ram_re <= not_empty and (end_phase(2) or not valid_i);
st_ready <= not fill(FIFO_ADDR_WIDTH);
irq <= ipend and imask;
end architecture;
|
-- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2014.4
-- Copyright (C) 2014 Xilinx Inc. All rights reserved.
--
-- ==============================================================
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity image_filter_mul_8ns_24ns_31_3_MulnS_0 is
port (
clk: in std_logic;
ce: in std_logic;
a: in std_logic_vector(8 - 1 downto 0);
b: in std_logic_vector(24 - 1 downto 0);
p: out std_logic_vector(31 - 1 downto 0));
end entity;
architecture behav of image_filter_mul_8ns_24ns_31_3_MulnS_0 is
signal tmp_product : std_logic_vector(31 - 1 downto 0);
signal a_i : std_logic_vector(8 - 1 downto 0);
signal b_i : std_logic_vector(24 - 1 downto 0);
signal p_tmp : std_logic_vector(31 - 1 downto 0);
signal a_reg0 : std_logic_vector(8 - 1 downto 0);
signal b_reg0 : std_logic_vector(24 - 1 downto 0);
attribute keep : string;
attribute keep of a_i : signal is "true";
attribute keep of b_i : signal is "true";
signal buff0 : std_logic_vector(31 - 1 downto 0);
begin
a_i <= a;
b_i <= b;
p <= p_tmp;
p_tmp <= buff0;
tmp_product <= std_logic_vector(resize(unsigned(a_reg0) * unsigned(b_reg0), 31));
process(clk)
begin
if (clk'event and clk = '1') then
if (ce = '1') then
a_reg0 <= a_i;
b_reg0 <= b_i;
buff0 <= tmp_product;
end if;
end if;
end process;
end architecture;
Library IEEE;
use IEEE.std_logic_1164.all;
entity image_filter_mul_8ns_24ns_31_3 is
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER);
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
ce : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR(din0_WIDTH - 1 DOWNTO 0);
din1 : IN STD_LOGIC_VECTOR(din1_WIDTH - 1 DOWNTO 0);
dout : OUT STD_LOGIC_VECTOR(dout_WIDTH - 1 DOWNTO 0));
end entity;
architecture arch of image_filter_mul_8ns_24ns_31_3 is
component image_filter_mul_8ns_24ns_31_3_MulnS_0 is
port (
clk : IN STD_LOGIC;
ce : IN STD_LOGIC;
a : IN STD_LOGIC_VECTOR;
b : IN STD_LOGIC_VECTOR;
p : OUT STD_LOGIC_VECTOR);
end component;
begin
image_filter_mul_8ns_24ns_31_3_MulnS_0_U : component image_filter_mul_8ns_24ns_31_3_MulnS_0
port map (
clk => clk,
ce => ce,
a => din0,
b => din1,
p => dout);
end architecture;
|
-- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2014.4
-- Copyright (C) 2014 Xilinx Inc. All rights reserved.
--
-- ==============================================================
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity image_filter_mul_8ns_24ns_31_3_MulnS_0 is
port (
clk: in std_logic;
ce: in std_logic;
a: in std_logic_vector(8 - 1 downto 0);
b: in std_logic_vector(24 - 1 downto 0);
p: out std_logic_vector(31 - 1 downto 0));
end entity;
architecture behav of image_filter_mul_8ns_24ns_31_3_MulnS_0 is
signal tmp_product : std_logic_vector(31 - 1 downto 0);
signal a_i : std_logic_vector(8 - 1 downto 0);
signal b_i : std_logic_vector(24 - 1 downto 0);
signal p_tmp : std_logic_vector(31 - 1 downto 0);
signal a_reg0 : std_logic_vector(8 - 1 downto 0);
signal b_reg0 : std_logic_vector(24 - 1 downto 0);
attribute keep : string;
attribute keep of a_i : signal is "true";
attribute keep of b_i : signal is "true";
signal buff0 : std_logic_vector(31 - 1 downto 0);
begin
a_i <= a;
b_i <= b;
p <= p_tmp;
p_tmp <= buff0;
tmp_product <= std_logic_vector(resize(unsigned(a_reg0) * unsigned(b_reg0), 31));
process(clk)
begin
if (clk'event and clk = '1') then
if (ce = '1') then
a_reg0 <= a_i;
b_reg0 <= b_i;
buff0 <= tmp_product;
end if;
end if;
end process;
end architecture;
Library IEEE;
use IEEE.std_logic_1164.all;
entity image_filter_mul_8ns_24ns_31_3 is
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER);
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
ce : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR(din0_WIDTH - 1 DOWNTO 0);
din1 : IN STD_LOGIC_VECTOR(din1_WIDTH - 1 DOWNTO 0);
dout : OUT STD_LOGIC_VECTOR(dout_WIDTH - 1 DOWNTO 0));
end entity;
architecture arch of image_filter_mul_8ns_24ns_31_3 is
component image_filter_mul_8ns_24ns_31_3_MulnS_0 is
port (
clk : IN STD_LOGIC;
ce : IN STD_LOGIC;
a : IN STD_LOGIC_VECTOR;
b : IN STD_LOGIC_VECTOR;
p : OUT STD_LOGIC_VECTOR);
end component;
begin
image_filter_mul_8ns_24ns_31_3_MulnS_0_U : component image_filter_mul_8ns_24ns_31_3_MulnS_0
port map (
clk => clk,
ce => ce,
a => din0,
b => din1,
p => dout);
end architecture;
|
----------------------------------------------------------------------------------
-- Company: LARC - Escola Politecnica - University of Sao Paulo
-- Engineer: Pedro Maat C. Massolino
--
-- Create Date: 05/12/2012
-- Design Name: Pipeline_Polynomial_Calc_v2
-- Module Name: Pipeline_Polynomial_Calc_v2
-- Project Name: McEliece Goppa Decoder
-- Target Devices: Any
-- Tool versions: Xilinx ISE 13.3 WebPack
--
-- Description:
--
-- The 3rd step in Goppa Code Decoding.
--
-- This circuit is to be used inside polynomial_evaluator_n_v2 to evaluate polynomials.
-- This circuit is the essential for 1 pipeline, therefor all stages are composed in here.
-- For more than 1 pipeline, only in polynomial_evaluator_n_v2 with the shared components
-- for all pipelines.
--
-- For the computation this circuit applies the Horner scheme, where at each stage
-- an accumulator is multiplied by respective x and then added accumulated with coefficient.
-- In Horner scheme algorithm, it begin from the most significative coefficient until reaches
-- lesser significative coefficient.
--
-- To improve syndrome generation this circuit was adapted to support syndrome generation
-- in pipeline_polynomial_calc_v3
--
-- The circuits parameters
--
-- gf_2_m :
--
-- The size of the field used in this circuit. This parameter depends of the
-- Goppa code used.
--
-- size :
--
-- The number of stages the pipeline has. More stages means more values of value_polynomial
-- are tested at once.
--
-- Dependencies:
-- VHDL-93
--
-- stage_polynomial_calc_v2 Rev 1.0
-- register_nbits Rev 1.0
--
-- Revision:
-- Revision 1.0
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity pipeline_polynomial_calc_v2 is
Generic (
gf_2_m : integer range 1 to 20 := 11;
size : integer := 28
);
Port (
value_x : in STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
value_polynomial : in STD_LOGIC_VECTOR((((gf_2_m)*size) - 1) downto 0);
value_acc : in STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
reg_x_rst : in STD_LOGIC_VECTOR((size - 1) downto 0);
clk : in STD_LOGIC;
new_value_acc : out STD_LOGIC_VECTOR((gf_2_m - 1) downto 0)
);
end pipeline_polynomial_calc_v2;
architecture Behavioral of pipeline_polynomial_calc_v2 is
component stage_polynomial_calc_v2
Generic(gf_2_m : integer range 1 to 20 := 11);
Port (
value_x : in STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
value_polynomial_coefficient : in STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
value_acc : in STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
new_value_acc : out STD_LOGIC_VECTOR((gf_2_m - 1) downto 0)
);
end component;
component register_nbits
Generic(size : integer);
Port(
d : in STD_LOGIC_VECTOR((size - 1) downto 0);
clk : in STD_LOGIC;
ce : in STD_LOGIC;
q : out STD_LOGIC_VECTOR((size - 1) downto 0)
);
end component;
component register_rst_nbits
Generic(size : integer);
Port(
d : in STD_LOGIC_VECTOR((size - 1) downto 0);
clk : in STD_LOGIC;
ce : in STD_LOGIC;
rst : in STD_LOGIC;
rst_value : in STD_LOGIC_VECTOR((size - 1) downto 0);
q : out STD_LOGIC_VECTOR((size - 1) downto 0)
);
end component;
type array_std_logic_vector is array(integer range <>) of STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
signal acc_d : array_std_logic_vector((size) downto 0);
signal acc_q : array_std_logic_vector((size - 1) downto 0);
signal x_q : array_std_logic_vector((size) downto 0);
constant reg_x_rst_value : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0) := std_logic_vector(to_unsigned(1, gf_2_m));
begin
x_q(0) <= value_x;
acc_d(0) <= value_acc;
pipeline : for I in 0 to (size - 1) generate
reg_x_I : register_rst_nbits
Generic Map(size => gf_2_m)
Port Map(
d => x_q(I),
clk => clk,
ce => '1',
rst => reg_x_rst(I),
rst_value => reg_x_rst_value,
q => x_q(I+1)
);
reg_acc_I : register_nbits
Generic Map(size => gf_2_m)
Port Map(
d => acc_d(I),
clk => clk,
ce => '1',
q => acc_q(I)
);
stage_I : stage_polynomial_calc_v2
Generic Map(gf_2_m => gf_2_m)
Port Map (
value_x => x_q(I+1),
value_polynomial_coefficient => value_polynomial(((gf_2_m)*(I+1) - 1) downto ((gf_2_m)*(I))),
value_acc => acc_q(I),
new_value_acc => acc_d(I+1)
);
end generate;
new_value_acc <= acc_d(size);
end Behavioral; |
------------------------------------------------------------------------------
---- ----
---- Single Port RAM that maps to a Xilinx/Lattice BRAM ----
---- ----
---- This file is part FPGA Libre project http://fpgalibre.sf.net/ ----
---- ----
---- Description: ----
---- This is a program memory for the AVR. It maps to a Xilinx/Lattice ----
---- BRAM. ----
---- This version can be modified by the CPU (i. e. SPM instruction) ----
---- ----
---- To Do: ----
---- - ----
---- ----
---- Author: ----
---- - Salvador E. Tropea, salvador inti.gob.ar ----
---- ----
------------------------------------------------------------------------------
---- ----
---- Copyright (c) 2008-2017 Salvador E. Tropea <salvador inti.gob.ar> ----
---- Copyright (c) 2008-2017 Instituto Nacional de Tecnología Industrial ----
---- ----
---- Distributed under the BSD license ----
---- ----
------------------------------------------------------------------------------
---- ----
---- Design unit: SinglePortPM(Xilinx) (Entity and architecture) ----
---- File name: pm_s_rw.in.vhdl (template used) ----
---- Note: None ----
---- Limitations: None known ----
---- Errors: None known ----
---- Library: work ----
---- Dependencies: IEEE.std_logic_1164 ----
---- Target FPGA: Spartan 3 (XC3S1500-4-FG456) ----
---- iCE40 (iCE40HX4K) ----
---- Language: VHDL ----
---- Wishbone: No ----
---- Synthesis tools: Xilinx Release 9.2.03i - xst J.39 ----
---- iCEcube2.2016.02 ----
---- Simulation tools: GHDL [Sokcho edition] (0.2x) ----
---- Text editor: SETEdit 0.5.x ----
---- ----
------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity lattuino_1_blPM_4 is
generic(
WORD_SIZE : integer:=16; -- Word Size
FALL_EDGE : std_logic:='0'; -- Ram clock falling edge
ADDR_W : integer:=13); -- Address Width
port(
clk_i : in std_logic;
addr_i : in std_logic_vector(ADDR_W-1 downto 0);
data_o : out std_logic_vector(WORD_SIZE-1 downto 0);
we_i : in std_logic;
data_i : in std_logic_vector(WORD_SIZE-1 downto 0));
end entity lattuino_1_blPM_4;
architecture Xilinx of lattuino_1_blPM_4 is
constant ROM_SIZE : natural:=2**ADDR_W;
type rom_t is array(natural range 0 to ROM_SIZE-1) of std_logic_vector(WORD_SIZE-1 downto 0);
signal addr_r : std_logic_vector(ADDR_W-1 downto 0);
signal rom : rom_t :=
(
1720 => x"c00e",
1721 => x"c01d",
1722 => x"c01c",
1723 => x"c01b",
1724 => x"c01a",
1725 => x"c019",
1726 => x"c018",
1727 => x"c017",
1728 => x"c016",
1729 => x"c015",
1730 => x"c014",
1731 => x"c013",
1732 => x"c012",
1733 => x"c011",
1734 => x"c010",
1735 => x"2411",
1736 => x"be1f",
1737 => x"e5cf",
1738 => x"e0d1",
1739 => x"bfde",
1740 => x"bfcd",
1741 => x"e020",
1742 => x"e6a0",
1743 => x"e0b0",
1744 => x"c001",
1745 => x"921d",
1746 => x"36a5",
1747 => x"07b2",
1748 => x"f7e1",
1749 => x"d036",
1750 => x"c125",
1751 => x"cfe0",
1752 => x"e081",
1753 => x"bb8f",
1754 => x"e681",
1755 => x"ee93",
1756 => x"e1a6",
1757 => x"e0b0",
1758 => x"99f1",
1759 => x"c00a",
1760 => x"9701",
1761 => x"09a1",
1762 => x"09b1",
1763 => x"9700",
1764 => x"05a1",
1765 => x"05b1",
1766 => x"f7b9",
1767 => x"e0e0",
1768 => x"e0f0",
1769 => x"9509",
1770 => x"ba1f",
1771 => x"b38e",
1772 => x"9508",
1773 => x"e091",
1774 => x"bb9f",
1775 => x"9bf0",
1776 => x"cffe",
1777 => x"ba1f",
1778 => x"bb8e",
1779 => x"e080",
1780 => x"e090",
1781 => x"9508",
1782 => x"dfe1",
1783 => x"3280",
1784 => x"f421",
1785 => x"e184",
1786 => x"dff2",
1787 => x"e180",
1788 => x"cff0",
1789 => x"9508",
1790 => x"93cf",
1791 => x"2fc8",
1792 => x"dfd7",
1793 => x"3280",
1794 => x"f439",
1795 => x"e184",
1796 => x"dfe8",
1797 => x"2f8c",
1798 => x"dfe6",
1799 => x"e180",
1800 => x"91cf",
1801 => x"cfe3",
1802 => x"91cf",
1803 => x"9508",
1804 => x"9abe",
1805 => x"e044",
1806 => x"e450",
1807 => x"e020",
1808 => x"e030",
1809 => x"b388",
1810 => x"2785",
1811 => x"bb88",
1812 => x"01c9",
1813 => x"9701",
1814 => x"f7f1",
1815 => x"5041",
1816 => x"f7c1",
1817 => x"e011",
1818 => x"dfbd",
1819 => x"3380",
1820 => x"f0c9",
1821 => x"3381",
1822 => x"f499",
1823 => x"dfb8",
1824 => x"3280",
1825 => x"f7c1",
1826 => x"e184",
1827 => x"dfc9",
1828 => x"e481",
1829 => x"dfc7",
1830 => x"e586",
1831 => x"dfc5",
1832 => x"e582",
1833 => x"dfc3",
1834 => x"e280",
1835 => x"dfc1",
1836 => x"e489",
1837 => x"dfbf",
1838 => x"e583",
1839 => x"dfbd",
1840 => x"e580",
1841 => x"c0c2",
1842 => x"3480",
1843 => x"f421",
1844 => x"dfa3",
1845 => x"dfa2",
1846 => x"dfbf",
1847 => x"cfe2",
1848 => x"3481",
1849 => x"f469",
1850 => x"df9d",
1851 => x"3880",
1852 => x"f411",
1853 => x"e082",
1854 => x"c029",
1855 => x"3881",
1856 => x"f411",
1857 => x"e081",
1858 => x"c025",
1859 => x"3882",
1860 => x"f511",
1861 => x"e182",
1862 => x"c021",
1863 => x"3482",
1864 => x"f429",
1865 => x"e1c4",
1866 => x"df8d",
1867 => x"50c1",
1868 => x"f7e9",
1869 => x"cfe8",
1870 => x"3485",
1871 => x"f421",
1872 => x"df87",
1873 => x"df86",
1874 => x"df85",
1875 => x"cfe0",
1876 => x"eb90",
1877 => x"0f98",
1878 => x"3093",
1879 => x"f2f0",
1880 => x"3585",
1881 => x"f439",
1882 => x"df7d",
1883 => x"9380",
1884 => x"0063",
1885 => x"df7a",
1886 => x"9380",
1887 => x"0064",
1888 => x"cfd5",
1889 => x"3586",
1890 => x"f439",
1891 => x"df74",
1892 => x"df73",
1893 => x"df72",
1894 => x"df71",
1895 => x"e080",
1896 => x"df95",
1897 => x"cfb0",
1898 => x"3684",
1899 => x"f009",
1900 => x"c039",
1901 => x"df6a",
1902 => x"9380",
1903 => x"0062",
1904 => x"df67",
1905 => x"9380",
1906 => x"0061",
1907 => x"9210",
1908 => x"0060",
1909 => x"df62",
1910 => x"3485",
1911 => x"f419",
1912 => x"9310",
1913 => x"0060",
1914 => x"c00a",
1915 => x"9180",
1916 => x"0063",
1917 => x"9190",
1918 => x"0064",
1919 => x"0f88",
1920 => x"1f99",
1921 => x"9390",
1922 => x"0064",
1923 => x"9380",
1924 => x"0063",
1925 => x"e0c0",
1926 => x"e0d0",
1927 => x"9180",
1928 => x"0061",
1929 => x"9190",
1930 => x"0062",
1931 => x"17c8",
1932 => x"07d9",
1933 => x"f008",
1934 => x"cfa7",
1935 => x"df48",
1936 => x"2f08",
1937 => x"df46",
1938 => x"9190",
1939 => x"0060",
1940 => x"91e0",
1941 => x"0063",
1942 => x"91f0",
1943 => x"0064",
1944 => x"1191",
1945 => x"c005",
1946 => x"921f",
1947 => x"2e00",
1948 => x"2e18",
1949 => x"95e8",
1950 => x"901f",
1951 => x"9632",
1952 => x"93f0",
1953 => x"0064",
1954 => x"93e0",
1955 => x"0063",
1956 => x"9622",
1957 => x"cfe1",
1958 => x"3784",
1959 => x"f009",
1960 => x"c03e",
1961 => x"df2e",
1962 => x"9380",
1963 => x"0062",
1964 => x"df2b",
1965 => x"9380",
1966 => x"0061",
1967 => x"9210",
1968 => x"0060",
1969 => x"df26",
1970 => x"3485",
1971 => x"f419",
1972 => x"9310",
1973 => x"0060",
1974 => x"c00a",
1975 => x"9180",
1976 => x"0063",
1977 => x"9190",
1978 => x"0064",
1979 => x"0f88",
1980 => x"1f99",
1981 => x"9390",
1982 => x"0064",
1983 => x"9380",
1984 => x"0063",
1985 => x"df16",
1986 => x"3280",
1987 => x"f009",
1988 => x"cf55",
1989 => x"e184",
1990 => x"df26",
1991 => x"e0c0",
1992 => x"e0d0",
1993 => x"9180",
1994 => x"0061",
1995 => x"9190",
1996 => x"0062",
1997 => x"17c8",
1998 => x"07d9",
1999 => x"f528",
2000 => x"9180",
2001 => x"0060",
2002 => x"2388",
2003 => x"f011",
2004 => x"e080",
2005 => x"c005",
2006 => x"91e0",
2007 => x"0063",
2008 => x"91f0",
2009 => x"0064",
2010 => x"9184",
2011 => x"df11",
2012 => x"9180",
2013 => x"0063",
2014 => x"9190",
2015 => x"0064",
2016 => x"9601",
2017 => x"9390",
2018 => x"0064",
2019 => x"9380",
2020 => x"0063",
2021 => x"9621",
2022 => x"cfe2",
2023 => x"3785",
2024 => x"f479",
2025 => x"deee",
2026 => x"3280",
2027 => x"f009",
2028 => x"cf2d",
2029 => x"e184",
2030 => x"defe",
2031 => x"e18e",
2032 => x"defc",
2033 => x"e982",
2034 => x"defa",
2035 => x"e086",
2036 => x"def8",
2037 => x"e180",
2038 => x"def6",
2039 => x"cf22",
2040 => x"3786",
2041 => x"f009",
2042 => x"cf1f",
2043 => x"cf6b",
2044 => x"94f8",
2045 => x"cfff",
others => x"0000"
);
begin
use_rising_edge:
if FALL_EDGE='0' generate
do_rom:
process (clk_i)
begin
if rising_edge(clk_i)then
addr_r <= addr_i;
if we_i='1' then
rom(to_integer(unsigned(addr_i))) <= data_i;
end if;
end if;
end process do_rom;
end generate use_rising_edge;
use_falling_edge:
if FALL_EDGE='1' generate
do_rom:
process (clk_i)
begin
if falling_edge(clk_i)then
addr_r <= addr_i;
if we_i='1' then
rom(to_integer(unsigned(addr_i))) <= data_i;
end if;
end if;
end process do_rom;
end generate use_falling_edge;
data_o <= rom(to_integer(unsigned(addr_r)));
end architecture Xilinx; -- Entity: lattuino_1_blPM_4
|
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY timer_tb IS
END timer_tb;
ARCHITECTURE behavior OF timer_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT timer
generic (t: time);
PORT(
clk : IN std_logic;
reset : IN std_logic;
en : IN std_logic;
q : OUT std_logic
);
END COMPONENT;
--Inputs
signal clk : std_logic := '0';
signal reset : std_logic := '0';
signal en : std_logic := '0';
--Outputs
signal q : std_logic;
-- Clock period definitions
constant clk_period : time := 20 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: timer
generic map (200 ns)
PORT MAP (
clk => clk,
reset => reset,
en => en,
q => q
);
-- Clock process definitions
clk_process :process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
reset <= '1';
wait for 100 ns;
reset <= '0';
en <= '1';
wait;
end process;
END;
|
--------------------------------------------------------------------------------
-- Mycron® DDR SDRAM - MT46V32M16 - 8 Meg x 16 x 4 banks --
--------------------------------------------------------------------------------
-- Copyright (C)2012 Mathias Hörtnagl <mathias.hoertnagl@gmail.comt> --
-- --
-- This program is free software: you can redistribute it and/or modify --
-- it under the terms of the GNU General Public License as published by --
-- the Free Software Foundation, either version 3 of the License, or --
-- (at your option) any later version. --
-- --
-- This program is distributed in the hope that it will be useful, --
-- but WITHOUT ANY WARRANTY; without even the implied warranty of --
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the --
-- GNU General Public License for more details. --
-- --
-- You should have received a copy of the GNU General Public License --
-- along with this program. If not, see <http://www.gnu.org/licenses/>. --
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library UNISIM;
use UNISIM.vcomponents.all;
library work;
use work.iwb.all;
use work.iddr.all;
entity ddr is
port (
si : in slave_in_t;
so : out slave_out_t;
-- Non Wishbone Signals
clk0 : in std_logic;
clk90 : in std_logic;
SD_CK_N : out std_logic;
SD_CK_P : out std_logic;
SD_CKE : out std_logic;
SD_BA : out std_logic_vector(1 downto 0);
SD_A : out std_logic_vector(12 downto 0);
SD_CMD : out std_logic_vector(3 downto 0);
SD_DM : out std_logic_vector(1 downto 0);
SD_DQS : inout std_logic_vector(1 downto 0);
SD_DQ : inout std_logic_vector(15 downto 0)
);
end ddr;
architecture rtl of ddr is
-----------------------------------------------------------------------------
-- General --
-----------------------------------------------------------------------------
-- Average periodic refresh interval tREFI: 7.8 µs
constant AR_RATE : natural := 160; -- x 40 ns = 5.8 µs.
-----------------------------------------------------------------------------
-- Controller Commands --
-----------------------------------------------------------------------------
constant CMD_AUTO_REFRESH : std_logic_vector(3 downto 0) := "0001";
constant CMD_PRECHARGE : std_logic_vector(3 downto 0) := "0010";
constant CMD_ACTIVE : std_logic_vector(3 downto 0) := "0011";
constant CMD_WRITE : std_logic_vector(3 downto 0) := "0100";
constant CMD_READ : std_logic_vector(3 downto 0) := "0101";
constant CMD_NOP : std_logic_vector(3 downto 0) := "0111";
-----------------------------------------------------------------------------
-- Wishbone Controller --
-----------------------------------------------------------------------------
type wb_state_t is (
Initialize, -- Initialization.
Idle, -- Wait for user or autorefresh.
Ack -- WB wait for ack.
);
signal w, win : wb_state_t := Initialize;
signal ddr_done : boolean; -- Successful read or wirte.
signal read_wb : boolean; -- Pending WB read.
signal write_wb : boolean; -- Pending WB write.
-----------------------------------------------------------------------------
-- Main Controller --
-----------------------------------------------------------------------------
type main_state_t is (
Initialize, -- Initialization.
Idle, -- Wait for user or autorefresh.
AutoRefresh, AutoRefreshWait, -- Autorefresh when idle.
Active, ActiveWait, -- Activate Row.
Write, RecoverWrite, -- Write 32 bit.
Read, WaitRead, -- Read 32 bit.
PrechargeWait, -- Wait for precharge after Write.
Ack -- WB wait for ack.
);
type main_t is record
s : main_state_t;
c : natural range 0 to 7;
a : natural range 0 to AR_RATE-1; -- Auto refresh counter.
rfsh : boolean; -- Pending autorefresh.
cmd : std_logic_vector(3 downto 0); -- SD_CS SD_RAS SD_CAS SD_WE.
ba : std_logic_vector(1 downto 0); -- DDR bank address.
adr : std_logic_vector(12 downto 0); -- DDR address bus.
end record;
constant main_d : main_t :=
main_t'(Initialize, 0, 0, false, CMD_NOP, "00", (others => '0') );
signal m, min : main_t := main_d;
signal dq : std_logic_vector(15 downto 0); -- Data tb be written.
signal dqs : std_logic_vector(1 downto 0); -- Data strobe signal.
signal dm : std_logic_vector(1 downto 0); -- Data mask signal.
signal mask : std_logic_vector(3 downto 0);
signal wr_en : boolean;
signal wr_en2 : boolean;
signal rd : std_logic_vector(31 downto 0); -- Read data latch.
signal rd_en : boolean; -- Read latch enable.
signal rd_en2 : boolean;
-----------------------------------------------------------------------------
-- Initialization --
-----------------------------------------------------------------------------
component ddr_init is
port (
clk0 : in std_logic;
rst : in std_logic;
SD_CKE : out std_logic;
SD_BA : out std_logic_vector(1 downto 0);
SD_A : out std_logic_vector(12 downto 0);
SD_CMD : out std_logic_vector(3 downto 0);
init_done : out boolean
);
end component;
type init_c is record
cmd : std_logic_vector(3 downto 0); -- SD_CS | SD_RAS | SD_CAS | SD_WE.
ba : std_logic_vector(1 downto 0); -- DDR bank address.
adr : std_logic_vector(12 downto 0); -- DDR address bus.
done : boolean; -- True on Init completion.
end record;
signal init : init_c;
begin
SD_CK_P <= not clk0;
SD_CK_N <= clk0;
-----------------------------------------------------------------------------
-- Initialization --
-----------------------------------------------------------------------------
init_fsm : ddr_init port map(
clk0 => clk0,
rst => si.rst,
SD_CKE => SD_CKE,
SD_BA => init.ba,
SD_A => init.adr,
SD_CMD => init.cmd,
init_done => init.done
);
-----------------------------------------------------------------------------
-- Wishbone Controller --
-----------------------------------------------------------------------------
-- NOTE: The Whishbone Controller runs at 50 MHz. There is a problem with the
-- communication protocol implementation, which does not allow a master
-- and a slave running at different frequencies.
-- If this problem happens to be fixed someday, the following state
-- machine can be deleted and the Wishbone signals can be tied directly
-- into the main state machine.
wbone : process(w, si, init.done, ddr_done)
begin
win <= w;
so.ack <= '0';
read_wb <= false;
write_wb <= false;
case w is
when Initialize =>
if init.done then
win <= Idle;
end if;
when Idle =>
if wb_read(si) then
read_wb <= true;
elsif wb_write(si) then
write_wb <= true;
end if;
if ddr_done then
win <= Ack;
end if;
when Ack =>
so.ack <= '1';
if si.stb = '0' then
win <= Idle;
end if;
end case;
end process;
wb_reg : process(si.clk)
begin
if rising_edge(si.clk) then
if si.rst = '1' then w <= Initialize; else w <= win; end if;
end if;
end process;
-----------------------------------------------------------------------------
-- Main Controller --
-----------------------------------------------------------------------------
-- main : process(m, si, init)
main : process(m, init, read_wb, write_wb, si.adr)
begin
min <= m;
-- Refresh counter.
if m.a = (AR_RATE-1) then
min.rfsh <= true;
else
min.a <= m.a + 1;
end if;
wr_en <= false; -- Write state machine enable.
rd_en <= false; -- Read state machine enable.
--so.ack <= '0';
ddr_done <= false; -- Indicates a successful read or wirte.
case m.s is
-----------------------------------------------------------------------
-- Initialization (see process initial). --
-----------------------------------------------------------------------
when Initialize =>
min.ba <= init.ba;
min.adr <= init.adr;
min.cmd <= init.cmd;
if init.done then
min.a <= 0;
min.rfsh <= false;
min.s <= Idle;
end if;
-----------------------------------------------------------------------
-- Wait for memory operations or auto refresh. --
-----------------------------------------------------------------------
when Idle =>
if m.rfsh then
min.a <= 0;
min.rfsh <= false;
min.s <= AutoRefresh;
-- elsif si.stb = '1' then
elsif (read_wb or write_wb) then
min.c <= 0;
min.s <= Active;
end if;
-----------------------------------------------------------------------
-- Auto Refresh. --
-----------------------------------------------------------------------
when AutoRefresh =>
min.cmd <= CMD_AUTO_REFRESH;
min.c <= 0;
min.s <= AutoRefreshWait;
-- AUTO REFRESH command period tRFC: 72ns
-- Precharge command cycle + PRECHARGE command period tRP: 15ns
when AutoRefreshWait =>
min.cmd <= CMD_NOP;
if m.c = 1 then
min.c <= 0;
min.s <= Idle;
else
min.c <= m.c + 1;
end if;
-----------------------------------------------------------------------
-- Activate bank and row. --
-----------------------------------------------------------------------
when Active =>
min.cmd <= CMD_ACTIVE;
min.ba <= si.adr(25 downto 24); -- Select bank.
min.adr <= si.adr(23 downto 11); -- Select row.
min.s <= ActiveWait;
-- ACTIVE-to-READ or WRITE delay tRCD: 15ns
when ActiveWait =>
min.cmd <= CMD_NOP;
min.ba <= "00"; -- Select bank.
min.adr <= (others => '0'); -- Select row.
-- if si.we = '0' then
-- min.s <= Read;
-- else
-- min.s <= Write;
-- end if;
if read_wb then
min.s <= Read;
elsif write_wb then
min.s <= Write;
end if;
-----------------------------------------------------------------------
-- Read. --
-----------------------------------------------------------------------
-- At burst length 2 and sequential type, SD_A(0) is zero and the
-- ordering of the burst access is 0-1.
when Read =>
min.cmd <= CMD_READ;
min.ba <= si.adr(25 downto 24);
min.adr(10) <= '1'; -- Auto precharge.
min.adr(9 downto 1) <= si.adr(10 downto 2);
min.s <= WaitRead;
-- CL=2
when WaitRead =>
min.cmd <= CMD_NOP;
min.ba <= "00";
min.adr(10) <= '0';
min.adr(9 downto 1) <= (others => '0');
rd_en <= true;
min.s <= PrechargeWait;
-----------------------------------------------------------------------
-- Write. --
-----------------------------------------------------------------------
-- At burst length 2 and sequential type, SD_A(0) is fixed to zero and
-- the ordering of the burst accesses is 0-1.
when Write =>
min.cmd <= CMD_WRITE;
min.ba <= si.adr(25 downto 24);
min.adr(10) <= '1'; -- Auto precharge.
min.adr(9 downto 1) <= si.adr(10 downto 2);
wr_en <= true;
min.s <= RecoverWrite;
-- Write recovery time tWR: 15 ns
when RecoverWrite =>
min.cmd <= CMD_NOP;
min.ba <= "00";
min.adr(10) <= '0';
min.adr(9 downto 1) <= (others => '0');
if m.c = 1 then
min.c <= 0;
min.s <= PrechargeWait;
else
min.c <= m.c + 1;
end if;
-----------------------------------------------------------------------
-- Auto Precharge. --
-----------------------------------------------------------------------
-- Precharge command cycle + PRECHARGE command period tRP: 15ns
when PrechargeWait =>
if m.c = 1 then
min.c <= 0;
min.s <= Ack;
else
min.c <= m.c + 1;
end if;
-----------------------------------------------------------------------
-- WB Ack --
-----------------------------------------------------------------------
-- NOTE: If the WB master needs too much time to pull strobe low, the
-- DDR lacks an autorefresh as this only happens in Idle state!
when Ack =>
-- so.ack <= '1';
-- if si.stb = '0' then
-- min.s <= Idle;
-- end if;
ddr_done <= true;
min.s <= Idle;
end case;
end process;
SD_CMD <= m.cmd;
SD_BA <= m.ba;
SD_A <= m.adr;
-----------------------------------------------------------------------------
-- Read --
-----------------------------------------------------------------------------
rds : process(clk0, rd_en)
type s_t is (Idle, ReadPreamble, Read);
variable s : s_t := Idle;
begin
if falling_edge(clk0) then
if si.rst = '1' then
s := Idle;
else
case s is
when Idle =>
rd_en2 <= false;
if rd_en then s := ReadPreamble; end if;
when ReadPreamble =>
rd_en2 <= false;
s := Read;
when Read =>
rd_en2 <= true;
s := Idle;
end case;
end if;
end if;
end process;
process(clk0)
begin
if rising_edge(clk0) then
if rd_en2 then rd(31 downto 16) <= SD_DQ; end if;
end if;
end process;
process(clk0)
begin
if falling_edge(clk0) then
if rd_en2 then rd(15 downto 0) <= SD_DQ; end if;
end if;
end process;
so.dat <= rd;
-----------------------------------------------------------------------------
-- Write --
-----------------------------------------------------------------------------
wrs : process(clk90, wr_en, si.dat, si.sel)
type s_t is (Idle, WritePreamble, Write);
variable s : s_t := Idle;
begin
if rising_edge(clk90) then
if si.rst = '1' then
s := Idle;
else
case s is
when Idle =>
wr_en2 <= false;
if wr_en then s := WritePreamble; end if;
when WritePreamble =>
wr_en2 <= false;
s := Write;
when Write =>
wr_en2 <= true;
s := Idle;
end case;
end if;
end if;
end process;
-- This part is bad design practice! Direct usage of clock signals is
-- discouraged. The data mask pins can't be populated with ODDR2s.
-- DRC gives an error. Could be hacked manually probably.
mask <= not si.sel;
dm <= mask(3 downto 2) when clk90 = '1' else mask(1 downto 0);
-- dq <= si.dat(31 downto 16) when clk90 = '1' else si.dat(15 downto 0);
-- dqs <= clk90 & clk90;
DQS_GEN : for i in 1 downto 0 generate begin DQS : ODDR2
generic map( DDR_ALIGNMENT => "NONE", INIT => '0', SRTYPE => "SYNC" )
port map (
Q => dqs(i),
C0 => not clk0, C1 => clk0,
CE => '1',
D0 => '1', D1 => '0',
R => '0', S => '0'
);
end generate;
-- DM_GEN : for i in 1 downto 0 generate begin DM : ODDR2
-- generic map( DDR_ALIGNMENT => "NONE", INIT => '0', SRTYPE => "SYNC" )
-- port map (
-- Q => dm(i),
-- C0 => clk90, C1 => not clk90,
-- CE => '1',
-- D0 => mask(2 + i), D1 => mask(i),
-- R => '0', S => '0'
-- );
-- end generate;
DQ_GEN : for i in 15 downto 0 generate begin DQ : ODDR2
generic map( DDR_ALIGNMENT => "NONE", INIT => '0', SRTYPE => "SYNC" )
port map (
Q => dq(i),
C0 => clk90, C1 => not clk90,
CE => '1',
D0 => si.dat(16 + i), D1 => si.dat(i),
R => '0', S => '0'
);
end generate;
SD_DQS <= dqs when wr_en2 else "ZZ"; -- Bi-directional data strobe.
SD_DQ <= dq when wr_en2 else (others => 'Z'); -- Bi-directional data bus.
SD_DM <= dm when wr_en2 else "11";
-----------------------------------------------------------------------------
-- Register --
-----------------------------------------------------------------------------
reg : process(clk0)
begin
if rising_edge(clk0) then
if si.rst = '1' then m <= main_d; else m <= min; end if;
end if;
end process;
end rtl; |
-------------------------------------------------------------------------------
-- sync_cntl.vhd - entity/architecture pair
-------------------------------------------------------------------------------
-- ************************************************************************
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This file contains proprietary and confidential information of **
-- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license **
-- ** from Xilinx, and may be used, copied and/or disclosed only **
-- ** pursuant to the terms of a valid license agreement with Xilinx. **
-- ** **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION **
-- ** ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER **
-- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT **
-- ** LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, **
-- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx **
-- ** does not warrant that functions included in the Materials will **
-- ** meet the requirements of Licensee, or that the operation of the **
-- ** Materials will be uninterrupted or error-free, or that defects **
-- ** in the Materials will be corrected. Furthermore, Xilinx does **
-- ** not warrant or make any representations regarding use, or the **
-- ** results of the use, of the Materials in terms of correctness, **
-- ** accuracy, reliability or otherwise. **
-- ** **
-- ** Xilinx products are not designed or intended to be fail-safe, **
-- ** or for use in any application requiring fail-safe performance, **
-- ** such as life-support or safety devices or systems, Class III **
-- ** medical devices, nuclear facilities, applications related to **
-- ** the deployment of airbags, or any other applications that could **
-- ** lead to death, personal injury or severe property or **
-- ** environmental damage (individually and collectively, "critical **
-- ** applications"). Customer assumes the sole risk and liability **
-- ** of any use of Xilinx products in critical applications, **
-- ** subject only to applicable laws and regulations governing **
-- ** limitations on product liability. **
-- ** **
-- ** Copyright 2005, 2006, 2008, 2009 Xilinx, Inc. **
-- ** All rights reserved. **
-- ** **
-- ** This disclaimer and copyright notice must be retained as part **
-- ** of this file at all times. **
-- ************************************************************************
--
-------------------------------------------------------------------------------
-- File : sync_cntl.vhd
-- Company : Xilinx
-- Version : v1.00.a
-- Description : External Peripheral Controller for AXI bus sync logic
-- Standard : VHDL-93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Structure:
-- axi_epc.vhd
-- -axi_lite_ipif
-- -epc_core.vhd
-- -ipic_if_decode.vhd
-- -sync_cntl.vhd
-- -async_cntl.vhd
-- -- async_counters.vhd
-- -- async_statemachine.vhd
-- -address_gen.vhd
-- -data_steer.vhd
-- -access_mux.vhd
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Author : VB
-- History :
--
-- VB 08-24-2010 -- v2_0 version for AXI
-- ^^^^^^
-- The core updated for AXI based on xps_epc_v1_02_a
-- ~~~~~~
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.conv_std_logic_vector;
use IEEE.std_logic_unsigned.all;
use IEEE.std_logic_misc.or_reduce;
library axi_lite_ipif_v3_0;
library lib_pkg_v1_0;
library axi_epc_v2_0;
use axi_lite_ipif_v3_0.ipif_pkg.INTEGER_ARRAY_TYPE;
use axi_epc_v2_0.ld_arith_reg;
use lib_pkg_v1_0.lib_pkg.log2;
use lib_pkg_v1_0.lib_pkg.max2;
library unisim;
use unisim.vcomponents.FDRE;
-------------------------------------------------------------------------------
-- Definition of Generics --
-------------------------------------------------------------------------------
-- C_SPLB_NATIVE_DWIDTH - Data bus width of OPB bus.
-- C_NUM_PERIPHERALS - No of peripherals.
-- C_PRH_CLK_SUPPORT - Indication of whether the synchronous interface
-- operates on peripheral clock or on OPB clock
-- C_PRH(0:3)_ADDR_TSU - External device (0:3) address setup time with
-- respect to rising edge of address strobe
-- (for multiplexed address and data bus)
-- C_PRH(0:3)_ADDR_TH - External device (0:3) address hold time with
-- respect to rising edge of address strobe
-- (for multiplexed address and data bus)
-- C_PRH(0:3)_ADS_WIDTH - Minimum pulse width of address strobe
-- C_PRH(0:3)_RDY_WIDTH - Maximum wait period for external device ready
-- signal assertion
-- LOCAL_CLK_PERIOD_PS - The clock period of operational clock of
-- peripheral interface in picoseconds
-- MAX_PERIPHERALS - Maximum number of peripherals supported by the
-- external peripheral controller
-- ADDRCNT_WIDTH - Width of counter generating address suffix (low
-- order address bits) in case of data width matching
-- NO_PRH_SYNC - Indicates all devices are configured for
-- asynchronous interface
-- PRH_SYNC - Indicates if the devices are configured for
-- asynchronous or synchronous interface
-- NO_PRH_DWIDTH_MATCH - Indication that no device is employing data width
-- matching
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Definition of Ports --
-------------------------------------------------------------------------------
-- Bus2IP_Clk - OPB Clock
-- Bus2IP_Rst - OPB Reset
-- Local_Clk - Operational clock for peripheral interface
-- Local_Rst - Reset for peripheral interface
-- Bus2IP_BE - Byte enables from IPIC interface
-- Dev_id - The decoded identification vector for the currently
-- - selected device
-- Dev_in_access - Indicates if any of the synchronous peripheral device
-- is currently being accessed
-- Dev_fifo_access - Indicates if the current access is to a FIFO
-- within the external peripheral device
-- Dev_rnw - Read/write control indication from IPIC interface
-- Dev_bus_multiplex - Indicates if the currently selected device employs
-- multiplexed bus
-- Dev_dwidth_match - Indicates if the current device employs data
-- width matching
-- Dev_dbus_width - Indicates decoded value for the data bus width
-- IPIC_sync_req - Request from the IPIC interface for an access to be
-- generated for a synchronous peripheral
-- IP_sync_req_rst - Request reset to the IPIC control logic
-- IP_sync_ack - Acknowledgement to the IPIC control logic
-- IPIC_sync_ack_rst - Acknowledgement reset from the IPIC control logic
-- IP_sync_addrack - Address acknowledgement for synchronous access
-- IP_sync_errack - Transaction error indication for synchronous access
-- Sync_addr_cnt_ld - Load signal for the address suffix counter for
-- synchronous peripheral accesses
-- Sync_addr_cnt_ce - Enable for address suffix counter for synchronous
-- synchronous peripheral accesses
-- Sync_en - Indication to data steering logic to latch the
-- read data bus
-- Sync_ce - Indication of currently read bytes from the data
-- steering logic
-- Steer_index - Index for data steering
-- Dev_Rdy - Currently selected device ready indication
-- (Decoded from multiple PRH_RDY signal)
-- Sync_ADS - Address strobe for synchronous access
-- Sync_CS_n - Chip select signals for synchronous peripheral
-- devices
-- Sync_RNW - Read/Write control for synchronous access
-- Sync_Burst - Burst indication for synchronous access
-- Sync_addr_ph - Address phase indication for synchronous access
-- in case of multiplexed address and data bus
-- Sync_data_oe - Data bus output enable for synchronous access
-------------------------------------------------------------------------------
entity sync_cntl is
generic (
C_SPLB_NATIVE_DWIDTH : integer;
C_NUM_PERIPHERALS : integer;
C_PRH_CLK_SUPPORT : integer;
C_PRH0_ADDR_TSU : integer;
C_PRH1_ADDR_TSU : integer;
C_PRH2_ADDR_TSU : integer;
C_PRH3_ADDR_TSU : integer;
C_PRH0_ADDR_TH : integer;
C_PRH1_ADDR_TH : integer;
C_PRH2_ADDR_TH : integer;
C_PRH3_ADDR_TH : integer;
C_PRH0_ADS_WIDTH : integer;
C_PRH1_ADS_WIDTH : integer;
C_PRH2_ADS_WIDTH : integer;
C_PRH3_ADS_WIDTH : integer;
C_PRH0_RDY_WIDTH : integer;
C_PRH1_RDY_WIDTH : integer;
C_PRH2_RDY_WIDTH : integer;
C_PRH3_RDY_WIDTH : integer;
LOCAL_CLK_PERIOD_PS : integer;
MAX_PERIPHERALS : integer;
ADDRCNT_WIDTH : integer;
NO_PRH_SYNC : integer;
PRH_SYNC : std_logic_vector;
NO_PRH_DWIDTH_MATCH : integer
);
port (
Bus2IP_Clk : in std_logic;
Bus2IP_Rst : in std_logic;
Local_Clk : in std_logic;
Local_Rst : in std_logic;
Bus2IP_BE : in std_logic_vector(0 to C_SPLB_NATIVE_DWIDTH/8 -1);
Dev_id : in std_logic_vector(0 to C_NUM_PERIPHERALS-1);
Dev_in_access : in std_logic;
Dev_fifo_access : in std_logic;
Dev_rnw : in std_logic;
Dev_bus_multiplex : in std_logic;
Dev_dwidth_match : in std_logic;
Dev_dbus_width : in std_logic_vector(0 to 2);
IPIC_sync_req : in std_logic;
IP_sync_req_rst : out std_logic;
IP_sync_Wrack : out std_logic;
IP_sync_Rdack : out std_logic;
IPIC_sync_ack_rst : in std_logic;
IP_sync_errack : out std_logic;
Sync_addr_cnt_ld : out std_logic;
Sync_addr_cnt_ce : out std_logic;
Sync_en : out std_logic;
Sync_ce : in std_logic_vector(0 to C_SPLB_NATIVE_DWIDTH/8 -1);
Steer_index : in std_logic_vector(0 to ADDRCNT_WIDTH-1);
Dev_Rdy : in std_logic;
Sync_ADS : out std_logic;
Sync_CS_n : out std_logic_vector(0 to C_NUM_PERIPHERALS-1);
Sync_RNW : out std_logic;
Sync_Burst : out std_logic;
Sync_addr_ph : out std_logic;
Sync_data_oe : out std_logic
);
end entity sync_cntl;
-------------------------------------------------------------------------------
-- Architecture section
-------------------------------------------------------------------------------
architecture imp of sync_cntl is
attribute ASYNC_REG : string;
-------------------------------------------------------------------------------
-- Function Declarations
-------------------------------------------------------------------------------
-----------------------------------------------------------------------------
-- NAME: find_effective_max
-----------------------------------------------------------------------------
-- Description: Given an array and an std_logic_vector indicating if
-- the elements of the array corresponds to synchronous
-- access, returns the maximum of those array elements that
-- corresponds to synchronous access.
-----------------------------------------------------------------------------
function find_effective_max (array_size : integer;
sync_identify : std_logic_vector;
int_array : INTEGER_ARRAY_TYPE)
return integer is
variable temp : integer := 1;
begin
for i in 0 to (array_size-1) loop
if sync_identify(i) = '1' then
if int_array(i) >= temp then
temp := int_array(i);
end if;
end if;
end loop;
return temp;
end function find_effective_max;
-----------------------------------------------------------------------------
-- NAME: find_effective_cnt
-----------------------------------------------------------------------------
-- Description: Given a signal indicating if the current access is for
-- synchronous device and a value, returns the effective value
-- corresponding to the device access. The effective value is
-- the input value if the access corresponds to a synchronous
-- device else zero.
-----------------------------------------------------------------------------
function find_effective_cnt(sync_identify : std_logic;
value : integer)
return integer is
variable temp : integer := 0;
begin
if sync_identify = '1' then
temp := value;
else
temp := 0;
end if;
return temp;
end function find_effective_cnt;
-------------------------------------------------------------------------------
-- Constant Declarations
-------------------------------------------------------------------------------
constant BYTE_SIZE: integer := 8;
constant ADS_ASSERT_CNT0: integer :=
(max2((C_PRH0_ADDR_TSU/LOCAL_CLK_PERIOD_PS),
(C_PRH0_ADS_WIDTH/LOCAL_CLK_PERIOD_PS)));
constant ADS_ASSERT_CNT1: integer :=
(max2((C_PRH1_ADDR_TSU/LOCAL_CLK_PERIOD_PS),
(C_PRH1_ADS_WIDTH/LOCAL_CLK_PERIOD_PS)));
constant ADS_ASSERT_CNT2: integer :=
(max2((C_PRH2_ADDR_TSU/LOCAL_CLK_PERIOD_PS),
(C_PRH2_ADS_WIDTH/LOCAL_CLK_PERIOD_PS)));
constant ADS_ASSERT_CNT3: integer :=
(max2((C_PRH3_ADDR_TSU/LOCAL_CLK_PERIOD_PS),
(C_PRH3_ADS_WIDTH/LOCAL_CLK_PERIOD_PS)));
constant ADS_ASSERT_CNT_WIDTH0: integer := max2(1,log2(ADS_ASSERT_CNT0+1));
constant ADS_ASSERT_CNT_WIDTH1: integer := max2(1,log2(ADS_ASSERT_CNT1+1));
constant ADS_ASSERT_CNT_WIDTH2: integer := max2(1,log2(ADS_ASSERT_CNT2+1));
constant ADS_ASSERT_CNT_WIDTH3: integer := max2(1,log2(ADS_ASSERT_CNT3+1));
constant ADS_ASSERT_CNT_WIDTH_ARRAY:
INTEGER_ARRAY_TYPE(0 to MAX_PERIPHERALS-1) :=
( find_effective_cnt(PRH_SYNC(0),ADS_ASSERT_CNT_WIDTH0),
find_effective_cnt(PRH_SYNC(1),ADS_ASSERT_CNT_WIDTH1),
find_effective_cnt(PRH_SYNC(2),ADS_ASSERT_CNT_WIDTH2),
find_effective_cnt(PRH_SYNC(3),ADS_ASSERT_CNT_WIDTH3)
);
constant MAX_ADS_ASSERT_CNT_WIDTH: integer :=
find_effective_max(C_NUM_PERIPHERALS,
PRH_SYNC,
ADS_ASSERT_CNT_WIDTH_ARRAY);
type SLV_ADS_ASSERT_ARRAY_TYPE is array (natural range <>) of
std_logic_vector(0 to MAX_ADS_ASSERT_CNT_WIDTH-1);
constant ADS_ASSERT_DELAY_CNT_ARRAY:
SLV_ADS_ASSERT_ARRAY_TYPE(0 to MAX_PERIPHERALS-1) :=
( conv_std_logic_vector(ADS_ASSERT_CNT0, MAX_ADS_ASSERT_CNT_WIDTH),
conv_std_logic_vector(ADS_ASSERT_CNT1, MAX_ADS_ASSERT_CNT_WIDTH),
conv_std_logic_vector(ADS_ASSERT_CNT2, MAX_ADS_ASSERT_CNT_WIDTH),
conv_std_logic_vector(ADS_ASSERT_CNT3, MAX_ADS_ASSERT_CNT_WIDTH)
);
constant DEV_ADS_ASSERT_ADDRCNT_RST_VAL:
std_logic_vector(0 to MAX_ADS_ASSERT_CNT_WIDTH-1) := (others => '0');
----------------------------------------------------------------------------
constant ADS_DEASSERT_CNT0: integer := (C_PRH0_ADDR_TH/LOCAL_CLK_PERIOD_PS)+1;
constant ADS_DEASSERT_CNT1: integer := (C_PRH1_ADDR_TH/LOCAL_CLK_PERIOD_PS)+1;
constant ADS_DEASSERT_CNT2: integer := (C_PRH2_ADDR_TH/LOCAL_CLK_PERIOD_PS)+1;
constant ADS_DEASSERT_CNT3: integer := (C_PRH3_ADDR_TH/LOCAL_CLK_PERIOD_PS)+1;
constant ADS_DEASSERT_CNT_WIDTH0: integer := max2(1,log2(ADS_DEASSERT_CNT0+1));
constant ADS_DEASSERT_CNT_WIDTH1: integer := max2(1,log2(ADS_DEASSERT_CNT1+1));
constant ADS_DEASSERT_CNT_WIDTH2: integer := max2(1,log2(ADS_DEASSERT_CNT2+1));
constant ADS_DEASSERT_CNT_WIDTH3: integer := max2(1,log2(ADS_DEASSERT_CNT3+1));
constant ADS_DEASSERT_CNT_WIDTH_ARRAY:
INTEGER_ARRAY_TYPE(0 to MAX_PERIPHERALS-1) :=
( find_effective_cnt(PRH_SYNC(0),ADS_DEASSERT_CNT_WIDTH0),
find_effective_cnt(PRH_SYNC(1),ADS_DEASSERT_CNT_WIDTH1),
find_effective_cnt(PRH_SYNC(2),ADS_DEASSERT_CNT_WIDTH2),
find_effective_cnt(PRH_SYNC(3),ADS_DEASSERT_CNT_WIDTH3)
);
constant MAX_ADS_DEASSERT_CNT_WIDTH: integer :=
find_effective_max(C_NUM_PERIPHERALS,
PRH_SYNC,
ADS_DEASSERT_CNT_WIDTH_ARRAY);
type SLV_ADS_DEASSERT_ARRAY_TYPE is array (natural range <>) of
std_logic_vector(0 to MAX_ADS_DEASSERT_CNT_WIDTH-1);
constant ADS_DEASSERT_DELAY_CNT_ARRAY:
SLV_ADS_DEASSERT_ARRAY_TYPE(0 to MAX_PERIPHERALS-1) :=
( conv_std_logic_vector(ADS_DEASSERT_CNT0, MAX_ADS_DEASSERT_CNT_WIDTH),
conv_std_logic_vector(ADS_DEASSERT_CNT1, MAX_ADS_DEASSERT_CNT_WIDTH),
conv_std_logic_vector(ADS_DEASSERT_CNT2, MAX_ADS_DEASSERT_CNT_WIDTH),
conv_std_logic_vector(ADS_DEASSERT_CNT3, MAX_ADS_DEASSERT_CNT_WIDTH)
);
constant DEV_ADS_DEASSERT_ADDRCNT_RST_VAL:
std_logic_vector(0 to MAX_ADS_DEASSERT_CNT_WIDTH-1) := (others => '0');
------------------------------------------------------------------------------
constant RDY_CNT0: integer := (C_PRH0_RDY_WIDTH/LOCAL_CLK_PERIOD_PS)+1;
constant RDY_CNT1: integer := (C_PRH1_RDY_WIDTH/LOCAL_CLK_PERIOD_PS)+1;
constant RDY_CNT2: integer := (C_PRH2_RDY_WIDTH/LOCAL_CLK_PERIOD_PS)+1;
constant RDY_CNT3: integer := (C_PRH3_RDY_WIDTH/LOCAL_CLK_PERIOD_PS)+1;
constant RDY_CNT_WIDTH0: integer := max2(1,log2(RDY_CNT0+1));
constant RDY_CNT_WIDTH1: integer := max2(1,log2(RDY_CNT1+1));
constant RDY_CNT_WIDTH2: integer := max2(1,log2(RDY_CNT2+1));
constant RDY_CNT_WIDTH3: integer := max2(1,log2(RDY_CNT3+1));
constant RDY_CNT_WIDTH_ARRAY: INTEGER_ARRAY_TYPE(0 to MAX_PERIPHERALS-1) :=
( find_effective_cnt(PRH_SYNC(0),RDY_CNT_WIDTH0),
find_effective_cnt(PRH_SYNC(1),RDY_CNT_WIDTH1),
find_effective_cnt(PRH_SYNC(2),RDY_CNT_WIDTH2),
find_effective_cnt(PRH_SYNC(3),RDY_CNT_WIDTH3)
);
constant MAX_RDY_CNT_WIDTH: integer :=
find_effective_max(C_NUM_PERIPHERALS, PRH_SYNC, RDY_CNT_WIDTH_ARRAY);
type SLV_RDY_ARRAY_TYPE is array (natural range <>) of
std_logic_vector(0 to MAX_RDY_CNT_WIDTH-1);
constant RDY_DELAY_CNT_ARRAY : SLV_RDY_ARRAY_TYPE(0 to MAX_PERIPHERALS-1) :=
( conv_std_logic_vector(RDY_CNT0, MAX_RDY_CNT_WIDTH),
conv_std_logic_vector(RDY_CNT1, MAX_RDY_CNT_WIDTH),
conv_std_logic_vector(RDY_CNT2, MAX_RDY_CNT_WIDTH),
conv_std_logic_vector(RDY_CNT3, MAX_RDY_CNT_WIDTH)
);
constant DEV_RDY_ADDRCNT_RST_VAL : std_logic_vector(0 to MAX_RDY_CNT_WIDTH-1)
:= (others => '0');
-------------------------------------------------------------------------------
-- Type Declarations
-------------------------------------------------------------------------------
type SYNC_SM_TYPE is (
IDLE, -- common state
-----
ADS_ASSERT, -- used for muxed logic
ADS_DEASSERT, -- used for muxed logic
ADS_PRE_DATA_PHASE,--new addition to seperate muxed logic
ADS_DATA_PHASE, --new addition
ADS_TURN_AROUND, -- used for muxed logic
---
PRE_DATA_PHASE, -- used for non-muxed logic
DATA_PHASE, -- used for non-muxed logic
---
ACK_GEN, -- common state
ERRACK_GEN, -- common state
TURN_AROUND -- common state
);
-------------------------------------------------------------------------------
-- Signal Declarations
-------------------------------------------------------------------------------
signal state_ns : SYNC_SM_TYPE := IDLE;
signal state_cs : SYNC_SM_TYPE;
signal sync_ads_i : std_logic:='0';
signal sync_cs_i : std_logic:='0';
signal sync_cs_n_i : std_logic_vector(0 to C_NUM_PERIPHERALS-1) :=
(others => '0');
signal sync_burst_en : std_logic:='0';
signal sync_burst_i : std_logic:='0';
signal sync_en_i : std_logic:='0';
signal sync_wr : std_logic:='0';
signal next_addr_ph : std_logic:='0';
signal next_pre_data_ph : std_logic:='0';
signal next_data_ph : std_logic:='0';
signal sync_data_oe_i : std_logic:='0';
signal sync_start : std_logic:='0';
signal sync_cycle_bit_rst :std_logic_vector(0 to C_SPLB_NATIVE_DWIDTH/8-1):=
(others => '0');
signal sync_cycle_bit :std_logic_vector(0 to C_SPLB_NATIVE_DWIDTH/8-1):=
(others => '0');
signal sync_cycle_en : std_logic:='0';
signal sync_cycle : std_logic:='0';
signal ack : std_logic:='0';
signal sync_ack : std_logic:='0';
signal ip_sync_ack_i : std_logic:='0';
signal local_sync_ack : std_logic:='0';
signal local_sync_ack_rst : std_logic:='0';
signal local_sync_ack_d1 : std_logic:='0';
signal local_sync_ack_d2 : std_logic:='0';
signal local_sync_ack_d3 : std_logic:='0';
signal errack : std_logic:='0';
signal sync_errack : std_logic:='0';
signal local_sync_errack : std_logic:='0';
signal local_sync_errack_rst : std_logic:='0';
signal local_sync_errack_d1 : std_logic:='0';
signal local_sync_errack_d2 : std_logic:='0';
signal local_sync_errack_d3 : std_logic:='0';
signal dev_rdy_addrcnt_ld : std_logic:='0';
signal dev_rdy_addrcnt_ce : std_logic:='0';
signal dev_rdy_addrcnt : std_logic_vector(0 to MAX_RDY_CNT_WIDTH-1) :=
(others => '0');
signal dev_rdy_ld_val : std_logic_vector(0 to MAX_RDY_CNT_WIDTH-1) :=
(others => '0');
signal dev_ads_assert_addrcnt_ld : std_logic:='0';
signal dev_ads_assert_addrcnt_ce : std_logic:='0';
signal dev_ads_assert_addrcnt :
std_logic_vector(0 to MAX_ADS_ASSERT_CNT_WIDTH-1) := (others => '0');
--conv_std_logic_vector(1,MAX_ADS_ASSERT_CNT_WIDTH);
signal dev_ads_assert_ld_val :
std_logic_vector(0 to MAX_ADS_ASSERT_CNT_WIDTH-1) := (others => '0');
constant DEV_ADS_ASSERT_ADDRCNT_ZERO:
std_logic_vector(0 to MAX_ADS_ASSERT_CNT_WIDTH-1) :=
conv_std_logic_vector(1,MAX_ADS_ASSERT_CNT_WIDTH);
constant DEV_ADS_DEASSERT_ADDRCNT_ZERO:
std_logic_vector(0 to MAX_ADS_DEASSERT_CNT_WIDTH-1) :=
conv_std_logic_vector(1,MAX_ADS_DEASSERT_CNT_WIDTH);
constant DEV_RDY_ADDRCNT_ZERO : std_logic_vector(0 to MAX_RDY_CNT_WIDTH-1)
:= conv_std_logic_vector(0,MAX_RDY_CNT_WIDTH);
signal dev_ads_deassert_addrcnt_ld : std_logic:='0';
signal dev_ads_deassert_addrcnt_ce : std_logic:='0';
signal dev_ads_deassert_addrcnt :
std_logic_vector(0 to MAX_ADS_DEASSERT_CNT_WIDTH-1) := (others => '0');
signal dev_ads_deassert_ld_val :
std_logic_vector(0 to MAX_ADS_DEASSERT_CNT_WIDTH-1) := (others => '0');
signal sig1: std_logic;
signal sig2: std_logic;
signal temp_1_rst: std_logic;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
begin
-------------------------------------------------------------------------------
-- NAME: NO_DEV_SYNC_GEN
-------------------------------------------------------------------------------
-- Description: All devices are configured as asynchronous devices
-------------------------------------------------------------------------------
NO_DEV_SYNC_GEN: if NO_PRH_SYNC = 1 generate
IP_sync_req_rst <= '1';
IP_sync_Wrack <= '0';
IP_sync_Rdack <= '0';
IP_sync_errack <= '0';
Sync_addr_cnt_ld <= '0';
Sync_addr_cnt_ce <= '0';
Sync_en <= '0';
Sync_ADS <= '0';
Sync_CS_n <= (others => '1');
Sync_RNW <= '1';
Sync_Burst <= '0';
Sync_addr_ph <= '0';
Sync_data_oe <= '0';
end generate NO_DEV_SYNC_GEN;
-------------------------------------------------------------------------------
-- NAME: SOME_DEV_SYNC_GEN
-------------------------------------------------------------------------------
-- Description: Some devices are configured as synchronous devices
-------------------------------------------------------------------------------
SOME_DEV_SYNC_GEN: if NO_PRH_SYNC = 0 generate
attribute ASYNC_REG : string;
attribute ASYNC_REG of I_SYNC_DEV_RDY_CNT: label is "TRUE";
begin
-----------------------------------------------------------------------------
-- NAME: SYNC_SM_CMB_PROCESS
-----------------------------------------------------------------------------
-- Description: Combinational logic for state machine.
-----------------------------------------------------------------------------
SYNC_SM_CMB_PROCESS : process (state_cs, Dev_bus_multiplex,
Dev_dwidth_match, sync_cycle,
Dev_in_access, Dev_fifo_access,
IPIC_sync_req,
dev_ads_assert_addrcnt,
dev_ads_deassert_addrcnt,
Dev_Rdy, dev_rdy_addrcnt)
begin
state_ns <= IDLE;
sync_start <= '0'; -- Assigned when next state is IDLE
sync_en_i <= '0'; -- Assinged when current state is
-- DATA_PHASE and Rdy is asserted
ack <= '0'; -- Assigned when current state is
-- ACK_GEN or ERRACK_GEN
errack <= '0'; -- Assigned when current state is
-- ERRACK_GEN
sync_ads_i <= '0'; -- Assigned when next state is
-- ADS_ASSERT
next_addr_ph <= '0'; -- Assigned when next state is
-- ADS_ASSERT and ADS_DEASSERT
next_pre_data_ph <= '0'; -- Assigned when next state is
-- PRE_DATA_PHASE
sync_cs_i <= '0'; -- Assigned when next state is
next_data_ph <= '0'; -- DATA_PHASE
Sync_addr_cnt_ld <= '0'; -- Assigned when current state is
-- IDLE and next state is
-- ADS_ASSERT or DATA_PHASE
Sync_addr_cnt_ce <= '0'; -- Assigned when current state is
-- DATA_PHASE and device is ready
dev_rdy_addrcnt_ld <= '0'; -- Assigned when next state is
-- DATA_PHASE and not both current
-- state DATA_PHASE and device not
-- ready is true
dev_rdy_addrcnt_ce <= '0'; -- Assigned when the current state
-- state is DATA_PHASE and device
-- not ready
dev_ads_assert_addrcnt_ld <= '0'; -- Assigned when next state is
-- ADS_ASSERT and the current state
-- is not ADS_ASSERT
dev_ads_assert_addrcnt_ce <= '0'; -- Assigned when the current state
-- is next state is ADS_ASSERT and
-- current state is ADS_ASSERT
dev_ads_deassert_addrcnt_ld <= '0'; -- Assigned when next state is
-- ADS_DEASSERT and the current
-- state is not ADS_DEASSERT
dev_ads_deassert_addrcnt_ce <= '0'; -- Assigned when the next state
-- is ADS_DEASSERT and current
-- state is ADS_DEASSERT
case state_cs is
when IDLE =>
dev_ads_assert_addrcnt_ld <= '1';
dev_ads_deassert_addrcnt_ld <= '1';
Sync_addr_cnt_ld <= '1';
if (IPIC_sync_req = '1' and Dev_bus_multiplex = '1' and
Dev_in_access = '1')then
sync_cs_i <= '1'; -- added 6/26/2009
sync_ads_i <= '1';
next_addr_ph <= '1';
state_ns <= ADS_ASSERT;
elsif (IPIC_sync_req = '1' and Dev_bus_multiplex = '0' and
Dev_in_access = '1')then
next_pre_data_ph <= '1';
--sync_cs_i <= '1'; -- added 6/26/2009
dev_rdy_addrcnt_ld <= '1';
state_ns <= PRE_DATA_PHASE;
else
sync_start <= '1';
state_ns <= IDLE;
end if;
-------------------------------
--multiplexing mode FSM states - ADS_ASSERT,ADS_DEASSERT,ADS_PRE_DATA_PHASE and
------------------------------- ADS_DATA_PHASE
when ADS_ASSERT =>
sync_cs_i <= '1'; -- added 6/26/2009
sync_ads_i <= '1';
next_addr_ph <= '1';
dev_ads_assert_addrcnt_ce <= '1';
if (dev_ads_assert_addrcnt = DEV_ADS_ASSERT_ADDRCNT_ZERO) then
next_addr_ph <= '1';
dev_ads_assert_addrcnt_ce <= '0';
sync_ads_i <= '0'; -- added on 19th June, 09
state_ns <= ADS_DEASSERT;
else
dev_ads_assert_addrcnt_ce <= '1';
state_ns <= ADS_ASSERT;
end if;
when ADS_DEASSERT =>
sync_cs_i <= '1'; -- added 6/26/2009
if (dev_ads_deassert_addrcnt = DEV_ADS_DEASSERT_ADDRCNT_ZERO) then
next_pre_data_ph <= '1';
dev_rdy_addrcnt_ld <= '1';
sync_cs_i <= '0'; -- added 7/15/2009
state_ns <= ADS_PRE_DATA_PHASE; --PRE_DATA_PHASE;
else
dev_ads_deassert_addrcnt_ce <= '1';
next_addr_ph <= '1';
state_ns <= ADS_DEASSERT;
end if;
when ADS_PRE_DATA_PHASE =>
next_data_ph <= '1';
sync_cs_i <= '1';
state_ns <= ADS_DATA_PHASE;
when ADS_DATA_PHASE =>
sync_cs_i <= '1';
if (Dev_Rdy = '0') then -- Device not ready
sync_en_i <= '0';
dev_rdy_addrcnt_ce <= '1';
if (dev_rdy_addrcnt = DEV_RDY_ADDRCNT_ZERO) then
state_ns <= ERRACK_GEN;
else
sync_cs_i <= '1';
next_data_ph <= '1';
state_ns <= ADS_DATA_PHASE;
end if;
else -- Device ready
sync_en_i <= '1';
Sync_addr_cnt_ce <= '1';
if (Dev_dwidth_match = '1' and sync_cycle = '1') then
if (Dev_bus_multiplex = '1' and Dev_fifo_access = '0') then
sync_cs_i <= '0';
state_ns <= ADS_TURN_AROUND;
else
dev_rdy_addrcnt_ld <= '1';
sync_cs_i <= '1';
next_data_ph <= '1';
state_ns <= ADS_DATA_PHASE;
end if;
else
state_ns <= ACK_GEN;
end if;
end if;
when ADS_TURN_AROUND =>
dev_ads_assert_addrcnt_ld <= '1';
dev_ads_deassert_addrcnt_ld <= '1';
sync_cs_i <= '1';
sync_ads_i <= '1';
next_addr_ph <= '1';
state_ns <= ADS_ASSERT;
-------------------------------
--Non-multiplexing mode FSM states-PRE_DATA_PHASE,DATA_PHASE,ADS_TURN_AROUND,
-------------------------------
when PRE_DATA_PHASE =>
sync_cs_i <= '1';
next_data_ph <= '1';
state_ns <= DATA_PHASE;
when DATA_PHASE =>
-- Master abort
if (Dev_Rdy = '0') then -- Device not ready
sync_en_i <= '0';
dev_rdy_addrcnt_ce <= '1';
if (dev_rdy_addrcnt = DEV_RDY_ADDRCNT_ZERO) then
state_ns <= ERRACK_GEN;
else
sync_cs_i <= '1';
next_data_ph <= '1';
state_ns <= DATA_PHASE;
end if;
else -- Device ready
sync_en_i <= '1';
Sync_addr_cnt_ce <= '1';
if (Dev_dwidth_match = '1' and sync_cycle = '1') then
--if (Dev_bus_multiplex = '1' and Dev_fifo_access = '0') then
-- state_ns <= ADS_TURN_AROUND;
--else
dev_rdy_addrcnt_ld <= '1';
sync_cs_i <= '1';
next_data_ph <= '1';
state_ns <= DATA_PHASE;
--end if;
else
state_ns <= ACK_GEN;
end if;
end if;
-- common to multiplexing and non-multiplexing states
when ACK_GEN =>
ack <= '1';
state_ns <= TURN_AROUND;
when ERRACK_GEN =>
ack <= '1';
errack <= '1';
state_ns <= TURN_AROUND;
when TURN_AROUND =>
sync_start <= '1';
state_ns <= IDLE;
when others =>
end case;
end process SYNC_SM_CMB_PROCESS;
--------------------------------------------
Sync_en <= sync_en_i;
sync_wr <= (not Dev_rnw) and next_data_ph;
sync_data_oe_i <= Dev_in_access and ( next_addr_ph or
(not Dev_rnw and next_pre_data_ph) or
(not Dev_rnw and next_data_ph)
);
-----------------------------------------------------------------------------
-- NAME: SYNC_CS_SEL_PROCESS
-----------------------------------------------------------------------------
-- Description: Drives an internal signal (SYNC_CS_N) from the synchronous
-- control logic to be used as the chip select for the external
-- peripheral device
-----------------------------------------------------------------------------
SYNC_CS_SEL_PROCESS: process (Dev_id,sync_cs_i) is
begin
sync_cs_n_i <= (others => '1');
for i in 0 to C_NUM_PERIPHERALS-1 loop
if (Dev_id(i) = '1') then
sync_cs_n_i(i) <= not sync_cs_i;
end if;
end loop;
end process SYNC_CS_SEL_PROCESS;
-----------------------------------------------------------------------------
-- NAME: SYNC_SM_REG_PROCESS
-----------------------------------------------------------------------------
-- Description: Register state machine outputs
-----------------------------------------------------------------------------
SYNC_SM_REG_PROCESS : process (Local_Clk)
begin
if (Local_Clk'event and Local_Clk = '1') then
if (Local_Rst = '1') then
Sync_ADS <= '0';
Sync_Burst <= '0';
Sync_CS_n <= (others => '1');
Sync_RNW <= '1';
Sync_addr_ph <= '0';
Sync_data_oe <= '0';
state_cs <= IDLE;
else
Sync_ADS <= sync_ads_i;
Sync_CS_n <= sync_cs_n_i;
Sync_RNW <= not sync_wr;
Sync_Burst <= sync_burst_i;
Sync_addr_ph <= next_addr_ph;
Sync_data_oe <= sync_data_oe_i;
state_cs <= state_ns;
end if;
end if;
end process SYNC_SM_REG_PROCESS;
-----------------------------------------------------------------------------
-- NAME: NO_PRH_DWIDTH_MATCH_GEN
-----------------------------------------------------------------------------
-- Description: If no device employs data width matching, then generate
-- default values for SYNC_CYCLE and SYNC_BURST_I signals
-----------------------------------------------------------------------------
NO_PRH_DWIDTH_MATCH_GEN : if NO_PRH_DWIDTH_MATCH = 1 generate
sync_cycle <= '0';
sync_burst_i <= '0';
end generate NO_PRH_DWIDTH_MATCH_GEN;
-----------------------------------------------------------------------------
-- NAME: PRH_DWIDTH_MATCH_GEN
-----------------------------------------------------------------------------
-- Description: If any device employs data width matching, then generate
-- SYNC_CYCLE and SYNC_BURST_I signals
-----------------------------------------------------------------------------
PRH_DWIDTH_MATCH_GEN : if NO_PRH_DWIDTH_MATCH = 0 generate
---------------------------------------------------------------------------
-- NAME: SYNC_CYCLE_BIT_RST_GEN
---------------------------------------------------------------------------
-- Generate reset for synchronous cycle bit.
---------------------------------------------------------------------------
SYNC_CYCLE_BIT_RST_GEN: for i in 0 to C_SPLB_NATIVE_DWIDTH/8-1 generate
sync_cycle_bit_rst(i) <= Local_Rst or Sync_ce(i);
end generate SYNC_CYCLE_BIT_RST_GEN;
---------------------------------------------------------------------------
-- NAME: SYNC_CYCLE_BIT_GEN
---------------------------------------------------------------------------
-- Description: Generate an indication for the byte lanes read
---------------------------------------------------------------------------
SYNC_CYCLE_BIT_GEN: for i in 0 to C_SPLB_NATIVE_DWIDTH/8-1 generate
-------------------------------------------------------------------------
-- NAME: SYNC_CYCLE_BIT_PROCESS
-------------------------------------------------------------------------
-- Description: Generate an indication for the byte lanes read
-------------------------------------------------------------------------
SYNC_CYCLE_BIT_PROCESS: process (Local_Clk)
begin
if (Local_Clk'event and Local_Clk = '1') then
if (sync_cycle_bit_rst(i) = '1' ) then
sync_cycle_bit(i) <= '0';
elsif (sync_start = '1') then
sync_cycle_bit(i) <= Bus2IP_BE(i);
end if;
end if;
end process SYNC_CYCLE_BIT_PROCESS;
end generate SYNC_CYCLE_BIT_GEN;
---------------------------------------------------------------------------
-- NAME: SYNC_CYCLE_EN_PROCESS
---------------------------------------------------------------------------
-- Description: Generate enable for sync cycle
-- Enable for sync cycle is generated when the next data
-- is to be flushed to the device. For the last access
-- sync cycle enable will remain zero
---------------------------------------------------------------------------
SYNC_CYCLE_EN_PROCESS: process(Dev_dbus_width, Steer_index,
sync_cycle_bit, sync_en_i)
variable next_access : integer;
variable next_to_next: integer;
variable cycle_on : std_logic;
variable next_cycle_on : std_logic;
begin
sync_cycle_en <= '0';
sync_burst_en <= '0';
case Dev_dbus_width is
when "001" =>
for i in 0 to C_SPLB_NATIVE_DWIDTH/BYTE_SIZE-1 loop
if steer_index = conv_std_logic_vector(i, ADDRCNT_WIDTH) then
next_access := i+1;
next_to_next := i+2;
if (next_access < C_SPLB_NATIVE_DWIDTH/BYTE_SIZE) then
cycle_on := or_reduce(sync_cycle_bit(next_access to C_SPLB_NATIVE_DWIDTH/8-1));
else
cycle_on := '0';
end if;
if (next_to_next < C_SPLB_NATIVE_DWIDTH/BYTE_SIZE) then
next_cycle_on := or_reduce(sync_cycle_bit(next_to_next to C_SPLB_NATIVE_DWIDTH/8-1));
else
next_cycle_on := '0';
end if;
sync_cycle_en <= cycle_on;
sync_burst_en <= cycle_on and ((not sync_en_i) or
(sync_en_i and next_cycle_on));
end if;
end loop;
when "010" =>
for i in 0 to (C_SPLB_NATIVE_DWIDTH/BYTE_SIZE)/2-1 loop
if steer_index = conv_std_logic_vector(i, ADDRCNT_WIDTH) then
next_access := (i+1) * 2;
next_to_next := (i+2) * 2;
if (next_access < C_SPLB_NATIVE_DWIDTH/BYTE_SIZE) then
cycle_on := sync_cycle_bit(next_access) or
sync_cycle_bit(next_access+1);
else
cycle_on := '0';
end if;
-- coverage off
if (next_to_next < C_SPLB_NATIVE_DWIDTH/BYTE_SIZE) then
next_cycle_on := sync_cycle_bit(next_to_next) or
sync_cycle_bit(next_to_next+1);
else
-- coverage on
next_cycle_on := '0';
-- coverage off
end if;
-- coverage on
sync_cycle_en <= cycle_on;
sync_burst_en <= cycle_on and ((not sync_en_i) or
(sync_en_i and next_cycle_on));
end if;
end loop;
when others =>
sync_cycle_en <= '0';
sync_burst_en <= '0';
end case;
end process SYNC_CYCLE_EN_PROCESS;
sync_cycle <= sync_cycle_en and Dev_dwidth_match;
sync_burst_i <= sync_burst_en and next_data_ph and Dev_dwidth_match and
(not Dev_bus_multiplex or Dev_fifo_access);
end generate PRH_DWIDTH_MATCH_GEN;
-----------------------------------------------------------------------------
-- NAME: SYNC_ACK_NO_PRH_CLK_GEN
-----------------------------------------------------------------------------
-- Description: Generate data ack and error ack when the synchronous logic
-- operates on OPB Clock. IP_SYNC_REQ_RST will not be used
-- by ipic_if_decode logic. Drive it to default value
-----------------------------------------------------------------------------
SYNC_ACK_NO_PRH_CLK_GEN: if C_PRH_CLK_SUPPORT = 0 generate
IP_sync_req_rst <= '1';
---------------------------------------------------------------------------
-- NAME: SYNC_ACK_NO_PRH_CLK_PROCESS
---------------------------------------------------------------------------
-- Description: Generate data ack and error ack when the synchronous logic
-- operates on OPB Clock.
---------------------------------------------------------------------------
SYNC_ACK_NO_PRH_CLK_PROCESS : process (Local_Clk)
begin
if (Local_Clk'event and Local_Clk = '1') then
if (Local_Rst = '1') then
ip_sync_ack_i <= '0';
IP_sync_errack <= '0';
else
ip_sync_ack_i <= ack;
IP_sync_errack <= errack;
end if;
end if;
end process SYNC_ACK_NO_PRH_CLK_PROCESS;
end generate SYNC_ACK_NO_PRH_CLK_GEN;
-----------------------------------------------------------------------------
-- NAME: SYNC_ACK_PRH_CLK_GEN
-----------------------------------------------------------------------------
-- Description: Generate data ack, error ack and reset for synchronos
-- request when the synchronous logic operates on peripheral
-- clock
-----------------------------------------------------------------------------
SYNC_ACK_PRH_CLK_GEN: if C_PRH_CLK_SUPPORT = 1 generate
attribute ASYNC_REG of REG_SYNC_ACK: label is "TRUE";
attribute ASYNC_REG of REG_SYNC_ERRACK: label is "TRUE";
begin
----------------------------------------------------------------------------
-- NAME: SYNC_REQ_RST_PROCESS
----------------------------------------------------------------------------
-- Description: Generate reset for synchronous request when the synchronous
-- control operates on peripheral clock.
----------------------------------------------------------------------------
SYNC_REQ_RST_PROCESS : process (state_cs)
begin
if (state_cs = ACK_GEN or state_cs = ERRACK_GEN) then
IP_sync_req_rst <= '1';
else
IP_sync_req_rst <= '0';
end if;
end process SYNC_REQ_RST_PROCESS;
---------------------------------------------------------------------------
-- NAME: SYNC_ACK_PRH_CLK_PROCESS
---------------------------------------------------------------------------
-- Description: Generate data ack and error ack when the synchronous logic
-- operates on peripheral clock.
---------------------------------------------------------------------------
SYNC_ACK_PRH_CLK_PROCESS : process (Local_Clk)
begin
if (Local_Clk'event and Local_Clk = '1') then
if (Local_Rst = '1') then
sync_ack <= '0';
sync_errack <= '0';
else
sync_ack <= ack;
sync_errack <= errack;
end if;
end if;
end process SYNC_ACK_PRH_CLK_PROCESS;
---------------------------------------------------------------------------
-- NAME: ACK_HOLD_GEN_PROCESS
---------------------------------------------------------------------------
-- Description: Latch in the synchronous data ack until it is reset by the
-- ipic_if_decode logic
---------------------------------------------------------------------------
temp_1_rst <= Bus2IP_Rst or IPIC_sync_ack_rst;
ACK_HOLD_GEN_PROCESS : process (Bus2IP_Clk)
begin
if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then
if(temp_1_rst = '1') then
sig1 <= '0';
elsif(sync_ack = '1') then
sig1 <= '1';
end if;
end if;
end process ACK_HOLD_GEN_PROCESS;
local_sync_ack <= sync_ack or ( sig1 and (not temp_1_rst));
--------------------------------------------------------------------------------
local_sync_ack_rst <= Bus2IP_Rst or IPIC_sync_ack_rst;
REG_SYNC_ACK: component FDRE
port map (
Q => local_sync_ack_d1,
C => Bus2IP_Clk,
CE => '1',
D => local_sync_ack,
R => local_sync_ack_rst
);
---------------------------------------------------------------------------
-- NAME: ERRACK_HOLD_GEN_PROCESS
---------------------------------------------------------------------------
-- Description: Latch in the synchronous error ack until it is reset by the
-- ipic_if_decode logic
---------------------------------------------------------------------------
ERRACK_HOLD_GEN_PROCESS : process (Bus2IP_Clk)
begin
if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then
if(temp_1_rst = '1') then
sig2 <= '0';
elsif(sync_errack = '1') then
sig2 <= '1';
end if;
end if;
end process ERRACK_HOLD_GEN_PROCESS;
local_sync_errack <= sync_errack or (sig2 and (not temp_1_rst));
--------------------------------------------------------------------------------
REG_SYNC_ERRACK: component FDRE
port map (
Q => local_sync_errack_d1,
C => Bus2IP_Clk,
CE => '1',
D => local_sync_errack,
R => local_sync_ack_rst
);
---------------------------------------------------------------------------
-- NAME: DOUBLE_SYNC_PROCESS
---------------------------------------------------------------------------
-- Description: Double synchronize data ack and error ack
---------------------------------------------------------------------------
DOUBLE_SYNC_PROCESS: process(Bus2IP_Clk)
begin
if (Bus2IP_Clk'event and Bus2IP_Clk = '1')then
if (local_sync_ack_rst = '1') then
local_sync_ack_d2 <= '0';
local_sync_ack_d3 <= '0';
local_sync_errack_d2 <= '0';
local_sync_errack_d3 <= '0';
else
local_sync_ack_d2 <= local_sync_ack_d1;
local_sync_ack_d3 <= local_sync_ack_d2;
local_sync_errack_d2 <= local_sync_errack_d1;
local_sync_errack_d3 <= local_sync_errack_d2;
end if;
end if;
end process DOUBLE_SYNC_PROCESS;
-- Generate a pulse for data ack and error ack when the synchronous
-- logic operates on peripheral clock
ip_sync_ack_i <= local_sync_ack_d2 and not local_sync_ack_d3;
IP_sync_errack <= local_sync_errack_d2 and not local_sync_errack_d3;
end generate SYNC_ACK_PRH_CLK_GEN;
-----------------------------------------------------------------------------
-- NAME: DEV_ADS_ASSERT_CNT_SEL_PROCESS
-----------------------------------------------------------------------------
-- Description: Selects the device ADS assert width count for the currently
-- selected device
-----------------------------------------------------------------------------
DEV_ADS_ASSERT_CNT_SEL_PROCESS: process (Dev_id) is
begin
dev_ads_assert_ld_val <= (others => '0');
for i in 0 to C_NUM_PERIPHERALS-1 loop
if (Dev_id(i) = '1') then
dev_ads_assert_ld_val <= ADS_ASSERT_DELAY_CNT_ARRAY(i);
end if;
end loop;
end process DEV_ADS_ASSERT_CNT_SEL_PROCESS;
-- Generate a counter for device ADS assert delay count
I_SYNC_DEV_ADS_ASSERT_CNT: entity axi_epc_v2_0.ld_arith_reg
generic map ( C_ADD_SUB_NOT => false,
C_REG_WIDTH => MAX_ADS_ASSERT_CNT_WIDTH,
C_RESET_VALUE => DEV_ADS_ASSERT_ADDRCNT_RST_VAL,
C_LD_WIDTH => MAX_ADS_ASSERT_CNT_WIDTH,
C_LD_OFFSET => 0,
C_AD_WIDTH => 1,
C_AD_OFFSET => 0
)
port map ( CK => Local_Clk,
RST => Local_Rst,
Q => dev_ads_assert_addrcnt,
LD => dev_ads_assert_ld_val,
AD => "1",
LOAD => dev_ads_assert_addrcnt_ld,
OP => dev_ads_assert_addrcnt_ce
);
-----------------------------------------------------------------------------
-- NAME: DEV_ADS_DEASSERT_CNT_SEL_PROCESS
-----------------------------------------------------------------------------
-- Description: Selects the device ADS deassert width count for the currently
-- selected device
-----------------------------------------------------------------------------
DEV_ADS_DEASSERT_CNT_SEL_PROCESS: process (Dev_id) is
begin
dev_ads_deassert_ld_val <= (others => '0');
for i in 0 to C_NUM_PERIPHERALS-1 loop
if (Dev_id(i) = '1') then
dev_ads_deassert_ld_val <= ADS_DEASSERT_DELAY_CNT_ARRAY(i);
end if;
end loop;
end process DEV_ADS_DEASSERT_CNT_SEL_PROCESS;
-- Generate a counter for device ADS deassert delay count
I_SYNC_DEV_ADS_DEASSERT_CNT: entity axi_epc_v2_0.ld_arith_reg
generic map ( C_ADD_SUB_NOT => false,
C_REG_WIDTH => MAX_ADS_DEASSERT_CNT_WIDTH,
C_RESET_VALUE => DEV_ADS_DEASSERT_ADDRCNT_RST_VAL,
C_LD_WIDTH => MAX_ADS_DEASSERT_CNT_WIDTH,
C_LD_OFFSET => 0,
C_AD_WIDTH => 1,
C_AD_OFFSET => 0
)
port map ( CK => Local_Clk,
RST => Local_Rst,
Q => dev_ads_deassert_addrcnt,
LD => dev_ads_deassert_ld_val,
AD => "1",
LOAD => dev_ads_deassert_addrcnt_ld,
OP => dev_ads_deassert_addrcnt_ce
);
-----------------------------------------------------------------------------
-- NAME: DEV_RDY_CNT_SEL_PROCESS
-----------------------------------------------------------------------------
-- Description: Selects the device ready width count for the currently
-- selected device
-----------------------------------------------------------------------------
DEV_RDY_CNT_SEL_PROCESS: process (Dev_id) is
begin
dev_rdy_ld_val <= (others => '0');
for i in 0 to C_NUM_PERIPHERALS-1 loop
if (Dev_id(i) = '1') then
dev_rdy_ld_val <= RDY_DELAY_CNT_ARRAY(i);
end if;
end loop;
end process DEV_RDY_CNT_SEL_PROCESS;
-- Generate a counter for device ready delay count
I_SYNC_DEV_RDY_CNT: entity axi_epc_v2_0.ld_arith_reg
generic map ( C_ADD_SUB_NOT => false,
C_REG_WIDTH => MAX_RDY_CNT_WIDTH,
C_RESET_VALUE => DEV_RDY_ADDRCNT_RST_VAL,
C_LD_WIDTH => MAX_RDY_CNT_WIDTH,
C_LD_OFFSET => 0,
C_AD_WIDTH => 1,
C_AD_OFFSET => 0
)
port map ( CK => Local_Clk,
RST => Local_Rst,
Q => dev_rdy_addrcnt,
LD => dev_rdy_ld_val,
AD => "1",
LOAD => dev_rdy_addrcnt_ld,
OP => dev_rdy_addrcnt_ce
);
------------------------------------------------------------------------------
-- Qualify the PLB read and write ack
IP_sync_Wrack <= ip_sync_ack_i and (not Dev_rnw);
IP_sync_Rdack <= (ip_sync_ack_i and Dev_rnw);
------------------------------------------------------------------------------
end generate SOME_DEV_SYNC_GEN;
------------------------------------------------------------------------------
end architecture imp;
------------------------------------------------------------------------------
|
--
-- Copyright (c) 2008-2015 Sytse van Slooten
--
-- Permission is hereby granted to any person obtaining a copy of these VHDL source files and
-- other language source files and associated documentation files ("the materials") to use
-- these materials solely for personal, non-commercial purposes.
-- You are also granted permission to make changes to the materials, on the condition that this
-- copyright notice is retained unchanged.
--
-- The materials are distributed in the hope that they will be useful, but WITHOUT ANY WARRANTY;
-- without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.
--
-- $Revision: 1.15 $
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
entity fpuregs is
port(
raddr : in std_logic_vector(2 downto 0);
waddr : in std_logic_vector(2 downto 0);
d : in std_logic_vector(63 downto 0);
o : out std_logic_vector(63 downto 0);
fpmode : in std_logic;
we : in std_logic;
clk : in std_logic
);
end fpuregs;
architecture implementation of fpuregs is
subtype fp_unit is std_logic_vector(15 downto 0);
type fp_type is array(5 downto 0) of fp_unit;
signal fpreg1 : fp_type := fp_type'(
fp_unit'("0000000000000000"),
fp_unit'("0000000000000000"),
fp_unit'("0000000000000000"),
fp_unit'("0000000000000000"),
fp_unit'("0000000000000000"),
fp_unit'("0000000000000000")
);
signal fpreg2 : fp_type := fp_type'(
fp_unit'("0000000000000000"),
fp_unit'("0000000000000000"),
fp_unit'("0000000000000000"),
fp_unit'("0000000000000000"),
fp_unit'("0000000000000000"),
fp_unit'("0000000000000000")
);
signal fpreg3 : fp_type := fp_type'(
fp_unit'("0000000000000000"),
fp_unit'("0000000000000000"),
fp_unit'("0000000000000000"),
fp_unit'("0000000000000000"),
fp_unit'("0000000000000000"),
fp_unit'("0000000000000000")
);
signal fpreg4 : fp_type := fp_type'(
fp_unit'("0000000000000000"),
fp_unit'("0000000000000000"),
fp_unit'("0000000000000000"),
fp_unit'("0000000000000000"),
fp_unit'("0000000000000000"),
fp_unit'("0000000000000000")
);
signal r_loc : std_logic_vector(2 downto 0);
signal w_loc : std_logic_vector(2 downto 0);
signal ac0 : std_logic_vector(63 downto 0);
signal ac1 : std_logic_vector(63 downto 0);
signal ac2 : std_logic_vector(63 downto 0);
signal ac3 : std_logic_vector(63 downto 0);
signal ac4 : std_logic_vector(63 downto 0);
signal ac5 : std_logic_vector(63 downto 0);
begin
ac0 <= fpreg1(conv_integer("0")) & fpreg2(conv_integer("0")) & fpreg3(conv_integer("0")) & fpreg4(conv_integer("0"));
ac1 <= fpreg1(conv_integer("1")) & fpreg2(conv_integer("1")) & fpreg3(conv_integer("1")) & fpreg4(conv_integer("1"));
ac2 <= fpreg1(conv_integer("10")) & fpreg2(conv_integer("10")) & fpreg3(conv_integer("10")) & fpreg4(conv_integer("10"));
ac3 <= fpreg1(conv_integer("11")) & fpreg2(conv_integer("11")) & fpreg3(conv_integer("11")) & fpreg4(conv_integer("11"));
ac4 <= fpreg1(conv_integer("100")) & fpreg2(conv_integer("100")) & fpreg3(conv_integer("100")) & fpreg4(conv_integer("100"));
ac5 <= fpreg1(conv_integer("101")) & fpreg2(conv_integer("101")) & fpreg3(conv_integer("101")) & fpreg4(conv_integer("101"));
r_loc <= raddr;
w_loc <= waddr;
process(clk, we, w_loc, d, fpmode)
begin
if clk = '1' and clk'event then
if we = '1' and w_loc(2 downto 1) /= "11" then
if fpmode = '1' then
fpreg1(conv_integer(w_loc)) <= d(63 downto 48);
fpreg2(conv_integer(w_loc)) <= d(47 downto 32);
fpreg3(conv_integer(w_loc)) <= d(31 downto 16);
fpreg4(conv_integer(w_loc)) <= d(15 downto 0);
else
fpreg1(conv_integer(w_loc)) <= d(63 downto 48);
fpreg2(conv_integer(w_loc)) <= d(47 downto 32);
end if;
end if;
end if;
end process;
process(r_loc, fpreg1, fpreg2, fpreg3, fpreg4, fpmode)
begin
if r_loc(2 downto 1) /= "11" then
if fpmode = '1' then
o <= fpreg1(conv_integer(r_loc)) & fpreg2(conv_integer(r_loc)) & fpreg3(conv_integer(r_loc)) & fpreg4(conv_integer(r_loc));
else
o <= fpreg1(conv_integer(r_loc)) & fpreg2(conv_integer(r_loc)) & "00000000000000000000000000000000";
end if;
else
o <= "0000000000000000000000000000000000000000000000000000000000000000";
end if;
end process;
end implementation;
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.