content
stringlengths 1
1.04M
⌀ |
---|
-------------------------------------------------------------------------------
-- $Id: pf_dpram_select.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $
-------------------------------------------------------------------------------
-- pf_dpram_select.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: pf_dpram_select.vhd
--
-- Description: This vhdl design file uses three input parameters describing
-- the desired storage depth, data width, and FPGA family type.
-- From these, the design selects the optimum Block RAM
-- primitive for the basic storage element and connects them
-- in parallel to accomodate the desired data width.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- pf_dpram_select.vhd
--
-------------------------------------------------------------------------------
-- Author: D. Thorpe
-- Revision: $Revision: 1.1.4.1 $
-- Date: $Date: 2010/09/14 22:35:47 $
--
-- History:
-- DET Oct. 7, 2001 First Version
-- - Adopted design concepts from Goran Bilski's
-- opb_bram.vhd design in the formulation of this
-- design for the Mauna Loa packet FIFO dual port
-- core function.
--
-- DET Oct-31-2001
-- - Changed the generic input parameter C_FAMILY of type string
-- back to the boolean type parameter C_VIRTEX_II. XST support
-- change.
--
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library unisim;
use unisim.all; -- uses BRAM primitives
-------------------------------------------------------------------------------
entity pf_dpram_select is
generic (
C_DP_DATA_WIDTH : Integer := 32;
C_DP_ADDRESS_WIDTH : Integer := 9;
C_VIRTEX_II : Boolean := true
);
port (
-- Write Port signals
Wr_rst : In std_logic;
Wr_Clk : in std_logic;
Wr_Enable : In std_logic;
Wr_Req : In std_logic;
Wr_Address : in std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1);
Wr_Data : In std_logic_vector(0 to C_DP_DATA_WIDTH-1);
-- Read Port Signals
Rd_rst : In std_logic;
Rd_Clk : in std_logic;
Rd_Enable : In std_logic;
Rd_Address : in std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1);
Rd_Data : out std_logic_vector(0 to C_DP_DATA_WIDTH-1)
);
end entity pf_dpram_select;
architecture implementation of pf_dpram_select is
Type family_type is (
any ,
x4k ,
x4ke ,
x4kl ,
x4kex ,
x4kxl ,
x4kxv ,
x4kxla ,
spartan ,
spartanxl,
spartan2 ,
spartan2e,
virtex ,
virtexe ,
virtex2 ,
virtex2p ,
unsupported
);
Type bram_prim_type is (
use_srl ,
B4_S1_S1 ,
B4_S2_S2 ,
B4_S4_S4 ,
B4_S8_S8 ,
B4_S16_S16 ,
B16_S1_S1 ,
B16_S2_S2 ,
B16_S4_S4 ,
B16_S9_S9 ,
B16_S18_S18 ,
B16_S36_S36 ,
indeterminate
);
-----------------------------------------------------------------------------
-- This function converts the input C_VIRTEX_II boolean type to an enumerated
-- type. Only Virtex and Virtex II types are currently supported. This
-- used to convert a string to a family type function but string support in
-- the synthesis tools was found to be mutually exclusive between Synplicity
-- and XST.
-----------------------------------------------------------------------------
function get_prim_family (vertex2_select : boolean) return family_type is
Variable prim_family : family_type;
begin
If (vertex2_select) Then
prim_family := virtex2;
else
prim_family := virtex;
End if;
Return (prim_family);
end function get_prim_family;
-----------------------------------------------------------------------------
-- This function chooses the optimum BRAM primitive to utilize as
-- specified by the inputs for data depth, data width, and FPGA part family.
-----------------------------------------------------------------------------
function get_bram_primitive (target_depth: integer;
target_width: integer;
family : family_type )
return bram_prim_type is
Variable primitive : bram_prim_type;
begin
Case family Is
When virtex2p | virtex2 =>
Case target_depth Is
When 1 | 2 =>
primitive := indeterminate; -- depth is too small for BRAM
-- based fifo control logic
When 4 | 8 | 16 =>
-- primitive := use_srl; -- activate when SRL FIFO incorporated
Case target_width Is -- use BRAM for now
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When 5 | 6 | 7 | 8 | 9 =>
primitive := B16_S9_S9;
When 10 | 11 | 12 | 13 | 14 |
15 | 16 | 17 | 18 =>
primitive := B16_S18_S18;
When others =>
primitive := B16_S36_S36;
End case;
when 32 | 64 | 128 | 256 | 512 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When 5 | 6 | 7 | 8 | 9 =>
primitive := B16_S9_S9;
When 10 | 11 | 12 | 13 | 14 |
15 | 16 | 17 | 18 =>
primitive := B16_S18_S18;
When others =>
primitive := B16_S36_S36;
End case;
When 1024 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When 5 | 6 | 7 | 8 | 9 =>
primitive := B16_S9_S9;
When others =>
primitive := B16_S18_S18;
End case;
When 2048 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When others =>
primitive := B16_S9_S9;
End case;
When 4096 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When others =>
primitive := B16_S4_S4;
End case;
When 8192 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When others =>
primitive := B16_S2_S2;
End case;
When 16384 =>
primitive := B16_S1_S1;
When others =>
primitive := indeterminate;
End case;
When spartan2 | spartan2e | virtex | virtexe =>
Case target_depth Is
When 1 | 2 =>
primitive := indeterminate; -- depth is too small for BRAM
-- based fifo control logic
When 4 | 8 | 16 =>
-- primitive := use_srl; -- activate this when SRL FIFO is
-- incorporated
Case target_width Is -- use BRAM for now
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When 3 | 4 =>
primitive := B4_S4_S4;
When 5 | 6 | 7 | 8 =>
primitive := B4_S8_S8;
When others =>
primitive := B4_S16_S16;
End case;
when 32 | 64 | 128 | 256 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When 3 | 4 =>
primitive := B4_S4_S4;
When 5 | 6 | 7 | 8 =>
primitive := B4_S8_S8;
When others =>
primitive := B4_S16_S16;
End case;
when 512 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When 3 | 4 =>
primitive := B4_S4_S4;
When others =>
primitive := B4_S8_S8;
End case;
When 1024 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When others =>
primitive := B4_S4_S4;
End case;
When 2048 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When others =>
primitive := B4_S2_S2;
End case;
When 4096 =>
primitive := B4_S1_S1;
When others =>
primitive := indeterminate;
End case;
When others =>
primitive := indeterminate;
End case;
Return primitive;
end function get_bram_primitive;
-----------------------------------------------------------------------------
-- This function calculates the number of BRAM primitives required as
-- specified by the inputs for data width and BRAM primitive type.
-----------------------------------------------------------------------------
function get_num_prims (bram_prim : bram_prim_type;
mem_width : integer)
return integer is
Variable bram_num : integer;
begin
Case bram_prim Is
When B16_S1_S1 | B4_S1_S1 =>
bram_num := mem_width;
When B16_S2_S2 | B4_S2_S2 =>
bram_num := (mem_width+1)/2;
When B16_S4_S4 | B4_S4_S4 =>
bram_num := (mem_width+3)/4;
When B4_S8_S8 =>
bram_num := (mem_width+7)/8;
When B16_S9_S9 =>
bram_num := (mem_width+8)/9;
When B4_S16_S16 =>
bram_num := (mem_width+15)/16;
When B16_S18_S18 =>
bram_num := (mem_width+17)/18;
When B16_S36_S36 =>
bram_num := (mem_width+35)/36;
When others =>
bram_num := 1;
End case;
Return (bram_num);
end function get_num_prims;
-- Now set the global CONSTANTS needed for IF-Generates
-- Determine the number of BRAM storage locations needed
constant FIFO_DEPTH : Integer := 2**C_DP_ADDRESS_WIDTH;
-- Convert the input C_VIRTEX_II generic boolean to enumerated type
Constant BRAM_FAMILY : family_type :=
get_prim_family(C_VIRTEX_II);
-- Select the optimum BRAM primitive to use
constant BRAM_PRIMITIVE : bram_prim_type :=
get_bram_primitive(FIFO_DEPTH,
C_DP_DATA_WIDTH,
BRAM_FAMILY);
-- Calculate how many of the selected primitives are needed
-- to populate the desired data width
constant BRAM_NUM : integer :=
get_num_prims(BRAM_PRIMITIVE,
C_DP_DATA_WIDTH);
begin -- architecture
----------------------------------------------------------------------------
-- Using VII 512 x 36 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S36_S36 : if (BRAM_PRIMITIVE = B16_S36_S36) generate
component RAMB16_S36_S36
port (DIA : in STD_LOGIC_VECTOR (31 downto 0);
DIB : in STD_LOGIC_VECTOR (31 downto 0);
DIPA : in STD_LOGIC_VECTOR (3 downto 0);
DIPB : in STD_LOGIC_VECTOR (3 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in STD_LOGIC_VECTOR (8 downto 0);
ADDRB : in STD_LOGIC_VECTOR (8 downto 0);
DOA : out STD_LOGIC_VECTOR (31 downto 0);
DOB : out STD_LOGIC_VECTOR (31 downto 0);
DOPA : out STD_LOGIC_VECTOR (3 downto 0);
DOPB : out STD_LOGIC_VECTOR (3 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 9; -- 512 deep
Constant PRIM_PDBUS_WIDTH : integer := 4; -- 4 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 32; -- 4 parity data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
type pdbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_512x32 : RAMB16_S36_S36
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
DIPA => slice_a_pdbus_in(i),
DIPB => slice_b_pdbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i),
DOPA => slice_a_pdbus_out(i),
DOPB => slice_b_pdbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S36_S36;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 1024 x 18 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S18_S18 : if (BRAM_PRIMITIVE = B16_S18_S18) generate
component RAMB16_S18_S18
port (DIA : in STD_LOGIC_VECTOR (15 downto 0);
DIB : in STD_LOGIC_VECTOR (15 downto 0);
DIPA : in STD_LOGIC_VECTOR (1 downto 0);
DIPB : in STD_LOGIC_VECTOR (1 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in STD_LOGIC_VECTOR (9 downto 0);
ADDRB : in STD_LOGIC_VECTOR (9 downto 0);
DOA : out STD_LOGIC_VECTOR (15 downto 0);
DOB : out STD_LOGIC_VECTOR (15 downto 0);
DOPA : out STD_LOGIC_VECTOR (1 downto 0);
DOPB : out STD_LOGIC_VECTOR (1 downto 0)
);
end component;
Constant PRIM_ADDR_WIDTH : integer := 10; -- 1024 deep
Constant PRIM_PDBUS_WIDTH : integer := 2; -- 2 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 16; -- 16 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
type pdbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_1024x18 : RAMB16_S18_S18
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
DIPA => slice_a_pdbus_in(i),
DIPB => slice_b_pdbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i),
DOPA => slice_a_pdbus_out(i),
DOPB => slice_b_pdbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S18_S18;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 2048 x 9 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S9_S9 : if (BRAM_PRIMITIVE = B16_S9_S9) generate
component RAMB16_S9_S9
port (
DIA : in std_logic_vector (7 downto 0);
DIB : in std_logic_vector (7 downto 0);
DIPA : in std_logic_vector (0 downto 0);
DIPB : in std_logic_vector (0 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (10 downto 0);
ADDRB : in std_logic_vector (10 downto 0);
DOA : out std_logic_vector (7 downto 0);
DOB : out std_logic_vector (7 downto 0);
DOPA : out std_logic_vector (0 downto 0);
DOPB : out std_logic_vector (0 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 11; -- 2048 deep
Constant PRIM_PDBUS_WIDTH : integer := 1; -- 1 parity data bit
Constant PRIM_DBUS_WIDTH : integer := 8; -- 8 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
type pdbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_2048x9 : RAMB16_S9_S9
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
DIPA => slice_a_pdbus_in(i),
DIPB => slice_b_pdbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i),
DOPA => slice_a_pdbus_out(i),
DOPB => slice_b_pdbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S9_S9;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 4096 x 4 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S4_S4 : if (BRAM_PRIMITIVE = B16_S4_S4) generate
component RAMB16_S4_S4
port (
DIA : in std_logic_vector (3 downto 0);
DIB : in std_logic_vector (3 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (11 downto 0);
ADDRB : in std_logic_vector (11 downto 0);
DOA : out std_logic_vector (3 downto 0);
DOB : out std_logic_vector (3 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 12; -- 4096 deep
Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 4; -- 4 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--type pdbus_slice_array is array(BRAM_NUM downto 1) of
-- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
--slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
--slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_4096x4 : RAMB16_S4_S4
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S4_S4;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 8192 x 2 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S2_S2 : if (BRAM_PRIMITIVE = B16_S2_S2) generate
component RAMB16_S2_S2
port (
DIA : in std_logic_vector (1 downto 0);
DIB : in std_logic_vector (1 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (12 downto 0);
ADDRB : in std_logic_vector (12 downto 0);
DOA : out std_logic_vector (1 downto 0);
DOB : out std_logic_vector (1 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 13; -- 8192 deep
Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 2; -- 2 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--type pdbus_slice_array is array(BRAM_NUM downto 1) of
-- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
--slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
--slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_8192x2 : RAMB16_S2_S2
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S2_S2;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 16384 x 1 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S1_S1 : if (BRAM_PRIMITIVE = B16_S1_S1) generate
component RAMB16_S1_S1
port (
DIA : in std_logic_vector (0 downto 0);
DIB : in std_logic_vector (0 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (13 downto 0);
ADDRB : in std_logic_vector (13 downto 0);
DOA : out std_logic_vector (0 downto 0);
DOB : out std_logic_vector (0 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 14; -- 16384 deep
Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 1; -- 1 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--type pdbus_slice_array is array(BRAM_NUM downto 1) of
-- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
--slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
--slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_16384x1 : RAMB16_S1_S1
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S1_S1;
--==========================================================================
-- End of Virtex-II and Virtex-II Pro support
--///////////////////////////////////////////////////////////////////////////
--///////////////////////////////////////////////////////////////////////////
-- Start Spartan-II, Spartan-IIE, Virtex, and VirtexE support
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 4096 x 1 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S1_S1 : if (BRAM_PRIMITIVE = B4_S1_S1) generate
component RAMB4_S1_S1
port (
DIA : in std_logic_vector (0 downto 0);
DIB : in std_logic_vector (0 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (11 downto 0);
ADDRB : in std_logic_vector (11 downto 0);
DOA : out std_logic_vector (0 downto 0);
DOB : out std_logic_vector (0 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 12; -- 4096 deep
Constant PRIM_DBUS_WIDTH : integer := 1; -- 1 data bit
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_4096x1 : RAMB4_S1_S1
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S1_S1;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 2048 x 2 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S2_S2 : if (BRAM_PRIMITIVE = B4_S2_S2) generate
component RAMB4_S2_S2
port (
DIA : in std_logic_vector (1 downto 0);
DIB : in std_logic_vector (1 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (10 downto 0);
ADDRB : in std_logic_vector (10 downto 0);
DOA : out std_logic_vector (1 downto 0);
DOB : out std_logic_vector (1 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 11; -- 2048 deep
Constant PRIM_DBUS_WIDTH : integer := 2; -- 2 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_2048x2 : RAMB4_S2_S2
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S2_S2;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 1024 x 4 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S4_S4 : if (BRAM_PRIMITIVE = B4_S4_S4) generate
component RAMB4_S4_S4
port (
DIA : in std_logic_vector (3 downto 0);
DIB : in std_logic_vector (3 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (9 downto 0);
ADDRB : in std_logic_vector (9 downto 0);
DOA : out std_logic_vector (3 downto 0);
DOB : out std_logic_vector (3 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 10; -- 1024 deep
Constant PRIM_DBUS_WIDTH : integer := 4; -- 4 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_1024x4 : RAMB4_S4_S4
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S4_S4;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 512 x 8 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S8_S8 : if (BRAM_PRIMITIVE = B4_S8_S8) generate
component RAMB4_S8_S8
port (
DIA : in std_logic_vector (7 downto 0);
DIB : in std_logic_vector (7 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (8 downto 0);
ADDRB : in std_logic_vector (8 downto 0);
DOA : out std_logic_vector (7 downto 0);
DOB : out std_logic_vector (7 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 9; -- 512 deep
Constant PRIM_DBUS_WIDTH : integer := 8; -- 8 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_512x8 : RAMB4_S8_S8
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S8_S8;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 256 x 16 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S16_S16 : if (BRAM_PRIMITIVE = B4_S16_S16) generate
component RAMB4_S16_S16
port (DIA : in STD_LOGIC_VECTOR (15 downto 0);
DIB : in STD_LOGIC_VECTOR (15 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in STD_LOGIC_VECTOR (7 downto 0);
ADDRB : in STD_LOGIC_VECTOR (7 downto 0);
DOA : out STD_LOGIC_VECTOR (15 downto 0);
DOB : out STD_LOGIC_VECTOR (15 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 8; -- 256 deep
Constant PRIM_DBUS_WIDTH : integer := 16; -- 16 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_256x16 : RAMB4_S16_S16
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S16_S16;
--==========================================================================
UNSUPPORTED_FAMILY : if (BRAM_PRIMITIVE = indeterminate) generate
begin
-- assert (false)
-- report "Unsupported Part Family Selected or FIFO Depth/Width is invalid!"
-- severity failure;
--
end generate UNSUPPORTED_FAMILY;
end architecture implementation;
|
-------------------------------------------------------------------------------
-- $Id: pf_dpram_select.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $
-------------------------------------------------------------------------------
-- pf_dpram_select.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: pf_dpram_select.vhd
--
-- Description: This vhdl design file uses three input parameters describing
-- the desired storage depth, data width, and FPGA family type.
-- From these, the design selects the optimum Block RAM
-- primitive for the basic storage element and connects them
-- in parallel to accomodate the desired data width.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- pf_dpram_select.vhd
--
-------------------------------------------------------------------------------
-- Author: D. Thorpe
-- Revision: $Revision: 1.1.4.1 $
-- Date: $Date: 2010/09/14 22:35:47 $
--
-- History:
-- DET Oct. 7, 2001 First Version
-- - Adopted design concepts from Goran Bilski's
-- opb_bram.vhd design in the formulation of this
-- design for the Mauna Loa packet FIFO dual port
-- core function.
--
-- DET Oct-31-2001
-- - Changed the generic input parameter C_FAMILY of type string
-- back to the boolean type parameter C_VIRTEX_II. XST support
-- change.
--
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library unisim;
use unisim.all; -- uses BRAM primitives
-------------------------------------------------------------------------------
entity pf_dpram_select is
generic (
C_DP_DATA_WIDTH : Integer := 32;
C_DP_ADDRESS_WIDTH : Integer := 9;
C_VIRTEX_II : Boolean := true
);
port (
-- Write Port signals
Wr_rst : In std_logic;
Wr_Clk : in std_logic;
Wr_Enable : In std_logic;
Wr_Req : In std_logic;
Wr_Address : in std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1);
Wr_Data : In std_logic_vector(0 to C_DP_DATA_WIDTH-1);
-- Read Port Signals
Rd_rst : In std_logic;
Rd_Clk : in std_logic;
Rd_Enable : In std_logic;
Rd_Address : in std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1);
Rd_Data : out std_logic_vector(0 to C_DP_DATA_WIDTH-1)
);
end entity pf_dpram_select;
architecture implementation of pf_dpram_select is
Type family_type is (
any ,
x4k ,
x4ke ,
x4kl ,
x4kex ,
x4kxl ,
x4kxv ,
x4kxla ,
spartan ,
spartanxl,
spartan2 ,
spartan2e,
virtex ,
virtexe ,
virtex2 ,
virtex2p ,
unsupported
);
Type bram_prim_type is (
use_srl ,
B4_S1_S1 ,
B4_S2_S2 ,
B4_S4_S4 ,
B4_S8_S8 ,
B4_S16_S16 ,
B16_S1_S1 ,
B16_S2_S2 ,
B16_S4_S4 ,
B16_S9_S9 ,
B16_S18_S18 ,
B16_S36_S36 ,
indeterminate
);
-----------------------------------------------------------------------------
-- This function converts the input C_VIRTEX_II boolean type to an enumerated
-- type. Only Virtex and Virtex II types are currently supported. This
-- used to convert a string to a family type function but string support in
-- the synthesis tools was found to be mutually exclusive between Synplicity
-- and XST.
-----------------------------------------------------------------------------
function get_prim_family (vertex2_select : boolean) return family_type is
Variable prim_family : family_type;
begin
If (vertex2_select) Then
prim_family := virtex2;
else
prim_family := virtex;
End if;
Return (prim_family);
end function get_prim_family;
-----------------------------------------------------------------------------
-- This function chooses the optimum BRAM primitive to utilize as
-- specified by the inputs for data depth, data width, and FPGA part family.
-----------------------------------------------------------------------------
function get_bram_primitive (target_depth: integer;
target_width: integer;
family : family_type )
return bram_prim_type is
Variable primitive : bram_prim_type;
begin
Case family Is
When virtex2p | virtex2 =>
Case target_depth Is
When 1 | 2 =>
primitive := indeterminate; -- depth is too small for BRAM
-- based fifo control logic
When 4 | 8 | 16 =>
-- primitive := use_srl; -- activate when SRL FIFO incorporated
Case target_width Is -- use BRAM for now
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When 5 | 6 | 7 | 8 | 9 =>
primitive := B16_S9_S9;
When 10 | 11 | 12 | 13 | 14 |
15 | 16 | 17 | 18 =>
primitive := B16_S18_S18;
When others =>
primitive := B16_S36_S36;
End case;
when 32 | 64 | 128 | 256 | 512 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When 5 | 6 | 7 | 8 | 9 =>
primitive := B16_S9_S9;
When 10 | 11 | 12 | 13 | 14 |
15 | 16 | 17 | 18 =>
primitive := B16_S18_S18;
When others =>
primitive := B16_S36_S36;
End case;
When 1024 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When 5 | 6 | 7 | 8 | 9 =>
primitive := B16_S9_S9;
When others =>
primitive := B16_S18_S18;
End case;
When 2048 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When others =>
primitive := B16_S9_S9;
End case;
When 4096 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When others =>
primitive := B16_S4_S4;
End case;
When 8192 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When others =>
primitive := B16_S2_S2;
End case;
When 16384 =>
primitive := B16_S1_S1;
When others =>
primitive := indeterminate;
End case;
When spartan2 | spartan2e | virtex | virtexe =>
Case target_depth Is
When 1 | 2 =>
primitive := indeterminate; -- depth is too small for BRAM
-- based fifo control logic
When 4 | 8 | 16 =>
-- primitive := use_srl; -- activate this when SRL FIFO is
-- incorporated
Case target_width Is -- use BRAM for now
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When 3 | 4 =>
primitive := B4_S4_S4;
When 5 | 6 | 7 | 8 =>
primitive := B4_S8_S8;
When others =>
primitive := B4_S16_S16;
End case;
when 32 | 64 | 128 | 256 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When 3 | 4 =>
primitive := B4_S4_S4;
When 5 | 6 | 7 | 8 =>
primitive := B4_S8_S8;
When others =>
primitive := B4_S16_S16;
End case;
when 512 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When 3 | 4 =>
primitive := B4_S4_S4;
When others =>
primitive := B4_S8_S8;
End case;
When 1024 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When others =>
primitive := B4_S4_S4;
End case;
When 2048 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When others =>
primitive := B4_S2_S2;
End case;
When 4096 =>
primitive := B4_S1_S1;
When others =>
primitive := indeterminate;
End case;
When others =>
primitive := indeterminate;
End case;
Return primitive;
end function get_bram_primitive;
-----------------------------------------------------------------------------
-- This function calculates the number of BRAM primitives required as
-- specified by the inputs for data width and BRAM primitive type.
-----------------------------------------------------------------------------
function get_num_prims (bram_prim : bram_prim_type;
mem_width : integer)
return integer is
Variable bram_num : integer;
begin
Case bram_prim Is
When B16_S1_S1 | B4_S1_S1 =>
bram_num := mem_width;
When B16_S2_S2 | B4_S2_S2 =>
bram_num := (mem_width+1)/2;
When B16_S4_S4 | B4_S4_S4 =>
bram_num := (mem_width+3)/4;
When B4_S8_S8 =>
bram_num := (mem_width+7)/8;
When B16_S9_S9 =>
bram_num := (mem_width+8)/9;
When B4_S16_S16 =>
bram_num := (mem_width+15)/16;
When B16_S18_S18 =>
bram_num := (mem_width+17)/18;
When B16_S36_S36 =>
bram_num := (mem_width+35)/36;
When others =>
bram_num := 1;
End case;
Return (bram_num);
end function get_num_prims;
-- Now set the global CONSTANTS needed for IF-Generates
-- Determine the number of BRAM storage locations needed
constant FIFO_DEPTH : Integer := 2**C_DP_ADDRESS_WIDTH;
-- Convert the input C_VIRTEX_II generic boolean to enumerated type
Constant BRAM_FAMILY : family_type :=
get_prim_family(C_VIRTEX_II);
-- Select the optimum BRAM primitive to use
constant BRAM_PRIMITIVE : bram_prim_type :=
get_bram_primitive(FIFO_DEPTH,
C_DP_DATA_WIDTH,
BRAM_FAMILY);
-- Calculate how many of the selected primitives are needed
-- to populate the desired data width
constant BRAM_NUM : integer :=
get_num_prims(BRAM_PRIMITIVE,
C_DP_DATA_WIDTH);
begin -- architecture
----------------------------------------------------------------------------
-- Using VII 512 x 36 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S36_S36 : if (BRAM_PRIMITIVE = B16_S36_S36) generate
component RAMB16_S36_S36
port (DIA : in STD_LOGIC_VECTOR (31 downto 0);
DIB : in STD_LOGIC_VECTOR (31 downto 0);
DIPA : in STD_LOGIC_VECTOR (3 downto 0);
DIPB : in STD_LOGIC_VECTOR (3 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in STD_LOGIC_VECTOR (8 downto 0);
ADDRB : in STD_LOGIC_VECTOR (8 downto 0);
DOA : out STD_LOGIC_VECTOR (31 downto 0);
DOB : out STD_LOGIC_VECTOR (31 downto 0);
DOPA : out STD_LOGIC_VECTOR (3 downto 0);
DOPB : out STD_LOGIC_VECTOR (3 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 9; -- 512 deep
Constant PRIM_PDBUS_WIDTH : integer := 4; -- 4 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 32; -- 4 parity data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
type pdbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_512x32 : RAMB16_S36_S36
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
DIPA => slice_a_pdbus_in(i),
DIPB => slice_b_pdbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i),
DOPA => slice_a_pdbus_out(i),
DOPB => slice_b_pdbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S36_S36;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 1024 x 18 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S18_S18 : if (BRAM_PRIMITIVE = B16_S18_S18) generate
component RAMB16_S18_S18
port (DIA : in STD_LOGIC_VECTOR (15 downto 0);
DIB : in STD_LOGIC_VECTOR (15 downto 0);
DIPA : in STD_LOGIC_VECTOR (1 downto 0);
DIPB : in STD_LOGIC_VECTOR (1 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in STD_LOGIC_VECTOR (9 downto 0);
ADDRB : in STD_LOGIC_VECTOR (9 downto 0);
DOA : out STD_LOGIC_VECTOR (15 downto 0);
DOB : out STD_LOGIC_VECTOR (15 downto 0);
DOPA : out STD_LOGIC_VECTOR (1 downto 0);
DOPB : out STD_LOGIC_VECTOR (1 downto 0)
);
end component;
Constant PRIM_ADDR_WIDTH : integer := 10; -- 1024 deep
Constant PRIM_PDBUS_WIDTH : integer := 2; -- 2 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 16; -- 16 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
type pdbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_1024x18 : RAMB16_S18_S18
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
DIPA => slice_a_pdbus_in(i),
DIPB => slice_b_pdbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i),
DOPA => slice_a_pdbus_out(i),
DOPB => slice_b_pdbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S18_S18;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 2048 x 9 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S9_S9 : if (BRAM_PRIMITIVE = B16_S9_S9) generate
component RAMB16_S9_S9
port (
DIA : in std_logic_vector (7 downto 0);
DIB : in std_logic_vector (7 downto 0);
DIPA : in std_logic_vector (0 downto 0);
DIPB : in std_logic_vector (0 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (10 downto 0);
ADDRB : in std_logic_vector (10 downto 0);
DOA : out std_logic_vector (7 downto 0);
DOB : out std_logic_vector (7 downto 0);
DOPA : out std_logic_vector (0 downto 0);
DOPB : out std_logic_vector (0 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 11; -- 2048 deep
Constant PRIM_PDBUS_WIDTH : integer := 1; -- 1 parity data bit
Constant PRIM_DBUS_WIDTH : integer := 8; -- 8 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
type pdbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_2048x9 : RAMB16_S9_S9
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
DIPA => slice_a_pdbus_in(i),
DIPB => slice_b_pdbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i),
DOPA => slice_a_pdbus_out(i),
DOPB => slice_b_pdbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S9_S9;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 4096 x 4 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S4_S4 : if (BRAM_PRIMITIVE = B16_S4_S4) generate
component RAMB16_S4_S4
port (
DIA : in std_logic_vector (3 downto 0);
DIB : in std_logic_vector (3 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (11 downto 0);
ADDRB : in std_logic_vector (11 downto 0);
DOA : out std_logic_vector (3 downto 0);
DOB : out std_logic_vector (3 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 12; -- 4096 deep
Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 4; -- 4 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--type pdbus_slice_array is array(BRAM_NUM downto 1) of
-- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
--slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
--slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_4096x4 : RAMB16_S4_S4
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S4_S4;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 8192 x 2 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S2_S2 : if (BRAM_PRIMITIVE = B16_S2_S2) generate
component RAMB16_S2_S2
port (
DIA : in std_logic_vector (1 downto 0);
DIB : in std_logic_vector (1 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (12 downto 0);
ADDRB : in std_logic_vector (12 downto 0);
DOA : out std_logic_vector (1 downto 0);
DOB : out std_logic_vector (1 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 13; -- 8192 deep
Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 2; -- 2 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--type pdbus_slice_array is array(BRAM_NUM downto 1) of
-- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
--slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
--slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_8192x2 : RAMB16_S2_S2
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S2_S2;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 16384 x 1 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S1_S1 : if (BRAM_PRIMITIVE = B16_S1_S1) generate
component RAMB16_S1_S1
port (
DIA : in std_logic_vector (0 downto 0);
DIB : in std_logic_vector (0 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (13 downto 0);
ADDRB : in std_logic_vector (13 downto 0);
DOA : out std_logic_vector (0 downto 0);
DOB : out std_logic_vector (0 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 14; -- 16384 deep
Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 1; -- 1 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--type pdbus_slice_array is array(BRAM_NUM downto 1) of
-- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
--slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
--slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_16384x1 : RAMB16_S1_S1
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S1_S1;
--==========================================================================
-- End of Virtex-II and Virtex-II Pro support
--///////////////////////////////////////////////////////////////////////////
--///////////////////////////////////////////////////////////////////////////
-- Start Spartan-II, Spartan-IIE, Virtex, and VirtexE support
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 4096 x 1 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S1_S1 : if (BRAM_PRIMITIVE = B4_S1_S1) generate
component RAMB4_S1_S1
port (
DIA : in std_logic_vector (0 downto 0);
DIB : in std_logic_vector (0 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (11 downto 0);
ADDRB : in std_logic_vector (11 downto 0);
DOA : out std_logic_vector (0 downto 0);
DOB : out std_logic_vector (0 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 12; -- 4096 deep
Constant PRIM_DBUS_WIDTH : integer := 1; -- 1 data bit
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_4096x1 : RAMB4_S1_S1
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S1_S1;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 2048 x 2 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S2_S2 : if (BRAM_PRIMITIVE = B4_S2_S2) generate
component RAMB4_S2_S2
port (
DIA : in std_logic_vector (1 downto 0);
DIB : in std_logic_vector (1 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (10 downto 0);
ADDRB : in std_logic_vector (10 downto 0);
DOA : out std_logic_vector (1 downto 0);
DOB : out std_logic_vector (1 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 11; -- 2048 deep
Constant PRIM_DBUS_WIDTH : integer := 2; -- 2 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_2048x2 : RAMB4_S2_S2
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S2_S2;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 1024 x 4 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S4_S4 : if (BRAM_PRIMITIVE = B4_S4_S4) generate
component RAMB4_S4_S4
port (
DIA : in std_logic_vector (3 downto 0);
DIB : in std_logic_vector (3 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (9 downto 0);
ADDRB : in std_logic_vector (9 downto 0);
DOA : out std_logic_vector (3 downto 0);
DOB : out std_logic_vector (3 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 10; -- 1024 deep
Constant PRIM_DBUS_WIDTH : integer := 4; -- 4 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_1024x4 : RAMB4_S4_S4
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S4_S4;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 512 x 8 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S8_S8 : if (BRAM_PRIMITIVE = B4_S8_S8) generate
component RAMB4_S8_S8
port (
DIA : in std_logic_vector (7 downto 0);
DIB : in std_logic_vector (7 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (8 downto 0);
ADDRB : in std_logic_vector (8 downto 0);
DOA : out std_logic_vector (7 downto 0);
DOB : out std_logic_vector (7 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 9; -- 512 deep
Constant PRIM_DBUS_WIDTH : integer := 8; -- 8 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_512x8 : RAMB4_S8_S8
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S8_S8;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 256 x 16 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S16_S16 : if (BRAM_PRIMITIVE = B4_S16_S16) generate
component RAMB4_S16_S16
port (DIA : in STD_LOGIC_VECTOR (15 downto 0);
DIB : in STD_LOGIC_VECTOR (15 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in STD_LOGIC_VECTOR (7 downto 0);
ADDRB : in STD_LOGIC_VECTOR (7 downto 0);
DOA : out STD_LOGIC_VECTOR (15 downto 0);
DOB : out STD_LOGIC_VECTOR (15 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 8; -- 256 deep
Constant PRIM_DBUS_WIDTH : integer := 16; -- 16 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_256x16 : RAMB4_S16_S16
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S16_S16;
--==========================================================================
UNSUPPORTED_FAMILY : if (BRAM_PRIMITIVE = indeterminate) generate
begin
-- assert (false)
-- report "Unsupported Part Family Selected or FIFO Depth/Width is invalid!"
-- severity failure;
--
end generate UNSUPPORTED_FAMILY;
end architecture implementation;
|
-------------------------------------------------------------------------------
-- $Id: pf_dpram_select.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $
-------------------------------------------------------------------------------
-- pf_dpram_select.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: pf_dpram_select.vhd
--
-- Description: This vhdl design file uses three input parameters describing
-- the desired storage depth, data width, and FPGA family type.
-- From these, the design selects the optimum Block RAM
-- primitive for the basic storage element and connects them
-- in parallel to accomodate the desired data width.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- pf_dpram_select.vhd
--
-------------------------------------------------------------------------------
-- Author: D. Thorpe
-- Revision: $Revision: 1.1.4.1 $
-- Date: $Date: 2010/09/14 22:35:47 $
--
-- History:
-- DET Oct. 7, 2001 First Version
-- - Adopted design concepts from Goran Bilski's
-- opb_bram.vhd design in the formulation of this
-- design for the Mauna Loa packet FIFO dual port
-- core function.
--
-- DET Oct-31-2001
-- - Changed the generic input parameter C_FAMILY of type string
-- back to the boolean type parameter C_VIRTEX_II. XST support
-- change.
--
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library unisim;
use unisim.all; -- uses BRAM primitives
-------------------------------------------------------------------------------
entity pf_dpram_select is
generic (
C_DP_DATA_WIDTH : Integer := 32;
C_DP_ADDRESS_WIDTH : Integer := 9;
C_VIRTEX_II : Boolean := true
);
port (
-- Write Port signals
Wr_rst : In std_logic;
Wr_Clk : in std_logic;
Wr_Enable : In std_logic;
Wr_Req : In std_logic;
Wr_Address : in std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1);
Wr_Data : In std_logic_vector(0 to C_DP_DATA_WIDTH-1);
-- Read Port Signals
Rd_rst : In std_logic;
Rd_Clk : in std_logic;
Rd_Enable : In std_logic;
Rd_Address : in std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1);
Rd_Data : out std_logic_vector(0 to C_DP_DATA_WIDTH-1)
);
end entity pf_dpram_select;
architecture implementation of pf_dpram_select is
Type family_type is (
any ,
x4k ,
x4ke ,
x4kl ,
x4kex ,
x4kxl ,
x4kxv ,
x4kxla ,
spartan ,
spartanxl,
spartan2 ,
spartan2e,
virtex ,
virtexe ,
virtex2 ,
virtex2p ,
unsupported
);
Type bram_prim_type is (
use_srl ,
B4_S1_S1 ,
B4_S2_S2 ,
B4_S4_S4 ,
B4_S8_S8 ,
B4_S16_S16 ,
B16_S1_S1 ,
B16_S2_S2 ,
B16_S4_S4 ,
B16_S9_S9 ,
B16_S18_S18 ,
B16_S36_S36 ,
indeterminate
);
-----------------------------------------------------------------------------
-- This function converts the input C_VIRTEX_II boolean type to an enumerated
-- type. Only Virtex and Virtex II types are currently supported. This
-- used to convert a string to a family type function but string support in
-- the synthesis tools was found to be mutually exclusive between Synplicity
-- and XST.
-----------------------------------------------------------------------------
function get_prim_family (vertex2_select : boolean) return family_type is
Variable prim_family : family_type;
begin
If (vertex2_select) Then
prim_family := virtex2;
else
prim_family := virtex;
End if;
Return (prim_family);
end function get_prim_family;
-----------------------------------------------------------------------------
-- This function chooses the optimum BRAM primitive to utilize as
-- specified by the inputs for data depth, data width, and FPGA part family.
-----------------------------------------------------------------------------
function get_bram_primitive (target_depth: integer;
target_width: integer;
family : family_type )
return bram_prim_type is
Variable primitive : bram_prim_type;
begin
Case family Is
When virtex2p | virtex2 =>
Case target_depth Is
When 1 | 2 =>
primitive := indeterminate; -- depth is too small for BRAM
-- based fifo control logic
When 4 | 8 | 16 =>
-- primitive := use_srl; -- activate when SRL FIFO incorporated
Case target_width Is -- use BRAM for now
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When 5 | 6 | 7 | 8 | 9 =>
primitive := B16_S9_S9;
When 10 | 11 | 12 | 13 | 14 |
15 | 16 | 17 | 18 =>
primitive := B16_S18_S18;
When others =>
primitive := B16_S36_S36;
End case;
when 32 | 64 | 128 | 256 | 512 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When 5 | 6 | 7 | 8 | 9 =>
primitive := B16_S9_S9;
When 10 | 11 | 12 | 13 | 14 |
15 | 16 | 17 | 18 =>
primitive := B16_S18_S18;
When others =>
primitive := B16_S36_S36;
End case;
When 1024 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When 5 | 6 | 7 | 8 | 9 =>
primitive := B16_S9_S9;
When others =>
primitive := B16_S18_S18;
End case;
When 2048 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When others =>
primitive := B16_S9_S9;
End case;
When 4096 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When others =>
primitive := B16_S4_S4;
End case;
When 8192 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When others =>
primitive := B16_S2_S2;
End case;
When 16384 =>
primitive := B16_S1_S1;
When others =>
primitive := indeterminate;
End case;
When spartan2 | spartan2e | virtex | virtexe =>
Case target_depth Is
When 1 | 2 =>
primitive := indeterminate; -- depth is too small for BRAM
-- based fifo control logic
When 4 | 8 | 16 =>
-- primitive := use_srl; -- activate this when SRL FIFO is
-- incorporated
Case target_width Is -- use BRAM for now
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When 3 | 4 =>
primitive := B4_S4_S4;
When 5 | 6 | 7 | 8 =>
primitive := B4_S8_S8;
When others =>
primitive := B4_S16_S16;
End case;
when 32 | 64 | 128 | 256 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When 3 | 4 =>
primitive := B4_S4_S4;
When 5 | 6 | 7 | 8 =>
primitive := B4_S8_S8;
When others =>
primitive := B4_S16_S16;
End case;
when 512 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When 3 | 4 =>
primitive := B4_S4_S4;
When others =>
primitive := B4_S8_S8;
End case;
When 1024 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When others =>
primitive := B4_S4_S4;
End case;
When 2048 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When others =>
primitive := B4_S2_S2;
End case;
When 4096 =>
primitive := B4_S1_S1;
When others =>
primitive := indeterminate;
End case;
When others =>
primitive := indeterminate;
End case;
Return primitive;
end function get_bram_primitive;
-----------------------------------------------------------------------------
-- This function calculates the number of BRAM primitives required as
-- specified by the inputs for data width and BRAM primitive type.
-----------------------------------------------------------------------------
function get_num_prims (bram_prim : bram_prim_type;
mem_width : integer)
return integer is
Variable bram_num : integer;
begin
Case bram_prim Is
When B16_S1_S1 | B4_S1_S1 =>
bram_num := mem_width;
When B16_S2_S2 | B4_S2_S2 =>
bram_num := (mem_width+1)/2;
When B16_S4_S4 | B4_S4_S4 =>
bram_num := (mem_width+3)/4;
When B4_S8_S8 =>
bram_num := (mem_width+7)/8;
When B16_S9_S9 =>
bram_num := (mem_width+8)/9;
When B4_S16_S16 =>
bram_num := (mem_width+15)/16;
When B16_S18_S18 =>
bram_num := (mem_width+17)/18;
When B16_S36_S36 =>
bram_num := (mem_width+35)/36;
When others =>
bram_num := 1;
End case;
Return (bram_num);
end function get_num_prims;
-- Now set the global CONSTANTS needed for IF-Generates
-- Determine the number of BRAM storage locations needed
constant FIFO_DEPTH : Integer := 2**C_DP_ADDRESS_WIDTH;
-- Convert the input C_VIRTEX_II generic boolean to enumerated type
Constant BRAM_FAMILY : family_type :=
get_prim_family(C_VIRTEX_II);
-- Select the optimum BRAM primitive to use
constant BRAM_PRIMITIVE : bram_prim_type :=
get_bram_primitive(FIFO_DEPTH,
C_DP_DATA_WIDTH,
BRAM_FAMILY);
-- Calculate how many of the selected primitives are needed
-- to populate the desired data width
constant BRAM_NUM : integer :=
get_num_prims(BRAM_PRIMITIVE,
C_DP_DATA_WIDTH);
begin -- architecture
----------------------------------------------------------------------------
-- Using VII 512 x 36 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S36_S36 : if (BRAM_PRIMITIVE = B16_S36_S36) generate
component RAMB16_S36_S36
port (DIA : in STD_LOGIC_VECTOR (31 downto 0);
DIB : in STD_LOGIC_VECTOR (31 downto 0);
DIPA : in STD_LOGIC_VECTOR (3 downto 0);
DIPB : in STD_LOGIC_VECTOR (3 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in STD_LOGIC_VECTOR (8 downto 0);
ADDRB : in STD_LOGIC_VECTOR (8 downto 0);
DOA : out STD_LOGIC_VECTOR (31 downto 0);
DOB : out STD_LOGIC_VECTOR (31 downto 0);
DOPA : out STD_LOGIC_VECTOR (3 downto 0);
DOPB : out STD_LOGIC_VECTOR (3 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 9; -- 512 deep
Constant PRIM_PDBUS_WIDTH : integer := 4; -- 4 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 32; -- 4 parity data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
type pdbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_512x32 : RAMB16_S36_S36
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
DIPA => slice_a_pdbus_in(i),
DIPB => slice_b_pdbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i),
DOPA => slice_a_pdbus_out(i),
DOPB => slice_b_pdbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S36_S36;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 1024 x 18 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S18_S18 : if (BRAM_PRIMITIVE = B16_S18_S18) generate
component RAMB16_S18_S18
port (DIA : in STD_LOGIC_VECTOR (15 downto 0);
DIB : in STD_LOGIC_VECTOR (15 downto 0);
DIPA : in STD_LOGIC_VECTOR (1 downto 0);
DIPB : in STD_LOGIC_VECTOR (1 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in STD_LOGIC_VECTOR (9 downto 0);
ADDRB : in STD_LOGIC_VECTOR (9 downto 0);
DOA : out STD_LOGIC_VECTOR (15 downto 0);
DOB : out STD_LOGIC_VECTOR (15 downto 0);
DOPA : out STD_LOGIC_VECTOR (1 downto 0);
DOPB : out STD_LOGIC_VECTOR (1 downto 0)
);
end component;
Constant PRIM_ADDR_WIDTH : integer := 10; -- 1024 deep
Constant PRIM_PDBUS_WIDTH : integer := 2; -- 2 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 16; -- 16 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
type pdbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_1024x18 : RAMB16_S18_S18
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
DIPA => slice_a_pdbus_in(i),
DIPB => slice_b_pdbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i),
DOPA => slice_a_pdbus_out(i),
DOPB => slice_b_pdbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S18_S18;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 2048 x 9 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S9_S9 : if (BRAM_PRIMITIVE = B16_S9_S9) generate
component RAMB16_S9_S9
port (
DIA : in std_logic_vector (7 downto 0);
DIB : in std_logic_vector (7 downto 0);
DIPA : in std_logic_vector (0 downto 0);
DIPB : in std_logic_vector (0 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (10 downto 0);
ADDRB : in std_logic_vector (10 downto 0);
DOA : out std_logic_vector (7 downto 0);
DOB : out std_logic_vector (7 downto 0);
DOPA : out std_logic_vector (0 downto 0);
DOPB : out std_logic_vector (0 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 11; -- 2048 deep
Constant PRIM_PDBUS_WIDTH : integer := 1; -- 1 parity data bit
Constant PRIM_DBUS_WIDTH : integer := 8; -- 8 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
type pdbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_2048x9 : RAMB16_S9_S9
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
DIPA => slice_a_pdbus_in(i),
DIPB => slice_b_pdbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i),
DOPA => slice_a_pdbus_out(i),
DOPB => slice_b_pdbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S9_S9;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 4096 x 4 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S4_S4 : if (BRAM_PRIMITIVE = B16_S4_S4) generate
component RAMB16_S4_S4
port (
DIA : in std_logic_vector (3 downto 0);
DIB : in std_logic_vector (3 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (11 downto 0);
ADDRB : in std_logic_vector (11 downto 0);
DOA : out std_logic_vector (3 downto 0);
DOB : out std_logic_vector (3 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 12; -- 4096 deep
Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 4; -- 4 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--type pdbus_slice_array is array(BRAM_NUM downto 1) of
-- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
--slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
--slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_4096x4 : RAMB16_S4_S4
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S4_S4;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 8192 x 2 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S2_S2 : if (BRAM_PRIMITIVE = B16_S2_S2) generate
component RAMB16_S2_S2
port (
DIA : in std_logic_vector (1 downto 0);
DIB : in std_logic_vector (1 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (12 downto 0);
ADDRB : in std_logic_vector (12 downto 0);
DOA : out std_logic_vector (1 downto 0);
DOB : out std_logic_vector (1 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 13; -- 8192 deep
Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 2; -- 2 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--type pdbus_slice_array is array(BRAM_NUM downto 1) of
-- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
--slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
--slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_8192x2 : RAMB16_S2_S2
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S2_S2;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 16384 x 1 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S1_S1 : if (BRAM_PRIMITIVE = B16_S1_S1) generate
component RAMB16_S1_S1
port (
DIA : in std_logic_vector (0 downto 0);
DIB : in std_logic_vector (0 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (13 downto 0);
ADDRB : in std_logic_vector (13 downto 0);
DOA : out std_logic_vector (0 downto 0);
DOB : out std_logic_vector (0 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 14; -- 16384 deep
Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 1; -- 1 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--type pdbus_slice_array is array(BRAM_NUM downto 1) of
-- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
--slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
--slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_16384x1 : RAMB16_S1_S1
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S1_S1;
--==========================================================================
-- End of Virtex-II and Virtex-II Pro support
--///////////////////////////////////////////////////////////////////////////
--///////////////////////////////////////////////////////////////////////////
-- Start Spartan-II, Spartan-IIE, Virtex, and VirtexE support
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 4096 x 1 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S1_S1 : if (BRAM_PRIMITIVE = B4_S1_S1) generate
component RAMB4_S1_S1
port (
DIA : in std_logic_vector (0 downto 0);
DIB : in std_logic_vector (0 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (11 downto 0);
ADDRB : in std_logic_vector (11 downto 0);
DOA : out std_logic_vector (0 downto 0);
DOB : out std_logic_vector (0 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 12; -- 4096 deep
Constant PRIM_DBUS_WIDTH : integer := 1; -- 1 data bit
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_4096x1 : RAMB4_S1_S1
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S1_S1;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 2048 x 2 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S2_S2 : if (BRAM_PRIMITIVE = B4_S2_S2) generate
component RAMB4_S2_S2
port (
DIA : in std_logic_vector (1 downto 0);
DIB : in std_logic_vector (1 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (10 downto 0);
ADDRB : in std_logic_vector (10 downto 0);
DOA : out std_logic_vector (1 downto 0);
DOB : out std_logic_vector (1 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 11; -- 2048 deep
Constant PRIM_DBUS_WIDTH : integer := 2; -- 2 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_2048x2 : RAMB4_S2_S2
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S2_S2;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 1024 x 4 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S4_S4 : if (BRAM_PRIMITIVE = B4_S4_S4) generate
component RAMB4_S4_S4
port (
DIA : in std_logic_vector (3 downto 0);
DIB : in std_logic_vector (3 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (9 downto 0);
ADDRB : in std_logic_vector (9 downto 0);
DOA : out std_logic_vector (3 downto 0);
DOB : out std_logic_vector (3 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 10; -- 1024 deep
Constant PRIM_DBUS_WIDTH : integer := 4; -- 4 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_1024x4 : RAMB4_S4_S4
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S4_S4;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 512 x 8 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S8_S8 : if (BRAM_PRIMITIVE = B4_S8_S8) generate
component RAMB4_S8_S8
port (
DIA : in std_logic_vector (7 downto 0);
DIB : in std_logic_vector (7 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (8 downto 0);
ADDRB : in std_logic_vector (8 downto 0);
DOA : out std_logic_vector (7 downto 0);
DOB : out std_logic_vector (7 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 9; -- 512 deep
Constant PRIM_DBUS_WIDTH : integer := 8; -- 8 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_512x8 : RAMB4_S8_S8
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S8_S8;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 256 x 16 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S16_S16 : if (BRAM_PRIMITIVE = B4_S16_S16) generate
component RAMB4_S16_S16
port (DIA : in STD_LOGIC_VECTOR (15 downto 0);
DIB : in STD_LOGIC_VECTOR (15 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in STD_LOGIC_VECTOR (7 downto 0);
ADDRB : in STD_LOGIC_VECTOR (7 downto 0);
DOA : out STD_LOGIC_VECTOR (15 downto 0);
DOB : out STD_LOGIC_VECTOR (15 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 8; -- 256 deep
Constant PRIM_DBUS_WIDTH : integer := 16; -- 16 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_256x16 : RAMB4_S16_S16
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S16_S16;
--==========================================================================
UNSUPPORTED_FAMILY : if (BRAM_PRIMITIVE = indeterminate) generate
begin
-- assert (false)
-- report "Unsupported Part Family Selected or FIFO Depth/Width is invalid!"
-- severity failure;
--
end generate UNSUPPORTED_FAMILY;
end architecture implementation;
|
-------------------------------------------------------------------------------
-- $Id: pf_dpram_select.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $
-------------------------------------------------------------------------------
-- pf_dpram_select.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: pf_dpram_select.vhd
--
-- Description: This vhdl design file uses three input parameters describing
-- the desired storage depth, data width, and FPGA family type.
-- From these, the design selects the optimum Block RAM
-- primitive for the basic storage element and connects them
-- in parallel to accomodate the desired data width.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- pf_dpram_select.vhd
--
-------------------------------------------------------------------------------
-- Author: D. Thorpe
-- Revision: $Revision: 1.1.4.1 $
-- Date: $Date: 2010/09/14 22:35:47 $
--
-- History:
-- DET Oct. 7, 2001 First Version
-- - Adopted design concepts from Goran Bilski's
-- opb_bram.vhd design in the formulation of this
-- design for the Mauna Loa packet FIFO dual port
-- core function.
--
-- DET Oct-31-2001
-- - Changed the generic input parameter C_FAMILY of type string
-- back to the boolean type parameter C_VIRTEX_II. XST support
-- change.
--
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library unisim;
use unisim.all; -- uses BRAM primitives
-------------------------------------------------------------------------------
entity pf_dpram_select is
generic (
C_DP_DATA_WIDTH : Integer := 32;
C_DP_ADDRESS_WIDTH : Integer := 9;
C_VIRTEX_II : Boolean := true
);
port (
-- Write Port signals
Wr_rst : In std_logic;
Wr_Clk : in std_logic;
Wr_Enable : In std_logic;
Wr_Req : In std_logic;
Wr_Address : in std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1);
Wr_Data : In std_logic_vector(0 to C_DP_DATA_WIDTH-1);
-- Read Port Signals
Rd_rst : In std_logic;
Rd_Clk : in std_logic;
Rd_Enable : In std_logic;
Rd_Address : in std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1);
Rd_Data : out std_logic_vector(0 to C_DP_DATA_WIDTH-1)
);
end entity pf_dpram_select;
architecture implementation of pf_dpram_select is
Type family_type is (
any ,
x4k ,
x4ke ,
x4kl ,
x4kex ,
x4kxl ,
x4kxv ,
x4kxla ,
spartan ,
spartanxl,
spartan2 ,
spartan2e,
virtex ,
virtexe ,
virtex2 ,
virtex2p ,
unsupported
);
Type bram_prim_type is (
use_srl ,
B4_S1_S1 ,
B4_S2_S2 ,
B4_S4_S4 ,
B4_S8_S8 ,
B4_S16_S16 ,
B16_S1_S1 ,
B16_S2_S2 ,
B16_S4_S4 ,
B16_S9_S9 ,
B16_S18_S18 ,
B16_S36_S36 ,
indeterminate
);
-----------------------------------------------------------------------------
-- This function converts the input C_VIRTEX_II boolean type to an enumerated
-- type. Only Virtex and Virtex II types are currently supported. This
-- used to convert a string to a family type function but string support in
-- the synthesis tools was found to be mutually exclusive between Synplicity
-- and XST.
-----------------------------------------------------------------------------
function get_prim_family (vertex2_select : boolean) return family_type is
Variable prim_family : family_type;
begin
If (vertex2_select) Then
prim_family := virtex2;
else
prim_family := virtex;
End if;
Return (prim_family);
end function get_prim_family;
-----------------------------------------------------------------------------
-- This function chooses the optimum BRAM primitive to utilize as
-- specified by the inputs for data depth, data width, and FPGA part family.
-----------------------------------------------------------------------------
function get_bram_primitive (target_depth: integer;
target_width: integer;
family : family_type )
return bram_prim_type is
Variable primitive : bram_prim_type;
begin
Case family Is
When virtex2p | virtex2 =>
Case target_depth Is
When 1 | 2 =>
primitive := indeterminate; -- depth is too small for BRAM
-- based fifo control logic
When 4 | 8 | 16 =>
-- primitive := use_srl; -- activate when SRL FIFO incorporated
Case target_width Is -- use BRAM for now
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When 5 | 6 | 7 | 8 | 9 =>
primitive := B16_S9_S9;
When 10 | 11 | 12 | 13 | 14 |
15 | 16 | 17 | 18 =>
primitive := B16_S18_S18;
When others =>
primitive := B16_S36_S36;
End case;
when 32 | 64 | 128 | 256 | 512 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When 5 | 6 | 7 | 8 | 9 =>
primitive := B16_S9_S9;
When 10 | 11 | 12 | 13 | 14 |
15 | 16 | 17 | 18 =>
primitive := B16_S18_S18;
When others =>
primitive := B16_S36_S36;
End case;
When 1024 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When 5 | 6 | 7 | 8 | 9 =>
primitive := B16_S9_S9;
When others =>
primitive := B16_S18_S18;
End case;
When 2048 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When others =>
primitive := B16_S9_S9;
End case;
When 4096 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When others =>
primitive := B16_S4_S4;
End case;
When 8192 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When others =>
primitive := B16_S2_S2;
End case;
When 16384 =>
primitive := B16_S1_S1;
When others =>
primitive := indeterminate;
End case;
When spartan2 | spartan2e | virtex | virtexe =>
Case target_depth Is
When 1 | 2 =>
primitive := indeterminate; -- depth is too small for BRAM
-- based fifo control logic
When 4 | 8 | 16 =>
-- primitive := use_srl; -- activate this when SRL FIFO is
-- incorporated
Case target_width Is -- use BRAM for now
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When 3 | 4 =>
primitive := B4_S4_S4;
When 5 | 6 | 7 | 8 =>
primitive := B4_S8_S8;
When others =>
primitive := B4_S16_S16;
End case;
when 32 | 64 | 128 | 256 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When 3 | 4 =>
primitive := B4_S4_S4;
When 5 | 6 | 7 | 8 =>
primitive := B4_S8_S8;
When others =>
primitive := B4_S16_S16;
End case;
when 512 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When 3 | 4 =>
primitive := B4_S4_S4;
When others =>
primitive := B4_S8_S8;
End case;
When 1024 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When others =>
primitive := B4_S4_S4;
End case;
When 2048 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When others =>
primitive := B4_S2_S2;
End case;
When 4096 =>
primitive := B4_S1_S1;
When others =>
primitive := indeterminate;
End case;
When others =>
primitive := indeterminate;
End case;
Return primitive;
end function get_bram_primitive;
-----------------------------------------------------------------------------
-- This function calculates the number of BRAM primitives required as
-- specified by the inputs for data width and BRAM primitive type.
-----------------------------------------------------------------------------
function get_num_prims (bram_prim : bram_prim_type;
mem_width : integer)
return integer is
Variable bram_num : integer;
begin
Case bram_prim Is
When B16_S1_S1 | B4_S1_S1 =>
bram_num := mem_width;
When B16_S2_S2 | B4_S2_S2 =>
bram_num := (mem_width+1)/2;
When B16_S4_S4 | B4_S4_S4 =>
bram_num := (mem_width+3)/4;
When B4_S8_S8 =>
bram_num := (mem_width+7)/8;
When B16_S9_S9 =>
bram_num := (mem_width+8)/9;
When B4_S16_S16 =>
bram_num := (mem_width+15)/16;
When B16_S18_S18 =>
bram_num := (mem_width+17)/18;
When B16_S36_S36 =>
bram_num := (mem_width+35)/36;
When others =>
bram_num := 1;
End case;
Return (bram_num);
end function get_num_prims;
-- Now set the global CONSTANTS needed for IF-Generates
-- Determine the number of BRAM storage locations needed
constant FIFO_DEPTH : Integer := 2**C_DP_ADDRESS_WIDTH;
-- Convert the input C_VIRTEX_II generic boolean to enumerated type
Constant BRAM_FAMILY : family_type :=
get_prim_family(C_VIRTEX_II);
-- Select the optimum BRAM primitive to use
constant BRAM_PRIMITIVE : bram_prim_type :=
get_bram_primitive(FIFO_DEPTH,
C_DP_DATA_WIDTH,
BRAM_FAMILY);
-- Calculate how many of the selected primitives are needed
-- to populate the desired data width
constant BRAM_NUM : integer :=
get_num_prims(BRAM_PRIMITIVE,
C_DP_DATA_WIDTH);
begin -- architecture
----------------------------------------------------------------------------
-- Using VII 512 x 36 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S36_S36 : if (BRAM_PRIMITIVE = B16_S36_S36) generate
component RAMB16_S36_S36
port (DIA : in STD_LOGIC_VECTOR (31 downto 0);
DIB : in STD_LOGIC_VECTOR (31 downto 0);
DIPA : in STD_LOGIC_VECTOR (3 downto 0);
DIPB : in STD_LOGIC_VECTOR (3 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in STD_LOGIC_VECTOR (8 downto 0);
ADDRB : in STD_LOGIC_VECTOR (8 downto 0);
DOA : out STD_LOGIC_VECTOR (31 downto 0);
DOB : out STD_LOGIC_VECTOR (31 downto 0);
DOPA : out STD_LOGIC_VECTOR (3 downto 0);
DOPB : out STD_LOGIC_VECTOR (3 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 9; -- 512 deep
Constant PRIM_PDBUS_WIDTH : integer := 4; -- 4 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 32; -- 4 parity data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
type pdbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_512x32 : RAMB16_S36_S36
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
DIPA => slice_a_pdbus_in(i),
DIPB => slice_b_pdbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i),
DOPA => slice_a_pdbus_out(i),
DOPB => slice_b_pdbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S36_S36;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 1024 x 18 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S18_S18 : if (BRAM_PRIMITIVE = B16_S18_S18) generate
component RAMB16_S18_S18
port (DIA : in STD_LOGIC_VECTOR (15 downto 0);
DIB : in STD_LOGIC_VECTOR (15 downto 0);
DIPA : in STD_LOGIC_VECTOR (1 downto 0);
DIPB : in STD_LOGIC_VECTOR (1 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in STD_LOGIC_VECTOR (9 downto 0);
ADDRB : in STD_LOGIC_VECTOR (9 downto 0);
DOA : out STD_LOGIC_VECTOR (15 downto 0);
DOB : out STD_LOGIC_VECTOR (15 downto 0);
DOPA : out STD_LOGIC_VECTOR (1 downto 0);
DOPB : out STD_LOGIC_VECTOR (1 downto 0)
);
end component;
Constant PRIM_ADDR_WIDTH : integer := 10; -- 1024 deep
Constant PRIM_PDBUS_WIDTH : integer := 2; -- 2 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 16; -- 16 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
type pdbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_1024x18 : RAMB16_S18_S18
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
DIPA => slice_a_pdbus_in(i),
DIPB => slice_b_pdbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i),
DOPA => slice_a_pdbus_out(i),
DOPB => slice_b_pdbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S18_S18;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 2048 x 9 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S9_S9 : if (BRAM_PRIMITIVE = B16_S9_S9) generate
component RAMB16_S9_S9
port (
DIA : in std_logic_vector (7 downto 0);
DIB : in std_logic_vector (7 downto 0);
DIPA : in std_logic_vector (0 downto 0);
DIPB : in std_logic_vector (0 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (10 downto 0);
ADDRB : in std_logic_vector (10 downto 0);
DOA : out std_logic_vector (7 downto 0);
DOB : out std_logic_vector (7 downto 0);
DOPA : out std_logic_vector (0 downto 0);
DOPB : out std_logic_vector (0 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 11; -- 2048 deep
Constant PRIM_PDBUS_WIDTH : integer := 1; -- 1 parity data bit
Constant PRIM_DBUS_WIDTH : integer := 8; -- 8 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
type pdbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_2048x9 : RAMB16_S9_S9
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
DIPA => slice_a_pdbus_in(i),
DIPB => slice_b_pdbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i),
DOPA => slice_a_pdbus_out(i),
DOPB => slice_b_pdbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S9_S9;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 4096 x 4 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S4_S4 : if (BRAM_PRIMITIVE = B16_S4_S4) generate
component RAMB16_S4_S4
port (
DIA : in std_logic_vector (3 downto 0);
DIB : in std_logic_vector (3 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (11 downto 0);
ADDRB : in std_logic_vector (11 downto 0);
DOA : out std_logic_vector (3 downto 0);
DOB : out std_logic_vector (3 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 12; -- 4096 deep
Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 4; -- 4 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--type pdbus_slice_array is array(BRAM_NUM downto 1) of
-- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
--slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
--slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_4096x4 : RAMB16_S4_S4
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S4_S4;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 8192 x 2 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S2_S2 : if (BRAM_PRIMITIVE = B16_S2_S2) generate
component RAMB16_S2_S2
port (
DIA : in std_logic_vector (1 downto 0);
DIB : in std_logic_vector (1 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (12 downto 0);
ADDRB : in std_logic_vector (12 downto 0);
DOA : out std_logic_vector (1 downto 0);
DOB : out std_logic_vector (1 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 13; -- 8192 deep
Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 2; -- 2 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--type pdbus_slice_array is array(BRAM_NUM downto 1) of
-- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
--slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
--slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_8192x2 : RAMB16_S2_S2
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S2_S2;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 16384 x 1 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S1_S1 : if (BRAM_PRIMITIVE = B16_S1_S1) generate
component RAMB16_S1_S1
port (
DIA : in std_logic_vector (0 downto 0);
DIB : in std_logic_vector (0 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (13 downto 0);
ADDRB : in std_logic_vector (13 downto 0);
DOA : out std_logic_vector (0 downto 0);
DOB : out std_logic_vector (0 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 14; -- 16384 deep
Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 1; -- 1 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--type pdbus_slice_array is array(BRAM_NUM downto 1) of
-- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
--slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
--slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_16384x1 : RAMB16_S1_S1
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S1_S1;
--==========================================================================
-- End of Virtex-II and Virtex-II Pro support
--///////////////////////////////////////////////////////////////////////////
--///////////////////////////////////////////////////////////////////////////
-- Start Spartan-II, Spartan-IIE, Virtex, and VirtexE support
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 4096 x 1 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S1_S1 : if (BRAM_PRIMITIVE = B4_S1_S1) generate
component RAMB4_S1_S1
port (
DIA : in std_logic_vector (0 downto 0);
DIB : in std_logic_vector (0 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (11 downto 0);
ADDRB : in std_logic_vector (11 downto 0);
DOA : out std_logic_vector (0 downto 0);
DOB : out std_logic_vector (0 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 12; -- 4096 deep
Constant PRIM_DBUS_WIDTH : integer := 1; -- 1 data bit
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_4096x1 : RAMB4_S1_S1
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S1_S1;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 2048 x 2 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S2_S2 : if (BRAM_PRIMITIVE = B4_S2_S2) generate
component RAMB4_S2_S2
port (
DIA : in std_logic_vector (1 downto 0);
DIB : in std_logic_vector (1 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (10 downto 0);
ADDRB : in std_logic_vector (10 downto 0);
DOA : out std_logic_vector (1 downto 0);
DOB : out std_logic_vector (1 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 11; -- 2048 deep
Constant PRIM_DBUS_WIDTH : integer := 2; -- 2 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_2048x2 : RAMB4_S2_S2
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S2_S2;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 1024 x 4 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S4_S4 : if (BRAM_PRIMITIVE = B4_S4_S4) generate
component RAMB4_S4_S4
port (
DIA : in std_logic_vector (3 downto 0);
DIB : in std_logic_vector (3 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (9 downto 0);
ADDRB : in std_logic_vector (9 downto 0);
DOA : out std_logic_vector (3 downto 0);
DOB : out std_logic_vector (3 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 10; -- 1024 deep
Constant PRIM_DBUS_WIDTH : integer := 4; -- 4 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_1024x4 : RAMB4_S4_S4
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S4_S4;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 512 x 8 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S8_S8 : if (BRAM_PRIMITIVE = B4_S8_S8) generate
component RAMB4_S8_S8
port (
DIA : in std_logic_vector (7 downto 0);
DIB : in std_logic_vector (7 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (8 downto 0);
ADDRB : in std_logic_vector (8 downto 0);
DOA : out std_logic_vector (7 downto 0);
DOB : out std_logic_vector (7 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 9; -- 512 deep
Constant PRIM_DBUS_WIDTH : integer := 8; -- 8 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_512x8 : RAMB4_S8_S8
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S8_S8;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 256 x 16 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S16_S16 : if (BRAM_PRIMITIVE = B4_S16_S16) generate
component RAMB4_S16_S16
port (DIA : in STD_LOGIC_VECTOR (15 downto 0);
DIB : in STD_LOGIC_VECTOR (15 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in STD_LOGIC_VECTOR (7 downto 0);
ADDRB : in STD_LOGIC_VECTOR (7 downto 0);
DOA : out STD_LOGIC_VECTOR (15 downto 0);
DOB : out STD_LOGIC_VECTOR (15 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 8; -- 256 deep
Constant PRIM_DBUS_WIDTH : integer := 16; -- 16 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_256x16 : RAMB4_S16_S16
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S16_S16;
--==========================================================================
UNSUPPORTED_FAMILY : if (BRAM_PRIMITIVE = indeterminate) generate
begin
-- assert (false)
-- report "Unsupported Part Family Selected or FIFO Depth/Width is invalid!"
-- severity failure;
--
end generate UNSUPPORTED_FAMILY;
end architecture implementation;
|
-------------------------------------------------------------------------------
-- $Id: pf_dpram_select.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $
-------------------------------------------------------------------------------
-- pf_dpram_select.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: pf_dpram_select.vhd
--
-- Description: This vhdl design file uses three input parameters describing
-- the desired storage depth, data width, and FPGA family type.
-- From these, the design selects the optimum Block RAM
-- primitive for the basic storage element and connects them
-- in parallel to accomodate the desired data width.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- pf_dpram_select.vhd
--
-------------------------------------------------------------------------------
-- Author: D. Thorpe
-- Revision: $Revision: 1.1.4.1 $
-- Date: $Date: 2010/09/14 22:35:47 $
--
-- History:
-- DET Oct. 7, 2001 First Version
-- - Adopted design concepts from Goran Bilski's
-- opb_bram.vhd design in the formulation of this
-- design for the Mauna Loa packet FIFO dual port
-- core function.
--
-- DET Oct-31-2001
-- - Changed the generic input parameter C_FAMILY of type string
-- back to the boolean type parameter C_VIRTEX_II. XST support
-- change.
--
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library unisim;
use unisim.all; -- uses BRAM primitives
-------------------------------------------------------------------------------
entity pf_dpram_select is
generic (
C_DP_DATA_WIDTH : Integer := 32;
C_DP_ADDRESS_WIDTH : Integer := 9;
C_VIRTEX_II : Boolean := true
);
port (
-- Write Port signals
Wr_rst : In std_logic;
Wr_Clk : in std_logic;
Wr_Enable : In std_logic;
Wr_Req : In std_logic;
Wr_Address : in std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1);
Wr_Data : In std_logic_vector(0 to C_DP_DATA_WIDTH-1);
-- Read Port Signals
Rd_rst : In std_logic;
Rd_Clk : in std_logic;
Rd_Enable : In std_logic;
Rd_Address : in std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1);
Rd_Data : out std_logic_vector(0 to C_DP_DATA_WIDTH-1)
);
end entity pf_dpram_select;
architecture implementation of pf_dpram_select is
Type family_type is (
any ,
x4k ,
x4ke ,
x4kl ,
x4kex ,
x4kxl ,
x4kxv ,
x4kxla ,
spartan ,
spartanxl,
spartan2 ,
spartan2e,
virtex ,
virtexe ,
virtex2 ,
virtex2p ,
unsupported
);
Type bram_prim_type is (
use_srl ,
B4_S1_S1 ,
B4_S2_S2 ,
B4_S4_S4 ,
B4_S8_S8 ,
B4_S16_S16 ,
B16_S1_S1 ,
B16_S2_S2 ,
B16_S4_S4 ,
B16_S9_S9 ,
B16_S18_S18 ,
B16_S36_S36 ,
indeterminate
);
-----------------------------------------------------------------------------
-- This function converts the input C_VIRTEX_II boolean type to an enumerated
-- type. Only Virtex and Virtex II types are currently supported. This
-- used to convert a string to a family type function but string support in
-- the synthesis tools was found to be mutually exclusive between Synplicity
-- and XST.
-----------------------------------------------------------------------------
function get_prim_family (vertex2_select : boolean) return family_type is
Variable prim_family : family_type;
begin
If (vertex2_select) Then
prim_family := virtex2;
else
prim_family := virtex;
End if;
Return (prim_family);
end function get_prim_family;
-----------------------------------------------------------------------------
-- This function chooses the optimum BRAM primitive to utilize as
-- specified by the inputs for data depth, data width, and FPGA part family.
-----------------------------------------------------------------------------
function get_bram_primitive (target_depth: integer;
target_width: integer;
family : family_type )
return bram_prim_type is
Variable primitive : bram_prim_type;
begin
Case family Is
When virtex2p | virtex2 =>
Case target_depth Is
When 1 | 2 =>
primitive := indeterminate; -- depth is too small for BRAM
-- based fifo control logic
When 4 | 8 | 16 =>
-- primitive := use_srl; -- activate when SRL FIFO incorporated
Case target_width Is -- use BRAM for now
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When 5 | 6 | 7 | 8 | 9 =>
primitive := B16_S9_S9;
When 10 | 11 | 12 | 13 | 14 |
15 | 16 | 17 | 18 =>
primitive := B16_S18_S18;
When others =>
primitive := B16_S36_S36;
End case;
when 32 | 64 | 128 | 256 | 512 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When 5 | 6 | 7 | 8 | 9 =>
primitive := B16_S9_S9;
When 10 | 11 | 12 | 13 | 14 |
15 | 16 | 17 | 18 =>
primitive := B16_S18_S18;
When others =>
primitive := B16_S36_S36;
End case;
When 1024 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When 5 | 6 | 7 | 8 | 9 =>
primitive := B16_S9_S9;
When others =>
primitive := B16_S18_S18;
End case;
When 2048 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When others =>
primitive := B16_S9_S9;
End case;
When 4096 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When others =>
primitive := B16_S4_S4;
End case;
When 8192 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When others =>
primitive := B16_S2_S2;
End case;
When 16384 =>
primitive := B16_S1_S1;
When others =>
primitive := indeterminate;
End case;
When spartan2 | spartan2e | virtex | virtexe =>
Case target_depth Is
When 1 | 2 =>
primitive := indeterminate; -- depth is too small for BRAM
-- based fifo control logic
When 4 | 8 | 16 =>
-- primitive := use_srl; -- activate this when SRL FIFO is
-- incorporated
Case target_width Is -- use BRAM for now
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When 3 | 4 =>
primitive := B4_S4_S4;
When 5 | 6 | 7 | 8 =>
primitive := B4_S8_S8;
When others =>
primitive := B4_S16_S16;
End case;
when 32 | 64 | 128 | 256 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When 3 | 4 =>
primitive := B4_S4_S4;
When 5 | 6 | 7 | 8 =>
primitive := B4_S8_S8;
When others =>
primitive := B4_S16_S16;
End case;
when 512 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When 3 | 4 =>
primitive := B4_S4_S4;
When others =>
primitive := B4_S8_S8;
End case;
When 1024 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When others =>
primitive := B4_S4_S4;
End case;
When 2048 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When others =>
primitive := B4_S2_S2;
End case;
When 4096 =>
primitive := B4_S1_S1;
When others =>
primitive := indeterminate;
End case;
When others =>
primitive := indeterminate;
End case;
Return primitive;
end function get_bram_primitive;
-----------------------------------------------------------------------------
-- This function calculates the number of BRAM primitives required as
-- specified by the inputs for data width and BRAM primitive type.
-----------------------------------------------------------------------------
function get_num_prims (bram_prim : bram_prim_type;
mem_width : integer)
return integer is
Variable bram_num : integer;
begin
Case bram_prim Is
When B16_S1_S1 | B4_S1_S1 =>
bram_num := mem_width;
When B16_S2_S2 | B4_S2_S2 =>
bram_num := (mem_width+1)/2;
When B16_S4_S4 | B4_S4_S4 =>
bram_num := (mem_width+3)/4;
When B4_S8_S8 =>
bram_num := (mem_width+7)/8;
When B16_S9_S9 =>
bram_num := (mem_width+8)/9;
When B4_S16_S16 =>
bram_num := (mem_width+15)/16;
When B16_S18_S18 =>
bram_num := (mem_width+17)/18;
When B16_S36_S36 =>
bram_num := (mem_width+35)/36;
When others =>
bram_num := 1;
End case;
Return (bram_num);
end function get_num_prims;
-- Now set the global CONSTANTS needed for IF-Generates
-- Determine the number of BRAM storage locations needed
constant FIFO_DEPTH : Integer := 2**C_DP_ADDRESS_WIDTH;
-- Convert the input C_VIRTEX_II generic boolean to enumerated type
Constant BRAM_FAMILY : family_type :=
get_prim_family(C_VIRTEX_II);
-- Select the optimum BRAM primitive to use
constant BRAM_PRIMITIVE : bram_prim_type :=
get_bram_primitive(FIFO_DEPTH,
C_DP_DATA_WIDTH,
BRAM_FAMILY);
-- Calculate how many of the selected primitives are needed
-- to populate the desired data width
constant BRAM_NUM : integer :=
get_num_prims(BRAM_PRIMITIVE,
C_DP_DATA_WIDTH);
begin -- architecture
----------------------------------------------------------------------------
-- Using VII 512 x 36 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S36_S36 : if (BRAM_PRIMITIVE = B16_S36_S36) generate
component RAMB16_S36_S36
port (DIA : in STD_LOGIC_VECTOR (31 downto 0);
DIB : in STD_LOGIC_VECTOR (31 downto 0);
DIPA : in STD_LOGIC_VECTOR (3 downto 0);
DIPB : in STD_LOGIC_VECTOR (3 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in STD_LOGIC_VECTOR (8 downto 0);
ADDRB : in STD_LOGIC_VECTOR (8 downto 0);
DOA : out STD_LOGIC_VECTOR (31 downto 0);
DOB : out STD_LOGIC_VECTOR (31 downto 0);
DOPA : out STD_LOGIC_VECTOR (3 downto 0);
DOPB : out STD_LOGIC_VECTOR (3 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 9; -- 512 deep
Constant PRIM_PDBUS_WIDTH : integer := 4; -- 4 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 32; -- 4 parity data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
type pdbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_512x32 : RAMB16_S36_S36
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
DIPA => slice_a_pdbus_in(i),
DIPB => slice_b_pdbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i),
DOPA => slice_a_pdbus_out(i),
DOPB => slice_b_pdbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S36_S36;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 1024 x 18 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S18_S18 : if (BRAM_PRIMITIVE = B16_S18_S18) generate
component RAMB16_S18_S18
port (DIA : in STD_LOGIC_VECTOR (15 downto 0);
DIB : in STD_LOGIC_VECTOR (15 downto 0);
DIPA : in STD_LOGIC_VECTOR (1 downto 0);
DIPB : in STD_LOGIC_VECTOR (1 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in STD_LOGIC_VECTOR (9 downto 0);
ADDRB : in STD_LOGIC_VECTOR (9 downto 0);
DOA : out STD_LOGIC_VECTOR (15 downto 0);
DOB : out STD_LOGIC_VECTOR (15 downto 0);
DOPA : out STD_LOGIC_VECTOR (1 downto 0);
DOPB : out STD_LOGIC_VECTOR (1 downto 0)
);
end component;
Constant PRIM_ADDR_WIDTH : integer := 10; -- 1024 deep
Constant PRIM_PDBUS_WIDTH : integer := 2; -- 2 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 16; -- 16 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
type pdbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_1024x18 : RAMB16_S18_S18
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
DIPA => slice_a_pdbus_in(i),
DIPB => slice_b_pdbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i),
DOPA => slice_a_pdbus_out(i),
DOPB => slice_b_pdbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S18_S18;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 2048 x 9 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S9_S9 : if (BRAM_PRIMITIVE = B16_S9_S9) generate
component RAMB16_S9_S9
port (
DIA : in std_logic_vector (7 downto 0);
DIB : in std_logic_vector (7 downto 0);
DIPA : in std_logic_vector (0 downto 0);
DIPB : in std_logic_vector (0 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (10 downto 0);
ADDRB : in std_logic_vector (10 downto 0);
DOA : out std_logic_vector (7 downto 0);
DOB : out std_logic_vector (7 downto 0);
DOPA : out std_logic_vector (0 downto 0);
DOPB : out std_logic_vector (0 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 11; -- 2048 deep
Constant PRIM_PDBUS_WIDTH : integer := 1; -- 1 parity data bit
Constant PRIM_DBUS_WIDTH : integer := 8; -- 8 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
type pdbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_2048x9 : RAMB16_S9_S9
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
DIPA => slice_a_pdbus_in(i),
DIPB => slice_b_pdbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i),
DOPA => slice_a_pdbus_out(i),
DOPB => slice_b_pdbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S9_S9;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 4096 x 4 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S4_S4 : if (BRAM_PRIMITIVE = B16_S4_S4) generate
component RAMB16_S4_S4
port (
DIA : in std_logic_vector (3 downto 0);
DIB : in std_logic_vector (3 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (11 downto 0);
ADDRB : in std_logic_vector (11 downto 0);
DOA : out std_logic_vector (3 downto 0);
DOB : out std_logic_vector (3 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 12; -- 4096 deep
Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 4; -- 4 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--type pdbus_slice_array is array(BRAM_NUM downto 1) of
-- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
--slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
--slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_4096x4 : RAMB16_S4_S4
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S4_S4;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 8192 x 2 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S2_S2 : if (BRAM_PRIMITIVE = B16_S2_S2) generate
component RAMB16_S2_S2
port (
DIA : in std_logic_vector (1 downto 0);
DIB : in std_logic_vector (1 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (12 downto 0);
ADDRB : in std_logic_vector (12 downto 0);
DOA : out std_logic_vector (1 downto 0);
DOB : out std_logic_vector (1 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 13; -- 8192 deep
Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 2; -- 2 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--type pdbus_slice_array is array(BRAM_NUM downto 1) of
-- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
--slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
--slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_8192x2 : RAMB16_S2_S2
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S2_S2;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 16384 x 1 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S1_S1 : if (BRAM_PRIMITIVE = B16_S1_S1) generate
component RAMB16_S1_S1
port (
DIA : in std_logic_vector (0 downto 0);
DIB : in std_logic_vector (0 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (13 downto 0);
ADDRB : in std_logic_vector (13 downto 0);
DOA : out std_logic_vector (0 downto 0);
DOB : out std_logic_vector (0 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 14; -- 16384 deep
Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 1; -- 1 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--type pdbus_slice_array is array(BRAM_NUM downto 1) of
-- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
--slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
--slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_16384x1 : RAMB16_S1_S1
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S1_S1;
--==========================================================================
-- End of Virtex-II and Virtex-II Pro support
--///////////////////////////////////////////////////////////////////////////
--///////////////////////////////////////////////////////////////////////////
-- Start Spartan-II, Spartan-IIE, Virtex, and VirtexE support
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 4096 x 1 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S1_S1 : if (BRAM_PRIMITIVE = B4_S1_S1) generate
component RAMB4_S1_S1
port (
DIA : in std_logic_vector (0 downto 0);
DIB : in std_logic_vector (0 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (11 downto 0);
ADDRB : in std_logic_vector (11 downto 0);
DOA : out std_logic_vector (0 downto 0);
DOB : out std_logic_vector (0 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 12; -- 4096 deep
Constant PRIM_DBUS_WIDTH : integer := 1; -- 1 data bit
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_4096x1 : RAMB4_S1_S1
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S1_S1;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 2048 x 2 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S2_S2 : if (BRAM_PRIMITIVE = B4_S2_S2) generate
component RAMB4_S2_S2
port (
DIA : in std_logic_vector (1 downto 0);
DIB : in std_logic_vector (1 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (10 downto 0);
ADDRB : in std_logic_vector (10 downto 0);
DOA : out std_logic_vector (1 downto 0);
DOB : out std_logic_vector (1 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 11; -- 2048 deep
Constant PRIM_DBUS_WIDTH : integer := 2; -- 2 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_2048x2 : RAMB4_S2_S2
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S2_S2;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 1024 x 4 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S4_S4 : if (BRAM_PRIMITIVE = B4_S4_S4) generate
component RAMB4_S4_S4
port (
DIA : in std_logic_vector (3 downto 0);
DIB : in std_logic_vector (3 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (9 downto 0);
ADDRB : in std_logic_vector (9 downto 0);
DOA : out std_logic_vector (3 downto 0);
DOB : out std_logic_vector (3 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 10; -- 1024 deep
Constant PRIM_DBUS_WIDTH : integer := 4; -- 4 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_1024x4 : RAMB4_S4_S4
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S4_S4;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 512 x 8 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S8_S8 : if (BRAM_PRIMITIVE = B4_S8_S8) generate
component RAMB4_S8_S8
port (
DIA : in std_logic_vector (7 downto 0);
DIB : in std_logic_vector (7 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (8 downto 0);
ADDRB : in std_logic_vector (8 downto 0);
DOA : out std_logic_vector (7 downto 0);
DOB : out std_logic_vector (7 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 9; -- 512 deep
Constant PRIM_DBUS_WIDTH : integer := 8; -- 8 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_512x8 : RAMB4_S8_S8
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S8_S8;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 256 x 16 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S16_S16 : if (BRAM_PRIMITIVE = B4_S16_S16) generate
component RAMB4_S16_S16
port (DIA : in STD_LOGIC_VECTOR (15 downto 0);
DIB : in STD_LOGIC_VECTOR (15 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in STD_LOGIC_VECTOR (7 downto 0);
ADDRB : in STD_LOGIC_VECTOR (7 downto 0);
DOA : out STD_LOGIC_VECTOR (15 downto 0);
DOB : out STD_LOGIC_VECTOR (15 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 8; -- 256 deep
Constant PRIM_DBUS_WIDTH : integer := 16; -- 16 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_256x16 : RAMB4_S16_S16
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S16_S16;
--==========================================================================
UNSUPPORTED_FAMILY : if (BRAM_PRIMITIVE = indeterminate) generate
begin
-- assert (false)
-- report "Unsupported Part Family Selected or FIFO Depth/Width is invalid!"
-- severity failure;
--
end generate UNSUPPORTED_FAMILY;
end architecture implementation;
|
-------------------------------------------------------------------------------
-- $Id: pf_dpram_select.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $
-------------------------------------------------------------------------------
-- pf_dpram_select.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: pf_dpram_select.vhd
--
-- Description: This vhdl design file uses three input parameters describing
-- the desired storage depth, data width, and FPGA family type.
-- From these, the design selects the optimum Block RAM
-- primitive for the basic storage element and connects them
-- in parallel to accomodate the desired data width.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- pf_dpram_select.vhd
--
-------------------------------------------------------------------------------
-- Author: D. Thorpe
-- Revision: $Revision: 1.1.4.1 $
-- Date: $Date: 2010/09/14 22:35:47 $
--
-- History:
-- DET Oct. 7, 2001 First Version
-- - Adopted design concepts from Goran Bilski's
-- opb_bram.vhd design in the formulation of this
-- design for the Mauna Loa packet FIFO dual port
-- core function.
--
-- DET Oct-31-2001
-- - Changed the generic input parameter C_FAMILY of type string
-- back to the boolean type parameter C_VIRTEX_II. XST support
-- change.
--
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library unisim;
use unisim.all; -- uses BRAM primitives
-------------------------------------------------------------------------------
entity pf_dpram_select is
generic (
C_DP_DATA_WIDTH : Integer := 32;
C_DP_ADDRESS_WIDTH : Integer := 9;
C_VIRTEX_II : Boolean := true
);
port (
-- Write Port signals
Wr_rst : In std_logic;
Wr_Clk : in std_logic;
Wr_Enable : In std_logic;
Wr_Req : In std_logic;
Wr_Address : in std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1);
Wr_Data : In std_logic_vector(0 to C_DP_DATA_WIDTH-1);
-- Read Port Signals
Rd_rst : In std_logic;
Rd_Clk : in std_logic;
Rd_Enable : In std_logic;
Rd_Address : in std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1);
Rd_Data : out std_logic_vector(0 to C_DP_DATA_WIDTH-1)
);
end entity pf_dpram_select;
architecture implementation of pf_dpram_select is
Type family_type is (
any ,
x4k ,
x4ke ,
x4kl ,
x4kex ,
x4kxl ,
x4kxv ,
x4kxla ,
spartan ,
spartanxl,
spartan2 ,
spartan2e,
virtex ,
virtexe ,
virtex2 ,
virtex2p ,
unsupported
);
Type bram_prim_type is (
use_srl ,
B4_S1_S1 ,
B4_S2_S2 ,
B4_S4_S4 ,
B4_S8_S8 ,
B4_S16_S16 ,
B16_S1_S1 ,
B16_S2_S2 ,
B16_S4_S4 ,
B16_S9_S9 ,
B16_S18_S18 ,
B16_S36_S36 ,
indeterminate
);
-----------------------------------------------------------------------------
-- This function converts the input C_VIRTEX_II boolean type to an enumerated
-- type. Only Virtex and Virtex II types are currently supported. This
-- used to convert a string to a family type function but string support in
-- the synthesis tools was found to be mutually exclusive between Synplicity
-- and XST.
-----------------------------------------------------------------------------
function get_prim_family (vertex2_select : boolean) return family_type is
Variable prim_family : family_type;
begin
If (vertex2_select) Then
prim_family := virtex2;
else
prim_family := virtex;
End if;
Return (prim_family);
end function get_prim_family;
-----------------------------------------------------------------------------
-- This function chooses the optimum BRAM primitive to utilize as
-- specified by the inputs for data depth, data width, and FPGA part family.
-----------------------------------------------------------------------------
function get_bram_primitive (target_depth: integer;
target_width: integer;
family : family_type )
return bram_prim_type is
Variable primitive : bram_prim_type;
begin
Case family Is
When virtex2p | virtex2 =>
Case target_depth Is
When 1 | 2 =>
primitive := indeterminate; -- depth is too small for BRAM
-- based fifo control logic
When 4 | 8 | 16 =>
-- primitive := use_srl; -- activate when SRL FIFO incorporated
Case target_width Is -- use BRAM for now
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When 5 | 6 | 7 | 8 | 9 =>
primitive := B16_S9_S9;
When 10 | 11 | 12 | 13 | 14 |
15 | 16 | 17 | 18 =>
primitive := B16_S18_S18;
When others =>
primitive := B16_S36_S36;
End case;
when 32 | 64 | 128 | 256 | 512 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When 5 | 6 | 7 | 8 | 9 =>
primitive := B16_S9_S9;
When 10 | 11 | 12 | 13 | 14 |
15 | 16 | 17 | 18 =>
primitive := B16_S18_S18;
When others =>
primitive := B16_S36_S36;
End case;
When 1024 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When 5 | 6 | 7 | 8 | 9 =>
primitive := B16_S9_S9;
When others =>
primitive := B16_S18_S18;
End case;
When 2048 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When others =>
primitive := B16_S9_S9;
End case;
When 4096 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When others =>
primitive := B16_S4_S4;
End case;
When 8192 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When others =>
primitive := B16_S2_S2;
End case;
When 16384 =>
primitive := B16_S1_S1;
When others =>
primitive := indeterminate;
End case;
When spartan2 | spartan2e | virtex | virtexe =>
Case target_depth Is
When 1 | 2 =>
primitive := indeterminate; -- depth is too small for BRAM
-- based fifo control logic
When 4 | 8 | 16 =>
-- primitive := use_srl; -- activate this when SRL FIFO is
-- incorporated
Case target_width Is -- use BRAM for now
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When 3 | 4 =>
primitive := B4_S4_S4;
When 5 | 6 | 7 | 8 =>
primitive := B4_S8_S8;
When others =>
primitive := B4_S16_S16;
End case;
when 32 | 64 | 128 | 256 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When 3 | 4 =>
primitive := B4_S4_S4;
When 5 | 6 | 7 | 8 =>
primitive := B4_S8_S8;
When others =>
primitive := B4_S16_S16;
End case;
when 512 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When 3 | 4 =>
primitive := B4_S4_S4;
When others =>
primitive := B4_S8_S8;
End case;
When 1024 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When others =>
primitive := B4_S4_S4;
End case;
When 2048 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When others =>
primitive := B4_S2_S2;
End case;
When 4096 =>
primitive := B4_S1_S1;
When others =>
primitive := indeterminate;
End case;
When others =>
primitive := indeterminate;
End case;
Return primitive;
end function get_bram_primitive;
-----------------------------------------------------------------------------
-- This function calculates the number of BRAM primitives required as
-- specified by the inputs for data width and BRAM primitive type.
-----------------------------------------------------------------------------
function get_num_prims (bram_prim : bram_prim_type;
mem_width : integer)
return integer is
Variable bram_num : integer;
begin
Case bram_prim Is
When B16_S1_S1 | B4_S1_S1 =>
bram_num := mem_width;
When B16_S2_S2 | B4_S2_S2 =>
bram_num := (mem_width+1)/2;
When B16_S4_S4 | B4_S4_S4 =>
bram_num := (mem_width+3)/4;
When B4_S8_S8 =>
bram_num := (mem_width+7)/8;
When B16_S9_S9 =>
bram_num := (mem_width+8)/9;
When B4_S16_S16 =>
bram_num := (mem_width+15)/16;
When B16_S18_S18 =>
bram_num := (mem_width+17)/18;
When B16_S36_S36 =>
bram_num := (mem_width+35)/36;
When others =>
bram_num := 1;
End case;
Return (bram_num);
end function get_num_prims;
-- Now set the global CONSTANTS needed for IF-Generates
-- Determine the number of BRAM storage locations needed
constant FIFO_DEPTH : Integer := 2**C_DP_ADDRESS_WIDTH;
-- Convert the input C_VIRTEX_II generic boolean to enumerated type
Constant BRAM_FAMILY : family_type :=
get_prim_family(C_VIRTEX_II);
-- Select the optimum BRAM primitive to use
constant BRAM_PRIMITIVE : bram_prim_type :=
get_bram_primitive(FIFO_DEPTH,
C_DP_DATA_WIDTH,
BRAM_FAMILY);
-- Calculate how many of the selected primitives are needed
-- to populate the desired data width
constant BRAM_NUM : integer :=
get_num_prims(BRAM_PRIMITIVE,
C_DP_DATA_WIDTH);
begin -- architecture
----------------------------------------------------------------------------
-- Using VII 512 x 36 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S36_S36 : if (BRAM_PRIMITIVE = B16_S36_S36) generate
component RAMB16_S36_S36
port (DIA : in STD_LOGIC_VECTOR (31 downto 0);
DIB : in STD_LOGIC_VECTOR (31 downto 0);
DIPA : in STD_LOGIC_VECTOR (3 downto 0);
DIPB : in STD_LOGIC_VECTOR (3 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in STD_LOGIC_VECTOR (8 downto 0);
ADDRB : in STD_LOGIC_VECTOR (8 downto 0);
DOA : out STD_LOGIC_VECTOR (31 downto 0);
DOB : out STD_LOGIC_VECTOR (31 downto 0);
DOPA : out STD_LOGIC_VECTOR (3 downto 0);
DOPB : out STD_LOGIC_VECTOR (3 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 9; -- 512 deep
Constant PRIM_PDBUS_WIDTH : integer := 4; -- 4 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 32; -- 4 parity data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
type pdbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_512x32 : RAMB16_S36_S36
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
DIPA => slice_a_pdbus_in(i),
DIPB => slice_b_pdbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i),
DOPA => slice_a_pdbus_out(i),
DOPB => slice_b_pdbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S36_S36;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 1024 x 18 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S18_S18 : if (BRAM_PRIMITIVE = B16_S18_S18) generate
component RAMB16_S18_S18
port (DIA : in STD_LOGIC_VECTOR (15 downto 0);
DIB : in STD_LOGIC_VECTOR (15 downto 0);
DIPA : in STD_LOGIC_VECTOR (1 downto 0);
DIPB : in STD_LOGIC_VECTOR (1 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in STD_LOGIC_VECTOR (9 downto 0);
ADDRB : in STD_LOGIC_VECTOR (9 downto 0);
DOA : out STD_LOGIC_VECTOR (15 downto 0);
DOB : out STD_LOGIC_VECTOR (15 downto 0);
DOPA : out STD_LOGIC_VECTOR (1 downto 0);
DOPB : out STD_LOGIC_VECTOR (1 downto 0)
);
end component;
Constant PRIM_ADDR_WIDTH : integer := 10; -- 1024 deep
Constant PRIM_PDBUS_WIDTH : integer := 2; -- 2 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 16; -- 16 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
type pdbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_1024x18 : RAMB16_S18_S18
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
DIPA => slice_a_pdbus_in(i),
DIPB => slice_b_pdbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i),
DOPA => slice_a_pdbus_out(i),
DOPB => slice_b_pdbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S18_S18;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 2048 x 9 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S9_S9 : if (BRAM_PRIMITIVE = B16_S9_S9) generate
component RAMB16_S9_S9
port (
DIA : in std_logic_vector (7 downto 0);
DIB : in std_logic_vector (7 downto 0);
DIPA : in std_logic_vector (0 downto 0);
DIPB : in std_logic_vector (0 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (10 downto 0);
ADDRB : in std_logic_vector (10 downto 0);
DOA : out std_logic_vector (7 downto 0);
DOB : out std_logic_vector (7 downto 0);
DOPA : out std_logic_vector (0 downto 0);
DOPB : out std_logic_vector (0 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 11; -- 2048 deep
Constant PRIM_PDBUS_WIDTH : integer := 1; -- 1 parity data bit
Constant PRIM_DBUS_WIDTH : integer := 8; -- 8 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
type pdbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_2048x9 : RAMB16_S9_S9
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
DIPA => slice_a_pdbus_in(i),
DIPB => slice_b_pdbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i),
DOPA => slice_a_pdbus_out(i),
DOPB => slice_b_pdbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S9_S9;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 4096 x 4 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S4_S4 : if (BRAM_PRIMITIVE = B16_S4_S4) generate
component RAMB16_S4_S4
port (
DIA : in std_logic_vector (3 downto 0);
DIB : in std_logic_vector (3 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (11 downto 0);
ADDRB : in std_logic_vector (11 downto 0);
DOA : out std_logic_vector (3 downto 0);
DOB : out std_logic_vector (3 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 12; -- 4096 deep
Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 4; -- 4 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--type pdbus_slice_array is array(BRAM_NUM downto 1) of
-- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
--slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
--slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_4096x4 : RAMB16_S4_S4
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S4_S4;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 8192 x 2 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S2_S2 : if (BRAM_PRIMITIVE = B16_S2_S2) generate
component RAMB16_S2_S2
port (
DIA : in std_logic_vector (1 downto 0);
DIB : in std_logic_vector (1 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (12 downto 0);
ADDRB : in std_logic_vector (12 downto 0);
DOA : out std_logic_vector (1 downto 0);
DOB : out std_logic_vector (1 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 13; -- 8192 deep
Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 2; -- 2 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--type pdbus_slice_array is array(BRAM_NUM downto 1) of
-- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
--slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
--slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_8192x2 : RAMB16_S2_S2
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S2_S2;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 16384 x 1 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S1_S1 : if (BRAM_PRIMITIVE = B16_S1_S1) generate
component RAMB16_S1_S1
port (
DIA : in std_logic_vector (0 downto 0);
DIB : in std_logic_vector (0 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (13 downto 0);
ADDRB : in std_logic_vector (13 downto 0);
DOA : out std_logic_vector (0 downto 0);
DOB : out std_logic_vector (0 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 14; -- 16384 deep
Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 1; -- 1 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--type pdbus_slice_array is array(BRAM_NUM downto 1) of
-- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
--slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
--slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_16384x1 : RAMB16_S1_S1
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S1_S1;
--==========================================================================
-- End of Virtex-II and Virtex-II Pro support
--///////////////////////////////////////////////////////////////////////////
--///////////////////////////////////////////////////////////////////////////
-- Start Spartan-II, Spartan-IIE, Virtex, and VirtexE support
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 4096 x 1 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S1_S1 : if (BRAM_PRIMITIVE = B4_S1_S1) generate
component RAMB4_S1_S1
port (
DIA : in std_logic_vector (0 downto 0);
DIB : in std_logic_vector (0 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (11 downto 0);
ADDRB : in std_logic_vector (11 downto 0);
DOA : out std_logic_vector (0 downto 0);
DOB : out std_logic_vector (0 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 12; -- 4096 deep
Constant PRIM_DBUS_WIDTH : integer := 1; -- 1 data bit
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_4096x1 : RAMB4_S1_S1
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S1_S1;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 2048 x 2 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S2_S2 : if (BRAM_PRIMITIVE = B4_S2_S2) generate
component RAMB4_S2_S2
port (
DIA : in std_logic_vector (1 downto 0);
DIB : in std_logic_vector (1 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (10 downto 0);
ADDRB : in std_logic_vector (10 downto 0);
DOA : out std_logic_vector (1 downto 0);
DOB : out std_logic_vector (1 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 11; -- 2048 deep
Constant PRIM_DBUS_WIDTH : integer := 2; -- 2 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_2048x2 : RAMB4_S2_S2
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S2_S2;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 1024 x 4 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S4_S4 : if (BRAM_PRIMITIVE = B4_S4_S4) generate
component RAMB4_S4_S4
port (
DIA : in std_logic_vector (3 downto 0);
DIB : in std_logic_vector (3 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (9 downto 0);
ADDRB : in std_logic_vector (9 downto 0);
DOA : out std_logic_vector (3 downto 0);
DOB : out std_logic_vector (3 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 10; -- 1024 deep
Constant PRIM_DBUS_WIDTH : integer := 4; -- 4 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_1024x4 : RAMB4_S4_S4
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S4_S4;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 512 x 8 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S8_S8 : if (BRAM_PRIMITIVE = B4_S8_S8) generate
component RAMB4_S8_S8
port (
DIA : in std_logic_vector (7 downto 0);
DIB : in std_logic_vector (7 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (8 downto 0);
ADDRB : in std_logic_vector (8 downto 0);
DOA : out std_logic_vector (7 downto 0);
DOB : out std_logic_vector (7 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 9; -- 512 deep
Constant PRIM_DBUS_WIDTH : integer := 8; -- 8 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_512x8 : RAMB4_S8_S8
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S8_S8;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 256 x 16 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S16_S16 : if (BRAM_PRIMITIVE = B4_S16_S16) generate
component RAMB4_S16_S16
port (DIA : in STD_LOGIC_VECTOR (15 downto 0);
DIB : in STD_LOGIC_VECTOR (15 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in STD_LOGIC_VECTOR (7 downto 0);
ADDRB : in STD_LOGIC_VECTOR (7 downto 0);
DOA : out STD_LOGIC_VECTOR (15 downto 0);
DOB : out STD_LOGIC_VECTOR (15 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 8; -- 256 deep
Constant PRIM_DBUS_WIDTH : integer := 16; -- 16 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_256x16 : RAMB4_S16_S16
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S16_S16;
--==========================================================================
UNSUPPORTED_FAMILY : if (BRAM_PRIMITIVE = indeterminate) generate
begin
-- assert (false)
-- report "Unsupported Part Family Selected or FIFO Depth/Width is invalid!"
-- severity failure;
--
end generate UNSUPPORTED_FAMILY;
end architecture implementation;
|
-------------------------------------------------------------------------------
-- $Id: pf_dpram_select.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $
-------------------------------------------------------------------------------
-- pf_dpram_select.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: pf_dpram_select.vhd
--
-- Description: This vhdl design file uses three input parameters describing
-- the desired storage depth, data width, and FPGA family type.
-- From these, the design selects the optimum Block RAM
-- primitive for the basic storage element and connects them
-- in parallel to accomodate the desired data width.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- pf_dpram_select.vhd
--
-------------------------------------------------------------------------------
-- Author: D. Thorpe
-- Revision: $Revision: 1.1.4.1 $
-- Date: $Date: 2010/09/14 22:35:47 $
--
-- History:
-- DET Oct. 7, 2001 First Version
-- - Adopted design concepts from Goran Bilski's
-- opb_bram.vhd design in the formulation of this
-- design for the Mauna Loa packet FIFO dual port
-- core function.
--
-- DET Oct-31-2001
-- - Changed the generic input parameter C_FAMILY of type string
-- back to the boolean type parameter C_VIRTEX_II. XST support
-- change.
--
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library unisim;
use unisim.all; -- uses BRAM primitives
-------------------------------------------------------------------------------
entity pf_dpram_select is
generic (
C_DP_DATA_WIDTH : Integer := 32;
C_DP_ADDRESS_WIDTH : Integer := 9;
C_VIRTEX_II : Boolean := true
);
port (
-- Write Port signals
Wr_rst : In std_logic;
Wr_Clk : in std_logic;
Wr_Enable : In std_logic;
Wr_Req : In std_logic;
Wr_Address : in std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1);
Wr_Data : In std_logic_vector(0 to C_DP_DATA_WIDTH-1);
-- Read Port Signals
Rd_rst : In std_logic;
Rd_Clk : in std_logic;
Rd_Enable : In std_logic;
Rd_Address : in std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1);
Rd_Data : out std_logic_vector(0 to C_DP_DATA_WIDTH-1)
);
end entity pf_dpram_select;
architecture implementation of pf_dpram_select is
Type family_type is (
any ,
x4k ,
x4ke ,
x4kl ,
x4kex ,
x4kxl ,
x4kxv ,
x4kxla ,
spartan ,
spartanxl,
spartan2 ,
spartan2e,
virtex ,
virtexe ,
virtex2 ,
virtex2p ,
unsupported
);
Type bram_prim_type is (
use_srl ,
B4_S1_S1 ,
B4_S2_S2 ,
B4_S4_S4 ,
B4_S8_S8 ,
B4_S16_S16 ,
B16_S1_S1 ,
B16_S2_S2 ,
B16_S4_S4 ,
B16_S9_S9 ,
B16_S18_S18 ,
B16_S36_S36 ,
indeterminate
);
-----------------------------------------------------------------------------
-- This function converts the input C_VIRTEX_II boolean type to an enumerated
-- type. Only Virtex and Virtex II types are currently supported. This
-- used to convert a string to a family type function but string support in
-- the synthesis tools was found to be mutually exclusive between Synplicity
-- and XST.
-----------------------------------------------------------------------------
function get_prim_family (vertex2_select : boolean) return family_type is
Variable prim_family : family_type;
begin
If (vertex2_select) Then
prim_family := virtex2;
else
prim_family := virtex;
End if;
Return (prim_family);
end function get_prim_family;
-----------------------------------------------------------------------------
-- This function chooses the optimum BRAM primitive to utilize as
-- specified by the inputs for data depth, data width, and FPGA part family.
-----------------------------------------------------------------------------
function get_bram_primitive (target_depth: integer;
target_width: integer;
family : family_type )
return bram_prim_type is
Variable primitive : bram_prim_type;
begin
Case family Is
When virtex2p | virtex2 =>
Case target_depth Is
When 1 | 2 =>
primitive := indeterminate; -- depth is too small for BRAM
-- based fifo control logic
When 4 | 8 | 16 =>
-- primitive := use_srl; -- activate when SRL FIFO incorporated
Case target_width Is -- use BRAM for now
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When 5 | 6 | 7 | 8 | 9 =>
primitive := B16_S9_S9;
When 10 | 11 | 12 | 13 | 14 |
15 | 16 | 17 | 18 =>
primitive := B16_S18_S18;
When others =>
primitive := B16_S36_S36;
End case;
when 32 | 64 | 128 | 256 | 512 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When 5 | 6 | 7 | 8 | 9 =>
primitive := B16_S9_S9;
When 10 | 11 | 12 | 13 | 14 |
15 | 16 | 17 | 18 =>
primitive := B16_S18_S18;
When others =>
primitive := B16_S36_S36;
End case;
When 1024 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When 5 | 6 | 7 | 8 | 9 =>
primitive := B16_S9_S9;
When others =>
primitive := B16_S18_S18;
End case;
When 2048 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When others =>
primitive := B16_S9_S9;
End case;
When 4096 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When others =>
primitive := B16_S4_S4;
End case;
When 8192 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When others =>
primitive := B16_S2_S2;
End case;
When 16384 =>
primitive := B16_S1_S1;
When others =>
primitive := indeterminate;
End case;
When spartan2 | spartan2e | virtex | virtexe =>
Case target_depth Is
When 1 | 2 =>
primitive := indeterminate; -- depth is too small for BRAM
-- based fifo control logic
When 4 | 8 | 16 =>
-- primitive := use_srl; -- activate this when SRL FIFO is
-- incorporated
Case target_width Is -- use BRAM for now
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When 3 | 4 =>
primitive := B4_S4_S4;
When 5 | 6 | 7 | 8 =>
primitive := B4_S8_S8;
When others =>
primitive := B4_S16_S16;
End case;
when 32 | 64 | 128 | 256 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When 3 | 4 =>
primitive := B4_S4_S4;
When 5 | 6 | 7 | 8 =>
primitive := B4_S8_S8;
When others =>
primitive := B4_S16_S16;
End case;
when 512 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When 3 | 4 =>
primitive := B4_S4_S4;
When others =>
primitive := B4_S8_S8;
End case;
When 1024 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When others =>
primitive := B4_S4_S4;
End case;
When 2048 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When others =>
primitive := B4_S2_S2;
End case;
When 4096 =>
primitive := B4_S1_S1;
When others =>
primitive := indeterminate;
End case;
When others =>
primitive := indeterminate;
End case;
Return primitive;
end function get_bram_primitive;
-----------------------------------------------------------------------------
-- This function calculates the number of BRAM primitives required as
-- specified by the inputs for data width and BRAM primitive type.
-----------------------------------------------------------------------------
function get_num_prims (bram_prim : bram_prim_type;
mem_width : integer)
return integer is
Variable bram_num : integer;
begin
Case bram_prim Is
When B16_S1_S1 | B4_S1_S1 =>
bram_num := mem_width;
When B16_S2_S2 | B4_S2_S2 =>
bram_num := (mem_width+1)/2;
When B16_S4_S4 | B4_S4_S4 =>
bram_num := (mem_width+3)/4;
When B4_S8_S8 =>
bram_num := (mem_width+7)/8;
When B16_S9_S9 =>
bram_num := (mem_width+8)/9;
When B4_S16_S16 =>
bram_num := (mem_width+15)/16;
When B16_S18_S18 =>
bram_num := (mem_width+17)/18;
When B16_S36_S36 =>
bram_num := (mem_width+35)/36;
When others =>
bram_num := 1;
End case;
Return (bram_num);
end function get_num_prims;
-- Now set the global CONSTANTS needed for IF-Generates
-- Determine the number of BRAM storage locations needed
constant FIFO_DEPTH : Integer := 2**C_DP_ADDRESS_WIDTH;
-- Convert the input C_VIRTEX_II generic boolean to enumerated type
Constant BRAM_FAMILY : family_type :=
get_prim_family(C_VIRTEX_II);
-- Select the optimum BRAM primitive to use
constant BRAM_PRIMITIVE : bram_prim_type :=
get_bram_primitive(FIFO_DEPTH,
C_DP_DATA_WIDTH,
BRAM_FAMILY);
-- Calculate how many of the selected primitives are needed
-- to populate the desired data width
constant BRAM_NUM : integer :=
get_num_prims(BRAM_PRIMITIVE,
C_DP_DATA_WIDTH);
begin -- architecture
----------------------------------------------------------------------------
-- Using VII 512 x 36 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S36_S36 : if (BRAM_PRIMITIVE = B16_S36_S36) generate
component RAMB16_S36_S36
port (DIA : in STD_LOGIC_VECTOR (31 downto 0);
DIB : in STD_LOGIC_VECTOR (31 downto 0);
DIPA : in STD_LOGIC_VECTOR (3 downto 0);
DIPB : in STD_LOGIC_VECTOR (3 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in STD_LOGIC_VECTOR (8 downto 0);
ADDRB : in STD_LOGIC_VECTOR (8 downto 0);
DOA : out STD_LOGIC_VECTOR (31 downto 0);
DOB : out STD_LOGIC_VECTOR (31 downto 0);
DOPA : out STD_LOGIC_VECTOR (3 downto 0);
DOPB : out STD_LOGIC_VECTOR (3 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 9; -- 512 deep
Constant PRIM_PDBUS_WIDTH : integer := 4; -- 4 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 32; -- 4 parity data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
type pdbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_512x32 : RAMB16_S36_S36
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
DIPA => slice_a_pdbus_in(i),
DIPB => slice_b_pdbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i),
DOPA => slice_a_pdbus_out(i),
DOPB => slice_b_pdbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S36_S36;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 1024 x 18 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S18_S18 : if (BRAM_PRIMITIVE = B16_S18_S18) generate
component RAMB16_S18_S18
port (DIA : in STD_LOGIC_VECTOR (15 downto 0);
DIB : in STD_LOGIC_VECTOR (15 downto 0);
DIPA : in STD_LOGIC_VECTOR (1 downto 0);
DIPB : in STD_LOGIC_VECTOR (1 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in STD_LOGIC_VECTOR (9 downto 0);
ADDRB : in STD_LOGIC_VECTOR (9 downto 0);
DOA : out STD_LOGIC_VECTOR (15 downto 0);
DOB : out STD_LOGIC_VECTOR (15 downto 0);
DOPA : out STD_LOGIC_VECTOR (1 downto 0);
DOPB : out STD_LOGIC_VECTOR (1 downto 0)
);
end component;
Constant PRIM_ADDR_WIDTH : integer := 10; -- 1024 deep
Constant PRIM_PDBUS_WIDTH : integer := 2; -- 2 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 16; -- 16 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
type pdbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_1024x18 : RAMB16_S18_S18
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
DIPA => slice_a_pdbus_in(i),
DIPB => slice_b_pdbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i),
DOPA => slice_a_pdbus_out(i),
DOPB => slice_b_pdbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S18_S18;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 2048 x 9 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S9_S9 : if (BRAM_PRIMITIVE = B16_S9_S9) generate
component RAMB16_S9_S9
port (
DIA : in std_logic_vector (7 downto 0);
DIB : in std_logic_vector (7 downto 0);
DIPA : in std_logic_vector (0 downto 0);
DIPB : in std_logic_vector (0 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (10 downto 0);
ADDRB : in std_logic_vector (10 downto 0);
DOA : out std_logic_vector (7 downto 0);
DOB : out std_logic_vector (7 downto 0);
DOPA : out std_logic_vector (0 downto 0);
DOPB : out std_logic_vector (0 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 11; -- 2048 deep
Constant PRIM_PDBUS_WIDTH : integer := 1; -- 1 parity data bit
Constant PRIM_DBUS_WIDTH : integer := 8; -- 8 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
type pdbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_2048x9 : RAMB16_S9_S9
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
DIPA => slice_a_pdbus_in(i),
DIPB => slice_b_pdbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i),
DOPA => slice_a_pdbus_out(i),
DOPB => slice_b_pdbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S9_S9;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 4096 x 4 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S4_S4 : if (BRAM_PRIMITIVE = B16_S4_S4) generate
component RAMB16_S4_S4
port (
DIA : in std_logic_vector (3 downto 0);
DIB : in std_logic_vector (3 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (11 downto 0);
ADDRB : in std_logic_vector (11 downto 0);
DOA : out std_logic_vector (3 downto 0);
DOB : out std_logic_vector (3 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 12; -- 4096 deep
Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 4; -- 4 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--type pdbus_slice_array is array(BRAM_NUM downto 1) of
-- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
--slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
--slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_4096x4 : RAMB16_S4_S4
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S4_S4;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 8192 x 2 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S2_S2 : if (BRAM_PRIMITIVE = B16_S2_S2) generate
component RAMB16_S2_S2
port (
DIA : in std_logic_vector (1 downto 0);
DIB : in std_logic_vector (1 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (12 downto 0);
ADDRB : in std_logic_vector (12 downto 0);
DOA : out std_logic_vector (1 downto 0);
DOB : out std_logic_vector (1 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 13; -- 8192 deep
Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 2; -- 2 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--type pdbus_slice_array is array(BRAM_NUM downto 1) of
-- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
--slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
--slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_8192x2 : RAMB16_S2_S2
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S2_S2;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 16384 x 1 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S1_S1 : if (BRAM_PRIMITIVE = B16_S1_S1) generate
component RAMB16_S1_S1
port (
DIA : in std_logic_vector (0 downto 0);
DIB : in std_logic_vector (0 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (13 downto 0);
ADDRB : in std_logic_vector (13 downto 0);
DOA : out std_logic_vector (0 downto 0);
DOB : out std_logic_vector (0 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 14; -- 16384 deep
Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 1; -- 1 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--type pdbus_slice_array is array(BRAM_NUM downto 1) of
-- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
--slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
--slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_16384x1 : RAMB16_S1_S1
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S1_S1;
--==========================================================================
-- End of Virtex-II and Virtex-II Pro support
--///////////////////////////////////////////////////////////////////////////
--///////////////////////////////////////////////////////////////////////////
-- Start Spartan-II, Spartan-IIE, Virtex, and VirtexE support
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 4096 x 1 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S1_S1 : if (BRAM_PRIMITIVE = B4_S1_S1) generate
component RAMB4_S1_S1
port (
DIA : in std_logic_vector (0 downto 0);
DIB : in std_logic_vector (0 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (11 downto 0);
ADDRB : in std_logic_vector (11 downto 0);
DOA : out std_logic_vector (0 downto 0);
DOB : out std_logic_vector (0 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 12; -- 4096 deep
Constant PRIM_DBUS_WIDTH : integer := 1; -- 1 data bit
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_4096x1 : RAMB4_S1_S1
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S1_S1;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 2048 x 2 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S2_S2 : if (BRAM_PRIMITIVE = B4_S2_S2) generate
component RAMB4_S2_S2
port (
DIA : in std_logic_vector (1 downto 0);
DIB : in std_logic_vector (1 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (10 downto 0);
ADDRB : in std_logic_vector (10 downto 0);
DOA : out std_logic_vector (1 downto 0);
DOB : out std_logic_vector (1 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 11; -- 2048 deep
Constant PRIM_DBUS_WIDTH : integer := 2; -- 2 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_2048x2 : RAMB4_S2_S2
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S2_S2;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 1024 x 4 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S4_S4 : if (BRAM_PRIMITIVE = B4_S4_S4) generate
component RAMB4_S4_S4
port (
DIA : in std_logic_vector (3 downto 0);
DIB : in std_logic_vector (3 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (9 downto 0);
ADDRB : in std_logic_vector (9 downto 0);
DOA : out std_logic_vector (3 downto 0);
DOB : out std_logic_vector (3 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 10; -- 1024 deep
Constant PRIM_DBUS_WIDTH : integer := 4; -- 4 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_1024x4 : RAMB4_S4_S4
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S4_S4;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 512 x 8 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S8_S8 : if (BRAM_PRIMITIVE = B4_S8_S8) generate
component RAMB4_S8_S8
port (
DIA : in std_logic_vector (7 downto 0);
DIB : in std_logic_vector (7 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (8 downto 0);
ADDRB : in std_logic_vector (8 downto 0);
DOA : out std_logic_vector (7 downto 0);
DOB : out std_logic_vector (7 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 9; -- 512 deep
Constant PRIM_DBUS_WIDTH : integer := 8; -- 8 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_512x8 : RAMB4_S8_S8
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S8_S8;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 256 x 16 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S16_S16 : if (BRAM_PRIMITIVE = B4_S16_S16) generate
component RAMB4_S16_S16
port (DIA : in STD_LOGIC_VECTOR (15 downto 0);
DIB : in STD_LOGIC_VECTOR (15 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in STD_LOGIC_VECTOR (7 downto 0);
ADDRB : in STD_LOGIC_VECTOR (7 downto 0);
DOA : out STD_LOGIC_VECTOR (15 downto 0);
DOB : out STD_LOGIC_VECTOR (15 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 8; -- 256 deep
Constant PRIM_DBUS_WIDTH : integer := 16; -- 16 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_256x16 : RAMB4_S16_S16
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S16_S16;
--==========================================================================
UNSUPPORTED_FAMILY : if (BRAM_PRIMITIVE = indeterminate) generate
begin
-- assert (false)
-- report "Unsupported Part Family Selected or FIFO Depth/Width is invalid!"
-- severity failure;
--
end generate UNSUPPORTED_FAMILY;
end architecture implementation;
|
-------------------------------------------------------------------------------
-- $Id: pf_dpram_select.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $
-------------------------------------------------------------------------------
-- pf_dpram_select.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: pf_dpram_select.vhd
--
-- Description: This vhdl design file uses three input parameters describing
-- the desired storage depth, data width, and FPGA family type.
-- From these, the design selects the optimum Block RAM
-- primitive for the basic storage element and connects them
-- in parallel to accomodate the desired data width.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- pf_dpram_select.vhd
--
-------------------------------------------------------------------------------
-- Author: D. Thorpe
-- Revision: $Revision: 1.1.4.1 $
-- Date: $Date: 2010/09/14 22:35:47 $
--
-- History:
-- DET Oct. 7, 2001 First Version
-- - Adopted design concepts from Goran Bilski's
-- opb_bram.vhd design in the formulation of this
-- design for the Mauna Loa packet FIFO dual port
-- core function.
--
-- DET Oct-31-2001
-- - Changed the generic input parameter C_FAMILY of type string
-- back to the boolean type parameter C_VIRTEX_II. XST support
-- change.
--
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library unisim;
use unisim.all; -- uses BRAM primitives
-------------------------------------------------------------------------------
entity pf_dpram_select is
generic (
C_DP_DATA_WIDTH : Integer := 32;
C_DP_ADDRESS_WIDTH : Integer := 9;
C_VIRTEX_II : Boolean := true
);
port (
-- Write Port signals
Wr_rst : In std_logic;
Wr_Clk : in std_logic;
Wr_Enable : In std_logic;
Wr_Req : In std_logic;
Wr_Address : in std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1);
Wr_Data : In std_logic_vector(0 to C_DP_DATA_WIDTH-1);
-- Read Port Signals
Rd_rst : In std_logic;
Rd_Clk : in std_logic;
Rd_Enable : In std_logic;
Rd_Address : in std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1);
Rd_Data : out std_logic_vector(0 to C_DP_DATA_WIDTH-1)
);
end entity pf_dpram_select;
architecture implementation of pf_dpram_select is
Type family_type is (
any ,
x4k ,
x4ke ,
x4kl ,
x4kex ,
x4kxl ,
x4kxv ,
x4kxla ,
spartan ,
spartanxl,
spartan2 ,
spartan2e,
virtex ,
virtexe ,
virtex2 ,
virtex2p ,
unsupported
);
Type bram_prim_type is (
use_srl ,
B4_S1_S1 ,
B4_S2_S2 ,
B4_S4_S4 ,
B4_S8_S8 ,
B4_S16_S16 ,
B16_S1_S1 ,
B16_S2_S2 ,
B16_S4_S4 ,
B16_S9_S9 ,
B16_S18_S18 ,
B16_S36_S36 ,
indeterminate
);
-----------------------------------------------------------------------------
-- This function converts the input C_VIRTEX_II boolean type to an enumerated
-- type. Only Virtex and Virtex II types are currently supported. This
-- used to convert a string to a family type function but string support in
-- the synthesis tools was found to be mutually exclusive between Synplicity
-- and XST.
-----------------------------------------------------------------------------
function get_prim_family (vertex2_select : boolean) return family_type is
Variable prim_family : family_type;
begin
If (vertex2_select) Then
prim_family := virtex2;
else
prim_family := virtex;
End if;
Return (prim_family);
end function get_prim_family;
-----------------------------------------------------------------------------
-- This function chooses the optimum BRAM primitive to utilize as
-- specified by the inputs for data depth, data width, and FPGA part family.
-----------------------------------------------------------------------------
function get_bram_primitive (target_depth: integer;
target_width: integer;
family : family_type )
return bram_prim_type is
Variable primitive : bram_prim_type;
begin
Case family Is
When virtex2p | virtex2 =>
Case target_depth Is
When 1 | 2 =>
primitive := indeterminate; -- depth is too small for BRAM
-- based fifo control logic
When 4 | 8 | 16 =>
-- primitive := use_srl; -- activate when SRL FIFO incorporated
Case target_width Is -- use BRAM for now
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When 5 | 6 | 7 | 8 | 9 =>
primitive := B16_S9_S9;
When 10 | 11 | 12 | 13 | 14 |
15 | 16 | 17 | 18 =>
primitive := B16_S18_S18;
When others =>
primitive := B16_S36_S36;
End case;
when 32 | 64 | 128 | 256 | 512 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When 5 | 6 | 7 | 8 | 9 =>
primitive := B16_S9_S9;
When 10 | 11 | 12 | 13 | 14 |
15 | 16 | 17 | 18 =>
primitive := B16_S18_S18;
When others =>
primitive := B16_S36_S36;
End case;
When 1024 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When 5 | 6 | 7 | 8 | 9 =>
primitive := B16_S9_S9;
When others =>
primitive := B16_S18_S18;
End case;
When 2048 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When others =>
primitive := B16_S9_S9;
End case;
When 4096 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When others =>
primitive := B16_S4_S4;
End case;
When 8192 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When others =>
primitive := B16_S2_S2;
End case;
When 16384 =>
primitive := B16_S1_S1;
When others =>
primitive := indeterminate;
End case;
When spartan2 | spartan2e | virtex | virtexe =>
Case target_depth Is
When 1 | 2 =>
primitive := indeterminate; -- depth is too small for BRAM
-- based fifo control logic
When 4 | 8 | 16 =>
-- primitive := use_srl; -- activate this when SRL FIFO is
-- incorporated
Case target_width Is -- use BRAM for now
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When 3 | 4 =>
primitive := B4_S4_S4;
When 5 | 6 | 7 | 8 =>
primitive := B4_S8_S8;
When others =>
primitive := B4_S16_S16;
End case;
when 32 | 64 | 128 | 256 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When 3 | 4 =>
primitive := B4_S4_S4;
When 5 | 6 | 7 | 8 =>
primitive := B4_S8_S8;
When others =>
primitive := B4_S16_S16;
End case;
when 512 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When 3 | 4 =>
primitive := B4_S4_S4;
When others =>
primitive := B4_S8_S8;
End case;
When 1024 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When others =>
primitive := B4_S4_S4;
End case;
When 2048 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When others =>
primitive := B4_S2_S2;
End case;
When 4096 =>
primitive := B4_S1_S1;
When others =>
primitive := indeterminate;
End case;
When others =>
primitive := indeterminate;
End case;
Return primitive;
end function get_bram_primitive;
-----------------------------------------------------------------------------
-- This function calculates the number of BRAM primitives required as
-- specified by the inputs for data width and BRAM primitive type.
-----------------------------------------------------------------------------
function get_num_prims (bram_prim : bram_prim_type;
mem_width : integer)
return integer is
Variable bram_num : integer;
begin
Case bram_prim Is
When B16_S1_S1 | B4_S1_S1 =>
bram_num := mem_width;
When B16_S2_S2 | B4_S2_S2 =>
bram_num := (mem_width+1)/2;
When B16_S4_S4 | B4_S4_S4 =>
bram_num := (mem_width+3)/4;
When B4_S8_S8 =>
bram_num := (mem_width+7)/8;
When B16_S9_S9 =>
bram_num := (mem_width+8)/9;
When B4_S16_S16 =>
bram_num := (mem_width+15)/16;
When B16_S18_S18 =>
bram_num := (mem_width+17)/18;
When B16_S36_S36 =>
bram_num := (mem_width+35)/36;
When others =>
bram_num := 1;
End case;
Return (bram_num);
end function get_num_prims;
-- Now set the global CONSTANTS needed for IF-Generates
-- Determine the number of BRAM storage locations needed
constant FIFO_DEPTH : Integer := 2**C_DP_ADDRESS_WIDTH;
-- Convert the input C_VIRTEX_II generic boolean to enumerated type
Constant BRAM_FAMILY : family_type :=
get_prim_family(C_VIRTEX_II);
-- Select the optimum BRAM primitive to use
constant BRAM_PRIMITIVE : bram_prim_type :=
get_bram_primitive(FIFO_DEPTH,
C_DP_DATA_WIDTH,
BRAM_FAMILY);
-- Calculate how many of the selected primitives are needed
-- to populate the desired data width
constant BRAM_NUM : integer :=
get_num_prims(BRAM_PRIMITIVE,
C_DP_DATA_WIDTH);
begin -- architecture
----------------------------------------------------------------------------
-- Using VII 512 x 36 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S36_S36 : if (BRAM_PRIMITIVE = B16_S36_S36) generate
component RAMB16_S36_S36
port (DIA : in STD_LOGIC_VECTOR (31 downto 0);
DIB : in STD_LOGIC_VECTOR (31 downto 0);
DIPA : in STD_LOGIC_VECTOR (3 downto 0);
DIPB : in STD_LOGIC_VECTOR (3 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in STD_LOGIC_VECTOR (8 downto 0);
ADDRB : in STD_LOGIC_VECTOR (8 downto 0);
DOA : out STD_LOGIC_VECTOR (31 downto 0);
DOB : out STD_LOGIC_VECTOR (31 downto 0);
DOPA : out STD_LOGIC_VECTOR (3 downto 0);
DOPB : out STD_LOGIC_VECTOR (3 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 9; -- 512 deep
Constant PRIM_PDBUS_WIDTH : integer := 4; -- 4 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 32; -- 4 parity data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
type pdbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_512x32 : RAMB16_S36_S36
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
DIPA => slice_a_pdbus_in(i),
DIPB => slice_b_pdbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i),
DOPA => slice_a_pdbus_out(i),
DOPB => slice_b_pdbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S36_S36;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 1024 x 18 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S18_S18 : if (BRAM_PRIMITIVE = B16_S18_S18) generate
component RAMB16_S18_S18
port (DIA : in STD_LOGIC_VECTOR (15 downto 0);
DIB : in STD_LOGIC_VECTOR (15 downto 0);
DIPA : in STD_LOGIC_VECTOR (1 downto 0);
DIPB : in STD_LOGIC_VECTOR (1 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in STD_LOGIC_VECTOR (9 downto 0);
ADDRB : in STD_LOGIC_VECTOR (9 downto 0);
DOA : out STD_LOGIC_VECTOR (15 downto 0);
DOB : out STD_LOGIC_VECTOR (15 downto 0);
DOPA : out STD_LOGIC_VECTOR (1 downto 0);
DOPB : out STD_LOGIC_VECTOR (1 downto 0)
);
end component;
Constant PRIM_ADDR_WIDTH : integer := 10; -- 1024 deep
Constant PRIM_PDBUS_WIDTH : integer := 2; -- 2 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 16; -- 16 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
type pdbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_1024x18 : RAMB16_S18_S18
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
DIPA => slice_a_pdbus_in(i),
DIPB => slice_b_pdbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i),
DOPA => slice_a_pdbus_out(i),
DOPB => slice_b_pdbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S18_S18;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 2048 x 9 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S9_S9 : if (BRAM_PRIMITIVE = B16_S9_S9) generate
component RAMB16_S9_S9
port (
DIA : in std_logic_vector (7 downto 0);
DIB : in std_logic_vector (7 downto 0);
DIPA : in std_logic_vector (0 downto 0);
DIPB : in std_logic_vector (0 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (10 downto 0);
ADDRB : in std_logic_vector (10 downto 0);
DOA : out std_logic_vector (7 downto 0);
DOB : out std_logic_vector (7 downto 0);
DOPA : out std_logic_vector (0 downto 0);
DOPB : out std_logic_vector (0 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 11; -- 2048 deep
Constant PRIM_PDBUS_WIDTH : integer := 1; -- 1 parity data bit
Constant PRIM_DBUS_WIDTH : integer := 8; -- 8 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
type pdbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_2048x9 : RAMB16_S9_S9
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
DIPA => slice_a_pdbus_in(i),
DIPB => slice_b_pdbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i),
DOPA => slice_a_pdbus_out(i),
DOPB => slice_b_pdbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S9_S9;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 4096 x 4 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S4_S4 : if (BRAM_PRIMITIVE = B16_S4_S4) generate
component RAMB16_S4_S4
port (
DIA : in std_logic_vector (3 downto 0);
DIB : in std_logic_vector (3 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (11 downto 0);
ADDRB : in std_logic_vector (11 downto 0);
DOA : out std_logic_vector (3 downto 0);
DOB : out std_logic_vector (3 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 12; -- 4096 deep
Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 4; -- 4 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--type pdbus_slice_array is array(BRAM_NUM downto 1) of
-- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
--slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
--slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_4096x4 : RAMB16_S4_S4
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S4_S4;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 8192 x 2 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S2_S2 : if (BRAM_PRIMITIVE = B16_S2_S2) generate
component RAMB16_S2_S2
port (
DIA : in std_logic_vector (1 downto 0);
DIB : in std_logic_vector (1 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (12 downto 0);
ADDRB : in std_logic_vector (12 downto 0);
DOA : out std_logic_vector (1 downto 0);
DOB : out std_logic_vector (1 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 13; -- 8192 deep
Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 2; -- 2 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--type pdbus_slice_array is array(BRAM_NUM downto 1) of
-- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
--slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
--slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_8192x2 : RAMB16_S2_S2
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S2_S2;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 16384 x 1 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S1_S1 : if (BRAM_PRIMITIVE = B16_S1_S1) generate
component RAMB16_S1_S1
port (
DIA : in std_logic_vector (0 downto 0);
DIB : in std_logic_vector (0 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (13 downto 0);
ADDRB : in std_logic_vector (13 downto 0);
DOA : out std_logic_vector (0 downto 0);
DOB : out std_logic_vector (0 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 14; -- 16384 deep
Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 1; -- 1 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--type pdbus_slice_array is array(BRAM_NUM downto 1) of
-- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
--slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
--slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_16384x1 : RAMB16_S1_S1
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S1_S1;
--==========================================================================
-- End of Virtex-II and Virtex-II Pro support
--///////////////////////////////////////////////////////////////////////////
--///////////////////////////////////////////////////////////////////////////
-- Start Spartan-II, Spartan-IIE, Virtex, and VirtexE support
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 4096 x 1 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S1_S1 : if (BRAM_PRIMITIVE = B4_S1_S1) generate
component RAMB4_S1_S1
port (
DIA : in std_logic_vector (0 downto 0);
DIB : in std_logic_vector (0 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (11 downto 0);
ADDRB : in std_logic_vector (11 downto 0);
DOA : out std_logic_vector (0 downto 0);
DOB : out std_logic_vector (0 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 12; -- 4096 deep
Constant PRIM_DBUS_WIDTH : integer := 1; -- 1 data bit
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_4096x1 : RAMB4_S1_S1
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S1_S1;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 2048 x 2 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S2_S2 : if (BRAM_PRIMITIVE = B4_S2_S2) generate
component RAMB4_S2_S2
port (
DIA : in std_logic_vector (1 downto 0);
DIB : in std_logic_vector (1 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (10 downto 0);
ADDRB : in std_logic_vector (10 downto 0);
DOA : out std_logic_vector (1 downto 0);
DOB : out std_logic_vector (1 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 11; -- 2048 deep
Constant PRIM_DBUS_WIDTH : integer := 2; -- 2 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_2048x2 : RAMB4_S2_S2
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S2_S2;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 1024 x 4 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S4_S4 : if (BRAM_PRIMITIVE = B4_S4_S4) generate
component RAMB4_S4_S4
port (
DIA : in std_logic_vector (3 downto 0);
DIB : in std_logic_vector (3 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (9 downto 0);
ADDRB : in std_logic_vector (9 downto 0);
DOA : out std_logic_vector (3 downto 0);
DOB : out std_logic_vector (3 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 10; -- 1024 deep
Constant PRIM_DBUS_WIDTH : integer := 4; -- 4 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_1024x4 : RAMB4_S4_S4
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S4_S4;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 512 x 8 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S8_S8 : if (BRAM_PRIMITIVE = B4_S8_S8) generate
component RAMB4_S8_S8
port (
DIA : in std_logic_vector (7 downto 0);
DIB : in std_logic_vector (7 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (8 downto 0);
ADDRB : in std_logic_vector (8 downto 0);
DOA : out std_logic_vector (7 downto 0);
DOB : out std_logic_vector (7 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 9; -- 512 deep
Constant PRIM_DBUS_WIDTH : integer := 8; -- 8 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_512x8 : RAMB4_S8_S8
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S8_S8;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 256 x 16 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S16_S16 : if (BRAM_PRIMITIVE = B4_S16_S16) generate
component RAMB4_S16_S16
port (DIA : in STD_LOGIC_VECTOR (15 downto 0);
DIB : in STD_LOGIC_VECTOR (15 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in STD_LOGIC_VECTOR (7 downto 0);
ADDRB : in STD_LOGIC_VECTOR (7 downto 0);
DOA : out STD_LOGIC_VECTOR (15 downto 0);
DOB : out STD_LOGIC_VECTOR (15 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 8; -- 256 deep
Constant PRIM_DBUS_WIDTH : integer := 16; -- 16 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_256x16 : RAMB4_S16_S16
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S16_S16;
--==========================================================================
UNSUPPORTED_FAMILY : if (BRAM_PRIMITIVE = indeterminate) generate
begin
-- assert (false)
-- report "Unsupported Part Family Selected or FIFO Depth/Width is invalid!"
-- severity failure;
--
end generate UNSUPPORTED_FAMILY;
end architecture implementation;
|
-------------------------------------------------------------------------------
-- $Id: pf_dpram_select.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $
-------------------------------------------------------------------------------
-- pf_dpram_select.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: pf_dpram_select.vhd
--
-- Description: This vhdl design file uses three input parameters describing
-- the desired storage depth, data width, and FPGA family type.
-- From these, the design selects the optimum Block RAM
-- primitive for the basic storage element and connects them
-- in parallel to accomodate the desired data width.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- pf_dpram_select.vhd
--
-------------------------------------------------------------------------------
-- Author: D. Thorpe
-- Revision: $Revision: 1.1.4.1 $
-- Date: $Date: 2010/09/14 22:35:47 $
--
-- History:
-- DET Oct. 7, 2001 First Version
-- - Adopted design concepts from Goran Bilski's
-- opb_bram.vhd design in the formulation of this
-- design for the Mauna Loa packet FIFO dual port
-- core function.
--
-- DET Oct-31-2001
-- - Changed the generic input parameter C_FAMILY of type string
-- back to the boolean type parameter C_VIRTEX_II. XST support
-- change.
--
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library unisim;
use unisim.all; -- uses BRAM primitives
-------------------------------------------------------------------------------
entity pf_dpram_select is
generic (
C_DP_DATA_WIDTH : Integer := 32;
C_DP_ADDRESS_WIDTH : Integer := 9;
C_VIRTEX_II : Boolean := true
);
port (
-- Write Port signals
Wr_rst : In std_logic;
Wr_Clk : in std_logic;
Wr_Enable : In std_logic;
Wr_Req : In std_logic;
Wr_Address : in std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1);
Wr_Data : In std_logic_vector(0 to C_DP_DATA_WIDTH-1);
-- Read Port Signals
Rd_rst : In std_logic;
Rd_Clk : in std_logic;
Rd_Enable : In std_logic;
Rd_Address : in std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1);
Rd_Data : out std_logic_vector(0 to C_DP_DATA_WIDTH-1)
);
end entity pf_dpram_select;
architecture implementation of pf_dpram_select is
Type family_type is (
any ,
x4k ,
x4ke ,
x4kl ,
x4kex ,
x4kxl ,
x4kxv ,
x4kxla ,
spartan ,
spartanxl,
spartan2 ,
spartan2e,
virtex ,
virtexe ,
virtex2 ,
virtex2p ,
unsupported
);
Type bram_prim_type is (
use_srl ,
B4_S1_S1 ,
B4_S2_S2 ,
B4_S4_S4 ,
B4_S8_S8 ,
B4_S16_S16 ,
B16_S1_S1 ,
B16_S2_S2 ,
B16_S4_S4 ,
B16_S9_S9 ,
B16_S18_S18 ,
B16_S36_S36 ,
indeterminate
);
-----------------------------------------------------------------------------
-- This function converts the input C_VIRTEX_II boolean type to an enumerated
-- type. Only Virtex and Virtex II types are currently supported. This
-- used to convert a string to a family type function but string support in
-- the synthesis tools was found to be mutually exclusive between Synplicity
-- and XST.
-----------------------------------------------------------------------------
function get_prim_family (vertex2_select : boolean) return family_type is
Variable prim_family : family_type;
begin
If (vertex2_select) Then
prim_family := virtex2;
else
prim_family := virtex;
End if;
Return (prim_family);
end function get_prim_family;
-----------------------------------------------------------------------------
-- This function chooses the optimum BRAM primitive to utilize as
-- specified by the inputs for data depth, data width, and FPGA part family.
-----------------------------------------------------------------------------
function get_bram_primitive (target_depth: integer;
target_width: integer;
family : family_type )
return bram_prim_type is
Variable primitive : bram_prim_type;
begin
Case family Is
When virtex2p | virtex2 =>
Case target_depth Is
When 1 | 2 =>
primitive := indeterminate; -- depth is too small for BRAM
-- based fifo control logic
When 4 | 8 | 16 =>
-- primitive := use_srl; -- activate when SRL FIFO incorporated
Case target_width Is -- use BRAM for now
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When 5 | 6 | 7 | 8 | 9 =>
primitive := B16_S9_S9;
When 10 | 11 | 12 | 13 | 14 |
15 | 16 | 17 | 18 =>
primitive := B16_S18_S18;
When others =>
primitive := B16_S36_S36;
End case;
when 32 | 64 | 128 | 256 | 512 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When 5 | 6 | 7 | 8 | 9 =>
primitive := B16_S9_S9;
When 10 | 11 | 12 | 13 | 14 |
15 | 16 | 17 | 18 =>
primitive := B16_S18_S18;
When others =>
primitive := B16_S36_S36;
End case;
When 1024 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When 5 | 6 | 7 | 8 | 9 =>
primitive := B16_S9_S9;
When others =>
primitive := B16_S18_S18;
End case;
When 2048 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When others =>
primitive := B16_S9_S9;
End case;
When 4096 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When others =>
primitive := B16_S4_S4;
End case;
When 8192 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When others =>
primitive := B16_S2_S2;
End case;
When 16384 =>
primitive := B16_S1_S1;
When others =>
primitive := indeterminate;
End case;
When spartan2 | spartan2e | virtex | virtexe =>
Case target_depth Is
When 1 | 2 =>
primitive := indeterminate; -- depth is too small for BRAM
-- based fifo control logic
When 4 | 8 | 16 =>
-- primitive := use_srl; -- activate this when SRL FIFO is
-- incorporated
Case target_width Is -- use BRAM for now
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When 3 | 4 =>
primitive := B4_S4_S4;
When 5 | 6 | 7 | 8 =>
primitive := B4_S8_S8;
When others =>
primitive := B4_S16_S16;
End case;
when 32 | 64 | 128 | 256 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When 3 | 4 =>
primitive := B4_S4_S4;
When 5 | 6 | 7 | 8 =>
primitive := B4_S8_S8;
When others =>
primitive := B4_S16_S16;
End case;
when 512 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When 3 | 4 =>
primitive := B4_S4_S4;
When others =>
primitive := B4_S8_S8;
End case;
When 1024 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When others =>
primitive := B4_S4_S4;
End case;
When 2048 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When others =>
primitive := B4_S2_S2;
End case;
When 4096 =>
primitive := B4_S1_S1;
When others =>
primitive := indeterminate;
End case;
When others =>
primitive := indeterminate;
End case;
Return primitive;
end function get_bram_primitive;
-----------------------------------------------------------------------------
-- This function calculates the number of BRAM primitives required as
-- specified by the inputs for data width and BRAM primitive type.
-----------------------------------------------------------------------------
function get_num_prims (bram_prim : bram_prim_type;
mem_width : integer)
return integer is
Variable bram_num : integer;
begin
Case bram_prim Is
When B16_S1_S1 | B4_S1_S1 =>
bram_num := mem_width;
When B16_S2_S2 | B4_S2_S2 =>
bram_num := (mem_width+1)/2;
When B16_S4_S4 | B4_S4_S4 =>
bram_num := (mem_width+3)/4;
When B4_S8_S8 =>
bram_num := (mem_width+7)/8;
When B16_S9_S9 =>
bram_num := (mem_width+8)/9;
When B4_S16_S16 =>
bram_num := (mem_width+15)/16;
When B16_S18_S18 =>
bram_num := (mem_width+17)/18;
When B16_S36_S36 =>
bram_num := (mem_width+35)/36;
When others =>
bram_num := 1;
End case;
Return (bram_num);
end function get_num_prims;
-- Now set the global CONSTANTS needed for IF-Generates
-- Determine the number of BRAM storage locations needed
constant FIFO_DEPTH : Integer := 2**C_DP_ADDRESS_WIDTH;
-- Convert the input C_VIRTEX_II generic boolean to enumerated type
Constant BRAM_FAMILY : family_type :=
get_prim_family(C_VIRTEX_II);
-- Select the optimum BRAM primitive to use
constant BRAM_PRIMITIVE : bram_prim_type :=
get_bram_primitive(FIFO_DEPTH,
C_DP_DATA_WIDTH,
BRAM_FAMILY);
-- Calculate how many of the selected primitives are needed
-- to populate the desired data width
constant BRAM_NUM : integer :=
get_num_prims(BRAM_PRIMITIVE,
C_DP_DATA_WIDTH);
begin -- architecture
----------------------------------------------------------------------------
-- Using VII 512 x 36 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S36_S36 : if (BRAM_PRIMITIVE = B16_S36_S36) generate
component RAMB16_S36_S36
port (DIA : in STD_LOGIC_VECTOR (31 downto 0);
DIB : in STD_LOGIC_VECTOR (31 downto 0);
DIPA : in STD_LOGIC_VECTOR (3 downto 0);
DIPB : in STD_LOGIC_VECTOR (3 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in STD_LOGIC_VECTOR (8 downto 0);
ADDRB : in STD_LOGIC_VECTOR (8 downto 0);
DOA : out STD_LOGIC_VECTOR (31 downto 0);
DOB : out STD_LOGIC_VECTOR (31 downto 0);
DOPA : out STD_LOGIC_VECTOR (3 downto 0);
DOPB : out STD_LOGIC_VECTOR (3 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 9; -- 512 deep
Constant PRIM_PDBUS_WIDTH : integer := 4; -- 4 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 32; -- 4 parity data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
type pdbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_512x32 : RAMB16_S36_S36
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
DIPA => slice_a_pdbus_in(i),
DIPB => slice_b_pdbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i),
DOPA => slice_a_pdbus_out(i),
DOPB => slice_b_pdbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S36_S36;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 1024 x 18 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S18_S18 : if (BRAM_PRIMITIVE = B16_S18_S18) generate
component RAMB16_S18_S18
port (DIA : in STD_LOGIC_VECTOR (15 downto 0);
DIB : in STD_LOGIC_VECTOR (15 downto 0);
DIPA : in STD_LOGIC_VECTOR (1 downto 0);
DIPB : in STD_LOGIC_VECTOR (1 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in STD_LOGIC_VECTOR (9 downto 0);
ADDRB : in STD_LOGIC_VECTOR (9 downto 0);
DOA : out STD_LOGIC_VECTOR (15 downto 0);
DOB : out STD_LOGIC_VECTOR (15 downto 0);
DOPA : out STD_LOGIC_VECTOR (1 downto 0);
DOPB : out STD_LOGIC_VECTOR (1 downto 0)
);
end component;
Constant PRIM_ADDR_WIDTH : integer := 10; -- 1024 deep
Constant PRIM_PDBUS_WIDTH : integer := 2; -- 2 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 16; -- 16 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
type pdbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_1024x18 : RAMB16_S18_S18
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
DIPA => slice_a_pdbus_in(i),
DIPB => slice_b_pdbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i),
DOPA => slice_a_pdbus_out(i),
DOPB => slice_b_pdbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S18_S18;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 2048 x 9 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S9_S9 : if (BRAM_PRIMITIVE = B16_S9_S9) generate
component RAMB16_S9_S9
port (
DIA : in std_logic_vector (7 downto 0);
DIB : in std_logic_vector (7 downto 0);
DIPA : in std_logic_vector (0 downto 0);
DIPB : in std_logic_vector (0 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (10 downto 0);
ADDRB : in std_logic_vector (10 downto 0);
DOA : out std_logic_vector (7 downto 0);
DOB : out std_logic_vector (7 downto 0);
DOPA : out std_logic_vector (0 downto 0);
DOPB : out std_logic_vector (0 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 11; -- 2048 deep
Constant PRIM_PDBUS_WIDTH : integer := 1; -- 1 parity data bit
Constant PRIM_DBUS_WIDTH : integer := 8; -- 8 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
type pdbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_2048x9 : RAMB16_S9_S9
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
DIPA => slice_a_pdbus_in(i),
DIPB => slice_b_pdbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i),
DOPA => slice_a_pdbus_out(i),
DOPB => slice_b_pdbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S9_S9;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 4096 x 4 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S4_S4 : if (BRAM_PRIMITIVE = B16_S4_S4) generate
component RAMB16_S4_S4
port (
DIA : in std_logic_vector (3 downto 0);
DIB : in std_logic_vector (3 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (11 downto 0);
ADDRB : in std_logic_vector (11 downto 0);
DOA : out std_logic_vector (3 downto 0);
DOB : out std_logic_vector (3 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 12; -- 4096 deep
Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 4; -- 4 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--type pdbus_slice_array is array(BRAM_NUM downto 1) of
-- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
--slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
--slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_4096x4 : RAMB16_S4_S4
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S4_S4;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 8192 x 2 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S2_S2 : if (BRAM_PRIMITIVE = B16_S2_S2) generate
component RAMB16_S2_S2
port (
DIA : in std_logic_vector (1 downto 0);
DIB : in std_logic_vector (1 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (12 downto 0);
ADDRB : in std_logic_vector (12 downto 0);
DOA : out std_logic_vector (1 downto 0);
DOB : out std_logic_vector (1 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 13; -- 8192 deep
Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 2; -- 2 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--type pdbus_slice_array is array(BRAM_NUM downto 1) of
-- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
--slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
--slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_8192x2 : RAMB16_S2_S2
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S2_S2;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 16384 x 1 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S1_S1 : if (BRAM_PRIMITIVE = B16_S1_S1) generate
component RAMB16_S1_S1
port (
DIA : in std_logic_vector (0 downto 0);
DIB : in std_logic_vector (0 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (13 downto 0);
ADDRB : in std_logic_vector (13 downto 0);
DOA : out std_logic_vector (0 downto 0);
DOB : out std_logic_vector (0 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 14; -- 16384 deep
Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 1; -- 1 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--type pdbus_slice_array is array(BRAM_NUM downto 1) of
-- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
--slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
--slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_16384x1 : RAMB16_S1_S1
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S1_S1;
--==========================================================================
-- End of Virtex-II and Virtex-II Pro support
--///////////////////////////////////////////////////////////////////////////
--///////////////////////////////////////////////////////////////////////////
-- Start Spartan-II, Spartan-IIE, Virtex, and VirtexE support
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 4096 x 1 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S1_S1 : if (BRAM_PRIMITIVE = B4_S1_S1) generate
component RAMB4_S1_S1
port (
DIA : in std_logic_vector (0 downto 0);
DIB : in std_logic_vector (0 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (11 downto 0);
ADDRB : in std_logic_vector (11 downto 0);
DOA : out std_logic_vector (0 downto 0);
DOB : out std_logic_vector (0 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 12; -- 4096 deep
Constant PRIM_DBUS_WIDTH : integer := 1; -- 1 data bit
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_4096x1 : RAMB4_S1_S1
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S1_S1;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 2048 x 2 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S2_S2 : if (BRAM_PRIMITIVE = B4_S2_S2) generate
component RAMB4_S2_S2
port (
DIA : in std_logic_vector (1 downto 0);
DIB : in std_logic_vector (1 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (10 downto 0);
ADDRB : in std_logic_vector (10 downto 0);
DOA : out std_logic_vector (1 downto 0);
DOB : out std_logic_vector (1 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 11; -- 2048 deep
Constant PRIM_DBUS_WIDTH : integer := 2; -- 2 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_2048x2 : RAMB4_S2_S2
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S2_S2;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 1024 x 4 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S4_S4 : if (BRAM_PRIMITIVE = B4_S4_S4) generate
component RAMB4_S4_S4
port (
DIA : in std_logic_vector (3 downto 0);
DIB : in std_logic_vector (3 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (9 downto 0);
ADDRB : in std_logic_vector (9 downto 0);
DOA : out std_logic_vector (3 downto 0);
DOB : out std_logic_vector (3 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 10; -- 1024 deep
Constant PRIM_DBUS_WIDTH : integer := 4; -- 4 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_1024x4 : RAMB4_S4_S4
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S4_S4;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 512 x 8 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S8_S8 : if (BRAM_PRIMITIVE = B4_S8_S8) generate
component RAMB4_S8_S8
port (
DIA : in std_logic_vector (7 downto 0);
DIB : in std_logic_vector (7 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (8 downto 0);
ADDRB : in std_logic_vector (8 downto 0);
DOA : out std_logic_vector (7 downto 0);
DOB : out std_logic_vector (7 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 9; -- 512 deep
Constant PRIM_DBUS_WIDTH : integer := 8; -- 8 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_512x8 : RAMB4_S8_S8
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S8_S8;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 256 x 16 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S16_S16 : if (BRAM_PRIMITIVE = B4_S16_S16) generate
component RAMB4_S16_S16
port (DIA : in STD_LOGIC_VECTOR (15 downto 0);
DIB : in STD_LOGIC_VECTOR (15 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in STD_LOGIC_VECTOR (7 downto 0);
ADDRB : in STD_LOGIC_VECTOR (7 downto 0);
DOA : out STD_LOGIC_VECTOR (15 downto 0);
DOB : out STD_LOGIC_VECTOR (15 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 8; -- 256 deep
Constant PRIM_DBUS_WIDTH : integer := 16; -- 16 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_256x16 : RAMB4_S16_S16
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S16_S16;
--==========================================================================
UNSUPPORTED_FAMILY : if (BRAM_PRIMITIVE = indeterminate) generate
begin
-- assert (false)
-- report "Unsupported Part Family Selected or FIFO Depth/Width is invalid!"
-- severity failure;
--
end generate UNSUPPORTED_FAMILY;
end architecture implementation;
|
-------------------------------------------------------------------------------
-- $Id: pf_dpram_select.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $
-------------------------------------------------------------------------------
-- pf_dpram_select.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: pf_dpram_select.vhd
--
-- Description: This vhdl design file uses three input parameters describing
-- the desired storage depth, data width, and FPGA family type.
-- From these, the design selects the optimum Block RAM
-- primitive for the basic storage element and connects them
-- in parallel to accomodate the desired data width.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- pf_dpram_select.vhd
--
-------------------------------------------------------------------------------
-- Author: D. Thorpe
-- Revision: $Revision: 1.1.4.1 $
-- Date: $Date: 2010/09/14 22:35:47 $
--
-- History:
-- DET Oct. 7, 2001 First Version
-- - Adopted design concepts from Goran Bilski's
-- opb_bram.vhd design in the formulation of this
-- design for the Mauna Loa packet FIFO dual port
-- core function.
--
-- DET Oct-31-2001
-- - Changed the generic input parameter C_FAMILY of type string
-- back to the boolean type parameter C_VIRTEX_II. XST support
-- change.
--
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library unisim;
use unisim.all; -- uses BRAM primitives
-------------------------------------------------------------------------------
entity pf_dpram_select is
generic (
C_DP_DATA_WIDTH : Integer := 32;
C_DP_ADDRESS_WIDTH : Integer := 9;
C_VIRTEX_II : Boolean := true
);
port (
-- Write Port signals
Wr_rst : In std_logic;
Wr_Clk : in std_logic;
Wr_Enable : In std_logic;
Wr_Req : In std_logic;
Wr_Address : in std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1);
Wr_Data : In std_logic_vector(0 to C_DP_DATA_WIDTH-1);
-- Read Port Signals
Rd_rst : In std_logic;
Rd_Clk : in std_logic;
Rd_Enable : In std_logic;
Rd_Address : in std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1);
Rd_Data : out std_logic_vector(0 to C_DP_DATA_WIDTH-1)
);
end entity pf_dpram_select;
architecture implementation of pf_dpram_select is
Type family_type is (
any ,
x4k ,
x4ke ,
x4kl ,
x4kex ,
x4kxl ,
x4kxv ,
x4kxla ,
spartan ,
spartanxl,
spartan2 ,
spartan2e,
virtex ,
virtexe ,
virtex2 ,
virtex2p ,
unsupported
);
Type bram_prim_type is (
use_srl ,
B4_S1_S1 ,
B4_S2_S2 ,
B4_S4_S4 ,
B4_S8_S8 ,
B4_S16_S16 ,
B16_S1_S1 ,
B16_S2_S2 ,
B16_S4_S4 ,
B16_S9_S9 ,
B16_S18_S18 ,
B16_S36_S36 ,
indeterminate
);
-----------------------------------------------------------------------------
-- This function converts the input C_VIRTEX_II boolean type to an enumerated
-- type. Only Virtex and Virtex II types are currently supported. This
-- used to convert a string to a family type function but string support in
-- the synthesis tools was found to be mutually exclusive between Synplicity
-- and XST.
-----------------------------------------------------------------------------
function get_prim_family (vertex2_select : boolean) return family_type is
Variable prim_family : family_type;
begin
If (vertex2_select) Then
prim_family := virtex2;
else
prim_family := virtex;
End if;
Return (prim_family);
end function get_prim_family;
-----------------------------------------------------------------------------
-- This function chooses the optimum BRAM primitive to utilize as
-- specified by the inputs for data depth, data width, and FPGA part family.
-----------------------------------------------------------------------------
function get_bram_primitive (target_depth: integer;
target_width: integer;
family : family_type )
return bram_prim_type is
Variable primitive : bram_prim_type;
begin
Case family Is
When virtex2p | virtex2 =>
Case target_depth Is
When 1 | 2 =>
primitive := indeterminate; -- depth is too small for BRAM
-- based fifo control logic
When 4 | 8 | 16 =>
-- primitive := use_srl; -- activate when SRL FIFO incorporated
Case target_width Is -- use BRAM for now
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When 5 | 6 | 7 | 8 | 9 =>
primitive := B16_S9_S9;
When 10 | 11 | 12 | 13 | 14 |
15 | 16 | 17 | 18 =>
primitive := B16_S18_S18;
When others =>
primitive := B16_S36_S36;
End case;
when 32 | 64 | 128 | 256 | 512 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When 5 | 6 | 7 | 8 | 9 =>
primitive := B16_S9_S9;
When 10 | 11 | 12 | 13 | 14 |
15 | 16 | 17 | 18 =>
primitive := B16_S18_S18;
When others =>
primitive := B16_S36_S36;
End case;
When 1024 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When 5 | 6 | 7 | 8 | 9 =>
primitive := B16_S9_S9;
When others =>
primitive := B16_S18_S18;
End case;
When 2048 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When others =>
primitive := B16_S9_S9;
End case;
When 4096 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When others =>
primitive := B16_S4_S4;
End case;
When 8192 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When others =>
primitive := B16_S2_S2;
End case;
When 16384 =>
primitive := B16_S1_S1;
When others =>
primitive := indeterminate;
End case;
When spartan2 | spartan2e | virtex | virtexe =>
Case target_depth Is
When 1 | 2 =>
primitive := indeterminate; -- depth is too small for BRAM
-- based fifo control logic
When 4 | 8 | 16 =>
-- primitive := use_srl; -- activate this when SRL FIFO is
-- incorporated
Case target_width Is -- use BRAM for now
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When 3 | 4 =>
primitive := B4_S4_S4;
When 5 | 6 | 7 | 8 =>
primitive := B4_S8_S8;
When others =>
primitive := B4_S16_S16;
End case;
when 32 | 64 | 128 | 256 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When 3 | 4 =>
primitive := B4_S4_S4;
When 5 | 6 | 7 | 8 =>
primitive := B4_S8_S8;
When others =>
primitive := B4_S16_S16;
End case;
when 512 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When 3 | 4 =>
primitive := B4_S4_S4;
When others =>
primitive := B4_S8_S8;
End case;
When 1024 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When others =>
primitive := B4_S4_S4;
End case;
When 2048 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When others =>
primitive := B4_S2_S2;
End case;
When 4096 =>
primitive := B4_S1_S1;
When others =>
primitive := indeterminate;
End case;
When others =>
primitive := indeterminate;
End case;
Return primitive;
end function get_bram_primitive;
-----------------------------------------------------------------------------
-- This function calculates the number of BRAM primitives required as
-- specified by the inputs for data width and BRAM primitive type.
-----------------------------------------------------------------------------
function get_num_prims (bram_prim : bram_prim_type;
mem_width : integer)
return integer is
Variable bram_num : integer;
begin
Case bram_prim Is
When B16_S1_S1 | B4_S1_S1 =>
bram_num := mem_width;
When B16_S2_S2 | B4_S2_S2 =>
bram_num := (mem_width+1)/2;
When B16_S4_S4 | B4_S4_S4 =>
bram_num := (mem_width+3)/4;
When B4_S8_S8 =>
bram_num := (mem_width+7)/8;
When B16_S9_S9 =>
bram_num := (mem_width+8)/9;
When B4_S16_S16 =>
bram_num := (mem_width+15)/16;
When B16_S18_S18 =>
bram_num := (mem_width+17)/18;
When B16_S36_S36 =>
bram_num := (mem_width+35)/36;
When others =>
bram_num := 1;
End case;
Return (bram_num);
end function get_num_prims;
-- Now set the global CONSTANTS needed for IF-Generates
-- Determine the number of BRAM storage locations needed
constant FIFO_DEPTH : Integer := 2**C_DP_ADDRESS_WIDTH;
-- Convert the input C_VIRTEX_II generic boolean to enumerated type
Constant BRAM_FAMILY : family_type :=
get_prim_family(C_VIRTEX_II);
-- Select the optimum BRAM primitive to use
constant BRAM_PRIMITIVE : bram_prim_type :=
get_bram_primitive(FIFO_DEPTH,
C_DP_DATA_WIDTH,
BRAM_FAMILY);
-- Calculate how many of the selected primitives are needed
-- to populate the desired data width
constant BRAM_NUM : integer :=
get_num_prims(BRAM_PRIMITIVE,
C_DP_DATA_WIDTH);
begin -- architecture
----------------------------------------------------------------------------
-- Using VII 512 x 36 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S36_S36 : if (BRAM_PRIMITIVE = B16_S36_S36) generate
component RAMB16_S36_S36
port (DIA : in STD_LOGIC_VECTOR (31 downto 0);
DIB : in STD_LOGIC_VECTOR (31 downto 0);
DIPA : in STD_LOGIC_VECTOR (3 downto 0);
DIPB : in STD_LOGIC_VECTOR (3 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in STD_LOGIC_VECTOR (8 downto 0);
ADDRB : in STD_LOGIC_VECTOR (8 downto 0);
DOA : out STD_LOGIC_VECTOR (31 downto 0);
DOB : out STD_LOGIC_VECTOR (31 downto 0);
DOPA : out STD_LOGIC_VECTOR (3 downto 0);
DOPB : out STD_LOGIC_VECTOR (3 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 9; -- 512 deep
Constant PRIM_PDBUS_WIDTH : integer := 4; -- 4 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 32; -- 4 parity data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
type pdbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_512x32 : RAMB16_S36_S36
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
DIPA => slice_a_pdbus_in(i),
DIPB => slice_b_pdbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i),
DOPA => slice_a_pdbus_out(i),
DOPB => slice_b_pdbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S36_S36;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 1024 x 18 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S18_S18 : if (BRAM_PRIMITIVE = B16_S18_S18) generate
component RAMB16_S18_S18
port (DIA : in STD_LOGIC_VECTOR (15 downto 0);
DIB : in STD_LOGIC_VECTOR (15 downto 0);
DIPA : in STD_LOGIC_VECTOR (1 downto 0);
DIPB : in STD_LOGIC_VECTOR (1 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in STD_LOGIC_VECTOR (9 downto 0);
ADDRB : in STD_LOGIC_VECTOR (9 downto 0);
DOA : out STD_LOGIC_VECTOR (15 downto 0);
DOB : out STD_LOGIC_VECTOR (15 downto 0);
DOPA : out STD_LOGIC_VECTOR (1 downto 0);
DOPB : out STD_LOGIC_VECTOR (1 downto 0)
);
end component;
Constant PRIM_ADDR_WIDTH : integer := 10; -- 1024 deep
Constant PRIM_PDBUS_WIDTH : integer := 2; -- 2 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 16; -- 16 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
type pdbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_1024x18 : RAMB16_S18_S18
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
DIPA => slice_a_pdbus_in(i),
DIPB => slice_b_pdbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i),
DOPA => slice_a_pdbus_out(i),
DOPB => slice_b_pdbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S18_S18;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 2048 x 9 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S9_S9 : if (BRAM_PRIMITIVE = B16_S9_S9) generate
component RAMB16_S9_S9
port (
DIA : in std_logic_vector (7 downto 0);
DIB : in std_logic_vector (7 downto 0);
DIPA : in std_logic_vector (0 downto 0);
DIPB : in std_logic_vector (0 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (10 downto 0);
ADDRB : in std_logic_vector (10 downto 0);
DOA : out std_logic_vector (7 downto 0);
DOB : out std_logic_vector (7 downto 0);
DOPA : out std_logic_vector (0 downto 0);
DOPB : out std_logic_vector (0 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 11; -- 2048 deep
Constant PRIM_PDBUS_WIDTH : integer := 1; -- 1 parity data bit
Constant PRIM_DBUS_WIDTH : integer := 8; -- 8 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
type pdbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_2048x9 : RAMB16_S9_S9
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
DIPA => slice_a_pdbus_in(i),
DIPB => slice_b_pdbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i),
DOPA => slice_a_pdbus_out(i),
DOPB => slice_b_pdbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S9_S9;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 4096 x 4 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S4_S4 : if (BRAM_PRIMITIVE = B16_S4_S4) generate
component RAMB16_S4_S4
port (
DIA : in std_logic_vector (3 downto 0);
DIB : in std_logic_vector (3 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (11 downto 0);
ADDRB : in std_logic_vector (11 downto 0);
DOA : out std_logic_vector (3 downto 0);
DOB : out std_logic_vector (3 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 12; -- 4096 deep
Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 4; -- 4 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--type pdbus_slice_array is array(BRAM_NUM downto 1) of
-- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
--slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
--slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_4096x4 : RAMB16_S4_S4
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S4_S4;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 8192 x 2 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S2_S2 : if (BRAM_PRIMITIVE = B16_S2_S2) generate
component RAMB16_S2_S2
port (
DIA : in std_logic_vector (1 downto 0);
DIB : in std_logic_vector (1 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (12 downto 0);
ADDRB : in std_logic_vector (12 downto 0);
DOA : out std_logic_vector (1 downto 0);
DOB : out std_logic_vector (1 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 13; -- 8192 deep
Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 2; -- 2 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--type pdbus_slice_array is array(BRAM_NUM downto 1) of
-- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
--slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
--slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_8192x2 : RAMB16_S2_S2
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S2_S2;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 16384 x 1 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S1_S1 : if (BRAM_PRIMITIVE = B16_S1_S1) generate
component RAMB16_S1_S1
port (
DIA : in std_logic_vector (0 downto 0);
DIB : in std_logic_vector (0 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (13 downto 0);
ADDRB : in std_logic_vector (13 downto 0);
DOA : out std_logic_vector (0 downto 0);
DOB : out std_logic_vector (0 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 14; -- 16384 deep
Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 1; -- 1 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--type pdbus_slice_array is array(BRAM_NUM downto 1) of
-- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
--slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
--slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_16384x1 : RAMB16_S1_S1
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S1_S1;
--==========================================================================
-- End of Virtex-II and Virtex-II Pro support
--///////////////////////////////////////////////////////////////////////////
--///////////////////////////////////////////////////////////////////////////
-- Start Spartan-II, Spartan-IIE, Virtex, and VirtexE support
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 4096 x 1 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S1_S1 : if (BRAM_PRIMITIVE = B4_S1_S1) generate
component RAMB4_S1_S1
port (
DIA : in std_logic_vector (0 downto 0);
DIB : in std_logic_vector (0 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (11 downto 0);
ADDRB : in std_logic_vector (11 downto 0);
DOA : out std_logic_vector (0 downto 0);
DOB : out std_logic_vector (0 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 12; -- 4096 deep
Constant PRIM_DBUS_WIDTH : integer := 1; -- 1 data bit
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_4096x1 : RAMB4_S1_S1
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S1_S1;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 2048 x 2 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S2_S2 : if (BRAM_PRIMITIVE = B4_S2_S2) generate
component RAMB4_S2_S2
port (
DIA : in std_logic_vector (1 downto 0);
DIB : in std_logic_vector (1 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (10 downto 0);
ADDRB : in std_logic_vector (10 downto 0);
DOA : out std_logic_vector (1 downto 0);
DOB : out std_logic_vector (1 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 11; -- 2048 deep
Constant PRIM_DBUS_WIDTH : integer := 2; -- 2 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_2048x2 : RAMB4_S2_S2
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S2_S2;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 1024 x 4 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S4_S4 : if (BRAM_PRIMITIVE = B4_S4_S4) generate
component RAMB4_S4_S4
port (
DIA : in std_logic_vector (3 downto 0);
DIB : in std_logic_vector (3 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (9 downto 0);
ADDRB : in std_logic_vector (9 downto 0);
DOA : out std_logic_vector (3 downto 0);
DOB : out std_logic_vector (3 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 10; -- 1024 deep
Constant PRIM_DBUS_WIDTH : integer := 4; -- 4 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_1024x4 : RAMB4_S4_S4
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S4_S4;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 512 x 8 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S8_S8 : if (BRAM_PRIMITIVE = B4_S8_S8) generate
component RAMB4_S8_S8
port (
DIA : in std_logic_vector (7 downto 0);
DIB : in std_logic_vector (7 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (8 downto 0);
ADDRB : in std_logic_vector (8 downto 0);
DOA : out std_logic_vector (7 downto 0);
DOB : out std_logic_vector (7 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 9; -- 512 deep
Constant PRIM_DBUS_WIDTH : integer := 8; -- 8 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_512x8 : RAMB4_S8_S8
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S8_S8;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 256 x 16 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S16_S16 : if (BRAM_PRIMITIVE = B4_S16_S16) generate
component RAMB4_S16_S16
port (DIA : in STD_LOGIC_VECTOR (15 downto 0);
DIB : in STD_LOGIC_VECTOR (15 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in STD_LOGIC_VECTOR (7 downto 0);
ADDRB : in STD_LOGIC_VECTOR (7 downto 0);
DOA : out STD_LOGIC_VECTOR (15 downto 0);
DOB : out STD_LOGIC_VECTOR (15 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 8; -- 256 deep
Constant PRIM_DBUS_WIDTH : integer := 16; -- 16 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_256x16 : RAMB4_S16_S16
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S16_S16;
--==========================================================================
UNSUPPORTED_FAMILY : if (BRAM_PRIMITIVE = indeterminate) generate
begin
-- assert (false)
-- report "Unsupported Part Family Selected or FIFO Depth/Width is invalid!"
-- severity failure;
--
end generate UNSUPPORTED_FAMILY;
end architecture implementation;
|
-------------------------------------------------------------------------------
-- $Id: pf_dpram_select.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $
-------------------------------------------------------------------------------
-- pf_dpram_select.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: pf_dpram_select.vhd
--
-- Description: This vhdl design file uses three input parameters describing
-- the desired storage depth, data width, and FPGA family type.
-- From these, the design selects the optimum Block RAM
-- primitive for the basic storage element and connects them
-- in parallel to accomodate the desired data width.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- pf_dpram_select.vhd
--
-------------------------------------------------------------------------------
-- Author: D. Thorpe
-- Revision: $Revision: 1.1.4.1 $
-- Date: $Date: 2010/09/14 22:35:47 $
--
-- History:
-- DET Oct. 7, 2001 First Version
-- - Adopted design concepts from Goran Bilski's
-- opb_bram.vhd design in the formulation of this
-- design for the Mauna Loa packet FIFO dual port
-- core function.
--
-- DET Oct-31-2001
-- - Changed the generic input parameter C_FAMILY of type string
-- back to the boolean type parameter C_VIRTEX_II. XST support
-- change.
--
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library unisim;
use unisim.all; -- uses BRAM primitives
-------------------------------------------------------------------------------
entity pf_dpram_select is
generic (
C_DP_DATA_WIDTH : Integer := 32;
C_DP_ADDRESS_WIDTH : Integer := 9;
C_VIRTEX_II : Boolean := true
);
port (
-- Write Port signals
Wr_rst : In std_logic;
Wr_Clk : in std_logic;
Wr_Enable : In std_logic;
Wr_Req : In std_logic;
Wr_Address : in std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1);
Wr_Data : In std_logic_vector(0 to C_DP_DATA_WIDTH-1);
-- Read Port Signals
Rd_rst : In std_logic;
Rd_Clk : in std_logic;
Rd_Enable : In std_logic;
Rd_Address : in std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1);
Rd_Data : out std_logic_vector(0 to C_DP_DATA_WIDTH-1)
);
end entity pf_dpram_select;
architecture implementation of pf_dpram_select is
Type family_type is (
any ,
x4k ,
x4ke ,
x4kl ,
x4kex ,
x4kxl ,
x4kxv ,
x4kxla ,
spartan ,
spartanxl,
spartan2 ,
spartan2e,
virtex ,
virtexe ,
virtex2 ,
virtex2p ,
unsupported
);
Type bram_prim_type is (
use_srl ,
B4_S1_S1 ,
B4_S2_S2 ,
B4_S4_S4 ,
B4_S8_S8 ,
B4_S16_S16 ,
B16_S1_S1 ,
B16_S2_S2 ,
B16_S4_S4 ,
B16_S9_S9 ,
B16_S18_S18 ,
B16_S36_S36 ,
indeterminate
);
-----------------------------------------------------------------------------
-- This function converts the input C_VIRTEX_II boolean type to an enumerated
-- type. Only Virtex and Virtex II types are currently supported. This
-- used to convert a string to a family type function but string support in
-- the synthesis tools was found to be mutually exclusive between Synplicity
-- and XST.
-----------------------------------------------------------------------------
function get_prim_family (vertex2_select : boolean) return family_type is
Variable prim_family : family_type;
begin
If (vertex2_select) Then
prim_family := virtex2;
else
prim_family := virtex;
End if;
Return (prim_family);
end function get_prim_family;
-----------------------------------------------------------------------------
-- This function chooses the optimum BRAM primitive to utilize as
-- specified by the inputs for data depth, data width, and FPGA part family.
-----------------------------------------------------------------------------
function get_bram_primitive (target_depth: integer;
target_width: integer;
family : family_type )
return bram_prim_type is
Variable primitive : bram_prim_type;
begin
Case family Is
When virtex2p | virtex2 =>
Case target_depth Is
When 1 | 2 =>
primitive := indeterminate; -- depth is too small for BRAM
-- based fifo control logic
When 4 | 8 | 16 =>
-- primitive := use_srl; -- activate when SRL FIFO incorporated
Case target_width Is -- use BRAM for now
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When 5 | 6 | 7 | 8 | 9 =>
primitive := B16_S9_S9;
When 10 | 11 | 12 | 13 | 14 |
15 | 16 | 17 | 18 =>
primitive := B16_S18_S18;
When others =>
primitive := B16_S36_S36;
End case;
when 32 | 64 | 128 | 256 | 512 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When 5 | 6 | 7 | 8 | 9 =>
primitive := B16_S9_S9;
When 10 | 11 | 12 | 13 | 14 |
15 | 16 | 17 | 18 =>
primitive := B16_S18_S18;
When others =>
primitive := B16_S36_S36;
End case;
When 1024 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When 5 | 6 | 7 | 8 | 9 =>
primitive := B16_S9_S9;
When others =>
primitive := B16_S18_S18;
End case;
When 2048 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When others =>
primitive := B16_S9_S9;
End case;
When 4096 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When others =>
primitive := B16_S4_S4;
End case;
When 8192 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When others =>
primitive := B16_S2_S2;
End case;
When 16384 =>
primitive := B16_S1_S1;
When others =>
primitive := indeterminate;
End case;
When spartan2 | spartan2e | virtex | virtexe =>
Case target_depth Is
When 1 | 2 =>
primitive := indeterminate; -- depth is too small for BRAM
-- based fifo control logic
When 4 | 8 | 16 =>
-- primitive := use_srl; -- activate this when SRL FIFO is
-- incorporated
Case target_width Is -- use BRAM for now
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When 3 | 4 =>
primitive := B4_S4_S4;
When 5 | 6 | 7 | 8 =>
primitive := B4_S8_S8;
When others =>
primitive := B4_S16_S16;
End case;
when 32 | 64 | 128 | 256 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When 3 | 4 =>
primitive := B4_S4_S4;
When 5 | 6 | 7 | 8 =>
primitive := B4_S8_S8;
When others =>
primitive := B4_S16_S16;
End case;
when 512 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When 3 | 4 =>
primitive := B4_S4_S4;
When others =>
primitive := B4_S8_S8;
End case;
When 1024 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When others =>
primitive := B4_S4_S4;
End case;
When 2048 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When others =>
primitive := B4_S2_S2;
End case;
When 4096 =>
primitive := B4_S1_S1;
When others =>
primitive := indeterminate;
End case;
When others =>
primitive := indeterminate;
End case;
Return primitive;
end function get_bram_primitive;
-----------------------------------------------------------------------------
-- This function calculates the number of BRAM primitives required as
-- specified by the inputs for data width and BRAM primitive type.
-----------------------------------------------------------------------------
function get_num_prims (bram_prim : bram_prim_type;
mem_width : integer)
return integer is
Variable bram_num : integer;
begin
Case bram_prim Is
When B16_S1_S1 | B4_S1_S1 =>
bram_num := mem_width;
When B16_S2_S2 | B4_S2_S2 =>
bram_num := (mem_width+1)/2;
When B16_S4_S4 | B4_S4_S4 =>
bram_num := (mem_width+3)/4;
When B4_S8_S8 =>
bram_num := (mem_width+7)/8;
When B16_S9_S9 =>
bram_num := (mem_width+8)/9;
When B4_S16_S16 =>
bram_num := (mem_width+15)/16;
When B16_S18_S18 =>
bram_num := (mem_width+17)/18;
When B16_S36_S36 =>
bram_num := (mem_width+35)/36;
When others =>
bram_num := 1;
End case;
Return (bram_num);
end function get_num_prims;
-- Now set the global CONSTANTS needed for IF-Generates
-- Determine the number of BRAM storage locations needed
constant FIFO_DEPTH : Integer := 2**C_DP_ADDRESS_WIDTH;
-- Convert the input C_VIRTEX_II generic boolean to enumerated type
Constant BRAM_FAMILY : family_type :=
get_prim_family(C_VIRTEX_II);
-- Select the optimum BRAM primitive to use
constant BRAM_PRIMITIVE : bram_prim_type :=
get_bram_primitive(FIFO_DEPTH,
C_DP_DATA_WIDTH,
BRAM_FAMILY);
-- Calculate how many of the selected primitives are needed
-- to populate the desired data width
constant BRAM_NUM : integer :=
get_num_prims(BRAM_PRIMITIVE,
C_DP_DATA_WIDTH);
begin -- architecture
----------------------------------------------------------------------------
-- Using VII 512 x 36 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S36_S36 : if (BRAM_PRIMITIVE = B16_S36_S36) generate
component RAMB16_S36_S36
port (DIA : in STD_LOGIC_VECTOR (31 downto 0);
DIB : in STD_LOGIC_VECTOR (31 downto 0);
DIPA : in STD_LOGIC_VECTOR (3 downto 0);
DIPB : in STD_LOGIC_VECTOR (3 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in STD_LOGIC_VECTOR (8 downto 0);
ADDRB : in STD_LOGIC_VECTOR (8 downto 0);
DOA : out STD_LOGIC_VECTOR (31 downto 0);
DOB : out STD_LOGIC_VECTOR (31 downto 0);
DOPA : out STD_LOGIC_VECTOR (3 downto 0);
DOPB : out STD_LOGIC_VECTOR (3 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 9; -- 512 deep
Constant PRIM_PDBUS_WIDTH : integer := 4; -- 4 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 32; -- 4 parity data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
type pdbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_512x32 : RAMB16_S36_S36
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
DIPA => slice_a_pdbus_in(i),
DIPB => slice_b_pdbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i),
DOPA => slice_a_pdbus_out(i),
DOPB => slice_b_pdbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S36_S36;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 1024 x 18 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S18_S18 : if (BRAM_PRIMITIVE = B16_S18_S18) generate
component RAMB16_S18_S18
port (DIA : in STD_LOGIC_VECTOR (15 downto 0);
DIB : in STD_LOGIC_VECTOR (15 downto 0);
DIPA : in STD_LOGIC_VECTOR (1 downto 0);
DIPB : in STD_LOGIC_VECTOR (1 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in STD_LOGIC_VECTOR (9 downto 0);
ADDRB : in STD_LOGIC_VECTOR (9 downto 0);
DOA : out STD_LOGIC_VECTOR (15 downto 0);
DOB : out STD_LOGIC_VECTOR (15 downto 0);
DOPA : out STD_LOGIC_VECTOR (1 downto 0);
DOPB : out STD_LOGIC_VECTOR (1 downto 0)
);
end component;
Constant PRIM_ADDR_WIDTH : integer := 10; -- 1024 deep
Constant PRIM_PDBUS_WIDTH : integer := 2; -- 2 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 16; -- 16 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
type pdbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_1024x18 : RAMB16_S18_S18
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
DIPA => slice_a_pdbus_in(i),
DIPB => slice_b_pdbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i),
DOPA => slice_a_pdbus_out(i),
DOPB => slice_b_pdbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S18_S18;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 2048 x 9 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S9_S9 : if (BRAM_PRIMITIVE = B16_S9_S9) generate
component RAMB16_S9_S9
port (
DIA : in std_logic_vector (7 downto 0);
DIB : in std_logic_vector (7 downto 0);
DIPA : in std_logic_vector (0 downto 0);
DIPB : in std_logic_vector (0 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (10 downto 0);
ADDRB : in std_logic_vector (10 downto 0);
DOA : out std_logic_vector (7 downto 0);
DOB : out std_logic_vector (7 downto 0);
DOPA : out std_logic_vector (0 downto 0);
DOPB : out std_logic_vector (0 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 11; -- 2048 deep
Constant PRIM_PDBUS_WIDTH : integer := 1; -- 1 parity data bit
Constant PRIM_DBUS_WIDTH : integer := 8; -- 8 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
type pdbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_2048x9 : RAMB16_S9_S9
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
DIPA => slice_a_pdbus_in(i),
DIPB => slice_b_pdbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i),
DOPA => slice_a_pdbus_out(i),
DOPB => slice_b_pdbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S9_S9;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 4096 x 4 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S4_S4 : if (BRAM_PRIMITIVE = B16_S4_S4) generate
component RAMB16_S4_S4
port (
DIA : in std_logic_vector (3 downto 0);
DIB : in std_logic_vector (3 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (11 downto 0);
ADDRB : in std_logic_vector (11 downto 0);
DOA : out std_logic_vector (3 downto 0);
DOB : out std_logic_vector (3 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 12; -- 4096 deep
Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 4; -- 4 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--type pdbus_slice_array is array(BRAM_NUM downto 1) of
-- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
--slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
--slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_4096x4 : RAMB16_S4_S4
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S4_S4;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 8192 x 2 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S2_S2 : if (BRAM_PRIMITIVE = B16_S2_S2) generate
component RAMB16_S2_S2
port (
DIA : in std_logic_vector (1 downto 0);
DIB : in std_logic_vector (1 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (12 downto 0);
ADDRB : in std_logic_vector (12 downto 0);
DOA : out std_logic_vector (1 downto 0);
DOB : out std_logic_vector (1 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 13; -- 8192 deep
Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 2; -- 2 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--type pdbus_slice_array is array(BRAM_NUM downto 1) of
-- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
--slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
--slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_8192x2 : RAMB16_S2_S2
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S2_S2;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 16384 x 1 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S1_S1 : if (BRAM_PRIMITIVE = B16_S1_S1) generate
component RAMB16_S1_S1
port (
DIA : in std_logic_vector (0 downto 0);
DIB : in std_logic_vector (0 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (13 downto 0);
ADDRB : in std_logic_vector (13 downto 0);
DOA : out std_logic_vector (0 downto 0);
DOB : out std_logic_vector (0 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 14; -- 16384 deep
Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 1; -- 1 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--type pdbus_slice_array is array(BRAM_NUM downto 1) of
-- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
--slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
--slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_16384x1 : RAMB16_S1_S1
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S1_S1;
--==========================================================================
-- End of Virtex-II and Virtex-II Pro support
--///////////////////////////////////////////////////////////////////////////
--///////////////////////////////////////////////////////////////////////////
-- Start Spartan-II, Spartan-IIE, Virtex, and VirtexE support
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 4096 x 1 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S1_S1 : if (BRAM_PRIMITIVE = B4_S1_S1) generate
component RAMB4_S1_S1
port (
DIA : in std_logic_vector (0 downto 0);
DIB : in std_logic_vector (0 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (11 downto 0);
ADDRB : in std_logic_vector (11 downto 0);
DOA : out std_logic_vector (0 downto 0);
DOB : out std_logic_vector (0 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 12; -- 4096 deep
Constant PRIM_DBUS_WIDTH : integer := 1; -- 1 data bit
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_4096x1 : RAMB4_S1_S1
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S1_S1;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 2048 x 2 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S2_S2 : if (BRAM_PRIMITIVE = B4_S2_S2) generate
component RAMB4_S2_S2
port (
DIA : in std_logic_vector (1 downto 0);
DIB : in std_logic_vector (1 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (10 downto 0);
ADDRB : in std_logic_vector (10 downto 0);
DOA : out std_logic_vector (1 downto 0);
DOB : out std_logic_vector (1 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 11; -- 2048 deep
Constant PRIM_DBUS_WIDTH : integer := 2; -- 2 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_2048x2 : RAMB4_S2_S2
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S2_S2;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 1024 x 4 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S4_S4 : if (BRAM_PRIMITIVE = B4_S4_S4) generate
component RAMB4_S4_S4
port (
DIA : in std_logic_vector (3 downto 0);
DIB : in std_logic_vector (3 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (9 downto 0);
ADDRB : in std_logic_vector (9 downto 0);
DOA : out std_logic_vector (3 downto 0);
DOB : out std_logic_vector (3 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 10; -- 1024 deep
Constant PRIM_DBUS_WIDTH : integer := 4; -- 4 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_1024x4 : RAMB4_S4_S4
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S4_S4;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 512 x 8 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S8_S8 : if (BRAM_PRIMITIVE = B4_S8_S8) generate
component RAMB4_S8_S8
port (
DIA : in std_logic_vector (7 downto 0);
DIB : in std_logic_vector (7 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (8 downto 0);
ADDRB : in std_logic_vector (8 downto 0);
DOA : out std_logic_vector (7 downto 0);
DOB : out std_logic_vector (7 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 9; -- 512 deep
Constant PRIM_DBUS_WIDTH : integer := 8; -- 8 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_512x8 : RAMB4_S8_S8
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S8_S8;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 256 x 16 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S16_S16 : if (BRAM_PRIMITIVE = B4_S16_S16) generate
component RAMB4_S16_S16
port (DIA : in STD_LOGIC_VECTOR (15 downto 0);
DIB : in STD_LOGIC_VECTOR (15 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in STD_LOGIC_VECTOR (7 downto 0);
ADDRB : in STD_LOGIC_VECTOR (7 downto 0);
DOA : out STD_LOGIC_VECTOR (15 downto 0);
DOB : out STD_LOGIC_VECTOR (15 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 8; -- 256 deep
Constant PRIM_DBUS_WIDTH : integer := 16; -- 16 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_256x16 : RAMB4_S16_S16
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S16_S16;
--==========================================================================
UNSUPPORTED_FAMILY : if (BRAM_PRIMITIVE = indeterminate) generate
begin
-- assert (false)
-- report "Unsupported Part Family Selected or FIFO Depth/Width is invalid!"
-- severity failure;
--
end generate UNSUPPORTED_FAMILY;
end architecture implementation;
|
-------------------------------------------------------------------------------
-- $Id: pf_dpram_select.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $
-------------------------------------------------------------------------------
-- pf_dpram_select.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: pf_dpram_select.vhd
--
-- Description: This vhdl design file uses three input parameters describing
-- the desired storage depth, data width, and FPGA family type.
-- From these, the design selects the optimum Block RAM
-- primitive for the basic storage element and connects them
-- in parallel to accomodate the desired data width.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- pf_dpram_select.vhd
--
-------------------------------------------------------------------------------
-- Author: D. Thorpe
-- Revision: $Revision: 1.1.4.1 $
-- Date: $Date: 2010/09/14 22:35:47 $
--
-- History:
-- DET Oct. 7, 2001 First Version
-- - Adopted design concepts from Goran Bilski's
-- opb_bram.vhd design in the formulation of this
-- design for the Mauna Loa packet FIFO dual port
-- core function.
--
-- DET Oct-31-2001
-- - Changed the generic input parameter C_FAMILY of type string
-- back to the boolean type parameter C_VIRTEX_II. XST support
-- change.
--
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library unisim;
use unisim.all; -- uses BRAM primitives
-------------------------------------------------------------------------------
entity pf_dpram_select is
generic (
C_DP_DATA_WIDTH : Integer := 32;
C_DP_ADDRESS_WIDTH : Integer := 9;
C_VIRTEX_II : Boolean := true
);
port (
-- Write Port signals
Wr_rst : In std_logic;
Wr_Clk : in std_logic;
Wr_Enable : In std_logic;
Wr_Req : In std_logic;
Wr_Address : in std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1);
Wr_Data : In std_logic_vector(0 to C_DP_DATA_WIDTH-1);
-- Read Port Signals
Rd_rst : In std_logic;
Rd_Clk : in std_logic;
Rd_Enable : In std_logic;
Rd_Address : in std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1);
Rd_Data : out std_logic_vector(0 to C_DP_DATA_WIDTH-1)
);
end entity pf_dpram_select;
architecture implementation of pf_dpram_select is
Type family_type is (
any ,
x4k ,
x4ke ,
x4kl ,
x4kex ,
x4kxl ,
x4kxv ,
x4kxla ,
spartan ,
spartanxl,
spartan2 ,
spartan2e,
virtex ,
virtexe ,
virtex2 ,
virtex2p ,
unsupported
);
Type bram_prim_type is (
use_srl ,
B4_S1_S1 ,
B4_S2_S2 ,
B4_S4_S4 ,
B4_S8_S8 ,
B4_S16_S16 ,
B16_S1_S1 ,
B16_S2_S2 ,
B16_S4_S4 ,
B16_S9_S9 ,
B16_S18_S18 ,
B16_S36_S36 ,
indeterminate
);
-----------------------------------------------------------------------------
-- This function converts the input C_VIRTEX_II boolean type to an enumerated
-- type. Only Virtex and Virtex II types are currently supported. This
-- used to convert a string to a family type function but string support in
-- the synthesis tools was found to be mutually exclusive between Synplicity
-- and XST.
-----------------------------------------------------------------------------
function get_prim_family (vertex2_select : boolean) return family_type is
Variable prim_family : family_type;
begin
If (vertex2_select) Then
prim_family := virtex2;
else
prim_family := virtex;
End if;
Return (prim_family);
end function get_prim_family;
-----------------------------------------------------------------------------
-- This function chooses the optimum BRAM primitive to utilize as
-- specified by the inputs for data depth, data width, and FPGA part family.
-----------------------------------------------------------------------------
function get_bram_primitive (target_depth: integer;
target_width: integer;
family : family_type )
return bram_prim_type is
Variable primitive : bram_prim_type;
begin
Case family Is
When virtex2p | virtex2 =>
Case target_depth Is
When 1 | 2 =>
primitive := indeterminate; -- depth is too small for BRAM
-- based fifo control logic
When 4 | 8 | 16 =>
-- primitive := use_srl; -- activate when SRL FIFO incorporated
Case target_width Is -- use BRAM for now
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When 5 | 6 | 7 | 8 | 9 =>
primitive := B16_S9_S9;
When 10 | 11 | 12 | 13 | 14 |
15 | 16 | 17 | 18 =>
primitive := B16_S18_S18;
When others =>
primitive := B16_S36_S36;
End case;
when 32 | 64 | 128 | 256 | 512 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When 5 | 6 | 7 | 8 | 9 =>
primitive := B16_S9_S9;
When 10 | 11 | 12 | 13 | 14 |
15 | 16 | 17 | 18 =>
primitive := B16_S18_S18;
When others =>
primitive := B16_S36_S36;
End case;
When 1024 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When 5 | 6 | 7 | 8 | 9 =>
primitive := B16_S9_S9;
When others =>
primitive := B16_S18_S18;
End case;
When 2048 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When others =>
primitive := B16_S9_S9;
End case;
When 4096 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When others =>
primitive := B16_S4_S4;
End case;
When 8192 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When others =>
primitive := B16_S2_S2;
End case;
When 16384 =>
primitive := B16_S1_S1;
When others =>
primitive := indeterminate;
End case;
When spartan2 | spartan2e | virtex | virtexe =>
Case target_depth Is
When 1 | 2 =>
primitive := indeterminate; -- depth is too small for BRAM
-- based fifo control logic
When 4 | 8 | 16 =>
-- primitive := use_srl; -- activate this when SRL FIFO is
-- incorporated
Case target_width Is -- use BRAM for now
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When 3 | 4 =>
primitive := B4_S4_S4;
When 5 | 6 | 7 | 8 =>
primitive := B4_S8_S8;
When others =>
primitive := B4_S16_S16;
End case;
when 32 | 64 | 128 | 256 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When 3 | 4 =>
primitive := B4_S4_S4;
When 5 | 6 | 7 | 8 =>
primitive := B4_S8_S8;
When others =>
primitive := B4_S16_S16;
End case;
when 512 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When 3 | 4 =>
primitive := B4_S4_S4;
When others =>
primitive := B4_S8_S8;
End case;
When 1024 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When others =>
primitive := B4_S4_S4;
End case;
When 2048 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When others =>
primitive := B4_S2_S2;
End case;
When 4096 =>
primitive := B4_S1_S1;
When others =>
primitive := indeterminate;
End case;
When others =>
primitive := indeterminate;
End case;
Return primitive;
end function get_bram_primitive;
-----------------------------------------------------------------------------
-- This function calculates the number of BRAM primitives required as
-- specified by the inputs for data width and BRAM primitive type.
-----------------------------------------------------------------------------
function get_num_prims (bram_prim : bram_prim_type;
mem_width : integer)
return integer is
Variable bram_num : integer;
begin
Case bram_prim Is
When B16_S1_S1 | B4_S1_S1 =>
bram_num := mem_width;
When B16_S2_S2 | B4_S2_S2 =>
bram_num := (mem_width+1)/2;
When B16_S4_S4 | B4_S4_S4 =>
bram_num := (mem_width+3)/4;
When B4_S8_S8 =>
bram_num := (mem_width+7)/8;
When B16_S9_S9 =>
bram_num := (mem_width+8)/9;
When B4_S16_S16 =>
bram_num := (mem_width+15)/16;
When B16_S18_S18 =>
bram_num := (mem_width+17)/18;
When B16_S36_S36 =>
bram_num := (mem_width+35)/36;
When others =>
bram_num := 1;
End case;
Return (bram_num);
end function get_num_prims;
-- Now set the global CONSTANTS needed for IF-Generates
-- Determine the number of BRAM storage locations needed
constant FIFO_DEPTH : Integer := 2**C_DP_ADDRESS_WIDTH;
-- Convert the input C_VIRTEX_II generic boolean to enumerated type
Constant BRAM_FAMILY : family_type :=
get_prim_family(C_VIRTEX_II);
-- Select the optimum BRAM primitive to use
constant BRAM_PRIMITIVE : bram_prim_type :=
get_bram_primitive(FIFO_DEPTH,
C_DP_DATA_WIDTH,
BRAM_FAMILY);
-- Calculate how many of the selected primitives are needed
-- to populate the desired data width
constant BRAM_NUM : integer :=
get_num_prims(BRAM_PRIMITIVE,
C_DP_DATA_WIDTH);
begin -- architecture
----------------------------------------------------------------------------
-- Using VII 512 x 36 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S36_S36 : if (BRAM_PRIMITIVE = B16_S36_S36) generate
component RAMB16_S36_S36
port (DIA : in STD_LOGIC_VECTOR (31 downto 0);
DIB : in STD_LOGIC_VECTOR (31 downto 0);
DIPA : in STD_LOGIC_VECTOR (3 downto 0);
DIPB : in STD_LOGIC_VECTOR (3 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in STD_LOGIC_VECTOR (8 downto 0);
ADDRB : in STD_LOGIC_VECTOR (8 downto 0);
DOA : out STD_LOGIC_VECTOR (31 downto 0);
DOB : out STD_LOGIC_VECTOR (31 downto 0);
DOPA : out STD_LOGIC_VECTOR (3 downto 0);
DOPB : out STD_LOGIC_VECTOR (3 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 9; -- 512 deep
Constant PRIM_PDBUS_WIDTH : integer := 4; -- 4 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 32; -- 4 parity data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
type pdbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_512x32 : RAMB16_S36_S36
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
DIPA => slice_a_pdbus_in(i),
DIPB => slice_b_pdbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i),
DOPA => slice_a_pdbus_out(i),
DOPB => slice_b_pdbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S36_S36;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 1024 x 18 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S18_S18 : if (BRAM_PRIMITIVE = B16_S18_S18) generate
component RAMB16_S18_S18
port (DIA : in STD_LOGIC_VECTOR (15 downto 0);
DIB : in STD_LOGIC_VECTOR (15 downto 0);
DIPA : in STD_LOGIC_VECTOR (1 downto 0);
DIPB : in STD_LOGIC_VECTOR (1 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in STD_LOGIC_VECTOR (9 downto 0);
ADDRB : in STD_LOGIC_VECTOR (9 downto 0);
DOA : out STD_LOGIC_VECTOR (15 downto 0);
DOB : out STD_LOGIC_VECTOR (15 downto 0);
DOPA : out STD_LOGIC_VECTOR (1 downto 0);
DOPB : out STD_LOGIC_VECTOR (1 downto 0)
);
end component;
Constant PRIM_ADDR_WIDTH : integer := 10; -- 1024 deep
Constant PRIM_PDBUS_WIDTH : integer := 2; -- 2 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 16; -- 16 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
type pdbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_1024x18 : RAMB16_S18_S18
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
DIPA => slice_a_pdbus_in(i),
DIPB => slice_b_pdbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i),
DOPA => slice_a_pdbus_out(i),
DOPB => slice_b_pdbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S18_S18;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 2048 x 9 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S9_S9 : if (BRAM_PRIMITIVE = B16_S9_S9) generate
component RAMB16_S9_S9
port (
DIA : in std_logic_vector (7 downto 0);
DIB : in std_logic_vector (7 downto 0);
DIPA : in std_logic_vector (0 downto 0);
DIPB : in std_logic_vector (0 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (10 downto 0);
ADDRB : in std_logic_vector (10 downto 0);
DOA : out std_logic_vector (7 downto 0);
DOB : out std_logic_vector (7 downto 0);
DOPA : out std_logic_vector (0 downto 0);
DOPB : out std_logic_vector (0 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 11; -- 2048 deep
Constant PRIM_PDBUS_WIDTH : integer := 1; -- 1 parity data bit
Constant PRIM_DBUS_WIDTH : integer := 8; -- 8 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
type pdbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_2048x9 : RAMB16_S9_S9
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
DIPA => slice_a_pdbus_in(i),
DIPB => slice_b_pdbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i),
DOPA => slice_a_pdbus_out(i),
DOPB => slice_b_pdbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S9_S9;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 4096 x 4 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S4_S4 : if (BRAM_PRIMITIVE = B16_S4_S4) generate
component RAMB16_S4_S4
port (
DIA : in std_logic_vector (3 downto 0);
DIB : in std_logic_vector (3 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (11 downto 0);
ADDRB : in std_logic_vector (11 downto 0);
DOA : out std_logic_vector (3 downto 0);
DOB : out std_logic_vector (3 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 12; -- 4096 deep
Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 4; -- 4 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--type pdbus_slice_array is array(BRAM_NUM downto 1) of
-- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
--slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
--slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_4096x4 : RAMB16_S4_S4
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S4_S4;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 8192 x 2 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S2_S2 : if (BRAM_PRIMITIVE = B16_S2_S2) generate
component RAMB16_S2_S2
port (
DIA : in std_logic_vector (1 downto 0);
DIB : in std_logic_vector (1 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (12 downto 0);
ADDRB : in std_logic_vector (12 downto 0);
DOA : out std_logic_vector (1 downto 0);
DOB : out std_logic_vector (1 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 13; -- 8192 deep
Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 2; -- 2 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--type pdbus_slice_array is array(BRAM_NUM downto 1) of
-- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
--slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
--slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_8192x2 : RAMB16_S2_S2
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S2_S2;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 16384 x 1 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S1_S1 : if (BRAM_PRIMITIVE = B16_S1_S1) generate
component RAMB16_S1_S1
port (
DIA : in std_logic_vector (0 downto 0);
DIB : in std_logic_vector (0 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (13 downto 0);
ADDRB : in std_logic_vector (13 downto 0);
DOA : out std_logic_vector (0 downto 0);
DOB : out std_logic_vector (0 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 14; -- 16384 deep
Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 1; -- 1 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--type pdbus_slice_array is array(BRAM_NUM downto 1) of
-- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
--slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
--slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_16384x1 : RAMB16_S1_S1
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S1_S1;
--==========================================================================
-- End of Virtex-II and Virtex-II Pro support
--///////////////////////////////////////////////////////////////////////////
--///////////////////////////////////////////////////////////////////////////
-- Start Spartan-II, Spartan-IIE, Virtex, and VirtexE support
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 4096 x 1 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S1_S1 : if (BRAM_PRIMITIVE = B4_S1_S1) generate
component RAMB4_S1_S1
port (
DIA : in std_logic_vector (0 downto 0);
DIB : in std_logic_vector (0 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (11 downto 0);
ADDRB : in std_logic_vector (11 downto 0);
DOA : out std_logic_vector (0 downto 0);
DOB : out std_logic_vector (0 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 12; -- 4096 deep
Constant PRIM_DBUS_WIDTH : integer := 1; -- 1 data bit
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_4096x1 : RAMB4_S1_S1
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S1_S1;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 2048 x 2 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S2_S2 : if (BRAM_PRIMITIVE = B4_S2_S2) generate
component RAMB4_S2_S2
port (
DIA : in std_logic_vector (1 downto 0);
DIB : in std_logic_vector (1 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (10 downto 0);
ADDRB : in std_logic_vector (10 downto 0);
DOA : out std_logic_vector (1 downto 0);
DOB : out std_logic_vector (1 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 11; -- 2048 deep
Constant PRIM_DBUS_WIDTH : integer := 2; -- 2 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_2048x2 : RAMB4_S2_S2
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S2_S2;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 1024 x 4 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S4_S4 : if (BRAM_PRIMITIVE = B4_S4_S4) generate
component RAMB4_S4_S4
port (
DIA : in std_logic_vector (3 downto 0);
DIB : in std_logic_vector (3 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (9 downto 0);
ADDRB : in std_logic_vector (9 downto 0);
DOA : out std_logic_vector (3 downto 0);
DOB : out std_logic_vector (3 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 10; -- 1024 deep
Constant PRIM_DBUS_WIDTH : integer := 4; -- 4 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_1024x4 : RAMB4_S4_S4
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S4_S4;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 512 x 8 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S8_S8 : if (BRAM_PRIMITIVE = B4_S8_S8) generate
component RAMB4_S8_S8
port (
DIA : in std_logic_vector (7 downto 0);
DIB : in std_logic_vector (7 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (8 downto 0);
ADDRB : in std_logic_vector (8 downto 0);
DOA : out std_logic_vector (7 downto 0);
DOB : out std_logic_vector (7 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 9; -- 512 deep
Constant PRIM_DBUS_WIDTH : integer := 8; -- 8 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_512x8 : RAMB4_S8_S8
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S8_S8;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 256 x 16 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S16_S16 : if (BRAM_PRIMITIVE = B4_S16_S16) generate
component RAMB4_S16_S16
port (DIA : in STD_LOGIC_VECTOR (15 downto 0);
DIB : in STD_LOGIC_VECTOR (15 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in STD_LOGIC_VECTOR (7 downto 0);
ADDRB : in STD_LOGIC_VECTOR (7 downto 0);
DOA : out STD_LOGIC_VECTOR (15 downto 0);
DOB : out STD_LOGIC_VECTOR (15 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 8; -- 256 deep
Constant PRIM_DBUS_WIDTH : integer := 16; -- 16 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_256x16 : RAMB4_S16_S16
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S16_S16;
--==========================================================================
UNSUPPORTED_FAMILY : if (BRAM_PRIMITIVE = indeterminate) generate
begin
-- assert (false)
-- report "Unsupported Part Family Selected or FIFO Depth/Width is invalid!"
-- severity failure;
--
end generate UNSUPPORTED_FAMILY;
end architecture implementation;
|
-------------------------------------------------------------------------------
-- $Id: pf_dpram_select.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $
-------------------------------------------------------------------------------
-- pf_dpram_select.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: pf_dpram_select.vhd
--
-- Description: This vhdl design file uses three input parameters describing
-- the desired storage depth, data width, and FPGA family type.
-- From these, the design selects the optimum Block RAM
-- primitive for the basic storage element and connects them
-- in parallel to accomodate the desired data width.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- pf_dpram_select.vhd
--
-------------------------------------------------------------------------------
-- Author: D. Thorpe
-- Revision: $Revision: 1.1.4.1 $
-- Date: $Date: 2010/09/14 22:35:47 $
--
-- History:
-- DET Oct. 7, 2001 First Version
-- - Adopted design concepts from Goran Bilski's
-- opb_bram.vhd design in the formulation of this
-- design for the Mauna Loa packet FIFO dual port
-- core function.
--
-- DET Oct-31-2001
-- - Changed the generic input parameter C_FAMILY of type string
-- back to the boolean type parameter C_VIRTEX_II. XST support
-- change.
--
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library unisim;
use unisim.all; -- uses BRAM primitives
-------------------------------------------------------------------------------
entity pf_dpram_select is
generic (
C_DP_DATA_WIDTH : Integer := 32;
C_DP_ADDRESS_WIDTH : Integer := 9;
C_VIRTEX_II : Boolean := true
);
port (
-- Write Port signals
Wr_rst : In std_logic;
Wr_Clk : in std_logic;
Wr_Enable : In std_logic;
Wr_Req : In std_logic;
Wr_Address : in std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1);
Wr_Data : In std_logic_vector(0 to C_DP_DATA_WIDTH-1);
-- Read Port Signals
Rd_rst : In std_logic;
Rd_Clk : in std_logic;
Rd_Enable : In std_logic;
Rd_Address : in std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1);
Rd_Data : out std_logic_vector(0 to C_DP_DATA_WIDTH-1)
);
end entity pf_dpram_select;
architecture implementation of pf_dpram_select is
Type family_type is (
any ,
x4k ,
x4ke ,
x4kl ,
x4kex ,
x4kxl ,
x4kxv ,
x4kxla ,
spartan ,
spartanxl,
spartan2 ,
spartan2e,
virtex ,
virtexe ,
virtex2 ,
virtex2p ,
unsupported
);
Type bram_prim_type is (
use_srl ,
B4_S1_S1 ,
B4_S2_S2 ,
B4_S4_S4 ,
B4_S8_S8 ,
B4_S16_S16 ,
B16_S1_S1 ,
B16_S2_S2 ,
B16_S4_S4 ,
B16_S9_S9 ,
B16_S18_S18 ,
B16_S36_S36 ,
indeterminate
);
-----------------------------------------------------------------------------
-- This function converts the input C_VIRTEX_II boolean type to an enumerated
-- type. Only Virtex and Virtex II types are currently supported. This
-- used to convert a string to a family type function but string support in
-- the synthesis tools was found to be mutually exclusive between Synplicity
-- and XST.
-----------------------------------------------------------------------------
function get_prim_family (vertex2_select : boolean) return family_type is
Variable prim_family : family_type;
begin
If (vertex2_select) Then
prim_family := virtex2;
else
prim_family := virtex;
End if;
Return (prim_family);
end function get_prim_family;
-----------------------------------------------------------------------------
-- This function chooses the optimum BRAM primitive to utilize as
-- specified by the inputs for data depth, data width, and FPGA part family.
-----------------------------------------------------------------------------
function get_bram_primitive (target_depth: integer;
target_width: integer;
family : family_type )
return bram_prim_type is
Variable primitive : bram_prim_type;
begin
Case family Is
When virtex2p | virtex2 =>
Case target_depth Is
When 1 | 2 =>
primitive := indeterminate; -- depth is too small for BRAM
-- based fifo control logic
When 4 | 8 | 16 =>
-- primitive := use_srl; -- activate when SRL FIFO incorporated
Case target_width Is -- use BRAM for now
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When 5 | 6 | 7 | 8 | 9 =>
primitive := B16_S9_S9;
When 10 | 11 | 12 | 13 | 14 |
15 | 16 | 17 | 18 =>
primitive := B16_S18_S18;
When others =>
primitive := B16_S36_S36;
End case;
when 32 | 64 | 128 | 256 | 512 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When 5 | 6 | 7 | 8 | 9 =>
primitive := B16_S9_S9;
When 10 | 11 | 12 | 13 | 14 |
15 | 16 | 17 | 18 =>
primitive := B16_S18_S18;
When others =>
primitive := B16_S36_S36;
End case;
When 1024 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When 5 | 6 | 7 | 8 | 9 =>
primitive := B16_S9_S9;
When others =>
primitive := B16_S18_S18;
End case;
When 2048 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When others =>
primitive := B16_S9_S9;
End case;
When 4096 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When others =>
primitive := B16_S4_S4;
End case;
When 8192 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When others =>
primitive := B16_S2_S2;
End case;
When 16384 =>
primitive := B16_S1_S1;
When others =>
primitive := indeterminate;
End case;
When spartan2 | spartan2e | virtex | virtexe =>
Case target_depth Is
When 1 | 2 =>
primitive := indeterminate; -- depth is too small for BRAM
-- based fifo control logic
When 4 | 8 | 16 =>
-- primitive := use_srl; -- activate this when SRL FIFO is
-- incorporated
Case target_width Is -- use BRAM for now
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When 3 | 4 =>
primitive := B4_S4_S4;
When 5 | 6 | 7 | 8 =>
primitive := B4_S8_S8;
When others =>
primitive := B4_S16_S16;
End case;
when 32 | 64 | 128 | 256 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When 3 | 4 =>
primitive := B4_S4_S4;
When 5 | 6 | 7 | 8 =>
primitive := B4_S8_S8;
When others =>
primitive := B4_S16_S16;
End case;
when 512 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When 3 | 4 =>
primitive := B4_S4_S4;
When others =>
primitive := B4_S8_S8;
End case;
When 1024 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When others =>
primitive := B4_S4_S4;
End case;
When 2048 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When others =>
primitive := B4_S2_S2;
End case;
When 4096 =>
primitive := B4_S1_S1;
When others =>
primitive := indeterminate;
End case;
When others =>
primitive := indeterminate;
End case;
Return primitive;
end function get_bram_primitive;
-----------------------------------------------------------------------------
-- This function calculates the number of BRAM primitives required as
-- specified by the inputs for data width and BRAM primitive type.
-----------------------------------------------------------------------------
function get_num_prims (bram_prim : bram_prim_type;
mem_width : integer)
return integer is
Variable bram_num : integer;
begin
Case bram_prim Is
When B16_S1_S1 | B4_S1_S1 =>
bram_num := mem_width;
When B16_S2_S2 | B4_S2_S2 =>
bram_num := (mem_width+1)/2;
When B16_S4_S4 | B4_S4_S4 =>
bram_num := (mem_width+3)/4;
When B4_S8_S8 =>
bram_num := (mem_width+7)/8;
When B16_S9_S9 =>
bram_num := (mem_width+8)/9;
When B4_S16_S16 =>
bram_num := (mem_width+15)/16;
When B16_S18_S18 =>
bram_num := (mem_width+17)/18;
When B16_S36_S36 =>
bram_num := (mem_width+35)/36;
When others =>
bram_num := 1;
End case;
Return (bram_num);
end function get_num_prims;
-- Now set the global CONSTANTS needed for IF-Generates
-- Determine the number of BRAM storage locations needed
constant FIFO_DEPTH : Integer := 2**C_DP_ADDRESS_WIDTH;
-- Convert the input C_VIRTEX_II generic boolean to enumerated type
Constant BRAM_FAMILY : family_type :=
get_prim_family(C_VIRTEX_II);
-- Select the optimum BRAM primitive to use
constant BRAM_PRIMITIVE : bram_prim_type :=
get_bram_primitive(FIFO_DEPTH,
C_DP_DATA_WIDTH,
BRAM_FAMILY);
-- Calculate how many of the selected primitives are needed
-- to populate the desired data width
constant BRAM_NUM : integer :=
get_num_prims(BRAM_PRIMITIVE,
C_DP_DATA_WIDTH);
begin -- architecture
----------------------------------------------------------------------------
-- Using VII 512 x 36 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S36_S36 : if (BRAM_PRIMITIVE = B16_S36_S36) generate
component RAMB16_S36_S36
port (DIA : in STD_LOGIC_VECTOR (31 downto 0);
DIB : in STD_LOGIC_VECTOR (31 downto 0);
DIPA : in STD_LOGIC_VECTOR (3 downto 0);
DIPB : in STD_LOGIC_VECTOR (3 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in STD_LOGIC_VECTOR (8 downto 0);
ADDRB : in STD_LOGIC_VECTOR (8 downto 0);
DOA : out STD_LOGIC_VECTOR (31 downto 0);
DOB : out STD_LOGIC_VECTOR (31 downto 0);
DOPA : out STD_LOGIC_VECTOR (3 downto 0);
DOPB : out STD_LOGIC_VECTOR (3 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 9; -- 512 deep
Constant PRIM_PDBUS_WIDTH : integer := 4; -- 4 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 32; -- 4 parity data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
type pdbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_512x32 : RAMB16_S36_S36
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
DIPA => slice_a_pdbus_in(i),
DIPB => slice_b_pdbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i),
DOPA => slice_a_pdbus_out(i),
DOPB => slice_b_pdbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S36_S36;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 1024 x 18 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S18_S18 : if (BRAM_PRIMITIVE = B16_S18_S18) generate
component RAMB16_S18_S18
port (DIA : in STD_LOGIC_VECTOR (15 downto 0);
DIB : in STD_LOGIC_VECTOR (15 downto 0);
DIPA : in STD_LOGIC_VECTOR (1 downto 0);
DIPB : in STD_LOGIC_VECTOR (1 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in STD_LOGIC_VECTOR (9 downto 0);
ADDRB : in STD_LOGIC_VECTOR (9 downto 0);
DOA : out STD_LOGIC_VECTOR (15 downto 0);
DOB : out STD_LOGIC_VECTOR (15 downto 0);
DOPA : out STD_LOGIC_VECTOR (1 downto 0);
DOPB : out STD_LOGIC_VECTOR (1 downto 0)
);
end component;
Constant PRIM_ADDR_WIDTH : integer := 10; -- 1024 deep
Constant PRIM_PDBUS_WIDTH : integer := 2; -- 2 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 16; -- 16 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
type pdbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_1024x18 : RAMB16_S18_S18
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
DIPA => slice_a_pdbus_in(i),
DIPB => slice_b_pdbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i),
DOPA => slice_a_pdbus_out(i),
DOPB => slice_b_pdbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S18_S18;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 2048 x 9 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S9_S9 : if (BRAM_PRIMITIVE = B16_S9_S9) generate
component RAMB16_S9_S9
port (
DIA : in std_logic_vector (7 downto 0);
DIB : in std_logic_vector (7 downto 0);
DIPA : in std_logic_vector (0 downto 0);
DIPB : in std_logic_vector (0 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (10 downto 0);
ADDRB : in std_logic_vector (10 downto 0);
DOA : out std_logic_vector (7 downto 0);
DOB : out std_logic_vector (7 downto 0);
DOPA : out std_logic_vector (0 downto 0);
DOPB : out std_logic_vector (0 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 11; -- 2048 deep
Constant PRIM_PDBUS_WIDTH : integer := 1; -- 1 parity data bit
Constant PRIM_DBUS_WIDTH : integer := 8; -- 8 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
type pdbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_2048x9 : RAMB16_S9_S9
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
DIPA => slice_a_pdbus_in(i),
DIPB => slice_b_pdbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i),
DOPA => slice_a_pdbus_out(i),
DOPB => slice_b_pdbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S9_S9;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 4096 x 4 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S4_S4 : if (BRAM_PRIMITIVE = B16_S4_S4) generate
component RAMB16_S4_S4
port (
DIA : in std_logic_vector (3 downto 0);
DIB : in std_logic_vector (3 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (11 downto 0);
ADDRB : in std_logic_vector (11 downto 0);
DOA : out std_logic_vector (3 downto 0);
DOB : out std_logic_vector (3 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 12; -- 4096 deep
Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 4; -- 4 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--type pdbus_slice_array is array(BRAM_NUM downto 1) of
-- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
--slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
--slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_4096x4 : RAMB16_S4_S4
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S4_S4;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 8192 x 2 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S2_S2 : if (BRAM_PRIMITIVE = B16_S2_S2) generate
component RAMB16_S2_S2
port (
DIA : in std_logic_vector (1 downto 0);
DIB : in std_logic_vector (1 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (12 downto 0);
ADDRB : in std_logic_vector (12 downto 0);
DOA : out std_logic_vector (1 downto 0);
DOB : out std_logic_vector (1 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 13; -- 8192 deep
Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 2; -- 2 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--type pdbus_slice_array is array(BRAM_NUM downto 1) of
-- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
--slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
--slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_8192x2 : RAMB16_S2_S2
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S2_S2;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 16384 x 1 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S1_S1 : if (BRAM_PRIMITIVE = B16_S1_S1) generate
component RAMB16_S1_S1
port (
DIA : in std_logic_vector (0 downto 0);
DIB : in std_logic_vector (0 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (13 downto 0);
ADDRB : in std_logic_vector (13 downto 0);
DOA : out std_logic_vector (0 downto 0);
DOB : out std_logic_vector (0 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 14; -- 16384 deep
Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 1; -- 1 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--type pdbus_slice_array is array(BRAM_NUM downto 1) of
-- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
--slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
--slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_16384x1 : RAMB16_S1_S1
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S1_S1;
--==========================================================================
-- End of Virtex-II and Virtex-II Pro support
--///////////////////////////////////////////////////////////////////////////
--///////////////////////////////////////////////////////////////////////////
-- Start Spartan-II, Spartan-IIE, Virtex, and VirtexE support
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 4096 x 1 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S1_S1 : if (BRAM_PRIMITIVE = B4_S1_S1) generate
component RAMB4_S1_S1
port (
DIA : in std_logic_vector (0 downto 0);
DIB : in std_logic_vector (0 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (11 downto 0);
ADDRB : in std_logic_vector (11 downto 0);
DOA : out std_logic_vector (0 downto 0);
DOB : out std_logic_vector (0 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 12; -- 4096 deep
Constant PRIM_DBUS_WIDTH : integer := 1; -- 1 data bit
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_4096x1 : RAMB4_S1_S1
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S1_S1;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 2048 x 2 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S2_S2 : if (BRAM_PRIMITIVE = B4_S2_S2) generate
component RAMB4_S2_S2
port (
DIA : in std_logic_vector (1 downto 0);
DIB : in std_logic_vector (1 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (10 downto 0);
ADDRB : in std_logic_vector (10 downto 0);
DOA : out std_logic_vector (1 downto 0);
DOB : out std_logic_vector (1 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 11; -- 2048 deep
Constant PRIM_DBUS_WIDTH : integer := 2; -- 2 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_2048x2 : RAMB4_S2_S2
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S2_S2;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 1024 x 4 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S4_S4 : if (BRAM_PRIMITIVE = B4_S4_S4) generate
component RAMB4_S4_S4
port (
DIA : in std_logic_vector (3 downto 0);
DIB : in std_logic_vector (3 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (9 downto 0);
ADDRB : in std_logic_vector (9 downto 0);
DOA : out std_logic_vector (3 downto 0);
DOB : out std_logic_vector (3 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 10; -- 1024 deep
Constant PRIM_DBUS_WIDTH : integer := 4; -- 4 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_1024x4 : RAMB4_S4_S4
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S4_S4;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 512 x 8 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S8_S8 : if (BRAM_PRIMITIVE = B4_S8_S8) generate
component RAMB4_S8_S8
port (
DIA : in std_logic_vector (7 downto 0);
DIB : in std_logic_vector (7 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (8 downto 0);
ADDRB : in std_logic_vector (8 downto 0);
DOA : out std_logic_vector (7 downto 0);
DOB : out std_logic_vector (7 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 9; -- 512 deep
Constant PRIM_DBUS_WIDTH : integer := 8; -- 8 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_512x8 : RAMB4_S8_S8
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S8_S8;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 256 x 16 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S16_S16 : if (BRAM_PRIMITIVE = B4_S16_S16) generate
component RAMB4_S16_S16
port (DIA : in STD_LOGIC_VECTOR (15 downto 0);
DIB : in STD_LOGIC_VECTOR (15 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in STD_LOGIC_VECTOR (7 downto 0);
ADDRB : in STD_LOGIC_VECTOR (7 downto 0);
DOA : out STD_LOGIC_VECTOR (15 downto 0);
DOB : out STD_LOGIC_VECTOR (15 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 8; -- 256 deep
Constant PRIM_DBUS_WIDTH : integer := 16; -- 16 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_256x16 : RAMB4_S16_S16
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S16_S16;
--==========================================================================
UNSUPPORTED_FAMILY : if (BRAM_PRIMITIVE = indeterminate) generate
begin
-- assert (false)
-- report "Unsupported Part Family Selected or FIFO Depth/Width is invalid!"
-- severity failure;
--
end generate UNSUPPORTED_FAMILY;
end architecture implementation;
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity val1 is
generic(
n:integer:=9
);
port(
NewWord : IN STD_LOGIC_VECTOR(n-1 downto 0); --cadena recien hecha
Clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
GoodWord : OUT STD_LOGIC_VECTOR(n-1 downto 0) --palabra que saldra si pasa los filtros
);
end val1;
architecture Behavioral of val1 is
signal AlreadyInWord : STD_LOGIC_VECTOR(n-1 downto 0); --cadena de comprobacion o estado presente
signal FinalWord : STD_LOGIC_VECTOR(n-1 downto 0); --cadena de comprobacion nueva o proximo estado
signal GoodWord2 : STD_LOGIC_VECTOR(n-1 downto 0);
begin
comb: process (NewWord,AlreadyInWord)
begin
for I in 0 to n-1 loop
if(AlreadyInWord(I)='0') then
GoodWord2(I)<=NewWord(I);
else
GoodWord2(I)<='0';
end if;
FinalWord(I) <= NewWord(I) OR AlreadyInWord(I);
end loop;
end process;
sequ: process(Clk,FinalWord,rst)
begin
if(rst = '1') then
AlreadyInWord <= "000000000";
elsif(Clk'event AND Clk='1') then
AlreadyInWord<=FinalWord;
GoodWord<=GoodWord2;
end if;
end process;
end Behavioral;
|
--------------------------------------------------------------------------------
-- Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
--------------------------------------------------------------------------------
-- ____ ____
-- / /\/ /
-- /___/ \ / Vendor: Xilinx
-- \ \ \/ Version: P.20131013
-- \ \ Application: netgen
-- / / Filename: rc5_timesim.vhd
-- /___/ /\ Timestamp: Tue Apr 07 17:38:47 2015
-- \ \ / \
-- \___\/\___\
--
-- Command : -filter C:/SkyDrive/School/Polytechnic/EL6463_AdvancedHardwareDesign/Labs/Lab7/rc5_impl/iseconfig/filter.filter -intstyle ise -s 4 -pcf rc5.pcf -rpw 100 -tpw 0 -ar Structure -tm rc5 -insert_pp_buffers true -w -dir netgen/par -ofmt vhdl -sim rc5.ncd rc5_timesim.vhd
-- Device : 3s100ecp132-4 (PRODUCTION 1.27 2013-10-13)
-- Input file : rc5.ncd
-- Output file : C:\SkyDrive\School\Polytechnic\EL6463_AdvancedHardwareDesign\Labs\Lab7\rc5_impl\netgen\par\rc5_timesim.vhd
-- # of Entities : 1
-- Design Name : rc5
-- Xilinx : C:\Xilinx\14.7\ISE_DS\ISE\
--
-- Purpose:
-- This VHDL netlist is a verification model and uses simulation
-- primitives which may not represent the true implementation of the
-- device, however the netlist is functionally correct and should not
-- be modified. This file cannot be synthesized and should only be used
-- with supported simulation tools.
--
-- Reference:
-- Command Line Tools User Guide, Chapter 23
-- Synthesis and Simulation Design Guide, Chapter 6
--
--------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library SIMPRIM;
use SIMPRIM.VCOMPONENTS.ALL;
use SIMPRIM.VPACKAGE.ALL;
entity rc5 is
port (
clr : in STD_LOGIC := 'X';
segment_e_i : out STD_LOGIC;
do_rdy : out STD_LOGIC;
segment_f_i : out STD_LOGIC;
segment_g_i : out STD_LOGIC;
clk_25 : in STD_LOGIC := 'X';
di_vld : in STD_LOGIC := 'X';
segment_a_i : out STD_LOGIC;
segment_b_i : out STD_LOGIC;
segment_c_i : out STD_LOGIC;
segment_d_i : out STD_LOGIC;
AN : out STD_LOGIC_VECTOR ( 3 downto 0 );
swtch_led : out STD_LOGIC_VECTOR ( 7 downto 0 );
din_lower : in STD_LOGIC_VECTOR ( 7 downto 0 )
);
end rc5;
architecture Structure of rc5 is
signal NlwRenamedSig_IO_clr : STD_LOGIC;
signal NlwRenamedSig_IO_di_vld : STD_LOGIC;
signal clk_25_BUFGP : STD_LOGIC;
signal clr_IBUF_3948 : STD_LOGIC;
signal Mcount_LED_flash_cnt_cy_1_Q : STD_LOGIC;
signal Mcount_LED_flash_cnt_cy_3_Q : STD_LOGIC;
signal Mcount_LED_flash_cnt_cy_5_Q : STD_LOGIC;
signal Sh64_0 : STD_LOGIC;
signal Mrom_a_rom0000_0 : STD_LOGIC;
signal Mrom_a_rom00001_0 : STD_LOGIC;
signal Sh33 : STD_LOGIC;
signal Sh49 : STD_LOGIC;
signal Madd_a_cy_1_Q : STD_LOGIC;
signal Mrom_a_rom00002_0 : STD_LOGIC;
signal Sh34 : STD_LOGIC;
signal Sh50 : STD_LOGIC;
signal N224_0 : STD_LOGIC;
signal Sh35 : STD_LOGIC;
signal Sh51 : STD_LOGIC;
signal Madd_a_cy_3_Q : STD_LOGIC;
signal Mrom_a_rom00004_0 : STD_LOGIC;
signal Sh36 : STD_LOGIC;
signal Sh52 : STD_LOGIC;
signal Mrom_a_rom00005_0 : STD_LOGIC;
signal Sh37 : STD_LOGIC;
signal Sh53 : STD_LOGIC;
signal Madd_a_cy_5_Q : STD_LOGIC;
signal Mrom_a_rom00006_0 : STD_LOGIC;
signal Sh38 : STD_LOGIC;
signal Sh54 : STD_LOGIC;
signal N286_0 : STD_LOGIC;
signal Sh55 : STD_LOGIC;
signal Sh39 : STD_LOGIC;
signal Madd_a_cy_7_Q : STD_LOGIC;
signal Mrom_a_rom00008_0 : STD_LOGIC;
signal Sh40 : STD_LOGIC;
signal Sh56 : STD_LOGIC;
signal Mrom_a_rom00009_0 : STD_LOGIC;
signal Sh41 : STD_LOGIC;
signal Sh57 : STD_LOGIC;
signal Madd_a_cy_9_Q : STD_LOGIC;
signal Mrom_a_rom000010_0 : STD_LOGIC;
signal Sh42 : STD_LOGIC;
signal Sh58 : STD_LOGIC;
signal Sh59 : STD_LOGIC;
signal Mrom_a_rom000011_0 : STD_LOGIC;
signal Sh43 : STD_LOGIC;
signal Madd_a_cy_11_Q : STD_LOGIC;
signal Sh60 : STD_LOGIC;
signal N222_0 : STD_LOGIC;
signal Sh44 : STD_LOGIC;
signal Mrom_a_rom000013_0 : STD_LOGIC;
signal Sh45 : STD_LOGIC;
signal Sh61 : STD_LOGIC;
signal Madd_a_cy_13_Q : STD_LOGIC;
signal N226_0 : STD_LOGIC;
signal Sh46 : STD_LOGIC;
signal Sh62 : STD_LOGIC;
signal Sh63 : STD_LOGIC;
signal Mrom_a_rom000015_0 : STD_LOGIC;
signal Sh47 : STD_LOGIC;
signal Madd_a_cy_15_Q : STD_LOGIC;
signal Sh32 : STD_LOGIC;
signal Mrom_a_rom000016_0 : STD_LOGIC;
signal Sh48 : STD_LOGIC;
signal Mrom_a_rom000017_0 : STD_LOGIC;
signal Madd_a_cy_17_Q : STD_LOGIC;
signal Mrom_a_rom000018_0 : STD_LOGIC;
signal Mrom_a_rom000019_0 : STD_LOGIC;
signal Madd_a_cy_19_Q : STD_LOGIC;
signal Sh84_0 : STD_LOGIC;
signal Mrom_a_rom000021_0 : STD_LOGIC;
signal Madd_a_cy_21_Q : STD_LOGIC;
signal N520_0 : STD_LOGIC;
signal Mrom_a_rom000023_0 : STD_LOGIC;
signal Madd_a_cy_23_Q : STD_LOGIC;
signal Mrom_a_rom000024_0 : STD_LOGIC;
signal Mrom_a_rom000025_0 : STD_LOGIC;
signal Madd_a_cy_25_Q : STD_LOGIC;
signal Mrom_a_rom000026_0 : STD_LOGIC;
signal Mrom_a_rom000027_0 : STD_LOGIC;
signal Madd_a_cy_27_Q : STD_LOGIC;
signal N518_0 : STD_LOGIC;
signal Mrom_a_rom000029_0 : STD_LOGIC;
signal Mrom_a_rom000030_0 : STD_LOGIC;
signal Mrom_a_rom000031_0 : STD_LOGIC;
signal Sh160 : STD_LOGIC;
signal Mrom_b_rom0000_0 : STD_LOGIC;
signal Sh145 : STD_LOGIC;
signal Mrom_b_rom00001_0 : STD_LOGIC;
signal Sh129 : STD_LOGIC;
signal b_1_Q : STD_LOGIC;
signal Madd_b_cy_1_Q : STD_LOGIC;
signal Sh162 : STD_LOGIC;
signal N14_0 : STD_LOGIC;
signal N17_0 : STD_LOGIC;
signal Sh163 : STD_LOGIC;
signal N33_0 : STD_LOGIC;
signal N12_0 : STD_LOGIC;
signal i_cnt_mux0001_0_22_4123 : STD_LOGIC;
signal b_2_Q : STD_LOGIC;
signal b_3_Q : STD_LOGIC;
signal Madd_b_cy_3_Q : STD_LOGIC;
signal Sh164 : STD_LOGIC;
signal N20_0 : STD_LOGIC;
signal Mrom_b_rom00005_0 : STD_LOGIC;
signal Sh133 : STD_LOGIC;
signal Sh149 : STD_LOGIC;
signal b_4_Q : STD_LOGIC;
signal b_5_Q : STD_LOGIC;
signal Madd_b_cy_5_Q : STD_LOGIC;
signal Sh150_0 : STD_LOGIC;
signal Mrom_b_rom00006_0 : STD_LOGIC;
signal Sh134 : STD_LOGIC;
signal Sh151 : STD_LOGIC;
signal Mrom_b_rom00007_0 : STD_LOGIC;
signal Sh135 : STD_LOGIC;
signal b_6_Q : STD_LOGIC;
signal b_7_Q : STD_LOGIC;
signal Madd_b_cy_7_Q : STD_LOGIC;
signal Mrom_b_rom00008_0 : STD_LOGIC;
signal Sh136 : STD_LOGIC;
signal Sh152 : STD_LOGIC;
signal Mrom_b_rom00009_0 : STD_LOGIC;
signal Sh137 : STD_LOGIC;
signal Sh153 : STD_LOGIC;
signal Madd_b_cy_9_Q : STD_LOGIC;
signal Sh154_0 : STD_LOGIC;
signal Mrom_b_rom000010_0 : STD_LOGIC;
signal Sh138 : STD_LOGIC;
signal Mrom_b_rom000011_0 : STD_LOGIC;
signal Sh139 : STD_LOGIC;
signal Sh155 : STD_LOGIC;
signal b_11_Q : STD_LOGIC;
signal Madd_b_cy_11_Q : STD_LOGIC;
signal Mrom_b_rom000012_0 : STD_LOGIC;
signal Sh140 : STD_LOGIC;
signal Sh156 : STD_LOGIC;
signal Mrom_b_rom000013_0 : STD_LOGIC;
signal Sh141 : STD_LOGIC;
signal Sh157 : STD_LOGIC;
signal b_12_Q : STD_LOGIC;
signal b_13_Q : STD_LOGIC;
signal Madd_b_cy_13_Q : STD_LOGIC;
signal Sh158 : STD_LOGIC;
signal Mrom_b_rom000014_0 : STD_LOGIC;
signal Sh142 : STD_LOGIC;
signal Sh175 : STD_LOGIC;
signal N522_0 : STD_LOGIC;
signal b_14_Q : STD_LOGIC;
signal b_15_Q : STD_LOGIC;
signal Madd_b_cy_15_Q : STD_LOGIC;
signal Mrom_b_rom000016_0 : STD_LOGIC;
signal Sh144_0 : STD_LOGIC;
signal Sh128 : STD_LOGIC;
signal Mrom_b_rom000017_0 : STD_LOGIC;
signal b_16_Q : STD_LOGIC;
signal b_17_Q : STD_LOGIC;
signal Madd_b_cy_17_Q : STD_LOGIC;
signal Sh178 : STD_LOGIC;
signal N27_0 : STD_LOGIC;
signal N77_0 : STD_LOGIC;
signal N34_0 : STD_LOGIC;
signal Mrom_b_rom000019_0 : STD_LOGIC;
signal Sh147_0 : STD_LOGIC;
signal Sh131 : STD_LOGIC;
signal b_18_Q : STD_LOGIC;
signal b_19_Q : STD_LOGIC;
signal Madd_b_cy_19_Q : STD_LOGIC;
signal Mrom_b_rom000020_0 : STD_LOGIC;
signal Sh148_0 : STD_LOGIC;
signal Sh132 : STD_LOGIC;
signal Mrom_b_rom000021_0 : STD_LOGIC;
signal b_20_Q : STD_LOGIC;
signal b_21_Q : STD_LOGIC;
signal Madd_b_cy_21_Q : STD_LOGIC;
signal Mrom_b_rom000022_0 : STD_LOGIC;
signal Mrom_b_rom000023_0 : STD_LOGIC;
signal b_22_Q : STD_LOGIC;
signal b_23_Q : STD_LOGIC;
signal Madd_b_cy_23_Q : STD_LOGIC;
signal Mrom_b_rom000024_0 : STD_LOGIC;
signal Sh185_0 : STD_LOGIC;
signal N111_0 : STD_LOGIC;
signal b_24_Q : STD_LOGIC;
signal b_25_Q : STD_LOGIC;
signal Madd_b_cy_25_Q : STD_LOGIC;
signal Mrom_b_rom000026_0 : STD_LOGIC;
signal Mrom_b_rom000027_0 : STD_LOGIC;
signal b_26_Q : STD_LOGIC;
signal b_27_Q : STD_LOGIC;
signal Madd_b_cy_27_Q : STD_LOGIC;
signal Mrom_b_rom000028_0 : STD_LOGIC;
signal Mrom_b_rom000029_0 : STD_LOGIC;
signal b_28_Q : STD_LOGIC;
signal b_29_Q : STD_LOGIC;
signal Mrom_b_rom000030_0 : STD_LOGIC;
signal Mrom_b_rom000031_0 : STD_LOGIC;
signal Sh159_0 : STD_LOGIC;
signal Sh143_0 : STD_LOGIC;
signal b_30_Q : STD_LOGIC;
signal b_31_Q : STD_LOGIC;
signal Madd_b_pre_cy_0_Q : STD_LOGIC;
signal swtch_led_1_OBUF_4254 : STD_LOGIC;
signal swtch_led_3_OBUF_4256 : STD_LOGIC;
signal swtch_led_4_OBUF_4257 : STD_LOGIC;
signal swtch_led_5_OBUF_4258 : STD_LOGIC;
signal swtch_led_6_OBUF_4259 : STD_LOGIC;
signal swtch_led_7_OBUF_4260 : STD_LOGIC;
signal AN_0_4261 : STD_LOGIC;
signal AN_1_4262 : STD_LOGIC;
signal AN_2_4263 : STD_LOGIC;
signal AN_3_4264 : STD_LOGIC;
signal di_vld_IBUF_4273 : STD_LOGIC;
signal Sh1212_0 : STD_LOGIC;
signal Sh1232_0 : STD_LOGIC;
signal Sh991_0 : STD_LOGIC;
signal Sh1011 : STD_LOGIC;
signal Sh13120 : STD_LOGIC;
signal Sh125 : STD_LOGIC;
signal Sh121 : STD_LOGIC;
signal Sh101 : STD_LOGIC;
signal Sh97 : STD_LOGIC;
signal Sh100 : STD_LOGIC;
signal Sh96 : STD_LOGIC;
signal Sh108 : STD_LOGIC;
signal Sh104 : STD_LOGIC;
signal Sh109 : STD_LOGIC;
signal Sh105 : STD_LOGIC;
signal Sh102_0 : STD_LOGIC;
signal Sh98_0 : STD_LOGIC;
signal Sh110_0 : STD_LOGIC;
signal Sh106_0 : STD_LOGIC;
signal Sh123 : STD_LOGIC;
signal Sh127 : STD_LOGIC;
signal Sh103_0 : STD_LOGIC;
signal Sh99_0 : STD_LOGIC;
signal Sh1022_0 : STD_LOGIC;
signal Sh1002_0 : STD_LOGIC;
signal Sh1102_0 : STD_LOGIC;
signal Sh1082_0 : STD_LOGIC;
signal Sh14612 : STD_LOGIC;
signal Sh124 : STD_LOGIC;
signal Sh1032_0 : STD_LOGIC;
signal Sh1012_0 : STD_LOGIC;
signal Sh1112_0 : STD_LOGIC;
signal Sh1092_0 : STD_LOGIC;
signal Sh14712 : STD_LOGIC;
signal Sh107 : STD_LOGIC;
signal state_FSM_FFd1_4311 : STD_LOGIC;
signal state_FSM_FFd2_4312 : STD_LOGIC;
signal b_reg_mux0000_10_10_0 : STD_LOGIC;
signal b_reg_0_3_4316 : STD_LOGIC;
signal ab_xor_7_0 : STD_LOGIC;
signal ab_xor_9_0 : STD_LOGIC;
signal Sh10 : STD_LOGIC;
signal b_reg_0_2_4323 : STD_LOGIC;
signal ab_xor_11_0 : STD_LOGIC;
signal ab_xor_12_0 : STD_LOGIC;
signal Sh13 : STD_LOGIC;
signal ab_xor_19_0 : STD_LOGIC;
signal ab_xor_20_0 : STD_LOGIC;
signal Sh21 : STD_LOGIC;
signal ab_xor_13_0 : STD_LOGIC;
signal Sh14 : STD_LOGIC;
signal ab_xor_21_0 : STD_LOGIC;
signal Sh22_4347 : STD_LOGIC;
signal ab_xor_27_0 : STD_LOGIC;
signal ab_xor_29_0 : STD_LOGIC;
signal Sh30 : STD_LOGIC;
signal ab_xor_15_0 : STD_LOGIC;
signal ab_xor_16_0 : STD_LOGIC;
signal Sh17 : STD_LOGIC;
signal ab_xor_23_0 : STD_LOGIC;
signal ab_xor_24_0 : STD_LOGIC;
signal Sh25 : STD_LOGIC;
signal ab_xor_17_0 : STD_LOGIC;
signal Sh18 : STD_LOGIC;
signal ab_xor_25_0 : STD_LOGIC;
signal Sh26 : STD_LOGIC;
signal ab_xor_28_0 : STD_LOGIC;
signal Sh29 : STD_LOGIC;
signal b_reg_2_1_4381 : STD_LOGIC;
signal b_reg_0_1_4382 : STD_LOGIC;
signal b_reg_4_1_4383 : STD_LOGIC;
signal ab_xor_3_0 : STD_LOGIC;
signal Sh4 : STD_LOGIC;
signal ab_xor_5_0 : STD_LOGIC;
signal Sh8 : STD_LOGIC;
signal Sh1262_0 : STD_LOGIC;
signal Sh962_0 : STD_LOGIC;
signal Sh1272_0 : STD_LOGIC;
signal N264_0 : STD_LOGIC;
signal N263_0 : STD_LOGIC;
signal N247_0 : STD_LOGIC;
signal N246_0 : STD_LOGIC;
signal Sh1182_0 : STD_LOGIC;
signal N182_0 : STD_LOGIC;
signal N181_0 : STD_LOGIC;
signal Sh120 : STD_LOGIC;
signal Sh1202_0 : STD_LOGIC;
signal N194_0 : STD_LOGIC;
signal N193_0 : STD_LOGIC;
signal Sh112 : STD_LOGIC;
signal Sh1122_0 : STD_LOGIC;
signal N261_0 : STD_LOGIC;
signal N260_0 : STD_LOGIC;
signal Sh1042_0 : STD_LOGIC;
signal Sh1192_0 : STD_LOGIC;
signal N179_0 : STD_LOGIC;
signal N178_0 : STD_LOGIC;
signal N191_0 : STD_LOGIC;
signal N190_0 : STD_LOGIC;
signal Sh113 : STD_LOGIC;
signal Sh1132_0 : STD_LOGIC;
signal N241_0 : STD_LOGIC;
signal N240_0 : STD_LOGIC;
signal Sh1052_0 : STD_LOGIC;
signal Sh1222_0 : STD_LOGIC;
signal N176_0 : STD_LOGIC;
signal N175_0 : STD_LOGIC;
signal Sh1242_0 : STD_LOGIC;
signal Sh1142_0 : STD_LOGIC;
signal N188_0 : STD_LOGIC;
signal N187_0 : STD_LOGIC;
signal Sh116 : STD_LOGIC;
signal Sh1162_0 : STD_LOGIC;
signal Sh1062_0 : STD_LOGIC;
signal N258_0 : STD_LOGIC;
signal N257_0 : STD_LOGIC;
signal N173_0 : STD_LOGIC;
signal N172_0 : STD_LOGIC;
signal Sh1252_0 : STD_LOGIC;
signal Sh1152_0 : STD_LOGIC;
signal N185_0 : STD_LOGIC;
signal N184_0 : STD_LOGIC;
signal Sh117 : STD_LOGIC;
signal Sh1172_0 : STD_LOGIC;
signal Sh1072_0 : STD_LOGIC;
signal N235_0 : STD_LOGIC;
signal N234_0 : STD_LOGIC;
signal Sh3 : STD_LOGIC;
signal ab_xor_4_0 : STD_LOGIC;
signal Sh7 : STD_LOGIC;
signal ab_xor_8_0 : STD_LOGIC;
signal Sh11 : STD_LOGIC;
signal Sh15 : STD_LOGIC;
signal Sh23_4457 : STD_LOGIC;
signal ab_xor_31_0 : STD_LOGIC;
signal Sh31 : STD_LOGIC;
signal Sh19 : STD_LOGIC;
signal Sh27 : STD_LOGIC;
signal Sh12 : STD_LOGIC;
signal Sh20 : STD_LOGIC;
signal Sh16 : STD_LOGIC;
signal Sh24 : STD_LOGIC;
signal Sh28 : STD_LOGIC;
signal N200 : STD_LOGIC;
signal N199_0 : STD_LOGIC;
signal N197 : STD_LOGIC;
signal N196_0 : STD_LOGIC;
signal Sh : STD_LOGIC;
signal b_reg_mux0000_2_5_0 : STD_LOGIC;
signal b_reg_mux0000_2_13_0 : STD_LOGIC;
signal N291 : STD_LOGIC;
signal N292 : STD_LOGIC;
signal Madd_b_pre_cy_2_Q : STD_LOGIC;
signal N281 : STD_LOGIC;
signal N282 : STD_LOGIC;
signal b_reg_mux0000_4_3_0 : STD_LOGIC;
signal b_reg_mux0000_4_12_0 : STD_LOGIC;
signal N278 : STD_LOGIC;
signal N279 : STD_LOGIC;
signal Sh1307 : STD_LOGIC;
signal Sh14416_4486 : STD_LOGIC;
signal Sh14412_0 : STD_LOGIC;
signal Sh14413_0 : STD_LOGIC;
signal Sh12813_0 : STD_LOGIC;
signal Sh1287_0 : STD_LOGIC;
signal Sh12816_0 : STD_LOGIC;
signal Sh1507 : STD_LOGIC;
signal Sh982_4493 : STD_LOGIC;
signal Sh13820 : STD_LOGIC;
signal Sh1517 : STD_LOGIC;
signal Sh14613_0 : STD_LOGIC;
signal Sh14616_0 : STD_LOGIC;
signal Sh13013_0 : STD_LOGIC;
signal Sh13016_0 : STD_LOGIC;
signal Sh14713_4500 : STD_LOGIC;
signal Sh14716_4501 : STD_LOGIC;
signal Sh1310_0 : STD_LOGIC;
signal Sh1313 : STD_LOGIC;
signal Sh1487_4504 : STD_LOGIC;
signal Sh14816_0 : STD_LOGIC;
signal Sh14813_0 : STD_LOGIC;
signal Sh13220_0 : STD_LOGIC;
signal Sh5 : STD_LOGIC;
signal Sh1 : STD_LOGIC;
signal Sh9 : STD_LOGIC;
signal Sh1547 : STD_LOGIC;
signal Sh13420 : STD_LOGIC;
signal Sh1557 : STD_LOGIC;
signal Sh6 : STD_LOGIC;
signal Sh2 : STD_LOGIC;
signal Sh1597 : STD_LOGIC;
signal Sh14313_0 : STD_LOGIC;
signal Sh14316_4518 : STD_LOGIC;
signal Sh1437_0 : STD_LOGIC;
signal Sh1587 : STD_LOGIC;
signal Madd_b_pre_cy_4_0 : STD_LOGIC;
signal N275 : STD_LOGIC;
signal N276 : STD_LOGIC;
signal b_reg_mux0000_6_3_0 : STD_LOGIC;
signal b_reg_mux0000_6_12_0 : STD_LOGIC;
signal N272 : STD_LOGIC;
signal N273 : STD_LOGIC;
signal Madd_b_pre_cy_6_Q : STD_LOGIC;
signal N269 : STD_LOGIC;
signal N270 : STD_LOGIC;
signal b_reg_mux0000_0_Q : STD_LOGIC;
signal N266 : STD_LOGIC;
signal N267 : STD_LOGIC;
signal i_cnt_mux0001_0_25_0 : STD_LOGIC;
signal N514_0 : STD_LOGIC;
signal Sh15013_0 : STD_LOGIC;
signal Sh15016_O : STD_LOGIC;
signal Sh15413_0 : STD_LOGIC;
signal Sh15416_O : STD_LOGIC;
signal Sh5720_0 : STD_LOGIC;
signal Sh5320_0 : STD_LOGIC;
signal Sh5820_0 : STD_LOGIC;
signal Sh5420_0 : STD_LOGIC;
signal Sh337_0 : STD_LOGIC;
signal Sh347_0 : STD_LOGIC;
signal N249_0 : STD_LOGIC;
signal Mxor_ba_xor_Result_5_1_SW1_O : STD_LOGIC;
signal N243_0 : STD_LOGIC;
signal Mxor_ba_xor_Result_13_1_SW1_O : STD_LOGIC;
signal N231_0 : STD_LOGIC;
signal Mxor_ba_xor_Result_7_1_SW1_O : STD_LOGIC;
signal N254_0 : STD_LOGIC;
signal Mxor_ba_xor_Result_15_1_SW1_O : STD_LOGIC;
signal N228_0 : STD_LOGIC;
signal Mxor_ba_xor_Result_9_1_SW1_O : STD_LOGIC;
signal N237_0 : STD_LOGIC;
signal Mxor_ba_xor_Result_17_1_SW1_O : STD_LOGIC;
signal N217_0 : STD_LOGIC;
signal Mxor_ba_xor_Result_25_1_SW1_O : STD_LOGIC;
signal N211_0 : STD_LOGIC;
signal Mxor_ba_xor_Result_11_1_SW1_O : STD_LOGIC;
signal N251_0 : STD_LOGIC;
signal Mxor_ba_xor_Result_19_1_SW1_O : STD_LOGIC;
signal N205_0 : STD_LOGIC;
signal Mxor_ba_xor_Result_21_1_SW1_O : STD_LOGIC;
signal N214_0 : STD_LOGIC;
signal Mxor_ba_xor_Result_29_1_SW1_O : STD_LOGIC;
signal N208_0 : STD_LOGIC;
signal Mxor_ba_xor_Result_23_1_SW1_O : STD_LOGIC;
signal N202_0 : STD_LOGIC;
signal Sh12913_0 : STD_LOGIC;
signal Sh1297_0 : STD_LOGIC;
signal Sh12916_0 : STD_LOGIC;
signal Sh15513_0 : STD_LOGIC;
signal Sh15516_0 : STD_LOGIC;
signal Sh1567_0 : STD_LOGIC;
signal Sh1497_0 : STD_LOGIC;
signal Sh1537_0 : STD_LOGIC;
signal Sh1577_0 : STD_LOGIC;
signal Sh15813_0 : STD_LOGIC;
signal Sh15816_0 : STD_LOGIC;
signal Sh15113_0 : STD_LOGIC;
signal Sh15116_0 : STD_LOGIC;
signal Sh1527_0 : STD_LOGIC;
signal b_reg_3_1_4597 : STD_LOGIC;
signal N289_0 : STD_LOGIC;
signal N288_0 : STD_LOGIC;
signal N516 : STD_LOGIC;
signal state_cmp_eq0000 : STD_LOGIC;
signal LED_flash_cnt_0_DXMUX_4658 : STD_LOGIC;
signal LED_flash_cnt_0_XORF_4656 : STD_LOGIC;
signal LED_flash_cnt_0_LOGIC_ONE_4655 : STD_LOGIC;
signal LED_flash_cnt_0_CYINIT_4654 : STD_LOGIC;
signal LED_flash_cnt_0_CYSELF_4645 : STD_LOGIC;
signal LED_flash_cnt_0_BXINV_4643 : STD_LOGIC;
signal LED_flash_cnt_0_DYMUX_4636 : STD_LOGIC;
signal LED_flash_cnt_0_XORG_4634 : STD_LOGIC;
signal LED_flash_cnt_0_CYMUXG_4633 : STD_LOGIC;
signal Mcount_LED_flash_cnt_cy_0_Q : STD_LOGIC;
signal LED_flash_cnt_0_LOGIC_ZERO_4631 : STD_LOGIC;
signal LED_flash_cnt_0_CYSELG_4622 : STD_LOGIC;
signal LED_flash_cnt_0_G : STD_LOGIC;
signal LED_flash_cnt_0_SRINV_4620 : STD_LOGIC;
signal LED_flash_cnt_0_CLKINV_4619 : STD_LOGIC;
signal LED_flash_cnt_2_DXMUX_4714 : STD_LOGIC;
signal LED_flash_cnt_2_XORF_4712 : STD_LOGIC;
signal LED_flash_cnt_2_CYINIT_4711 : STD_LOGIC;
signal LED_flash_cnt_2_F : STD_LOGIC;
signal LED_flash_cnt_2_DYMUX_4695 : STD_LOGIC;
signal LED_flash_cnt_2_XORG_4693 : STD_LOGIC;
signal Mcount_LED_flash_cnt_cy_2_Q : STD_LOGIC;
signal LED_flash_cnt_2_CYSELF_4691 : STD_LOGIC;
signal LED_flash_cnt_2_CYMUXFAST_4690 : STD_LOGIC;
signal LED_flash_cnt_2_CYAND_4689 : STD_LOGIC;
signal LED_flash_cnt_2_FASTCARRY_4688 : STD_LOGIC;
signal LED_flash_cnt_2_CYMUXG2_4687 : STD_LOGIC;
signal LED_flash_cnt_2_CYMUXF2_4686 : STD_LOGIC;
signal LED_flash_cnt_2_LOGIC_ZERO_4685 : STD_LOGIC;
signal LED_flash_cnt_2_CYSELG_4676 : STD_LOGIC;
signal LED_flash_cnt_2_G : STD_LOGIC;
signal LED_flash_cnt_2_SRINV_4674 : STD_LOGIC;
signal LED_flash_cnt_2_CLKINV_4673 : STD_LOGIC;
signal LED_flash_cnt_4_FFY_RST : STD_LOGIC;
signal LED_flash_cnt_4_DXMUX_4770 : STD_LOGIC;
signal LED_flash_cnt_4_XORF_4768 : STD_LOGIC;
signal LED_flash_cnt_4_CYINIT_4767 : STD_LOGIC;
signal LED_flash_cnt_4_F : STD_LOGIC;
signal LED_flash_cnt_4_DYMUX_4751 : STD_LOGIC;
signal LED_flash_cnt_4_XORG_4749 : STD_LOGIC;
signal Mcount_LED_flash_cnt_cy_4_Q : STD_LOGIC;
signal LED_flash_cnt_4_CYSELF_4747 : STD_LOGIC;
signal LED_flash_cnt_4_CYMUXFAST_4746 : STD_LOGIC;
signal LED_flash_cnt_4_CYAND_4745 : STD_LOGIC;
signal LED_flash_cnt_4_FASTCARRY_4744 : STD_LOGIC;
signal LED_flash_cnt_4_CYMUXG2_4743 : STD_LOGIC;
signal LED_flash_cnt_4_CYMUXF2_4742 : STD_LOGIC;
signal LED_flash_cnt_4_LOGIC_ZERO_4741 : STD_LOGIC;
signal LED_flash_cnt_4_CYSELG_4732 : STD_LOGIC;
signal LED_flash_cnt_4_G : STD_LOGIC;
signal LED_flash_cnt_4_SRINV_4730 : STD_LOGIC;
signal LED_flash_cnt_4_CLKINV_4729 : STD_LOGIC;
signal LED_flash_cnt_6_DXMUX_4826 : STD_LOGIC;
signal LED_flash_cnt_6_XORF_4824 : STD_LOGIC;
signal LED_flash_cnt_6_CYINIT_4823 : STD_LOGIC;
signal LED_flash_cnt_6_F : STD_LOGIC;
signal LED_flash_cnt_6_DYMUX_4807 : STD_LOGIC;
signal LED_flash_cnt_6_XORG_4805 : STD_LOGIC;
signal Mcount_LED_flash_cnt_cy_6_Q : STD_LOGIC;
signal LED_flash_cnt_6_CYSELF_4803 : STD_LOGIC;
signal LED_flash_cnt_6_CYMUXFAST_4802 : STD_LOGIC;
signal LED_flash_cnt_6_CYAND_4801 : STD_LOGIC;
signal LED_flash_cnt_6_FASTCARRY_4800 : STD_LOGIC;
signal LED_flash_cnt_6_CYMUXG2_4799 : STD_LOGIC;
signal LED_flash_cnt_6_CYMUXF2_4798 : STD_LOGIC;
signal LED_flash_cnt_6_LOGIC_ZERO_4797 : STD_LOGIC;
signal LED_flash_cnt_6_CYSELG_4788 : STD_LOGIC;
signal LED_flash_cnt_6_G : STD_LOGIC;
signal LED_flash_cnt_6_SRINV_4786 : STD_LOGIC;
signal LED_flash_cnt_6_CLKINV_4785 : STD_LOGIC;
signal LED_flash_cnt_8_DXMUX_4875 : STD_LOGIC;
signal LED_flash_cnt_8_XORF_4873 : STD_LOGIC;
signal LED_flash_cnt_8_LOGIC_ZERO_4872 : STD_LOGIC;
signal LED_flash_cnt_8_CYINIT_4871 : STD_LOGIC;
signal LED_flash_cnt_8_CYSELF_4862 : STD_LOGIC;
signal LED_flash_cnt_8_F : STD_LOGIC;
signal LED_flash_cnt_8_DYMUX_4854 : STD_LOGIC;
signal LED_flash_cnt_8_XORG_4852 : STD_LOGIC;
signal Mcount_LED_flash_cnt_cy_8_Q : STD_LOGIC;
signal LED_flash_cnt_9_rt_4849 : STD_LOGIC;
signal LED_flash_cnt_8_SRINV_4841 : STD_LOGIC;
signal LED_flash_cnt_8_CLKINV_4840 : STD_LOGIC;
signal a_0_XORF_4918 : STD_LOGIC;
signal a_0_CYINIT_4917 : STD_LOGIC;
signal a_0_CY0F_4916 : STD_LOGIC;
signal a_0_CYSELF_4908 : STD_LOGIC;
signal a_0_BXINV_4906 : STD_LOGIC;
signal a_0_XORG_4904 : STD_LOGIC;
signal a_0_CYMUXG_4903 : STD_LOGIC;
signal Madd_a_cy_0_Q : STD_LOGIC;
signal a_0_CY0G_4901 : STD_LOGIC;
signal a_0_CYSELG_4895 : STD_LOGIC;
signal a_2_XORF_4961 : STD_LOGIC;
signal a_2_CYINIT_4960 : STD_LOGIC;
signal a_2_CY0F_4959 : STD_LOGIC;
signal a_2_XORG_4950 : STD_LOGIC;
signal Madd_a_cy_2_Q : STD_LOGIC;
signal a_2_CYSELF_4948 : STD_LOGIC;
signal a_2_CYMUXFAST_4947 : STD_LOGIC;
signal a_2_CYAND_4946 : STD_LOGIC;
signal a_2_FASTCARRY_4945 : STD_LOGIC;
signal a_2_CYMUXG2_4944 : STD_LOGIC;
signal a_2_CYMUXF2_4943 : STD_LOGIC;
signal a_2_CY0G_4942 : STD_LOGIC;
signal a_2_CYSELG_4936 : STD_LOGIC;
signal a_4_XORF_5004 : STD_LOGIC;
signal a_4_CYINIT_5003 : STD_LOGIC;
signal a_4_CY0F_5002 : STD_LOGIC;
signal a_4_XORG_4993 : STD_LOGIC;
signal Madd_a_cy_4_Q : STD_LOGIC;
signal a_4_CYSELF_4991 : STD_LOGIC;
signal a_4_CYMUXFAST_4990 : STD_LOGIC;
signal a_4_CYAND_4989 : STD_LOGIC;
signal a_4_FASTCARRY_4988 : STD_LOGIC;
signal a_4_CYMUXG2_4987 : STD_LOGIC;
signal a_4_CYMUXF2_4986 : STD_LOGIC;
signal a_4_CY0G_4985 : STD_LOGIC;
signal a_4_CYSELG_4979 : STD_LOGIC;
signal a_6_XORF_5047 : STD_LOGIC;
signal a_6_CYINIT_5046 : STD_LOGIC;
signal a_6_CY0F_5045 : STD_LOGIC;
signal a_6_XORG_5036 : STD_LOGIC;
signal Madd_a_cy_6_Q : STD_LOGIC;
signal a_6_CYSELF_5034 : STD_LOGIC;
signal a_6_CYMUXFAST_5033 : STD_LOGIC;
signal a_6_CYAND_5032 : STD_LOGIC;
signal a_6_FASTCARRY_5031 : STD_LOGIC;
signal a_6_CYMUXG2_5030 : STD_LOGIC;
signal a_6_CYMUXF2_5029 : STD_LOGIC;
signal a_6_CY0G_5028 : STD_LOGIC;
signal a_6_CYSELG_5022 : STD_LOGIC;
signal a_8_XORF_5090 : STD_LOGIC;
signal a_8_CYINIT_5089 : STD_LOGIC;
signal a_8_CY0F_5088 : STD_LOGIC;
signal a_8_XORG_5079 : STD_LOGIC;
signal Madd_a_cy_8_Q : STD_LOGIC;
signal a_8_CYSELF_5077 : STD_LOGIC;
signal a_8_CYMUXFAST_5076 : STD_LOGIC;
signal a_8_CYAND_5075 : STD_LOGIC;
signal a_8_FASTCARRY_5074 : STD_LOGIC;
signal a_8_CYMUXG2_5073 : STD_LOGIC;
signal a_8_CYMUXF2_5072 : STD_LOGIC;
signal a_8_CY0G_5071 : STD_LOGIC;
signal a_8_CYSELG_5065 : STD_LOGIC;
signal a_10_XORF_5133 : STD_LOGIC;
signal a_10_CYINIT_5132 : STD_LOGIC;
signal a_10_CY0F_5131 : STD_LOGIC;
signal a_10_XORG_5122 : STD_LOGIC;
signal Madd_a_cy_10_Q : STD_LOGIC;
signal a_10_CYSELF_5120 : STD_LOGIC;
signal a_10_CYMUXFAST_5119 : STD_LOGIC;
signal a_10_CYAND_5118 : STD_LOGIC;
signal a_10_FASTCARRY_5117 : STD_LOGIC;
signal a_10_CYMUXG2_5116 : STD_LOGIC;
signal a_10_CYMUXF2_5115 : STD_LOGIC;
signal a_10_CY0G_5114 : STD_LOGIC;
signal a_10_CYSELG_5108 : STD_LOGIC;
signal a_12_XORF_5176 : STD_LOGIC;
signal a_12_CYINIT_5175 : STD_LOGIC;
signal a_12_CY0F_5174 : STD_LOGIC;
signal a_12_XORG_5165 : STD_LOGIC;
signal Madd_a_cy_12_Q : STD_LOGIC;
signal a_12_CYSELF_5163 : STD_LOGIC;
signal a_12_CYMUXFAST_5162 : STD_LOGIC;
signal a_12_CYAND_5161 : STD_LOGIC;
signal a_12_FASTCARRY_5160 : STD_LOGIC;
signal a_12_CYMUXG2_5159 : STD_LOGIC;
signal a_12_CYMUXF2_5158 : STD_LOGIC;
signal a_12_CY0G_5157 : STD_LOGIC;
signal a_12_CYSELG_5151 : STD_LOGIC;
signal a_14_XORF_5219 : STD_LOGIC;
signal a_14_CYINIT_5218 : STD_LOGIC;
signal a_14_CY0F_5217 : STD_LOGIC;
signal a_14_XORG_5208 : STD_LOGIC;
signal Madd_a_cy_14_Q : STD_LOGIC;
signal a_14_CYSELF_5206 : STD_LOGIC;
signal a_14_CYMUXFAST_5205 : STD_LOGIC;
signal a_14_CYAND_5204 : STD_LOGIC;
signal a_14_FASTCARRY_5203 : STD_LOGIC;
signal a_14_CYMUXG2_5202 : STD_LOGIC;
signal a_14_CYMUXF2_5201 : STD_LOGIC;
signal a_14_CY0G_5200 : STD_LOGIC;
signal a_14_CYSELG_5194 : STD_LOGIC;
signal a_16_XORF_5262 : STD_LOGIC;
signal a_16_CYINIT_5261 : STD_LOGIC;
signal a_16_CY0F_5260 : STD_LOGIC;
signal a_16_XORG_5251 : STD_LOGIC;
signal Madd_a_cy_16_Q : STD_LOGIC;
signal a_16_CYSELF_5249 : STD_LOGIC;
signal a_16_CYMUXFAST_5248 : STD_LOGIC;
signal a_16_CYAND_5247 : STD_LOGIC;
signal a_16_FASTCARRY_5246 : STD_LOGIC;
signal a_16_CYMUXG2_5245 : STD_LOGIC;
signal a_16_CYMUXF2_5244 : STD_LOGIC;
signal a_16_CY0G_5243 : STD_LOGIC;
signal a_16_CYSELG_5237 : STD_LOGIC;
signal a_18_XORF_5305 : STD_LOGIC;
signal a_18_CYINIT_5304 : STD_LOGIC;
signal a_18_CY0F_5303 : STD_LOGIC;
signal a_18_XORG_5294 : STD_LOGIC;
signal Madd_a_cy_18_Q : STD_LOGIC;
signal a_18_CYSELF_5292 : STD_LOGIC;
signal a_18_CYMUXFAST_5291 : STD_LOGIC;
signal a_18_CYAND_5290 : STD_LOGIC;
signal a_18_FASTCARRY_5289 : STD_LOGIC;
signal a_18_CYMUXG2_5288 : STD_LOGIC;
signal a_18_CYMUXF2_5287 : STD_LOGIC;
signal a_18_CY0G_5286 : STD_LOGIC;
signal a_18_CYSELG_5280 : STD_LOGIC;
signal a_20_XORF_5346 : STD_LOGIC;
signal a_20_CYINIT_5345 : STD_LOGIC;
signal a_20_CY0F_5344 : STD_LOGIC;
signal a_20_XORG_5336 : STD_LOGIC;
signal Madd_a_cy_20_Q : STD_LOGIC;
signal a_20_CYSELF_5334 : STD_LOGIC;
signal a_20_CYMUXFAST_5333 : STD_LOGIC;
signal a_20_CYAND_5332 : STD_LOGIC;
signal a_20_FASTCARRY_5331 : STD_LOGIC;
signal a_20_CYMUXG2_5330 : STD_LOGIC;
signal a_20_CYMUXF2_5329 : STD_LOGIC;
signal a_20_CY0G_5328 : STD_LOGIC;
signal a_20_CYSELG_5322 : STD_LOGIC;
signal a_22_XORF_5389 : STD_LOGIC;
signal a_22_CYINIT_5388 : STD_LOGIC;
signal a_22_CY0F_5387 : STD_LOGIC;
signal a_22_XORG_5378 : STD_LOGIC;
signal Madd_a_cy_22_Q : STD_LOGIC;
signal a_22_CYSELF_5376 : STD_LOGIC;
signal a_22_CYMUXFAST_5375 : STD_LOGIC;
signal a_22_CYAND_5374 : STD_LOGIC;
signal a_22_FASTCARRY_5373 : STD_LOGIC;
signal a_22_CYMUXG2_5372 : STD_LOGIC;
signal a_22_CYMUXF2_5371 : STD_LOGIC;
signal a_22_CY0G_5370 : STD_LOGIC;
signal a_22_CYSELG_5364 : STD_LOGIC;
signal a_24_XORF_5432 : STD_LOGIC;
signal a_24_CYINIT_5431 : STD_LOGIC;
signal a_24_CY0F_5430 : STD_LOGIC;
signal a_24_XORG_5421 : STD_LOGIC;
signal Madd_a_cy_24_Q : STD_LOGIC;
signal a_24_CYSELF_5419 : STD_LOGIC;
signal a_24_CYMUXFAST_5418 : STD_LOGIC;
signal a_24_CYAND_5417 : STD_LOGIC;
signal a_24_FASTCARRY_5416 : STD_LOGIC;
signal a_24_CYMUXG2_5415 : STD_LOGIC;
signal a_24_CYMUXF2_5414 : STD_LOGIC;
signal a_24_CY0G_5413 : STD_LOGIC;
signal a_24_CYSELG_5407 : STD_LOGIC;
signal a_26_XORF_5475 : STD_LOGIC;
signal a_26_CYINIT_5474 : STD_LOGIC;
signal a_26_CY0F_5473 : STD_LOGIC;
signal a_26_XORG_5464 : STD_LOGIC;
signal Madd_a_cy_26_Q : STD_LOGIC;
signal a_26_CYSELF_5462 : STD_LOGIC;
signal a_26_CYMUXFAST_5461 : STD_LOGIC;
signal a_26_CYAND_5460 : STD_LOGIC;
signal a_26_FASTCARRY_5459 : STD_LOGIC;
signal a_26_CYMUXG2_5458 : STD_LOGIC;
signal a_26_CYMUXF2_5457 : STD_LOGIC;
signal a_26_CY0G_5456 : STD_LOGIC;
signal a_26_CYSELG_5450 : STD_LOGIC;
signal a_28_XORF_5518 : STD_LOGIC;
signal a_28_CYINIT_5517 : STD_LOGIC;
signal a_28_CY0F_5516 : STD_LOGIC;
signal a_28_XORG_5507 : STD_LOGIC;
signal Madd_a_cy_28_Q : STD_LOGIC;
signal a_28_CYSELF_5505 : STD_LOGIC;
signal a_28_CYMUXFAST_5504 : STD_LOGIC;
signal a_28_CYAND_5503 : STD_LOGIC;
signal a_28_FASTCARRY_5502 : STD_LOGIC;
signal a_28_CYMUXG2_5501 : STD_LOGIC;
signal a_28_CYMUXF2_5500 : STD_LOGIC;
signal a_28_CY0G_5499 : STD_LOGIC;
signal a_28_CYSELG_5493 : STD_LOGIC;
signal a_30_XORF_5551 : STD_LOGIC;
signal a_30_CYINIT_5550 : STD_LOGIC;
signal a_30_CY0F_5549 : STD_LOGIC;
signal a_30_CYSELF_5543 : STD_LOGIC;
signal a_30_XORG_5539 : STD_LOGIC;
signal Madd_a_cy_30_Q : STD_LOGIC;
signal b_0_XORF_5589 : STD_LOGIC;
signal b_0_CYINIT_5588 : STD_LOGIC;
signal b_0_CY0F_5587 : STD_LOGIC;
signal b_0_CYSELF_5579 : STD_LOGIC;
signal b_0_BXINV_5577 : STD_LOGIC;
signal b_0_XORG_5575 : STD_LOGIC;
signal b_0_CYMUXG_5574 : STD_LOGIC;
signal Madd_b_cy_0_Q : STD_LOGIC;
signal b_0_CY0G_5572 : STD_LOGIC;
signal b_0_CYSELG_5566 : STD_LOGIC;
signal b_2_XORF_5628 : STD_LOGIC;
signal b_2_CYINIT_5627 : STD_LOGIC;
signal b_2_CY0F_5626 : STD_LOGIC;
signal b_2_XORG_5618 : STD_LOGIC;
signal Madd_b_cy_2_Q : STD_LOGIC;
signal b_2_CYSELF_5616 : STD_LOGIC;
signal b_2_CYMUXFAST_5615 : STD_LOGIC;
signal b_2_CYAND_5614 : STD_LOGIC;
signal b_2_FASTCARRY_5613 : STD_LOGIC;
signal b_2_CYMUXG2_5612 : STD_LOGIC;
signal b_2_CYMUXF2_5611 : STD_LOGIC;
signal b_2_CY0G_5610 : STD_LOGIC;
signal b_2_CYSELG_5604 : STD_LOGIC;
signal b_4_XORF_5669 : STD_LOGIC;
signal b_4_CYINIT_5668 : STD_LOGIC;
signal b_4_CY0F_5667 : STD_LOGIC;
signal b_4_XORG_5658 : STD_LOGIC;
signal Madd_b_cy_4_Q : STD_LOGIC;
signal b_4_CYSELF_5656 : STD_LOGIC;
signal b_4_CYMUXFAST_5655 : STD_LOGIC;
signal b_4_CYAND_5654 : STD_LOGIC;
signal b_4_FASTCARRY_5653 : STD_LOGIC;
signal b_4_CYMUXG2_5652 : STD_LOGIC;
signal b_4_CYMUXF2_5651 : STD_LOGIC;
signal b_4_CY0G_5650 : STD_LOGIC;
signal b_4_CYSELG_5644 : STD_LOGIC;
signal b_6_XORF_5712 : STD_LOGIC;
signal b_6_CYINIT_5711 : STD_LOGIC;
signal b_6_CY0F_5710 : STD_LOGIC;
signal b_6_XORG_5701 : STD_LOGIC;
signal Madd_b_cy_6_Q : STD_LOGIC;
signal b_6_CYSELF_5699 : STD_LOGIC;
signal b_6_CYMUXFAST_5698 : STD_LOGIC;
signal b_6_CYAND_5697 : STD_LOGIC;
signal b_6_FASTCARRY_5696 : STD_LOGIC;
signal b_6_CYMUXG2_5695 : STD_LOGIC;
signal b_6_CYMUXF2_5694 : STD_LOGIC;
signal b_6_CY0G_5693 : STD_LOGIC;
signal b_6_CYSELG_5687 : STD_LOGIC;
signal b_8_XORF_5755 : STD_LOGIC;
signal b_8_CYINIT_5754 : STD_LOGIC;
signal b_8_CY0F_5753 : STD_LOGIC;
signal b_8_XORG_5744 : STD_LOGIC;
signal Madd_b_cy_8_Q : STD_LOGIC;
signal b_8_CYSELF_5742 : STD_LOGIC;
signal b_8_CYMUXFAST_5741 : STD_LOGIC;
signal b_8_CYAND_5740 : STD_LOGIC;
signal b_8_FASTCARRY_5739 : STD_LOGIC;
signal b_8_CYMUXG2_5738 : STD_LOGIC;
signal b_8_CYMUXF2_5737 : STD_LOGIC;
signal b_8_CY0G_5736 : STD_LOGIC;
signal b_8_CYSELG_5730 : STD_LOGIC;
signal b_10_XORF_5798 : STD_LOGIC;
signal b_10_CYINIT_5797 : STD_LOGIC;
signal b_10_CY0F_5796 : STD_LOGIC;
signal b_10_XORG_5787 : STD_LOGIC;
signal Madd_b_cy_10_Q : STD_LOGIC;
signal b_10_CYSELF_5785 : STD_LOGIC;
signal b_10_CYMUXFAST_5784 : STD_LOGIC;
signal b_10_CYAND_5783 : STD_LOGIC;
signal b_10_FASTCARRY_5782 : STD_LOGIC;
signal b_10_CYMUXG2_5781 : STD_LOGIC;
signal b_10_CYMUXF2_5780 : STD_LOGIC;
signal b_10_CY0G_5779 : STD_LOGIC;
signal b_10_CYSELG_5773 : STD_LOGIC;
signal b_12_XORF_5841 : STD_LOGIC;
signal b_12_CYINIT_5840 : STD_LOGIC;
signal b_12_CY0F_5839 : STD_LOGIC;
signal b_12_XORG_5830 : STD_LOGIC;
signal Madd_b_cy_12_Q : STD_LOGIC;
signal b_12_CYSELF_5828 : STD_LOGIC;
signal b_12_CYMUXFAST_5827 : STD_LOGIC;
signal b_12_CYAND_5826 : STD_LOGIC;
signal b_12_FASTCARRY_5825 : STD_LOGIC;
signal b_12_CYMUXG2_5824 : STD_LOGIC;
signal b_12_CYMUXF2_5823 : STD_LOGIC;
signal b_12_CY0G_5822 : STD_LOGIC;
signal b_12_CYSELG_5816 : STD_LOGIC;
signal b_14_XORF_5882 : STD_LOGIC;
signal b_14_CYINIT_5881 : STD_LOGIC;
signal b_14_CY0F_5880 : STD_LOGIC;
signal b_14_XORG_5871 : STD_LOGIC;
signal Madd_b_cy_14_Q : STD_LOGIC;
signal b_14_CYSELF_5869 : STD_LOGIC;
signal b_14_CYMUXFAST_5868 : STD_LOGIC;
signal b_14_CYAND_5867 : STD_LOGIC;
signal b_14_FASTCARRY_5866 : STD_LOGIC;
signal b_14_CYMUXG2_5865 : STD_LOGIC;
signal b_14_CYMUXF2_5864 : STD_LOGIC;
signal b_14_CY0G_5863 : STD_LOGIC;
signal b_14_CYSELG_5857 : STD_LOGIC;
signal b_16_XORF_5925 : STD_LOGIC;
signal b_16_CYINIT_5924 : STD_LOGIC;
signal b_16_CY0F_5923 : STD_LOGIC;
signal b_16_XORG_5914 : STD_LOGIC;
signal Madd_b_cy_16_Q : STD_LOGIC;
signal b_16_CYSELF_5912 : STD_LOGIC;
signal b_16_CYMUXFAST_5911 : STD_LOGIC;
signal b_16_CYAND_5910 : STD_LOGIC;
signal b_16_FASTCARRY_5909 : STD_LOGIC;
signal b_16_CYMUXG2_5908 : STD_LOGIC;
signal b_16_CYMUXF2_5907 : STD_LOGIC;
signal b_16_CY0G_5906 : STD_LOGIC;
signal b_16_CYSELG_5900 : STD_LOGIC;
signal b_18_XORF_5966 : STD_LOGIC;
signal b_18_CYINIT_5965 : STD_LOGIC;
signal b_18_CY0F_5964 : STD_LOGIC;
signal b_18_XORG_5956 : STD_LOGIC;
signal Madd_b_cy_18_Q : STD_LOGIC;
signal b_18_CYSELF_5954 : STD_LOGIC;
signal b_18_CYMUXFAST_5953 : STD_LOGIC;
signal b_18_CYAND_5952 : STD_LOGIC;
signal b_18_FASTCARRY_5951 : STD_LOGIC;
signal b_18_CYMUXG2_5950 : STD_LOGIC;
signal b_18_CYMUXF2_5949 : STD_LOGIC;
signal b_18_CY0G_5948 : STD_LOGIC;
signal b_18_CYSELG_5942 : STD_LOGIC;
signal b_20_XORF_6009 : STD_LOGIC;
signal b_20_CYINIT_6008 : STD_LOGIC;
signal b_20_CY0F_6007 : STD_LOGIC;
signal b_20_XORG_5998 : STD_LOGIC;
signal Madd_b_cy_20_Q : STD_LOGIC;
signal b_20_CYSELF_5996 : STD_LOGIC;
signal b_20_CYMUXFAST_5995 : STD_LOGIC;
signal b_20_CYAND_5994 : STD_LOGIC;
signal b_20_FASTCARRY_5993 : STD_LOGIC;
signal b_20_CYMUXG2_5992 : STD_LOGIC;
signal b_20_CYMUXF2_5991 : STD_LOGIC;
signal b_20_CY0G_5990 : STD_LOGIC;
signal b_20_CYSELG_5984 : STD_LOGIC;
signal b_22_XORF_6052 : STD_LOGIC;
signal b_22_CYINIT_6051 : STD_LOGIC;
signal b_22_CY0F_6050 : STD_LOGIC;
signal b_22_XORG_6041 : STD_LOGIC;
signal Madd_b_cy_22_Q : STD_LOGIC;
signal b_22_CYSELF_6039 : STD_LOGIC;
signal b_22_CYMUXFAST_6038 : STD_LOGIC;
signal b_22_CYAND_6037 : STD_LOGIC;
signal b_22_FASTCARRY_6036 : STD_LOGIC;
signal b_22_CYMUXG2_6035 : STD_LOGIC;
signal b_22_CYMUXF2_6034 : STD_LOGIC;
signal b_22_CY0G_6033 : STD_LOGIC;
signal b_22_CYSELG_6027 : STD_LOGIC;
signal b_24_XORF_6093 : STD_LOGIC;
signal b_24_CYINIT_6092 : STD_LOGIC;
signal b_24_CY0F_6091 : STD_LOGIC;
signal b_24_XORG_6082 : STD_LOGIC;
signal Madd_b_cy_24_Q : STD_LOGIC;
signal b_24_CYSELF_6080 : STD_LOGIC;
signal b_24_CYMUXFAST_6079 : STD_LOGIC;
signal b_24_CYAND_6078 : STD_LOGIC;
signal b_24_FASTCARRY_6077 : STD_LOGIC;
signal b_24_CYMUXG2_6076 : STD_LOGIC;
signal b_24_CYMUXF2_6075 : STD_LOGIC;
signal b_24_CY0G_6074 : STD_LOGIC;
signal b_24_CYSELG_6068 : STD_LOGIC;
signal b_26_XORF_6136 : STD_LOGIC;
signal b_26_CYINIT_6135 : STD_LOGIC;
signal b_26_CY0F_6134 : STD_LOGIC;
signal b_26_XORG_6125 : STD_LOGIC;
signal Madd_b_cy_26_Q : STD_LOGIC;
signal b_26_CYSELF_6123 : STD_LOGIC;
signal b_26_CYMUXFAST_6122 : STD_LOGIC;
signal b_26_CYAND_6121 : STD_LOGIC;
signal b_26_FASTCARRY_6120 : STD_LOGIC;
signal b_26_CYMUXG2_6119 : STD_LOGIC;
signal b_26_CYMUXF2_6118 : STD_LOGIC;
signal b_26_CY0G_6117 : STD_LOGIC;
signal b_26_CYSELG_6111 : STD_LOGIC;
signal b_28_XORF_6179 : STD_LOGIC;
signal b_28_CYINIT_6178 : STD_LOGIC;
signal b_28_CY0F_6177 : STD_LOGIC;
signal b_28_XORG_6168 : STD_LOGIC;
signal Madd_b_cy_28_Q : STD_LOGIC;
signal b_28_CYSELF_6166 : STD_LOGIC;
signal b_28_CYMUXFAST_6165 : STD_LOGIC;
signal b_28_CYAND_6164 : STD_LOGIC;
signal b_28_FASTCARRY_6163 : STD_LOGIC;
signal b_28_CYMUXG2_6162 : STD_LOGIC;
signal b_28_CYMUXF2_6161 : STD_LOGIC;
signal b_28_CY0G_6160 : STD_LOGIC;
signal b_28_CYSELG_6154 : STD_LOGIC;
signal b_30_XORF_6212 : STD_LOGIC;
signal b_30_CYINIT_6211 : STD_LOGIC;
signal b_30_CY0F_6210 : STD_LOGIC;
signal b_30_CYSELF_6204 : STD_LOGIC;
signal b_30_XORG_6200 : STD_LOGIC;
signal Madd_b_cy_30_Q : STD_LOGIC;
signal din_lower_0_INBUF : STD_LOGIC;
signal din_lower_1_INBUF : STD_LOGIC;
signal din_lower_2_INBUF : STD_LOGIC;
signal din_lower_3_INBUF : STD_LOGIC;
signal din_lower_4_INBUF : STD_LOGIC;
signal din_lower_5_INBUF : STD_LOGIC;
signal din_lower_6_INBUF : STD_LOGIC;
signal din_lower_7_INBUF : STD_LOGIC;
signal clr_INBUF : STD_LOGIC;
signal AN_0_O : STD_LOGIC;
signal AN_1_O : STD_LOGIC;
signal AN_2_O : STD_LOGIC;
signal AN_3_O : STD_LOGIC;
signal segment_a_i_O : STD_LOGIC;
signal segment_b_i_O : STD_LOGIC;
signal segment_c_i_O : STD_LOGIC;
signal segment_d_i_O : STD_LOGIC;
signal segment_e_i_O : STD_LOGIC;
signal segment_f_i_O : STD_LOGIC;
signal segment_g_i_O : STD_LOGIC;
signal clk_25_INBUF : STD_LOGIC;
signal di_vld_INBUF : STD_LOGIC;
signal do_rdy_O : STD_LOGIC;
signal swtch_led_0_O : STD_LOGIC;
signal swtch_led_1_O : STD_LOGIC;
signal swtch_led_2_O : STD_LOGIC;
signal swtch_led_3_O : STD_LOGIC;
signal swtch_led_4_O : STD_LOGIC;
signal swtch_led_5_O : STD_LOGIC;
signal swtch_led_6_O : STD_LOGIC;
signal swtch_led_7_O : STD_LOGIC;
signal clk_25_BUFGP_BUFG_S_INVNOT : STD_LOGIC;
signal clk_25_BUFGP_BUFG_I0_INV : STD_LOGIC;
signal Sh13120_F5MUX_6468 : STD_LOGIC;
signal N403 : STD_LOGIC;
signal Sh13120_BXINV_6460 : STD_LOGIC;
signal N402 : STD_LOGIC;
signal Sh133_F5MUX_6493 : STD_LOGIC;
signal N527 : STD_LOGIC;
signal Sh133_BXINV_6485 : STD_LOGIC;
signal N526 : STD_LOGIC;
signal Sh140_F5MUX_6518 : STD_LOGIC;
signal N407 : STD_LOGIC;
signal Sh140_BXINV_6510 : STD_LOGIC;
signal N406 : STD_LOGIC;
signal Sh141_F5MUX_6543 : STD_LOGIC;
signal N409 : STD_LOGIC;
signal Sh141_BXINV_6535 : STD_LOGIC;
signal N408 : STD_LOGIC;
signal Sh142_F5MUX_6568 : STD_LOGIC;
signal N315 : STD_LOGIC;
signal Sh142_BXINV_6560 : STD_LOGIC;
signal N314 : STD_LOGIC;
signal Sh135_F5MUX_6593 : STD_LOGIC;
signal N303 : STD_LOGIC;
signal Sh135_BXINV_6585 : STD_LOGIC;
signal N302 : STD_LOGIC;
signal Sh14612_F5MUX_6618 : STD_LOGIC;
signal N415 : STD_LOGIC;
signal Sh14612_BXINV_6611 : STD_LOGIC;
signal N414 : STD_LOGIC;
signal Sh136_F5MUX_6643 : STD_LOGIC;
signal N305 : STD_LOGIC;
signal Sh136_BXINV_6635 : STD_LOGIC;
signal N304 : STD_LOGIC;
signal Sh14712_F5MUX_6668 : STD_LOGIC;
signal N413 : STD_LOGIC;
signal Sh14712_BXINV_6661 : STD_LOGIC;
signal N412 : STD_LOGIC;
signal Sh137_F5MUX_6693 : STD_LOGIC;
signal N307 : STD_LOGIC;
signal Sh137_BXINV_6685 : STD_LOGIC;
signal N306 : STD_LOGIC;
signal Sh139_F5MUX_6718 : STD_LOGIC;
signal N299 : STD_LOGIC;
signal Sh139_BXINV_6710 : STD_LOGIC;
signal N298 : STD_LOGIC;
signal b_reg_10_FFX_RST : STD_LOGIC;
signal b_reg_10_DXMUX_6749 : STD_LOGIC;
signal b_reg_10_F5MUX_6747 : STD_LOGIC;
signal N529 : STD_LOGIC;
signal b_reg_10_BXINV_6740 : STD_LOGIC;
signal N528 : STD_LOGIC;
signal b_reg_10_CLKINV_6731 : STD_LOGIC;
signal Sh10_F5MUX_6779 : STD_LOGIC;
signal N471 : STD_LOGIC;
signal Sh10_BXINV_6772 : STD_LOGIC;
signal N470 : STD_LOGIC;
signal Sh13_F5MUX_6804 : STD_LOGIC;
signal N495 : STD_LOGIC;
signal Sh13_BXINV_6797 : STD_LOGIC;
signal N494 : STD_LOGIC;
signal Sh21_F5MUX_6829 : STD_LOGIC;
signal N491 : STD_LOGIC;
signal Sh21_BXINV_6822 : STD_LOGIC;
signal N490 : STD_LOGIC;
signal Sh14_F5MUX_6854 : STD_LOGIC;
signal N487 : STD_LOGIC;
signal Sh14_BXINV_6847 : STD_LOGIC;
signal N486 : STD_LOGIC;
signal Sh22_F5MUX_6879 : STD_LOGIC;
signal N483 : STD_LOGIC;
signal Sh22_BXINV_6872 : STD_LOGIC;
signal N482 : STD_LOGIC;
signal Sh30_F5MUX_6904 : STD_LOGIC;
signal N473 : STD_LOGIC;
signal Sh30_BXINV_6897 : STD_LOGIC;
signal N472 : STD_LOGIC;
signal Sh17_F5MUX_6929 : STD_LOGIC;
signal N493 : STD_LOGIC;
signal Sh17_BXINV_6922 : STD_LOGIC;
signal N492 : STD_LOGIC;
signal Sh25_F5MUX_6954 : STD_LOGIC;
signal N489 : STD_LOGIC;
signal Sh25_BXINV_6947 : STD_LOGIC;
signal N488 : STD_LOGIC;
signal Sh18_F5MUX_6979 : STD_LOGIC;
signal N485 : STD_LOGIC;
signal Sh18_BXINV_6972 : STD_LOGIC;
signal N484 : STD_LOGIC;
signal Sh26_F5MUX_7004 : STD_LOGIC;
signal N481 : STD_LOGIC;
signal Sh26_BXINV_6997 : STD_LOGIC;
signal N480 : STD_LOGIC;
signal Sh29_F5MUX_7029 : STD_LOGIC;
signal N479 : STD_LOGIC;
signal Sh29_BXINV_7022 : STD_LOGIC;
signal N478 : STD_LOGIC;
signal Sh4_F5MUX_7054 : STD_LOGIC;
signal N301 : STD_LOGIC;
signal Sh4_BXINV_7047 : STD_LOGIC;
signal N300 : STD_LOGIC;
signal Sh8_F5MUX_7079 : STD_LOGIC;
signal N325 : STD_LOGIC;
signal Sh8_BXINV_7072 : STD_LOGIC;
signal N324 : STD_LOGIC;
signal Sh96_F5MUX_7106 : STD_LOGIC;
signal Sh1262_rt_7104 : STD_LOGIC;
signal Sh96_BXINV_7096 : STD_LOGIC;
signal Sh962 : STD_LOGIC;
signal Sh97_F5MUX_7131 : STD_LOGIC;
signal Sh1272_rt_7129 : STD_LOGIC;
signal Sh97_BXINV_7121 : STD_LOGIC;
signal Sh972_7119 : STD_LOGIC;
signal Sh100_F5MUX_7158 : STD_LOGIC;
signal Sh1001_7156 : STD_LOGIC;
signal Sh100_BXINV_7151 : STD_LOGIC;
signal Sh1002 : STD_LOGIC;
signal Sh101_F5MUX_7185 : STD_LOGIC;
signal Sh1011_rt_7183 : STD_LOGIC;
signal Sh101_BXINV_7175 : STD_LOGIC;
signal Sh1012 : STD_LOGIC;
signal Sh120_F5MUX_7212 : STD_LOGIC;
signal Sh1182_rt_7210 : STD_LOGIC;
signal Sh120_BXINV_7202 : STD_LOGIC;
signal Sh1202 : STD_LOGIC;
signal Sh112_F5MUX_7239 : STD_LOGIC;
signal Sh1102_rt_7237 : STD_LOGIC;
signal Sh112_BXINV_7229 : STD_LOGIC;
signal Sh1122 : STD_LOGIC;
signal Sh104_F5MUX_7266 : STD_LOGIC;
signal Sh1022_rt_7264 : STD_LOGIC;
signal Sh104_BXINV_7256 : STD_LOGIC;
signal Sh1042 : STD_LOGIC;
signal Sh121_F5MUX_7293 : STD_LOGIC;
signal Sh1192_rt_7291 : STD_LOGIC;
signal Sh121_BXINV_7283 : STD_LOGIC;
signal Sh1212 : STD_LOGIC;
signal Sh113_F5MUX_7320 : STD_LOGIC;
signal Sh1112_rt_7318 : STD_LOGIC;
signal Sh113_BXINV_7310 : STD_LOGIC;
signal Sh1132 : STD_LOGIC;
signal Sh105_F5MUX_7347 : STD_LOGIC;
signal Sh1032_rt_7345 : STD_LOGIC;
signal Sh105_BXINV_7337 : STD_LOGIC;
signal Sh1052 : STD_LOGIC;
signal Sh124_F5MUX_7374 : STD_LOGIC;
signal Sh1222_rt_7372 : STD_LOGIC;
signal Sh124_BXINV_7364 : STD_LOGIC;
signal Sh1242 : STD_LOGIC;
signal Sh116_F5MUX_7401 : STD_LOGIC;
signal Sh1142_rt_7399 : STD_LOGIC;
signal Sh116_BXINV_7391 : STD_LOGIC;
signal Sh1162 : STD_LOGIC;
signal Sh108_F5MUX_7428 : STD_LOGIC;
signal Sh1062_rt_7426 : STD_LOGIC;
signal Sh108_BXINV_7418 : STD_LOGIC;
signal Sh1082 : STD_LOGIC;
signal Sh125_F5MUX_7455 : STD_LOGIC;
signal Sh1232_rt_7453 : STD_LOGIC;
signal Sh125_BXINV_7445 : STD_LOGIC;
signal Sh1252 : STD_LOGIC;
signal Sh117_F5MUX_7482 : STD_LOGIC;
signal Sh1152_rt_7480 : STD_LOGIC;
signal Sh117_BXINV_7472 : STD_LOGIC;
signal Sh1172 : STD_LOGIC;
signal Sh109_F5MUX_7509 : STD_LOGIC;
signal Sh1072_rt_7507 : STD_LOGIC;
signal Sh109_BXINV_7499 : STD_LOGIC;
signal Sh1092 : STD_LOGIC;
signal Sh3_F5MUX_7534 : STD_LOGIC;
signal N309 : STD_LOGIC;
signal Sh3_BXINV_7526 : STD_LOGIC;
signal N308 : STD_LOGIC;
signal Sh7_F5MUX_7559 : STD_LOGIC;
signal N337 : STD_LOGIC;
signal Sh7_BXINV_7552 : STD_LOGIC;
signal N336 : STD_LOGIC;
signal Sh11_F5MUX_7584 : STD_LOGIC;
signal N349 : STD_LOGIC;
signal Sh11_BXINV_7577 : STD_LOGIC;
signal N348 : STD_LOGIC;
signal Sh15_F5MUX_7609 : STD_LOGIC;
signal N347 : STD_LOGIC;
signal Sh15_BXINV_7602 : STD_LOGIC;
signal N346 : STD_LOGIC;
signal Sh23_F5MUX_7634 : STD_LOGIC;
signal N343 : STD_LOGIC;
signal Sh23_BXINV_7627 : STD_LOGIC;
signal N342 : STD_LOGIC;
signal Sh31_F5MUX_7659 : STD_LOGIC;
signal N339 : STD_LOGIC;
signal Sh31_BXINV_7652 : STD_LOGIC;
signal N338 : STD_LOGIC;
signal Sh19_F5MUX_7684 : STD_LOGIC;
signal N345 : STD_LOGIC;
signal Sh19_BXINV_7677 : STD_LOGIC;
signal N344 : STD_LOGIC;
signal Sh27_F5MUX_7709 : STD_LOGIC;
signal N341 : STD_LOGIC;
signal Sh27_BXINV_7702 : STD_LOGIC;
signal N340 : STD_LOGIC;
signal Sh12_F5MUX_7734 : STD_LOGIC;
signal N335 : STD_LOGIC;
signal Sh12_BXINV_7727 : STD_LOGIC;
signal N334 : STD_LOGIC;
signal Sh20_F5MUX_7759 : STD_LOGIC;
signal N331 : STD_LOGIC;
signal Sh20_BXINV_7752 : STD_LOGIC;
signal N330 : STD_LOGIC;
signal Sh16_F5MUX_7784 : STD_LOGIC;
signal N333 : STD_LOGIC;
signal Sh16_BXINV_7777 : STD_LOGIC;
signal N332 : STD_LOGIC;
signal Sh24_F5MUX_7809 : STD_LOGIC;
signal N329 : STD_LOGIC;
signal Sh24_BXINV_7802 : STD_LOGIC;
signal N328 : STD_LOGIC;
signal Sh28_F5MUX_7834 : STD_LOGIC;
signal N327 : STD_LOGIC;
signal Sh28_BXINV_7827 : STD_LOGIC;
signal N326 : STD_LOGIC;
signal Sh123_F5MUX_7859 : STD_LOGIC;
signal N497 : STD_LOGIC;
signal Sh123_BXINV_7852 : STD_LOGIC;
signal N496 : STD_LOGIC;
signal Sh127_F5MUX_7884 : STD_LOGIC;
signal N461 : STD_LOGIC;
signal Sh127_BXINV_7877 : STD_LOGIC;
signal N460 : STD_LOGIC;
signal Sh145_F5MUX_7909 : STD_LOGIC;
signal Sh14531 : STD_LOGIC;
signal Sh145_BXINV_7901 : STD_LOGIC;
signal Sh145311_7899 : STD_LOGIC;
signal Sh_F5MUX_7934 : STD_LOGIC;
signal N411 : STD_LOGIC;
signal Sh_BXINV_7927 : STD_LOGIC;
signal N410 : STD_LOGIC;
signal N291_F5MUX_7959 : STD_LOGIC;
signal N465 : STD_LOGIC;
signal N291_BXINV_7950 : STD_LOGIC;
signal N464 : STD_LOGIC;
signal N292_F5MUX_7984 : STD_LOGIC;
signal N467 : STD_LOGIC;
signal N292_BXINV_7975 : STD_LOGIC;
signal N466 : STD_LOGIC;
signal N281_F5MUX_8009 : STD_LOGIC;
signal N457 : STD_LOGIC;
signal N281_BXINV_8000 : STD_LOGIC;
signal N456 : STD_LOGIC;
signal N282_F5MUX_8034 : STD_LOGIC;
signal N459 : STD_LOGIC;
signal N282_BXINV_8025 : STD_LOGIC;
signal N458 : STD_LOGIC;
signal N278_F5MUX_8059 : STD_LOGIC;
signal N453 : STD_LOGIC;
signal N278_BXINV_8050 : STD_LOGIC;
signal N452 : STD_LOGIC;
signal N279_F5MUX_8084 : STD_LOGIC;
signal N455 : STD_LOGIC;
signal N279_BXINV_8075 : STD_LOGIC;
signal N454 : STD_LOGIC;
signal Sh1307_F5MUX_8109 : STD_LOGIC;
signal N371 : STD_LOGIC;
signal Sh1307_BXINV_8101 : STD_LOGIC;
signal N370 : STD_LOGIC;
signal Sh160_F5MUX_8134 : STD_LOGIC;
signal N319 : STD_LOGIC;
signal Sh160_BXINV_8127 : STD_LOGIC;
signal N318 : STD_LOGIC;
signal Sh1507_F5MUX_8159 : STD_LOGIC;
signal N435 : STD_LOGIC;
signal Sh1507_BXINV_8151 : STD_LOGIC;
signal N434 : STD_LOGIC;
signal Sh13820_F5MUX_8184 : STD_LOGIC;
signal N417 : STD_LOGIC;
signal Sh13820_BXINV_8176 : STD_LOGIC;
signal N416 : STD_LOGIC;
signal Sh1517_F5MUX_8209 : STD_LOGIC;
signal N433 : STD_LOGIC;
signal Sh1517_BXINV_8201 : STD_LOGIC;
signal N432 : STD_LOGIC;
signal Sh162_F5MUX_8234 : STD_LOGIC;
signal N317 : STD_LOGIC;
signal Sh162_BXINV_8227 : STD_LOGIC;
signal N316 : STD_LOGIC;
signal Sh40_F5MUX_8259 : STD_LOGIC;
signal N369 : STD_LOGIC;
signal Sh40_BXINV_8251 : STD_LOGIC;
signal N368 : STD_LOGIC;
signal Sh32_F5MUX_8284 : STD_LOGIC;
signal N353 : STD_LOGIC;
signal Sh32_BXINV_8276 : STD_LOGIC;
signal N352 : STD_LOGIC;
signal Sh163_F5MUX_8309 : STD_LOGIC;
signal N311 : STD_LOGIC;
signal Sh163_BXINV_8302 : STD_LOGIC;
signal N310 : STD_LOGIC;
signal Sh164_F5MUX_8334 : STD_LOGIC;
signal N313 : STD_LOGIC;
signal Sh164_BXINV_8327 : STD_LOGIC;
signal N312 : STD_LOGIC;
signal Sh41_F5MUX_8359 : STD_LOGIC;
signal N425 : STD_LOGIC;
signal Sh41_BXINV_8351 : STD_LOGIC;
signal N424 : STD_LOGIC;
signal Sh1547_F5MUX_8384 : STD_LOGIC;
signal N421 : STD_LOGIC;
signal Sh1547_BXINV_8376 : STD_LOGIC;
signal N420 : STD_LOGIC;
signal Sh13420_F5MUX_8409 : STD_LOGIC;
signal N401 : STD_LOGIC;
signal Sh13420_BXINV_8401 : STD_LOGIC;
signal N400 : STD_LOGIC;
signal Sh33_F5MUX_8434 : STD_LOGIC;
signal N375 : STD_LOGIC;
signal Sh33_BXINV_8426 : STD_LOGIC;
signal N374 : STD_LOGIC;
signal Sh1557_F5MUX_8459 : STD_LOGIC;
signal N419 : STD_LOGIC;
signal Sh1557_BXINV_8451 : STD_LOGIC;
signal N418 : STD_LOGIC;
signal Sh42_F5MUX_8484 : STD_LOGIC;
signal N429 : STD_LOGIC;
signal Sh42_BXINV_8476 : STD_LOGIC;
signal N428 : STD_LOGIC;
signal Sh34_F5MUX_8509 : STD_LOGIC;
signal N379 : STD_LOGIC;
signal Sh34_BXINV_8501 : STD_LOGIC;
signal N378 : STD_LOGIC;
signal Sh50_F5MUX_8534 : STD_LOGIC;
signal N377 : STD_LOGIC;
signal Sh50_BXINV_8526 : STD_LOGIC;
signal N376 : STD_LOGIC;
signal Sh175_F5MUX_8559 : STD_LOGIC;
signal N321 : STD_LOGIC;
signal Sh175_BXINV_8552 : STD_LOGIC;
signal N320 : STD_LOGIC;
signal Sh1587_F5MUX_8584 : STD_LOGIC;
signal N427 : STD_LOGIC;
signal Sh1587_BXINV_8576 : STD_LOGIC;
signal N426 : STD_LOGIC;
signal Sh43_F5MUX_8609 : STD_LOGIC;
signal N383 : STD_LOGIC;
signal Sh43_BXINV_8601 : STD_LOGIC;
signal N382 : STD_LOGIC;
signal Sh35_F5MUX_8634 : STD_LOGIC;
signal N357 : STD_LOGIC;
signal Sh35_BXINV_8626 : STD_LOGIC;
signal N356 : STD_LOGIC;
signal Sh51_F5MUX_8659 : STD_LOGIC;
signal N355 : STD_LOGIC;
signal Sh51_BXINV_8651 : STD_LOGIC;
signal N354 : STD_LOGIC;
signal Sh1597_F5MUX_8684 : STD_LOGIC;
signal N469 : STD_LOGIC;
signal Sh1597_BXINV_8676 : STD_LOGIC;
signal N468 : STD_LOGIC;
signal Sh178_F5MUX_8709 : STD_LOGIC;
signal N323 : STD_LOGIC;
signal Sh178_BXINV_8702 : STD_LOGIC;
signal N322 : STD_LOGIC;
signal Sh44_F5MUX_8734 : STD_LOGIC;
signal N387 : STD_LOGIC;
signal Sh44_BXINV_8726 : STD_LOGIC;
signal N386 : STD_LOGIC;
signal Sh60_F5MUX_8759 : STD_LOGIC;
signal N385 : STD_LOGIC;
signal Sh60_BXINV_8751 : STD_LOGIC;
signal N384 : STD_LOGIC;
signal Sh36_F5MUX_8784 : STD_LOGIC;
signal N361 : STD_LOGIC;
signal Sh36_BXINV_8776 : STD_LOGIC;
signal N360 : STD_LOGIC;
signal Sh52_F5MUX_8809 : STD_LOGIC;
signal N359 : STD_LOGIC;
signal Sh52_BXINV_8801 : STD_LOGIC;
signal N358 : STD_LOGIC;
signal Sh45_F5MUX_8834 : STD_LOGIC;
signal N431 : STD_LOGIC;
signal Sh45_BXINV_8826 : STD_LOGIC;
signal N430 : STD_LOGIC;
signal Sh37_F5MUX_8859 : STD_LOGIC;
signal N391 : STD_LOGIC;
signal Sh37_BXINV_8851 : STD_LOGIC;
signal N390 : STD_LOGIC;
signal Sh53_F5MUX_8884 : STD_LOGIC;
signal N389 : STD_LOGIC;
signal Sh53_BXINV_8876 : STD_LOGIC;
signal N388 : STD_LOGIC;
signal Sh46_F5MUX_8909 : STD_LOGIC;
signal N423 : STD_LOGIC;
signal Sh46_BXINV_8901 : STD_LOGIC;
signal N422 : STD_LOGIC;
signal Sh38_F5MUX_8934 : STD_LOGIC;
signal N395 : STD_LOGIC;
signal Sh38_BXINV_8926 : STD_LOGIC;
signal N394 : STD_LOGIC;
signal Sh54_F5MUX_8959 : STD_LOGIC;
signal N393 : STD_LOGIC;
signal Sh54_BXINV_8951 : STD_LOGIC;
signal N392 : STD_LOGIC;
signal Sh47_F5MUX_8984 : STD_LOGIC;
signal N399 : STD_LOGIC;
signal Sh47_BXINV_8976 : STD_LOGIC;
signal N398 : STD_LOGIC;
signal Sh63_F5MUX_9009 : STD_LOGIC;
signal N397 : STD_LOGIC;
signal Sh63_BXINV_9001 : STD_LOGIC;
signal N396 : STD_LOGIC;
signal Sh39_F5MUX_9034 : STD_LOGIC;
signal N365 : STD_LOGIC;
signal Sh39_BXINV_9026 : STD_LOGIC;
signal N364 : STD_LOGIC;
signal Sh55_F5MUX_9059 : STD_LOGIC;
signal N363 : STD_LOGIC;
signal Sh55_BXINV_9051 : STD_LOGIC;
signal N362 : STD_LOGIC;
signal Sh56_F5MUX_9084 : STD_LOGIC;
signal N367 : STD_LOGIC;
signal Sh56_BXINV_9076 : STD_LOGIC;
signal N366 : STD_LOGIC;
signal Sh48_F5MUX_9109 : STD_LOGIC;
signal N351 : STD_LOGIC;
signal Sh48_BXINV_9101 : STD_LOGIC;
signal N350 : STD_LOGIC;
signal Sh49_F5MUX_9134 : STD_LOGIC;
signal N373 : STD_LOGIC;
signal Sh49_BXINV_9126 : STD_LOGIC;
signal N372 : STD_LOGIC;
signal Sh59_F5MUX_9159 : STD_LOGIC;
signal N381 : STD_LOGIC;
signal Sh59_BXINV_9151 : STD_LOGIC;
signal N380 : STD_LOGIC;
signal N275_F5MUX_9184 : STD_LOGIC;
signal N449 : STD_LOGIC;
signal N275_BXINV_9175 : STD_LOGIC;
signal N448 : STD_LOGIC;
signal N276_F5MUX_9209 : STD_LOGIC;
signal N451 : STD_LOGIC;
signal N276_BXINV_9200 : STD_LOGIC;
signal N450 : STD_LOGIC;
signal b_reg_8_DXMUX_9240 : STD_LOGIC;
signal b_reg_8_F5MUX_9238 : STD_LOGIC;
signal N533 : STD_LOGIC;
signal b_reg_8_BXINV_9231 : STD_LOGIC;
signal N532 : STD_LOGIC;
signal b_reg_8_CLKINV_9222 : STD_LOGIC;
signal b_reg_9_DXMUX_9276 : STD_LOGIC;
signal b_reg_9_F5MUX_9274 : STD_LOGIC;
signal N531 : STD_LOGIC;
signal b_reg_9_BXINV_9267 : STD_LOGIC;
signal N530 : STD_LOGIC;
signal b_reg_9_CLKINV_9258 : STD_LOGIC;
signal N272_F5MUX_9306 : STD_LOGIC;
signal N445 : STD_LOGIC;
signal N272_BXINV_9297 : STD_LOGIC;
signal N444 : STD_LOGIC;
signal N273_F5MUX_9331 : STD_LOGIC;
signal N447 : STD_LOGIC;
signal N273_BXINV_9322 : STD_LOGIC;
signal N446 : STD_LOGIC;
signal Sh1_F5MUX_9356 : STD_LOGIC;
signal N405 : STD_LOGIC;
signal Sh1_BXINV_9349 : STD_LOGIC;
signal N404 : STD_LOGIC;
signal Sh2_F5MUX_9381 : STD_LOGIC;
signal Sh210 : STD_LOGIC;
signal Sh2_BXINV_9374 : STD_LOGIC;
signal Sh211 : STD_LOGIC;
signal Sh5_F5MUX_9406 : STD_LOGIC;
signal N477 : STD_LOGIC;
signal Sh5_BXINV_9399 : STD_LOGIC;
signal N476 : STD_LOGIC;
signal N269_F5MUX_9431 : STD_LOGIC;
signal N441 : STD_LOGIC;
signal N269_BXINV_9422 : STD_LOGIC;
signal N440 : STD_LOGIC;
signal N270_F5MUX_9456 : STD_LOGIC;
signal N443 : STD_LOGIC;
signal N270_BXINV_9447 : STD_LOGIC;
signal N442 : STD_LOGIC;
signal Sh6_F5MUX_9481 : STD_LOGIC;
signal N463 : STD_LOGIC;
signal Sh6_BXINV_9474 : STD_LOGIC;
signal N462 : STD_LOGIC;
signal Sh9_F5MUX_9506 : STD_LOGIC;
signal N475 : STD_LOGIC;
signal Sh9_BXINV_9499 : STD_LOGIC;
signal N474 : STD_LOGIC;
signal b_reg_0_1_DXMUX_9538 : STD_LOGIC;
signal b_reg_0_1_FXMUX_9537 : STD_LOGIC;
signal b_reg_0_1_F5MUX_9536 : STD_LOGIC;
signal N525 : STD_LOGIC;
signal b_reg_0_1_BXINV_9529 : STD_LOGIC;
signal N524 : STD_LOGIC;
signal b_reg_0_1_CLKINV_9521 : STD_LOGIC;
signal hex_digit_i_0_DXMUX_9574 : STD_LOGIC;
signal hex_digit_i_0_F5MUX_9572 : STD_LOGIC;
signal Mmux_hex_digit_i_mux0001_3_9570 : STD_LOGIC;
signal hex_digit_i_0_BXINV_9564 : STD_LOGIC;
signal Mmux_hex_digit_i_mux0001_4_9562 : STD_LOGIC;
signal hex_digit_i_0_CLKINV_9555 : STD_LOGIC;
signal N266_F5MUX_9604 : STD_LOGIC;
signal N437 : STD_LOGIC;
signal N266_BXINV_9595 : STD_LOGIC;
signal N436 : STD_LOGIC;
signal N267_F5MUX_9629 : STD_LOGIC;
signal N439 : STD_LOGIC;
signal N267_BXINV_9620 : STD_LOGIC;
signal N438 : STD_LOGIC;
signal i_cnt_3_DXMUX_9660 : STD_LOGIC;
signal i_cnt_3_F5MUX_9658 : STD_LOGIC;
signal i_cnt_mux0001_0_56 : STD_LOGIC;
signal i_cnt_3_BXINV_9651 : STD_LOGIC;
signal i_cnt_mux0001_0_561_9649 : STD_LOGIC;
signal i_cnt_3_CLKINV_9642 : STD_LOGIC;
signal hex_digit_i_1_DXMUX_9696 : STD_LOGIC;
signal hex_digit_i_1_F5MUX_9694 : STD_LOGIC;
signal Mmux_hex_digit_i_mux0001_31_9692 : STD_LOGIC;
signal hex_digit_i_1_BXINV_9686 : STD_LOGIC;
signal Mmux_hex_digit_i_mux0001_41_9684 : STD_LOGIC;
signal hex_digit_i_1_CLKINV_9677 : STD_LOGIC;
signal hex_digit_i_2_DXMUX_9732 : STD_LOGIC;
signal hex_digit_i_2_F5MUX_9730 : STD_LOGIC;
signal Mmux_hex_digit_i_mux0001_32_9728 : STD_LOGIC;
signal hex_digit_i_2_BXINV_9722 : STD_LOGIC;
signal Mmux_hex_digit_i_mux0001_42_9720 : STD_LOGIC;
signal hex_digit_i_2_CLKINV_9713 : STD_LOGIC;
signal hex_digit_i_3_DXMUX_9768 : STD_LOGIC;
signal hex_digit_i_3_F5MUX_9766 : STD_LOGIC;
signal Mmux_hex_digit_i_mux0001_33_9764 : STD_LOGIC;
signal hex_digit_i_3_BXINV_9758 : STD_LOGIC;
signal Mmux_hex_digit_i_mux0001_43_9756 : STD_LOGIC;
signal hex_digit_i_3_CLKINV_9749 : STD_LOGIC;
signal Sh150 : STD_LOGIC;
signal Sh15016_O_pack_1 : STD_LOGIC;
signal Sh143 : STD_LOGIC;
signal Sh14316_pack_1 : STD_LOGIC;
signal Sh144 : STD_LOGIC;
signal Sh14416_pack_1 : STD_LOGIC;
signal Sh154 : STD_LOGIC;
signal Sh15416_O_pack_1 : STD_LOGIC;
signal Sh147 : STD_LOGIC;
signal Sh14713_pack_1 : STD_LOGIC;
signal Sh148 : STD_LOGIC;
signal Sh1487_pack_1 : STD_LOGIC;
signal Sh159 : STD_LOGIC;
signal Sh1313_pack_1 : STD_LOGIC;
signal Sh73 : STD_LOGIC;
signal Sh57_pack_1 : STD_LOGIC;
signal Sh74 : STD_LOGIC;
signal Sh58_pack_1 : STD_LOGIC;
signal Sh77 : STD_LOGIC;
signal Sh61_pack_1 : STD_LOGIC;
signal Sh78 : STD_LOGIC;
signal Sh62_pack_1 : STD_LOGIC;
signal N249 : STD_LOGIC;
signal Sh14716_pack_1 : STD_LOGIC;
signal Sh1022_10084 : STD_LOGIC;
signal Mxor_ba_xor_Result_5_1_SW1_O_pack_1 : STD_LOGIC;
signal Sh1102_10108 : STD_LOGIC;
signal Mxor_ba_xor_Result_13_1_SW1_O_pack_1 : STD_LOGIC;
signal Sh1032_10132 : STD_LOGIC;
signal Mxor_ba_xor_Result_7_1_SW1_O_pack_1 : STD_LOGIC;
signal Sh1112_10156 : STD_LOGIC;
signal Mxor_ba_xor_Result_15_1_SW1_O_pack_1 : STD_LOGIC;
signal Sh1062_10180 : STD_LOGIC;
signal Mxor_ba_xor_Result_9_1_SW1_O_pack_1 : STD_LOGIC;
signal Sh1142_10204 : STD_LOGIC;
signal Mxor_ba_xor_Result_17_1_SW1_O_pack_1 : STD_LOGIC;
signal Sh1222_10228 : STD_LOGIC;
signal Mxor_ba_xor_Result_25_1_SW1_O_pack_1 : STD_LOGIC;
signal Sh1072_10252 : STD_LOGIC;
signal Mxor_ba_xor_Result_11_1_SW1_O_pack_1 : STD_LOGIC;
signal Sh1152_10276 : STD_LOGIC;
signal Mxor_ba_xor_Result_19_1_SW1_O_pack_1 : STD_LOGIC;
signal Sh1232_10300 : STD_LOGIC;
signal N200_pack_1 : STD_LOGIC;
signal Sh1182_10324 : STD_LOGIC;
signal Mxor_ba_xor_Result_21_1_SW1_O_pack_1 : STD_LOGIC;
signal Sh1262_10348 : STD_LOGIC;
signal Mxor_ba_xor_Result_29_1_SW1_O_pack_1 : STD_LOGIC;
signal Sh13016 : STD_LOGIC;
signal Sh982_pack_1 : STD_LOGIC;
signal Sh1192_10396 : STD_LOGIC;
signal Mxor_ba_xor_Result_23_1_SW1_O_pack_1 : STD_LOGIC;
signal Sh1272_10420 : STD_LOGIC;
signal N197_pack_1 : STD_LOGIC;
signal Sh161 : STD_LOGIC;
signal Sh129_pack_1 : STD_LOGIC;
signal Sh170 : STD_LOGIC;
signal Sh138_pack_1 : STD_LOGIC;
signal Sh1437_10492 : STD_LOGIC;
signal Sh107_pack_1 : STD_LOGIC;
signal Sh171 : STD_LOGIC;
signal Sh155_pack_1 : STD_LOGIC;
signal Sh172 : STD_LOGIC;
signal Sh156_pack_1 : STD_LOGIC;
signal Sh180 : STD_LOGIC;
signal Sh132_pack_1 : STD_LOGIC;
signal Sh165 : STD_LOGIC;
signal Sh149_pack_1 : STD_LOGIC;
signal Sh173 : STD_LOGIC;
signal Sh157_pack_1 : STD_LOGIC;
signal Sh166 : STD_LOGIC;
signal Sh134_pack_1 : STD_LOGIC;
signal Sh174 : STD_LOGIC;
signal Sh158_pack_1 : STD_LOGIC;
signal Sh167 : STD_LOGIC;
signal Sh151_pack_1 : STD_LOGIC;
signal Sh168 : STD_LOGIC;
signal Sh152_pack_1 : STD_LOGIC;
signal Sh176 : STD_LOGIC;
signal Sh128_pack_1 : STD_LOGIC;
signal Sh169 : STD_LOGIC;
signal Sh153_pack_1 : STD_LOGIC;
signal Sh179 : STD_LOGIC;
signal Sh131_pack_1 : STD_LOGIC;
signal b_reg_2_1_DYMUX_10800 : STD_LOGIC;
signal b_reg_2_1_GYMUX_10799 : STD_LOGIC;
signal b_reg_mux0000_2_Q : STD_LOGIC;
signal b_reg_2_1_CLKINV_10790 : STD_LOGIC;
signal b_reg_3_1_DYMUX_10824 : STD_LOGIC;
signal b_reg_3_1_GYMUX_10823 : STD_LOGIC;
signal b_reg_mux0000_3_Q : STD_LOGIC;
signal b_reg_3_1_CLKINV_10814 : STD_LOGIC;
signal b_reg_4_1_DYMUX_10848 : STD_LOGIC;
signal b_reg_4_1_GYMUX_10847 : STD_LOGIC;
signal b_reg_mux0000_4_Q : STD_LOGIC;
signal b_reg_4_1_CLKINV_10838 : STD_LOGIC;
signal AN_1_DXMUX_10889 : STD_LOGIC;
signal Mrom_AN_mux00011 : STD_LOGIC;
signal AN_1_DYMUX_10874 : STD_LOGIC;
signal Mrom_AN_mux0001 : STD_LOGIC;
signal AN_1_SRINV_10864 : STD_LOGIC;
signal AN_1_CLKINV_10863 : STD_LOGIC;
signal AN_3_DXMUX_10929 : STD_LOGIC;
signal Mrom_AN_mux00013 : STD_LOGIC;
signal AN_3_DYMUX_10914 : STD_LOGIC;
signal Mrom_AN_mux00012 : STD_LOGIC;
signal AN_3_SRINV_10904 : STD_LOGIC;
signal AN_3_CLKINV_10903 : STD_LOGIC;
signal a_reg_1_DXMUX_10970 : STD_LOGIC;
signal a_reg_1_DYMUX_10956 : STD_LOGIC;
signal a_reg_1_SRINV_10948 : STD_LOGIC;
signal a_reg_1_CLKINV_10947 : STD_LOGIC;
signal a_reg_3_DXMUX_11012 : STD_LOGIC;
signal a_reg_3_DYMUX_10998 : STD_LOGIC;
signal a_reg_3_SRINV_10990 : STD_LOGIC;
signal a_reg_3_CLKINV_10989 : STD_LOGIC;
signal a_reg_5_DXMUX_11054 : STD_LOGIC;
signal a_reg_5_DYMUX_11040 : STD_LOGIC;
signal a_reg_5_SRINV_11032 : STD_LOGIC;
signal a_reg_5_CLKINV_11031 : STD_LOGIC;
signal a_reg_7_DXMUX_11096 : STD_LOGIC;
signal a_reg_7_DYMUX_11082 : STD_LOGIC;
signal a_reg_7_SRINV_11074 : STD_LOGIC;
signal a_reg_7_CLKINV_11073 : STD_LOGIC;
signal a_reg_9_DXMUX_11138 : STD_LOGIC;
signal a_reg_9_DYMUX_11124 : STD_LOGIC;
signal a_reg_9_SRINV_11116 : STD_LOGIC;
signal a_reg_9_CLKINV_11115 : STD_LOGIC;
signal b_reg_7_DXMUX_11180 : STD_LOGIC;
signal b_reg_mux0000_7_Q : STD_LOGIC;
signal b_reg_7_DYMUX_11165 : STD_LOGIC;
signal b_reg_mux0000_6_Q : STD_LOGIC;
signal b_reg_7_SRINV_11156 : STD_LOGIC;
signal b_reg_7_CLKINV_11155 : STD_LOGIC;
signal i_cnt_1_DXMUX_11221 : STD_LOGIC;
signal i_cnt_1_DYMUX_11208 : STD_LOGIC;
signal i_cnt_1_SRINV_11199 : STD_LOGIC;
signal i_cnt_1_CLKINV_11198 : STD_LOGIC;
signal a_reg_11_DXMUX_11263 : STD_LOGIC;
signal a_reg_11_DYMUX_11249 : STD_LOGIC;
signal a_reg_11_SRINV_11241 : STD_LOGIC;
signal a_reg_11_CLKINV_11240 : STD_LOGIC;
signal a_reg_21_FFY_RST : STD_LOGIC;
signal a_reg_21_FFX_RST : STD_LOGIC;
signal a_reg_21_DXMUX_11305 : STD_LOGIC;
signal a_reg_21_DYMUX_11291 : STD_LOGIC;
signal a_reg_21_SRINV_11283 : STD_LOGIC;
signal a_reg_21_CLKINV_11282 : STD_LOGIC;
signal a_reg_13_FFY_RST : STD_LOGIC;
signal a_reg_13_FFX_RST : STD_LOGIC;
signal a_reg_13_DXMUX_11347 : STD_LOGIC;
signal a_reg_13_DYMUX_11333 : STD_LOGIC;
signal a_reg_13_SRINV_11325 : STD_LOGIC;
signal a_reg_13_CLKINV_11324 : STD_LOGIC;
signal a_reg_31_FFY_RST : STD_LOGIC;
signal a_reg_31_FFX_RST : STD_LOGIC;
signal a_reg_31_DXMUX_11389 : STD_LOGIC;
signal a_reg_31_DYMUX_11375 : STD_LOGIC;
signal a_reg_31_SRINV_11367 : STD_LOGIC;
signal a_reg_31_CLKINV_11366 : STD_LOGIC;
signal a_reg_23_FFY_RST : STD_LOGIC;
signal a_reg_23_DXMUX_11431 : STD_LOGIC;
signal a_reg_23_DYMUX_11417 : STD_LOGIC;
signal a_reg_23_SRINV_11409 : STD_LOGIC;
signal a_reg_23_CLKINV_11408 : STD_LOGIC;
signal a_reg_15_DXMUX_11473 : STD_LOGIC;
signal a_reg_15_DYMUX_11459 : STD_LOGIC;
signal a_reg_15_SRINV_11451 : STD_LOGIC;
signal a_reg_15_CLKINV_11450 : STD_LOGIC;
signal a_reg_25_DXMUX_11515 : STD_LOGIC;
signal a_reg_25_DYMUX_11501 : STD_LOGIC;
signal a_reg_25_SRINV_11493 : STD_LOGIC;
signal a_reg_25_CLKINV_11492 : STD_LOGIC;
signal a_reg_17_DXMUX_11557 : STD_LOGIC;
signal a_reg_17_DYMUX_11543 : STD_LOGIC;
signal a_reg_17_SRINV_11535 : STD_LOGIC;
signal a_reg_17_CLKINV_11534 : STD_LOGIC;
signal a_reg_27_DXMUX_11599 : STD_LOGIC;
signal a_reg_27_DYMUX_11585 : STD_LOGIC;
signal a_reg_27_SRINV_11577 : STD_LOGIC;
signal a_reg_27_CLKINV_11576 : STD_LOGIC;
signal a_reg_19_DXMUX_11641 : STD_LOGIC;
signal a_reg_19_DYMUX_11627 : STD_LOGIC;
signal a_reg_19_SRINV_11619 : STD_LOGIC;
signal a_reg_19_CLKINV_11618 : STD_LOGIC;
signal a_reg_29_DXMUX_11683 : STD_LOGIC;
signal a_reg_29_DYMUX_11669 : STD_LOGIC;
signal a_reg_29_SRINV_11661 : STD_LOGIC;
signal a_reg_29_CLKINV_11660 : STD_LOGIC;
signal b_reg_11_DYMUX_11706 : STD_LOGIC;
signal b_reg_mux0000_11_Q : STD_LOGIC;
signal b_reg_11_CLKINV_11696 : STD_LOGIC;
signal b_reg_21_DXMUX_11748 : STD_LOGIC;
signal b_reg_mux0000_21_Q : STD_LOGIC;
signal b_reg_21_DYMUX_11734 : STD_LOGIC;
signal b_reg_mux0000_20_Q : STD_LOGIC;
signal b_reg_21_SRINV_11726 : STD_LOGIC;
signal b_reg_21_CLKINV_11725 : STD_LOGIC;
signal b_reg_13_DXMUX_11790 : STD_LOGIC;
signal b_reg_mux0000_13_Q : STD_LOGIC;
signal b_reg_13_DYMUX_11776 : STD_LOGIC;
signal b_reg_mux0000_12_Q : STD_LOGIC;
signal b_reg_13_SRINV_11768 : STD_LOGIC;
signal b_reg_13_CLKINV_11767 : STD_LOGIC;
signal b_reg_31_DXMUX_11832 : STD_LOGIC;
signal b_reg_mux0000_31_Q : STD_LOGIC;
signal b_reg_31_DYMUX_11818 : STD_LOGIC;
signal b_reg_mux0000_30_Q : STD_LOGIC;
signal b_reg_31_SRINV_11810 : STD_LOGIC;
signal b_reg_31_CLKINV_11809 : STD_LOGIC;
signal b_reg_23_DXMUX_11874 : STD_LOGIC;
signal b_reg_mux0000_23_Q : STD_LOGIC;
signal b_reg_23_DYMUX_11860 : STD_LOGIC;
signal b_reg_mux0000_22_Q : STD_LOGIC;
signal b_reg_23_SRINV_11852 : STD_LOGIC;
signal b_reg_23_CLKINV_11851 : STD_LOGIC;
signal b_reg_15_DXMUX_11916 : STD_LOGIC;
signal b_reg_mux0000_15_Q : STD_LOGIC;
signal b_reg_15_DYMUX_11902 : STD_LOGIC;
signal b_reg_mux0000_14_Q : STD_LOGIC;
signal b_reg_15_SRINV_11894 : STD_LOGIC;
signal b_reg_15_CLKINV_11893 : STD_LOGIC;
signal b_reg_25_DXMUX_11958 : STD_LOGIC;
signal b_reg_mux0000_25_Q : STD_LOGIC;
signal b_reg_25_DYMUX_11944 : STD_LOGIC;
signal b_reg_mux0000_24_Q : STD_LOGIC;
signal b_reg_25_SRINV_11936 : STD_LOGIC;
signal b_reg_25_CLKINV_11935 : STD_LOGIC;
signal b_reg_17_DXMUX_12000 : STD_LOGIC;
signal b_reg_mux0000_17_Q : STD_LOGIC;
signal b_reg_17_DYMUX_11986 : STD_LOGIC;
signal b_reg_mux0000_16_Q : STD_LOGIC;
signal b_reg_17_SRINV_11978 : STD_LOGIC;
signal b_reg_17_CLKINV_11977 : STD_LOGIC;
signal b_reg_27_DXMUX_12042 : STD_LOGIC;
signal b_reg_mux0000_27_Q : STD_LOGIC;
signal b_reg_27_DYMUX_12028 : STD_LOGIC;
signal b_reg_mux0000_26_Q : STD_LOGIC;
signal b_reg_27_SRINV_12020 : STD_LOGIC;
signal b_reg_27_CLKINV_12019 : STD_LOGIC;
signal b_reg_19_DXMUX_12084 : STD_LOGIC;
signal b_reg_mux0000_19_Q : STD_LOGIC;
signal b_reg_19_DYMUX_12070 : STD_LOGIC;
signal b_reg_mux0000_18_Q : STD_LOGIC;
signal b_reg_19_SRINV_12062 : STD_LOGIC;
signal b_reg_19_CLKINV_12061 : STD_LOGIC;
signal b_reg_29_DXMUX_12126 : STD_LOGIC;
signal b_reg_mux0000_29_Q : STD_LOGIC;
signal b_reg_29_DYMUX_12112 : STD_LOGIC;
signal b_reg_mux0000_28_Q : STD_LOGIC;
signal b_reg_29_SRINV_12104 : STD_LOGIC;
signal b_reg_29_CLKINV_12103 : STD_LOGIC;
signal Sh1287_12154 : STD_LOGIC;
signal Sh13220_12146 : STD_LOGIC;
signal Sh110 : STD_LOGIC;
signal Sh15013_12170 : STD_LOGIC;
signal Sh103 : STD_LOGIC;
signal Sh14313_12194 : STD_LOGIC;
signal Sh15816_12226 : STD_LOGIC;
signal Sh15113_12219 : STD_LOGIC;
signal Sh1310 : STD_LOGIC;
signal Sh15116_12243 : STD_LOGIC;
signal Sh14813_12274 : STD_LOGIC;
signal Sh14412_12265 : STD_LOGIC;
signal Sh12816 : STD_LOGIC;
signal Sh14413_12289 : STD_LOGIC;
signal Sh14616_12322 : STD_LOGIC;
signal Sh15413_12315 : STD_LOGIC;
signal Sh106 : STD_LOGIC;
signal Sh14613_12338 : STD_LOGIC;
signal Sh15516_12370 : STD_LOGIC;
signal Sh15513_12363 : STD_LOGIC;
signal Sh1527_12394 : STD_LOGIC;
signal Sh14816_12386 : STD_LOGIC;
signal Sh13013 : STD_LOGIC;
signal Sh15813_12411 : STD_LOGIC;
signal b_reg_0_2_DYMUX_12428 : STD_LOGIC;
signal b_reg_0_2_CLKINV_12425 : STD_LOGIC;
signal b_reg_0_3_DYMUX_12442 : STD_LOGIC;
signal b_reg_0_3_CLKINV_12439 : STD_LOGIC;
signal ab_xor_3_Q : STD_LOGIC;
signal ab_xor_4_Q : STD_LOGIC;
signal N247 : STD_LOGIC;
signal ab_xor_5_Q : STD_LOGIC;
signal N261 : STD_LOGIC;
signal ab_xor_7_Q : STD_LOGIC;
signal N260 : STD_LOGIC;
signal ab_xor_8_Q : STD_LOGIC;
signal N241 : STD_LOGIC;
signal ab_xor_9_Q : STD_LOGIC;
signal Sh102 : STD_LOGIC;
signal Sh98 : STD_LOGIC;
signal N520 : STD_LOGIC;
signal N286 : STD_LOGIC;
signal N522 : STD_LOGIC;
signal N224 : STD_LOGIC;
signal N518 : STD_LOGIC;
signal N226 : STD_LOGIC;
signal N258 : STD_LOGIC;
signal ab_xor_11_Q : STD_LOGIC;
signal N257 : STD_LOGIC;
signal ab_xor_12_Q : STD_LOGIC;
signal N188 : STD_LOGIC;
signal ab_xor_20_Q : STD_LOGIC;
signal N235 : STD_LOGIC;
signal ab_xor_13_Q : STD_LOGIC;
signal N214 : STD_LOGIC;
signal ab_xor_21_Q : STD_LOGIC;
signal N228 : STD_LOGIC;
signal ab_xor_15_Q : STD_LOGIC;
signal N202 : STD_LOGIC;
signal ab_xor_23_Q : STD_LOGIC;
signal N196 : STD_LOGIC;
signal ab_xor_31_Q : STD_LOGIC;
signal N194 : STD_LOGIC;
signal ab_xor_16_Q : STD_LOGIC;
signal N182 : STD_LOGIC;
signal ab_xor_24_Q : STD_LOGIC;
signal N217 : STD_LOGIC;
signal ab_xor_17_Q : STD_LOGIC;
signal N211 : STD_LOGIC;
signal ab_xor_25_Q : STD_LOGIC;
signal N205 : STD_LOGIC;
signal ab_xor_19_Q : STD_LOGIC;
signal N199 : STD_LOGIC;
signal ab_xor_27_Q : STD_LOGIC;
signal N176 : STD_LOGIC;
signal ab_xor_28_Q : STD_LOGIC;
signal N208 : STD_LOGIC;
signal ab_xor_29_Q : STD_LOGIC;
signal N191 : STD_LOGIC;
signal N193 : STD_LOGIC;
signal N179 : STD_LOGIC;
signal N181 : STD_LOGIC;
signal N289 : STD_LOGIC;
signal N190 : STD_LOGIC;
signal N288 : STD_LOGIC;
signal N178 : STD_LOGIC;
signal N185 : STD_LOGIC;
signal N187 : STD_LOGIC;
signal N173 : STD_LOGIC;
signal N175 : STD_LOGIC;
signal N264 : STD_LOGIC;
signal N184 : STD_LOGIC;
signal N263 : STD_LOGIC;
signal N172 : STD_LOGIC;
signal Sh86 : STD_LOGIC;
signal Sh70 : STD_LOGIC;
signal Sh87 : STD_LOGIC;
signal Sh71 : STD_LOGIC;
signal Sh80 : STD_LOGIC;
signal Sh64 : STD_LOGIC;
signal Sh88 : STD_LOGIC;
signal Sh72 : STD_LOGIC;
signal Sh5320 : STD_LOGIC;
signal Sh5720 : STD_LOGIC;
signal Sh81 : STD_LOGIC;
signal Sh65 : STD_LOGIC;
signal Sh5420 : STD_LOGIC;
signal Sh5820 : STD_LOGIC;
signal Sh82 : STD_LOGIC;
signal Sh66 : STD_LOGIC;
signal N246 : STD_LOGIC;
signal Sh90 : STD_LOGIC;
signal Sh83 : STD_LOGIC;
signal Sh67 : STD_LOGIC;
signal Sh91 : STD_LOGIC;
signal Sh75 : STD_LOGIC;
signal Sh84 : STD_LOGIC;
signal Sh68 : STD_LOGIC;
signal Sh92 : STD_LOGIC;
signal Sh76 : STD_LOGIC;
signal Sh85 : STD_LOGIC;
signal Sh69 : STD_LOGIC;
signal Sh79 : STD_LOGIC;
signal Sh93 : STD_LOGIC;
signal Sh89 : STD_LOGIC;
signal Sh94 : STD_LOGIC;
signal N254 : STD_LOGIC;
signal Sh991_13638 : STD_LOGIC;
signal Sh99 : STD_LOGIC;
signal Sh1011_pack_1 : STD_LOGIC;
signal b_reg_mux0000_2_13_13694 : STD_LOGIC;
signal b_reg_mux0000_2_5_13686 : STD_LOGIC;
signal b_reg_1_DXMUX_13736 : STD_LOGIC;
signal b_reg_1_F5MUX_13734 : STD_LOGIC;
signal N499 : STD_LOGIC;
signal b_reg_1_BXINV_13726 : STD_LOGIC;
signal b_reg_1_DYMUX_13719 : STD_LOGIC;
signal N498 : STD_LOGIC;
signal b_reg_1_SRINV_13711 : STD_LOGIC;
signal b_reg_1_CLKINV_13710 : STD_LOGIC;
signal b_reg_3_DXMUX_13760 : STD_LOGIC;
signal b_reg_3_DYMUX_13752 : STD_LOGIC;
signal b_reg_3_SRINV_13750 : STD_LOGIC;
signal b_reg_3_CLKINV_13749 : STD_LOGIC;
signal b_reg_4_DXMUX_13793 : STD_LOGIC;
signal b_reg_4_DYMUX_13785 : STD_LOGIC;
signal b_reg_mux0000_5_Q : STD_LOGIC;
signal b_reg_4_SRINV_13776 : STD_LOGIC;
signal b_reg_4_CLKINV_13775 : STD_LOGIC;
signal b_reg_mux0000_4_12_13821 : STD_LOGIC;
signal b_reg_mux0000_4_3_13813 : STD_LOGIC;
signal b_reg_mux0000_6_12_13845 : STD_LOGIC;
signal b_reg_mux0000_6_3_13837 : STD_LOGIC;
signal Mrom_b_rom000024_13869 : STD_LOGIC;
signal N27 : STD_LOGIC;
signal N111 : STD_LOGIC;
signal N12 : STD_LOGIC;
signal N20 : STD_LOGIC;
signal N17 : STD_LOGIC;
signal Mrom_b_rom00005_13941 : STD_LOGIC;
signal N222 : STD_LOGIC;
signal i_cnt_mux0001_0_25_13965 : STD_LOGIC;
signal i_cnt_mux0001_0_22_pack_1 : STD_LOGIC;
signal Sh1567_13989 : STD_LOGIC;
signal Sh12813 : STD_LOGIC;
signal Sh1577_14013 : STD_LOGIC;
signal Sh12913 : STD_LOGIC;
signal Sh1297_14037 : STD_LOGIC;
signal Sh12916 : STD_LOGIC;
signal Sh1497_14061 : STD_LOGIC;
signal Sh1537_14053 : STD_LOGIC;
signal Sh177 : STD_LOGIC;
signal Sh181 : STD_LOGIC;
signal Sh183 : STD_LOGIC;
signal Sh182 : STD_LOGIC;
signal Sh184 : STD_LOGIC;
signal Sh190 : STD_LOGIC;
signal Sh186 : STD_LOGIC;
signal Sh185 : STD_LOGIC;
signal Sh188 : STD_LOGIC;
signal Sh187 : STD_LOGIC;
signal Sh347 : STD_LOGIC;
signal Sh337 : STD_LOGIC;
signal Sh189 : STD_LOGIC;
signal Madd_b_pre_cy_4_Q : STD_LOGIC;
signal Madd_b_pre_cy_2_pack_1 : STD_LOGIC;
signal b_reg_mux0000_10_10 : STD_LOGIC;
signal Madd_b_pre_cy_6_pack_1 : STD_LOGIC;
signal segment_a_i_OBUF_14289 : STD_LOGIC;
signal segment_g_i_OBUF_14282 : STD_LOGIC;
signal segment_d_i_OBUF_14313 : STD_LOGIC;
signal segment_e_i_OBUF_14306 : STD_LOGIC;
signal segment_f_i_OBUF_14337 : STD_LOGIC;
signal segment_c_i_OBUF_14330 : STD_LOGIC;
signal segment_b_i_OBUF_14349 : STD_LOGIC;
signal N14 : STD_LOGIC;
signal N514 : STD_LOGIC;
signal i_cnt_2_DXMUX_14404 : STD_LOGIC;
signal N516_pack_3 : STD_LOGIC;
signal i_cnt_2_CLKINV_14388 : STD_LOGIC;
signal Mrom_b_rom000012_14432 : STD_LOGIC;
signal Mrom_a_rom000010 : STD_LOGIC;
signal Mrom_b_rom000020_14456 : STD_LOGIC;
signal Mrom_a_rom000011_14449 : STD_LOGIC;
signal Mrom_b_rom00008_14480 : STD_LOGIC;
signal Mrom_a_rom000021 : STD_LOGIC;
signal Mrom_b_rom000013_14504 : STD_LOGIC;
signal Mrom_a_rom000030 : STD_LOGIC;
signal Mrom_b_rom000031 : STD_LOGIC;
signal Mrom_a_rom000031 : STD_LOGIC;
signal Mrom_b_rom000023 : STD_LOGIC;
signal Mrom_a_rom000025 : STD_LOGIC;
signal Mrom_b_rom000017_14576 : STD_LOGIC;
signal Mrom_a_rom000026 : STD_LOGIC;
signal Mrom_b_rom00007 : STD_LOGIC;
signal Mrom_a_rom000019 : STD_LOGIC;
signal Mrom_b_rom000030 : STD_LOGIC;
signal Mrom_a_rom000027 : STD_LOGIC;
signal N237 : STD_LOGIC;
signal N251 : STD_LOGIC;
signal Mrom_b_rom000028 : STD_LOGIC;
signal Mrom_b_rom000011_14665 : STD_LOGIC;
signal N231 : STD_LOGIC;
signal N234 : STD_LOGIC;
signal Mrom_b_rom000026 : STD_LOGIC;
signal N77 : STD_LOGIC;
signal N33 : STD_LOGIC;
signal N34 : STD_LOGIC;
signal do_rdy_OBUF_14756 : STD_LOGIC;
signal Mrom_b_rom000022 : STD_LOGIC;
signal Mrom_a_rom00001 : STD_LOGIC;
signal Mrom_b_rom000016 : STD_LOGIC;
signal Mrom_a_rom0000 : STD_LOGIC;
signal Mrom_b_rom000010 : STD_LOGIC;
signal Mrom_a_rom000013_14821 : STD_LOGIC;
signal Mrom_b_rom00006 : STD_LOGIC;
signal Mrom_a_rom000023_14845 : STD_LOGIC;
signal Mrom_b_rom00009_14876 : STD_LOGIC;
signal Mrom_a_rom000015_14869 : STD_LOGIC;
signal Mrom_b_rom000021 : STD_LOGIC;
signal Mrom_a_rom000024_14893 : STD_LOGIC;
signal Mrom_b_rom000014_14924 : STD_LOGIC;
signal Mrom_a_rom000016_14917 : STD_LOGIC;
signal Mrom_b_rom00001 : STD_LOGIC;
signal Mrom_a_rom000017_14941 : STD_LOGIC;
signal Mrom_a_rom000029_14972 : STD_LOGIC;
signal Mrom_a_rom000018_14965 : STD_LOGIC;
signal Mrom_b_rom000029_14996 : STD_LOGIC;
signal Mrom_a_rom00006 : STD_LOGIC;
signal Mrom_a_rom00009_15020 : STD_LOGIC;
signal Mrom_a_rom00008 : STD_LOGIC;
signal Mrom_a_rom00005_15044 : STD_LOGIC;
signal Mrom_b_rom000019 : STD_LOGIC;
signal Mrom_a_rom00002_15068 : STD_LOGIC;
signal Mrom_b_rom000027 : STD_LOGIC;
signal state_FSM_FFd2_DXMUX_15109 : STD_LOGIC;
signal state_FSM_FFd2_In : STD_LOGIC;
signal state_FSM_FFd2_DYMUX_15095 : STD_LOGIC;
signal state_cmp_eq0000_pack_4 : STD_LOGIC;
signal state_FSM_FFd2_SRINV_15086 : STD_LOGIC;
signal state_FSM_FFd2_CLKINV_15085 : STD_LOGIC;
signal Mrom_b_rom0000 : STD_LOGIC;
signal Mrom_a_rom00004_15130 : STD_LOGIC;
signal N240 : STD_LOGIC;
signal N243 : STD_LOGIC;
signal hex_digit_i_3_FFX_RSTAND_9773 : STD_LOGIC;
signal b_reg_8_FFX_RSTAND_9245 : STD_LOGIC;
signal b_reg_9_FFX_RSTAND_9281 : STD_LOGIC;
signal b_reg_0_1_FFX_RSTAND_9543 : STD_LOGIC;
signal hex_digit_i_0_FFX_RSTAND_9579 : STD_LOGIC;
signal i_cnt_3_FFX_RSTAND_9665 : STD_LOGIC;
signal hex_digit_i_1_FFX_RSTAND_9701 : STD_LOGIC;
signal hex_digit_i_2_FFX_RSTAND_9737 : STD_LOGIC;
signal b_reg_2_1_FFY_RSTAND_10805 : STD_LOGIC;
signal b_reg_3_1_FFY_RSTAND_10829 : STD_LOGIC;
signal b_reg_4_1_FFY_RSTAND_10853 : STD_LOGIC;
signal b_reg_11_FFY_RSTAND_11711 : STD_LOGIC;
signal b_reg_0_2_FFY_RSTAND_12433 : STD_LOGIC;
signal b_reg_0_3_FFY_RSTAND_12447 : STD_LOGIC;
signal i_cnt_2_FFX_RSTAND_14409 : STD_LOGIC;
signal VCC : STD_LOGIC;
signal GND : STD_LOGIC;
signal LED_flash_cnt : STD_LOGIC_VECTOR ( 9 downto 0 );
signal b_reg : STD_LOGIC_VECTOR ( 31 downto 0 );
signal a : STD_LOGIC_VECTOR ( 31 downto 0 );
signal i_cnt : STD_LOGIC_VECTOR ( 3 downto 0 );
signal Madd_b_pre_lut : STD_LOGIC_VECTOR ( 2 downto 2 );
signal a_reg : STD_LOGIC_VECTOR ( 31 downto 0 );
signal hex_digit_i : STD_LOGIC_VECTOR ( 3 downto 0 );
signal Mcount_LED_flash_cnt_lut : STD_LOGIC_VECTOR ( 0 downto 0 );
signal Madd_a_lut : STD_LOGIC_VECTOR ( 31 downto 0 );
signal Madd_b_lut : STD_LOGIC_VECTOR ( 31 downto 0 );
signal a_reg_mux0000 : STD_LOGIC_VECTOR ( 31 downto 0 );
signal i_cnt_mux0001 : STD_LOGIC_VECTOR ( 3 downto 1 );
begin
NlwRenamedSig_IO_clr <= clr;
NlwRenamedSig_IO_di_vld <= di_vld;
LED_flash_cnt_0_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X31Y10"
)
port map (
O => LED_flash_cnt_0_LOGIC_ZERO_4631
);
LED_flash_cnt_0_LOGIC_ONE : X_ONE
generic map(
LOC => "SLICE_X31Y10"
)
port map (
O => LED_flash_cnt_0_LOGIC_ONE_4655
);
LED_flash_cnt_0_DXMUX : X_BUF
generic map(
LOC => "SLICE_X31Y10",
PATHPULSE => 638 ps
)
port map (
I => LED_flash_cnt_0_XORF_4656,
O => LED_flash_cnt_0_DXMUX_4658
);
LED_flash_cnt_0_XORF : X_XOR2
generic map(
LOC => "SLICE_X31Y10"
)
port map (
I0 => LED_flash_cnt_0_CYINIT_4654,
I1 => Mcount_LED_flash_cnt_lut(0),
O => LED_flash_cnt_0_XORF_4656
);
LED_flash_cnt_0_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X31Y10"
)
port map (
IA => LED_flash_cnt_0_LOGIC_ONE_4655,
IB => LED_flash_cnt_0_CYINIT_4654,
SEL => LED_flash_cnt_0_CYSELF_4645,
O => Mcount_LED_flash_cnt_cy_0_Q
);
LED_flash_cnt_0_CYINIT : X_BUF
generic map(
LOC => "SLICE_X31Y10",
PATHPULSE => 638 ps
)
port map (
I => LED_flash_cnt_0_BXINV_4643,
O => LED_flash_cnt_0_CYINIT_4654
);
LED_flash_cnt_0_CYSELF : X_BUF
generic map(
LOC => "SLICE_X31Y10",
PATHPULSE => 638 ps
)
port map (
I => Mcount_LED_flash_cnt_lut(0),
O => LED_flash_cnt_0_CYSELF_4645
);
LED_flash_cnt_0_BXINV : X_BUF
generic map(
LOC => "SLICE_X31Y10",
PATHPULSE => 638 ps
)
port map (
I => '0',
O => LED_flash_cnt_0_BXINV_4643
);
LED_flash_cnt_0_DYMUX : X_BUF
generic map(
LOC => "SLICE_X31Y10",
PATHPULSE => 638 ps
)
port map (
I => LED_flash_cnt_0_XORG_4634,
O => LED_flash_cnt_0_DYMUX_4636
);
LED_flash_cnt_0_XORG : X_XOR2
generic map(
LOC => "SLICE_X31Y10"
)
port map (
I0 => Mcount_LED_flash_cnt_cy_0_Q,
I1 => LED_flash_cnt_0_G,
O => LED_flash_cnt_0_XORG_4634
);
LED_flash_cnt_0_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X31Y10",
PATHPULSE => 638 ps
)
port map (
I => LED_flash_cnt_0_CYMUXG_4633,
O => Mcount_LED_flash_cnt_cy_1_Q
);
LED_flash_cnt_0_CYMUXG : X_MUX2
generic map(
LOC => "SLICE_X31Y10"
)
port map (
IA => LED_flash_cnt_0_LOGIC_ZERO_4631,
IB => Mcount_LED_flash_cnt_cy_0_Q,
SEL => LED_flash_cnt_0_CYSELG_4622,
O => LED_flash_cnt_0_CYMUXG_4633
);
LED_flash_cnt_0_CYSELG : X_BUF
generic map(
LOC => "SLICE_X31Y10",
PATHPULSE => 638 ps
)
port map (
I => LED_flash_cnt_0_G,
O => LED_flash_cnt_0_CYSELG_4622
);
LED_flash_cnt_0_SRINV : X_BUF
generic map(
LOC => "SLICE_X31Y10",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => LED_flash_cnt_0_SRINV_4620
);
LED_flash_cnt_0_CLKINV : X_BUF
generic map(
LOC => "SLICE_X31Y10",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => LED_flash_cnt_0_CLKINV_4619
);
LED_flash_cnt_2_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X31Y11"
)
port map (
O => LED_flash_cnt_2_LOGIC_ZERO_4685
);
LED_flash_cnt_2_DXMUX : X_BUF
generic map(
LOC => "SLICE_X31Y11",
PATHPULSE => 638 ps
)
port map (
I => LED_flash_cnt_2_XORF_4712,
O => LED_flash_cnt_2_DXMUX_4714
);
LED_flash_cnt_2_XORF : X_XOR2
generic map(
LOC => "SLICE_X31Y11"
)
port map (
I0 => LED_flash_cnt_2_CYINIT_4711,
I1 => LED_flash_cnt_2_F,
O => LED_flash_cnt_2_XORF_4712
);
LED_flash_cnt_2_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X31Y11"
)
port map (
IA => LED_flash_cnt_2_LOGIC_ZERO_4685,
IB => LED_flash_cnt_2_CYINIT_4711,
SEL => LED_flash_cnt_2_CYSELF_4691,
O => Mcount_LED_flash_cnt_cy_2_Q
);
LED_flash_cnt_2_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X31Y11"
)
port map (
IA => LED_flash_cnt_2_LOGIC_ZERO_4685,
IB => LED_flash_cnt_2_LOGIC_ZERO_4685,
SEL => LED_flash_cnt_2_CYSELF_4691,
O => LED_flash_cnt_2_CYMUXF2_4686
);
LED_flash_cnt_2_CYINIT : X_BUF
generic map(
LOC => "SLICE_X31Y11",
PATHPULSE => 638 ps
)
port map (
I => Mcount_LED_flash_cnt_cy_1_Q,
O => LED_flash_cnt_2_CYINIT_4711
);
LED_flash_cnt_2_CYSELF : X_BUF
generic map(
LOC => "SLICE_X31Y11",
PATHPULSE => 638 ps
)
port map (
I => LED_flash_cnt_2_F,
O => LED_flash_cnt_2_CYSELF_4691
);
LED_flash_cnt_2_DYMUX : X_BUF
generic map(
LOC => "SLICE_X31Y11",
PATHPULSE => 638 ps
)
port map (
I => LED_flash_cnt_2_XORG_4693,
O => LED_flash_cnt_2_DYMUX_4695
);
LED_flash_cnt_2_XORG : X_XOR2
generic map(
LOC => "SLICE_X31Y11"
)
port map (
I0 => Mcount_LED_flash_cnt_cy_2_Q,
I1 => LED_flash_cnt_2_G,
O => LED_flash_cnt_2_XORG_4693
);
LED_flash_cnt_2_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X31Y11",
PATHPULSE => 638 ps
)
port map (
I => LED_flash_cnt_2_CYMUXFAST_4690,
O => Mcount_LED_flash_cnt_cy_3_Q
);
LED_flash_cnt_2_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X31Y11",
PATHPULSE => 638 ps
)
port map (
I => Mcount_LED_flash_cnt_cy_1_Q,
O => LED_flash_cnt_2_FASTCARRY_4688
);
LED_flash_cnt_2_CYAND : X_AND2
generic map(
LOC => "SLICE_X31Y11"
)
port map (
I0 => LED_flash_cnt_2_CYSELG_4676,
I1 => LED_flash_cnt_2_CYSELF_4691,
O => LED_flash_cnt_2_CYAND_4689
);
LED_flash_cnt_2_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X31Y11"
)
port map (
IA => LED_flash_cnt_2_CYMUXG2_4687,
IB => LED_flash_cnt_2_FASTCARRY_4688,
SEL => LED_flash_cnt_2_CYAND_4689,
O => LED_flash_cnt_2_CYMUXFAST_4690
);
LED_flash_cnt_2_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X31Y11"
)
port map (
IA => LED_flash_cnt_2_LOGIC_ZERO_4685,
IB => LED_flash_cnt_2_CYMUXF2_4686,
SEL => LED_flash_cnt_2_CYSELG_4676,
O => LED_flash_cnt_2_CYMUXG2_4687
);
LED_flash_cnt_2_CYSELG : X_BUF
generic map(
LOC => "SLICE_X31Y11",
PATHPULSE => 638 ps
)
port map (
I => LED_flash_cnt_2_G,
O => LED_flash_cnt_2_CYSELG_4676
);
LED_flash_cnt_2_SRINV : X_BUF
generic map(
LOC => "SLICE_X31Y11",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => LED_flash_cnt_2_SRINV_4674
);
LED_flash_cnt_2_CLKINV : X_BUF
generic map(
LOC => "SLICE_X31Y11",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => LED_flash_cnt_2_CLKINV_4673
);
LED_flash_cnt_4_FFY_RSTOR : X_BUF
generic map(
LOC => "SLICE_X31Y12",
PATHPULSE => 638 ps
)
port map (
I => LED_flash_cnt_4_SRINV_4730,
O => LED_flash_cnt_4_FFY_RST
);
LED_flash_cnt_5 : X_FF
generic map(
LOC => "SLICE_X31Y12",
INIT => '0'
)
port map (
I => LED_flash_cnt_4_DYMUX_4751,
CE => VCC,
CLK => LED_flash_cnt_4_CLKINV_4729,
SET => GND,
RST => LED_flash_cnt_4_FFY_RST,
O => LED_flash_cnt(5)
);
LED_flash_cnt_4_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X31Y12"
)
port map (
O => LED_flash_cnt_4_LOGIC_ZERO_4741
);
LED_flash_cnt_4_DXMUX : X_BUF
generic map(
LOC => "SLICE_X31Y12",
PATHPULSE => 638 ps
)
port map (
I => LED_flash_cnt_4_XORF_4768,
O => LED_flash_cnt_4_DXMUX_4770
);
LED_flash_cnt_4_XORF : X_XOR2
generic map(
LOC => "SLICE_X31Y12"
)
port map (
I0 => LED_flash_cnt_4_CYINIT_4767,
I1 => LED_flash_cnt_4_F,
O => LED_flash_cnt_4_XORF_4768
);
LED_flash_cnt_4_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X31Y12"
)
port map (
IA => LED_flash_cnt_4_LOGIC_ZERO_4741,
IB => LED_flash_cnt_4_CYINIT_4767,
SEL => LED_flash_cnt_4_CYSELF_4747,
O => Mcount_LED_flash_cnt_cy_4_Q
);
LED_flash_cnt_4_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X31Y12"
)
port map (
IA => LED_flash_cnt_4_LOGIC_ZERO_4741,
IB => LED_flash_cnt_4_LOGIC_ZERO_4741,
SEL => LED_flash_cnt_4_CYSELF_4747,
O => LED_flash_cnt_4_CYMUXF2_4742
);
LED_flash_cnt_4_CYINIT : X_BUF
generic map(
LOC => "SLICE_X31Y12",
PATHPULSE => 638 ps
)
port map (
I => Mcount_LED_flash_cnt_cy_3_Q,
O => LED_flash_cnt_4_CYINIT_4767
);
LED_flash_cnt_4_CYSELF : X_BUF
generic map(
LOC => "SLICE_X31Y12",
PATHPULSE => 638 ps
)
port map (
I => LED_flash_cnt_4_F,
O => LED_flash_cnt_4_CYSELF_4747
);
LED_flash_cnt_4_DYMUX : X_BUF
generic map(
LOC => "SLICE_X31Y12",
PATHPULSE => 638 ps
)
port map (
I => LED_flash_cnt_4_XORG_4749,
O => LED_flash_cnt_4_DYMUX_4751
);
LED_flash_cnt_4_XORG : X_XOR2
generic map(
LOC => "SLICE_X31Y12"
)
port map (
I0 => Mcount_LED_flash_cnt_cy_4_Q,
I1 => LED_flash_cnt_4_G,
O => LED_flash_cnt_4_XORG_4749
);
LED_flash_cnt_4_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X31Y12",
PATHPULSE => 638 ps
)
port map (
I => LED_flash_cnt_4_CYMUXFAST_4746,
O => Mcount_LED_flash_cnt_cy_5_Q
);
LED_flash_cnt_4_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X31Y12",
PATHPULSE => 638 ps
)
port map (
I => Mcount_LED_flash_cnt_cy_3_Q,
O => LED_flash_cnt_4_FASTCARRY_4744
);
LED_flash_cnt_4_CYAND : X_AND2
generic map(
LOC => "SLICE_X31Y12"
)
port map (
I0 => LED_flash_cnt_4_CYSELG_4732,
I1 => LED_flash_cnt_4_CYSELF_4747,
O => LED_flash_cnt_4_CYAND_4745
);
LED_flash_cnt_4_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X31Y12"
)
port map (
IA => LED_flash_cnt_4_CYMUXG2_4743,
IB => LED_flash_cnt_4_FASTCARRY_4744,
SEL => LED_flash_cnt_4_CYAND_4745,
O => LED_flash_cnt_4_CYMUXFAST_4746
);
LED_flash_cnt_4_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X31Y12"
)
port map (
IA => LED_flash_cnt_4_LOGIC_ZERO_4741,
IB => LED_flash_cnt_4_CYMUXF2_4742,
SEL => LED_flash_cnt_4_CYSELG_4732,
O => LED_flash_cnt_4_CYMUXG2_4743
);
LED_flash_cnt_4_CYSELG : X_BUF
generic map(
LOC => "SLICE_X31Y12",
PATHPULSE => 638 ps
)
port map (
I => LED_flash_cnt_4_G,
O => LED_flash_cnt_4_CYSELG_4732
);
LED_flash_cnt_4_SRINV : X_BUF
generic map(
LOC => "SLICE_X31Y12",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => LED_flash_cnt_4_SRINV_4730
);
LED_flash_cnt_4_CLKINV : X_BUF
generic map(
LOC => "SLICE_X31Y12",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => LED_flash_cnt_4_CLKINV_4729
);
LED_flash_cnt_6_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X31Y13"
)
port map (
O => LED_flash_cnt_6_LOGIC_ZERO_4797
);
LED_flash_cnt_6_DXMUX : X_BUF
generic map(
LOC => "SLICE_X31Y13",
PATHPULSE => 638 ps
)
port map (
I => LED_flash_cnt_6_XORF_4824,
O => LED_flash_cnt_6_DXMUX_4826
);
LED_flash_cnt_6_XORF : X_XOR2
generic map(
LOC => "SLICE_X31Y13"
)
port map (
I0 => LED_flash_cnt_6_CYINIT_4823,
I1 => LED_flash_cnt_6_F,
O => LED_flash_cnt_6_XORF_4824
);
LED_flash_cnt_6_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X31Y13"
)
port map (
IA => LED_flash_cnt_6_LOGIC_ZERO_4797,
IB => LED_flash_cnt_6_CYINIT_4823,
SEL => LED_flash_cnt_6_CYSELF_4803,
O => Mcount_LED_flash_cnt_cy_6_Q
);
LED_flash_cnt_6_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X31Y13"
)
port map (
IA => LED_flash_cnt_6_LOGIC_ZERO_4797,
IB => LED_flash_cnt_6_LOGIC_ZERO_4797,
SEL => LED_flash_cnt_6_CYSELF_4803,
O => LED_flash_cnt_6_CYMUXF2_4798
);
LED_flash_cnt_6_CYINIT : X_BUF
generic map(
LOC => "SLICE_X31Y13",
PATHPULSE => 638 ps
)
port map (
I => Mcount_LED_flash_cnt_cy_5_Q,
O => LED_flash_cnt_6_CYINIT_4823
);
LED_flash_cnt_6_CYSELF : X_BUF
generic map(
LOC => "SLICE_X31Y13",
PATHPULSE => 638 ps
)
port map (
I => LED_flash_cnt_6_F,
O => LED_flash_cnt_6_CYSELF_4803
);
LED_flash_cnt_6_DYMUX : X_BUF
generic map(
LOC => "SLICE_X31Y13",
PATHPULSE => 638 ps
)
port map (
I => LED_flash_cnt_6_XORG_4805,
O => LED_flash_cnt_6_DYMUX_4807
);
LED_flash_cnt_6_XORG : X_XOR2
generic map(
LOC => "SLICE_X31Y13"
)
port map (
I0 => Mcount_LED_flash_cnt_cy_6_Q,
I1 => LED_flash_cnt_6_G,
O => LED_flash_cnt_6_XORG_4805
);
LED_flash_cnt_6_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X31Y13",
PATHPULSE => 638 ps
)
port map (
I => Mcount_LED_flash_cnt_cy_5_Q,
O => LED_flash_cnt_6_FASTCARRY_4800
);
LED_flash_cnt_6_CYAND : X_AND2
generic map(
LOC => "SLICE_X31Y13"
)
port map (
I0 => LED_flash_cnt_6_CYSELG_4788,
I1 => LED_flash_cnt_6_CYSELF_4803,
O => LED_flash_cnt_6_CYAND_4801
);
LED_flash_cnt_6_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X31Y13"
)
port map (
IA => LED_flash_cnt_6_CYMUXG2_4799,
IB => LED_flash_cnt_6_FASTCARRY_4800,
SEL => LED_flash_cnt_6_CYAND_4801,
O => LED_flash_cnt_6_CYMUXFAST_4802
);
LED_flash_cnt_6_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X31Y13"
)
port map (
IA => LED_flash_cnt_6_LOGIC_ZERO_4797,
IB => LED_flash_cnt_6_CYMUXF2_4798,
SEL => LED_flash_cnt_6_CYSELG_4788,
O => LED_flash_cnt_6_CYMUXG2_4799
);
LED_flash_cnt_6_CYSELG : X_BUF
generic map(
LOC => "SLICE_X31Y13",
PATHPULSE => 638 ps
)
port map (
I => LED_flash_cnt_6_G,
O => LED_flash_cnt_6_CYSELG_4788
);
LED_flash_cnt_6_SRINV : X_BUF
generic map(
LOC => "SLICE_X31Y13",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => LED_flash_cnt_6_SRINV_4786
);
LED_flash_cnt_6_CLKINV : X_BUF
generic map(
LOC => "SLICE_X31Y13",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => LED_flash_cnt_6_CLKINV_4785
);
LED_flash_cnt_8_LOGIC_ZERO : X_ZERO
generic map(
LOC => "SLICE_X31Y14"
)
port map (
O => LED_flash_cnt_8_LOGIC_ZERO_4872
);
LED_flash_cnt_8_DXMUX : X_BUF
generic map(
LOC => "SLICE_X31Y14",
PATHPULSE => 638 ps
)
port map (
I => LED_flash_cnt_8_XORF_4873,
O => LED_flash_cnt_8_DXMUX_4875
);
LED_flash_cnt_8_XORF : X_XOR2
generic map(
LOC => "SLICE_X31Y14"
)
port map (
I0 => LED_flash_cnt_8_CYINIT_4871,
I1 => LED_flash_cnt_8_F,
O => LED_flash_cnt_8_XORF_4873
);
LED_flash_cnt_8_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X31Y14"
)
port map (
IA => LED_flash_cnt_8_LOGIC_ZERO_4872,
IB => LED_flash_cnt_8_CYINIT_4871,
SEL => LED_flash_cnt_8_CYSELF_4862,
O => Mcount_LED_flash_cnt_cy_8_Q
);
LED_flash_cnt_8_CYINIT : X_BUF
generic map(
LOC => "SLICE_X31Y14",
PATHPULSE => 638 ps
)
port map (
I => LED_flash_cnt_6_CYMUXFAST_4802,
O => LED_flash_cnt_8_CYINIT_4871
);
LED_flash_cnt_8_CYSELF : X_BUF
generic map(
LOC => "SLICE_X31Y14",
PATHPULSE => 638 ps
)
port map (
I => LED_flash_cnt_8_F,
O => LED_flash_cnt_8_CYSELF_4862
);
LED_flash_cnt_8_DYMUX : X_BUF
generic map(
LOC => "SLICE_X31Y14",
PATHPULSE => 638 ps
)
port map (
I => LED_flash_cnt_8_XORG_4852,
O => LED_flash_cnt_8_DYMUX_4854
);
LED_flash_cnt_8_XORG : X_XOR2
generic map(
LOC => "SLICE_X31Y14"
)
port map (
I0 => Mcount_LED_flash_cnt_cy_8_Q,
I1 => LED_flash_cnt_9_rt_4849,
O => LED_flash_cnt_8_XORG_4852
);
LED_flash_cnt_8_SRINV : X_BUF
generic map(
LOC => "SLICE_X31Y14",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => LED_flash_cnt_8_SRINV_4841
);
LED_flash_cnt_8_CLKINV : X_BUF
generic map(
LOC => "SLICE_X31Y14",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => LED_flash_cnt_8_CLKINV_4840
);
LED_flash_cnt_9_rt : X_LUT4
generic map(
INIT => X"AAAA",
LOC => "SLICE_X31Y14"
)
port map (
ADR0 => LED_flash_cnt(9),
ADR1 => VCC,
ADR2 => VCC,
ADR3 => VCC,
O => LED_flash_cnt_9_rt_4849
);
a_0_XUSED : X_BUF
generic map(
LOC => "SLICE_X17Y16",
PATHPULSE => 638 ps
)
port map (
I => a_0_XORF_4918,
O => a(0)
);
a_0_XORF : X_XOR2
generic map(
LOC => "SLICE_X17Y16"
)
port map (
I0 => a_0_CYINIT_4917,
I1 => Madd_a_lut(0),
O => a_0_XORF_4918
);
a_0_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X17Y16"
)
port map (
IA => a_0_CY0F_4916,
IB => a_0_CYINIT_4917,
SEL => a_0_CYSELF_4908,
O => Madd_a_cy_0_Q
);
a_0_CYINIT : X_BUF
generic map(
LOC => "SLICE_X17Y16",
PATHPULSE => 638 ps
)
port map (
I => a_0_BXINV_4906,
O => a_0_CYINIT_4917
);
a_0_CY0F : X_BUF
generic map(
LOC => "SLICE_X17Y16",
PATHPULSE => 638 ps
)
port map (
I => Sh64_0,
O => a_0_CY0F_4916
);
a_0_CYSELF : X_BUF
generic map(
LOC => "SLICE_X17Y16",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_lut(0),
O => a_0_CYSELF_4908
);
a_0_BXINV : X_BUF
generic map(
LOC => "SLICE_X17Y16",
PATHPULSE => 638 ps
)
port map (
I => '0',
O => a_0_BXINV_4906
);
a_0_YUSED : X_BUF
generic map(
LOC => "SLICE_X17Y16",
PATHPULSE => 638 ps
)
port map (
I => a_0_XORG_4904,
O => a(1)
);
a_0_XORG : X_XOR2
generic map(
LOC => "SLICE_X17Y16"
)
port map (
I0 => Madd_a_cy_0_Q,
I1 => Madd_a_lut(1),
O => a_0_XORG_4904
);
a_0_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X17Y16",
PATHPULSE => 638 ps
)
port map (
I => a_0_CYMUXG_4903,
O => Madd_a_cy_1_Q
);
a_0_CYMUXG : X_MUX2
generic map(
LOC => "SLICE_X17Y16"
)
port map (
IA => a_0_CY0G_4901,
IB => Madd_a_cy_0_Q,
SEL => a_0_CYSELG_4895,
O => a_0_CYMUXG_4903
);
a_0_CY0G : X_BUF
generic map(
LOC => "SLICE_X17Y16",
PATHPULSE => 638 ps
)
port map (
I => Sh65,
O => a_0_CY0G_4901
);
a_0_CYSELG : X_BUF
generic map(
LOC => "SLICE_X17Y16",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_lut(1),
O => a_0_CYSELG_4895
);
a_2_XUSED : X_BUF
generic map(
LOC => "SLICE_X17Y17",
PATHPULSE => 638 ps
)
port map (
I => a_2_XORF_4961,
O => a(2)
);
a_2_XORF : X_XOR2
generic map(
LOC => "SLICE_X17Y17"
)
port map (
I0 => a_2_CYINIT_4960,
I1 => Madd_a_lut(2),
O => a_2_XORF_4961
);
a_2_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X17Y17"
)
port map (
IA => a_2_CY0F_4959,
IB => a_2_CYINIT_4960,
SEL => a_2_CYSELF_4948,
O => Madd_a_cy_2_Q
);
a_2_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X17Y17"
)
port map (
IA => a_2_CY0F_4959,
IB => a_2_CY0F_4959,
SEL => a_2_CYSELF_4948,
O => a_2_CYMUXF2_4943
);
a_2_CYINIT : X_BUF
generic map(
LOC => "SLICE_X17Y17",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_cy_1_Q,
O => a_2_CYINIT_4960
);
a_2_CY0F : X_BUF
generic map(
LOC => "SLICE_X17Y17",
PATHPULSE => 638 ps
)
port map (
I => Sh66,
O => a_2_CY0F_4959
);
a_2_CYSELF : X_BUF
generic map(
LOC => "SLICE_X17Y17",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_lut(2),
O => a_2_CYSELF_4948
);
a_2_YUSED : X_BUF
generic map(
LOC => "SLICE_X17Y17",
PATHPULSE => 638 ps
)
port map (
I => a_2_XORG_4950,
O => a(3)
);
a_2_XORG : X_XOR2
generic map(
LOC => "SLICE_X17Y17"
)
port map (
I0 => Madd_a_cy_2_Q,
I1 => Madd_a_lut(3),
O => a_2_XORG_4950
);
a_2_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X17Y17",
PATHPULSE => 638 ps
)
port map (
I => a_2_CYMUXFAST_4947,
O => Madd_a_cy_3_Q
);
a_2_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X17Y17",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_cy_1_Q,
O => a_2_FASTCARRY_4945
);
a_2_CYAND : X_AND2
generic map(
LOC => "SLICE_X17Y17"
)
port map (
I0 => a_2_CYSELG_4936,
I1 => a_2_CYSELF_4948,
O => a_2_CYAND_4946
);
a_2_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X17Y17"
)
port map (
IA => a_2_CYMUXG2_4944,
IB => a_2_FASTCARRY_4945,
SEL => a_2_CYAND_4946,
O => a_2_CYMUXFAST_4947
);
a_2_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X17Y17"
)
port map (
IA => a_2_CY0G_4942,
IB => a_2_CYMUXF2_4943,
SEL => a_2_CYSELG_4936,
O => a_2_CYMUXG2_4944
);
a_2_CY0G : X_BUF
generic map(
LOC => "SLICE_X17Y17",
PATHPULSE => 638 ps
)
port map (
I => Sh67,
O => a_2_CY0G_4942
);
a_2_CYSELG : X_BUF
generic map(
LOC => "SLICE_X17Y17",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_lut(3),
O => a_2_CYSELG_4936
);
Madd_a_lut_5_Q : X_LUT4
generic map(
INIT => X"1ED2",
LOC => "SLICE_X17Y18"
)
port map (
ADR0 => Sh37,
ADR1 => b_reg(4),
ADR2 => Mrom_a_rom00005_0,
ADR3 => Sh53,
O => Madd_a_lut(5)
);
a_4_XUSED : X_BUF
generic map(
LOC => "SLICE_X17Y18",
PATHPULSE => 638 ps
)
port map (
I => a_4_XORF_5004,
O => a(4)
);
a_4_XORF : X_XOR2
generic map(
LOC => "SLICE_X17Y18"
)
port map (
I0 => a_4_CYINIT_5003,
I1 => Madd_a_lut(4),
O => a_4_XORF_5004
);
a_4_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X17Y18"
)
port map (
IA => a_4_CY0F_5002,
IB => a_4_CYINIT_5003,
SEL => a_4_CYSELF_4991,
O => Madd_a_cy_4_Q
);
a_4_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X17Y18"
)
port map (
IA => a_4_CY0F_5002,
IB => a_4_CY0F_5002,
SEL => a_4_CYSELF_4991,
O => a_4_CYMUXF2_4986
);
a_4_CYINIT : X_BUF
generic map(
LOC => "SLICE_X17Y18",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_cy_3_Q,
O => a_4_CYINIT_5003
);
a_4_CY0F : X_BUF
generic map(
LOC => "SLICE_X17Y18",
PATHPULSE => 638 ps
)
port map (
I => Sh68,
O => a_4_CY0F_5002
);
a_4_CYSELF : X_BUF
generic map(
LOC => "SLICE_X17Y18",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_lut(4),
O => a_4_CYSELF_4991
);
a_4_YUSED : X_BUF
generic map(
LOC => "SLICE_X17Y18",
PATHPULSE => 638 ps
)
port map (
I => a_4_XORG_4993,
O => a(5)
);
a_4_XORG : X_XOR2
generic map(
LOC => "SLICE_X17Y18"
)
port map (
I0 => Madd_a_cy_4_Q,
I1 => Madd_a_lut(5),
O => a_4_XORG_4993
);
a_4_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X17Y18",
PATHPULSE => 638 ps
)
port map (
I => a_4_CYMUXFAST_4990,
O => Madd_a_cy_5_Q
);
a_4_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X17Y18",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_cy_3_Q,
O => a_4_FASTCARRY_4988
);
a_4_CYAND : X_AND2
generic map(
LOC => "SLICE_X17Y18"
)
port map (
I0 => a_4_CYSELG_4979,
I1 => a_4_CYSELF_4991,
O => a_4_CYAND_4989
);
a_4_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X17Y18"
)
port map (
IA => a_4_CYMUXG2_4987,
IB => a_4_FASTCARRY_4988,
SEL => a_4_CYAND_4989,
O => a_4_CYMUXFAST_4990
);
a_4_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X17Y18"
)
port map (
IA => a_4_CY0G_4985,
IB => a_4_CYMUXF2_4986,
SEL => a_4_CYSELG_4979,
O => a_4_CYMUXG2_4987
);
a_4_CY0G : X_BUF
generic map(
LOC => "SLICE_X17Y18",
PATHPULSE => 638 ps
)
port map (
I => Sh69,
O => a_4_CY0G_4985
);
a_4_CYSELG : X_BUF
generic map(
LOC => "SLICE_X17Y18",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_lut(5),
O => a_4_CYSELG_4979
);
a_6_XUSED : X_BUF
generic map(
LOC => "SLICE_X17Y19",
PATHPULSE => 638 ps
)
port map (
I => a_6_XORF_5047,
O => a(6)
);
a_6_XORF : X_XOR2
generic map(
LOC => "SLICE_X17Y19"
)
port map (
I0 => a_6_CYINIT_5046,
I1 => Madd_a_lut(6),
O => a_6_XORF_5047
);
a_6_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X17Y19"
)
port map (
IA => a_6_CY0F_5045,
IB => a_6_CYINIT_5046,
SEL => a_6_CYSELF_5034,
O => Madd_a_cy_6_Q
);
a_6_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X17Y19"
)
port map (
IA => a_6_CY0F_5045,
IB => a_6_CY0F_5045,
SEL => a_6_CYSELF_5034,
O => a_6_CYMUXF2_5029
);
a_6_CYINIT : X_BUF
generic map(
LOC => "SLICE_X17Y19",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_cy_5_Q,
O => a_6_CYINIT_5046
);
a_6_CY0F : X_BUF
generic map(
LOC => "SLICE_X17Y19",
PATHPULSE => 638 ps
)
port map (
I => Sh70,
O => a_6_CY0F_5045
);
a_6_CYSELF : X_BUF
generic map(
LOC => "SLICE_X17Y19",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_lut(6),
O => a_6_CYSELF_5034
);
a_6_YUSED : X_BUF
generic map(
LOC => "SLICE_X17Y19",
PATHPULSE => 638 ps
)
port map (
I => a_6_XORG_5036,
O => a(7)
);
a_6_XORG : X_XOR2
generic map(
LOC => "SLICE_X17Y19"
)
port map (
I0 => Madd_a_cy_6_Q,
I1 => Madd_a_lut(7),
O => a_6_XORG_5036
);
a_6_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X17Y19",
PATHPULSE => 638 ps
)
port map (
I => a_6_CYMUXFAST_5033,
O => Madd_a_cy_7_Q
);
a_6_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X17Y19",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_cy_5_Q,
O => a_6_FASTCARRY_5031
);
a_6_CYAND : X_AND2
generic map(
LOC => "SLICE_X17Y19"
)
port map (
I0 => a_6_CYSELG_5022,
I1 => a_6_CYSELF_5034,
O => a_6_CYAND_5032
);
a_6_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X17Y19"
)
port map (
IA => a_6_CYMUXG2_5030,
IB => a_6_FASTCARRY_5031,
SEL => a_6_CYAND_5032,
O => a_6_CYMUXFAST_5033
);
a_6_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X17Y19"
)
port map (
IA => a_6_CY0G_5028,
IB => a_6_CYMUXF2_5029,
SEL => a_6_CYSELG_5022,
O => a_6_CYMUXG2_5030
);
a_6_CY0G : X_BUF
generic map(
LOC => "SLICE_X17Y19",
PATHPULSE => 638 ps
)
port map (
I => Sh71,
O => a_6_CY0G_5028
);
a_6_CYSELG : X_BUF
generic map(
LOC => "SLICE_X17Y19",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_lut(7),
O => a_6_CYSELG_5022
);
a_8_XUSED : X_BUF
generic map(
LOC => "SLICE_X17Y20",
PATHPULSE => 638 ps
)
port map (
I => a_8_XORF_5090,
O => a(8)
);
a_8_XORF : X_XOR2
generic map(
LOC => "SLICE_X17Y20"
)
port map (
I0 => a_8_CYINIT_5089,
I1 => Madd_a_lut(8),
O => a_8_XORF_5090
);
a_8_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X17Y20"
)
port map (
IA => a_8_CY0F_5088,
IB => a_8_CYINIT_5089,
SEL => a_8_CYSELF_5077,
O => Madd_a_cy_8_Q
);
a_8_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X17Y20"
)
port map (
IA => a_8_CY0F_5088,
IB => a_8_CY0F_5088,
SEL => a_8_CYSELF_5077,
O => a_8_CYMUXF2_5072
);
a_8_CYINIT : X_BUF
generic map(
LOC => "SLICE_X17Y20",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_cy_7_Q,
O => a_8_CYINIT_5089
);
a_8_CY0F : X_BUF
generic map(
LOC => "SLICE_X17Y20",
PATHPULSE => 638 ps
)
port map (
I => Sh72,
O => a_8_CY0F_5088
);
a_8_CYSELF : X_BUF
generic map(
LOC => "SLICE_X17Y20",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_lut(8),
O => a_8_CYSELF_5077
);
a_8_YUSED : X_BUF
generic map(
LOC => "SLICE_X17Y20",
PATHPULSE => 638 ps
)
port map (
I => a_8_XORG_5079,
O => a(9)
);
a_8_XORG : X_XOR2
generic map(
LOC => "SLICE_X17Y20"
)
port map (
I0 => Madd_a_cy_8_Q,
I1 => Madd_a_lut(9),
O => a_8_XORG_5079
);
a_8_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X17Y20",
PATHPULSE => 638 ps
)
port map (
I => a_8_CYMUXFAST_5076,
O => Madd_a_cy_9_Q
);
a_8_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X17Y20",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_cy_7_Q,
O => a_8_FASTCARRY_5074
);
a_8_CYAND : X_AND2
generic map(
LOC => "SLICE_X17Y20"
)
port map (
I0 => a_8_CYSELG_5065,
I1 => a_8_CYSELF_5077,
O => a_8_CYAND_5075
);
a_8_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X17Y20"
)
port map (
IA => a_8_CYMUXG2_5073,
IB => a_8_FASTCARRY_5074,
SEL => a_8_CYAND_5075,
O => a_8_CYMUXFAST_5076
);
a_8_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X17Y20"
)
port map (
IA => a_8_CY0G_5071,
IB => a_8_CYMUXF2_5072,
SEL => a_8_CYSELG_5065,
O => a_8_CYMUXG2_5073
);
a_8_CY0G : X_BUF
generic map(
LOC => "SLICE_X17Y20",
PATHPULSE => 638 ps
)
port map (
I => Sh73,
O => a_8_CY0G_5071
);
a_8_CYSELG : X_BUF
generic map(
LOC => "SLICE_X17Y20",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_lut(9),
O => a_8_CYSELG_5065
);
a_10_XUSED : X_BUF
generic map(
LOC => "SLICE_X17Y21",
PATHPULSE => 638 ps
)
port map (
I => a_10_XORF_5133,
O => a(10)
);
a_10_XORF : X_XOR2
generic map(
LOC => "SLICE_X17Y21"
)
port map (
I0 => a_10_CYINIT_5132,
I1 => Madd_a_lut(10),
O => a_10_XORF_5133
);
a_10_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X17Y21"
)
port map (
IA => a_10_CY0F_5131,
IB => a_10_CYINIT_5132,
SEL => a_10_CYSELF_5120,
O => Madd_a_cy_10_Q
);
a_10_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X17Y21"
)
port map (
IA => a_10_CY0F_5131,
IB => a_10_CY0F_5131,
SEL => a_10_CYSELF_5120,
O => a_10_CYMUXF2_5115
);
a_10_CYINIT : X_BUF
generic map(
LOC => "SLICE_X17Y21",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_cy_9_Q,
O => a_10_CYINIT_5132
);
a_10_CY0F : X_BUF
generic map(
LOC => "SLICE_X17Y21",
PATHPULSE => 638 ps
)
port map (
I => Sh74,
O => a_10_CY0F_5131
);
a_10_CYSELF : X_BUF
generic map(
LOC => "SLICE_X17Y21",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_lut(10),
O => a_10_CYSELF_5120
);
a_10_YUSED : X_BUF
generic map(
LOC => "SLICE_X17Y21",
PATHPULSE => 638 ps
)
port map (
I => a_10_XORG_5122,
O => a(11)
);
a_10_XORG : X_XOR2
generic map(
LOC => "SLICE_X17Y21"
)
port map (
I0 => Madd_a_cy_10_Q,
I1 => Madd_a_lut(11),
O => a_10_XORG_5122
);
a_10_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X17Y21",
PATHPULSE => 638 ps
)
port map (
I => a_10_CYMUXFAST_5119,
O => Madd_a_cy_11_Q
);
a_10_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X17Y21",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_cy_9_Q,
O => a_10_FASTCARRY_5117
);
a_10_CYAND : X_AND2
generic map(
LOC => "SLICE_X17Y21"
)
port map (
I0 => a_10_CYSELG_5108,
I1 => a_10_CYSELF_5120,
O => a_10_CYAND_5118
);
a_10_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X17Y21"
)
port map (
IA => a_10_CYMUXG2_5116,
IB => a_10_FASTCARRY_5117,
SEL => a_10_CYAND_5118,
O => a_10_CYMUXFAST_5119
);
a_10_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X17Y21"
)
port map (
IA => a_10_CY0G_5114,
IB => a_10_CYMUXF2_5115,
SEL => a_10_CYSELG_5108,
O => a_10_CYMUXG2_5116
);
a_10_CY0G : X_BUF
generic map(
LOC => "SLICE_X17Y21",
PATHPULSE => 638 ps
)
port map (
I => Sh75,
O => a_10_CY0G_5114
);
a_10_CYSELG : X_BUF
generic map(
LOC => "SLICE_X17Y21",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_lut(11),
O => a_10_CYSELG_5108
);
Madd_a_lut_13_Q : X_LUT4
generic map(
INIT => X"4B78",
LOC => "SLICE_X17Y22"
)
port map (
ADR0 => Sh61,
ADR1 => b_reg(4),
ADR2 => Mrom_a_rom000013_0,
ADR3 => Sh45,
O => Madd_a_lut(13)
);
a_12_XUSED : X_BUF
generic map(
LOC => "SLICE_X17Y22",
PATHPULSE => 638 ps
)
port map (
I => a_12_XORF_5176,
O => a(12)
);
a_12_XORF : X_XOR2
generic map(
LOC => "SLICE_X17Y22"
)
port map (
I0 => a_12_CYINIT_5175,
I1 => Madd_a_lut(12),
O => a_12_XORF_5176
);
a_12_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X17Y22"
)
port map (
IA => a_12_CY0F_5174,
IB => a_12_CYINIT_5175,
SEL => a_12_CYSELF_5163,
O => Madd_a_cy_12_Q
);
a_12_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X17Y22"
)
port map (
IA => a_12_CY0F_5174,
IB => a_12_CY0F_5174,
SEL => a_12_CYSELF_5163,
O => a_12_CYMUXF2_5158
);
a_12_CYINIT : X_BUF
generic map(
LOC => "SLICE_X17Y22",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_cy_11_Q,
O => a_12_CYINIT_5175
);
a_12_CY0F : X_BUF
generic map(
LOC => "SLICE_X17Y22",
PATHPULSE => 638 ps
)
port map (
I => Sh76,
O => a_12_CY0F_5174
);
a_12_CYSELF : X_BUF
generic map(
LOC => "SLICE_X17Y22",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_lut(12),
O => a_12_CYSELF_5163
);
a_12_YUSED : X_BUF
generic map(
LOC => "SLICE_X17Y22",
PATHPULSE => 638 ps
)
port map (
I => a_12_XORG_5165,
O => a(13)
);
a_12_XORG : X_XOR2
generic map(
LOC => "SLICE_X17Y22"
)
port map (
I0 => Madd_a_cy_12_Q,
I1 => Madd_a_lut(13),
O => a_12_XORG_5165
);
a_12_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X17Y22",
PATHPULSE => 638 ps
)
port map (
I => a_12_CYMUXFAST_5162,
O => Madd_a_cy_13_Q
);
a_12_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X17Y22",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_cy_11_Q,
O => a_12_FASTCARRY_5160
);
a_12_CYAND : X_AND2
generic map(
LOC => "SLICE_X17Y22"
)
port map (
I0 => a_12_CYSELG_5151,
I1 => a_12_CYSELF_5163,
O => a_12_CYAND_5161
);
a_12_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X17Y22"
)
port map (
IA => a_12_CYMUXG2_5159,
IB => a_12_FASTCARRY_5160,
SEL => a_12_CYAND_5161,
O => a_12_CYMUXFAST_5162
);
a_12_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X17Y22"
)
port map (
IA => a_12_CY0G_5157,
IB => a_12_CYMUXF2_5158,
SEL => a_12_CYSELG_5151,
O => a_12_CYMUXG2_5159
);
a_12_CY0G : X_BUF
generic map(
LOC => "SLICE_X17Y22",
PATHPULSE => 638 ps
)
port map (
I => Sh77,
O => a_12_CY0G_5157
);
a_12_CYSELG : X_BUF
generic map(
LOC => "SLICE_X17Y22",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_lut(13),
O => a_12_CYSELG_5151
);
a_14_XUSED : X_BUF
generic map(
LOC => "SLICE_X17Y23",
PATHPULSE => 638 ps
)
port map (
I => a_14_XORF_5219,
O => a(14)
);
a_14_XORF : X_XOR2
generic map(
LOC => "SLICE_X17Y23"
)
port map (
I0 => a_14_CYINIT_5218,
I1 => Madd_a_lut(14),
O => a_14_XORF_5219
);
a_14_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X17Y23"
)
port map (
IA => a_14_CY0F_5217,
IB => a_14_CYINIT_5218,
SEL => a_14_CYSELF_5206,
O => Madd_a_cy_14_Q
);
a_14_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X17Y23"
)
port map (
IA => a_14_CY0F_5217,
IB => a_14_CY0F_5217,
SEL => a_14_CYSELF_5206,
O => a_14_CYMUXF2_5201
);
a_14_CYINIT : X_BUF
generic map(
LOC => "SLICE_X17Y23",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_cy_13_Q,
O => a_14_CYINIT_5218
);
a_14_CY0F : X_BUF
generic map(
LOC => "SLICE_X17Y23",
PATHPULSE => 638 ps
)
port map (
I => Sh78,
O => a_14_CY0F_5217
);
a_14_CYSELF : X_BUF
generic map(
LOC => "SLICE_X17Y23",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_lut(14),
O => a_14_CYSELF_5206
);
a_14_YUSED : X_BUF
generic map(
LOC => "SLICE_X17Y23",
PATHPULSE => 638 ps
)
port map (
I => a_14_XORG_5208,
O => a(15)
);
a_14_XORG : X_XOR2
generic map(
LOC => "SLICE_X17Y23"
)
port map (
I0 => Madd_a_cy_14_Q,
I1 => Madd_a_lut(15),
O => a_14_XORG_5208
);
a_14_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X17Y23",
PATHPULSE => 638 ps
)
port map (
I => a_14_CYMUXFAST_5205,
O => Madd_a_cy_15_Q
);
a_14_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X17Y23",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_cy_13_Q,
O => a_14_FASTCARRY_5203
);
a_14_CYAND : X_AND2
generic map(
LOC => "SLICE_X17Y23"
)
port map (
I0 => a_14_CYSELG_5194,
I1 => a_14_CYSELF_5206,
O => a_14_CYAND_5204
);
a_14_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X17Y23"
)
port map (
IA => a_14_CYMUXG2_5202,
IB => a_14_FASTCARRY_5203,
SEL => a_14_CYAND_5204,
O => a_14_CYMUXFAST_5205
);
a_14_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X17Y23"
)
port map (
IA => a_14_CY0G_5200,
IB => a_14_CYMUXF2_5201,
SEL => a_14_CYSELG_5194,
O => a_14_CYMUXG2_5202
);
a_14_CY0G : X_BUF
generic map(
LOC => "SLICE_X17Y23",
PATHPULSE => 638 ps
)
port map (
I => Sh79,
O => a_14_CY0G_5200
);
a_14_CYSELG : X_BUF
generic map(
LOC => "SLICE_X17Y23",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_lut(15),
O => a_14_CYSELG_5194
);
a_16_XUSED : X_BUF
generic map(
LOC => "SLICE_X17Y24",
PATHPULSE => 638 ps
)
port map (
I => a_16_XORF_5262,
O => a(16)
);
a_16_XORF : X_XOR2
generic map(
LOC => "SLICE_X17Y24"
)
port map (
I0 => a_16_CYINIT_5261,
I1 => Madd_a_lut(16),
O => a_16_XORF_5262
);
a_16_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X17Y24"
)
port map (
IA => a_16_CY0F_5260,
IB => a_16_CYINIT_5261,
SEL => a_16_CYSELF_5249,
O => Madd_a_cy_16_Q
);
a_16_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X17Y24"
)
port map (
IA => a_16_CY0F_5260,
IB => a_16_CY0F_5260,
SEL => a_16_CYSELF_5249,
O => a_16_CYMUXF2_5244
);
a_16_CYINIT : X_BUF
generic map(
LOC => "SLICE_X17Y24",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_cy_15_Q,
O => a_16_CYINIT_5261
);
a_16_CY0F : X_BUF
generic map(
LOC => "SLICE_X17Y24",
PATHPULSE => 638 ps
)
port map (
I => Sh80,
O => a_16_CY0F_5260
);
a_16_CYSELF : X_BUF
generic map(
LOC => "SLICE_X17Y24",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_lut(16),
O => a_16_CYSELF_5249
);
a_16_YUSED : X_BUF
generic map(
LOC => "SLICE_X17Y24",
PATHPULSE => 638 ps
)
port map (
I => a_16_XORG_5251,
O => a(17)
);
a_16_XORG : X_XOR2
generic map(
LOC => "SLICE_X17Y24"
)
port map (
I0 => Madd_a_cy_16_Q,
I1 => Madd_a_lut(17),
O => a_16_XORG_5251
);
a_16_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X17Y24",
PATHPULSE => 638 ps
)
port map (
I => a_16_CYMUXFAST_5248,
O => Madd_a_cy_17_Q
);
a_16_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X17Y24",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_cy_15_Q,
O => a_16_FASTCARRY_5246
);
a_16_CYAND : X_AND2
generic map(
LOC => "SLICE_X17Y24"
)
port map (
I0 => a_16_CYSELG_5237,
I1 => a_16_CYSELF_5249,
O => a_16_CYAND_5247
);
a_16_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X17Y24"
)
port map (
IA => a_16_CYMUXG2_5245,
IB => a_16_FASTCARRY_5246,
SEL => a_16_CYAND_5247,
O => a_16_CYMUXFAST_5248
);
a_16_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X17Y24"
)
port map (
IA => a_16_CY0G_5243,
IB => a_16_CYMUXF2_5244,
SEL => a_16_CYSELG_5237,
O => a_16_CYMUXG2_5245
);
a_16_CY0G : X_BUF
generic map(
LOC => "SLICE_X17Y24",
PATHPULSE => 638 ps
)
port map (
I => Sh81,
O => a_16_CY0G_5243
);
a_16_CYSELG : X_BUF
generic map(
LOC => "SLICE_X17Y24",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_lut(17),
O => a_16_CYSELG_5237
);
Madd_a_lut_16_Q : X_LUT4
generic map(
INIT => X"569A",
LOC => "SLICE_X17Y24"
)
port map (
ADR0 => Mrom_a_rom000016_0,
ADR1 => b_reg(4),
ADR2 => Sh48,
ADR3 => Sh32,
O => Madd_a_lut(16)
);
a_18_XUSED : X_BUF
generic map(
LOC => "SLICE_X17Y25",
PATHPULSE => 638 ps
)
port map (
I => a_18_XORF_5305,
O => a(18)
);
a_18_XORF : X_XOR2
generic map(
LOC => "SLICE_X17Y25"
)
port map (
I0 => a_18_CYINIT_5304,
I1 => Madd_a_lut(18),
O => a_18_XORF_5305
);
a_18_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X17Y25"
)
port map (
IA => a_18_CY0F_5303,
IB => a_18_CYINIT_5304,
SEL => a_18_CYSELF_5292,
O => Madd_a_cy_18_Q
);
a_18_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X17Y25"
)
port map (
IA => a_18_CY0F_5303,
IB => a_18_CY0F_5303,
SEL => a_18_CYSELF_5292,
O => a_18_CYMUXF2_5287
);
a_18_CYINIT : X_BUF
generic map(
LOC => "SLICE_X17Y25",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_cy_17_Q,
O => a_18_CYINIT_5304
);
a_18_CY0F : X_BUF
generic map(
LOC => "SLICE_X17Y25",
PATHPULSE => 638 ps
)
port map (
I => Sh82,
O => a_18_CY0F_5303
);
a_18_CYSELF : X_BUF
generic map(
LOC => "SLICE_X17Y25",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_lut(18),
O => a_18_CYSELF_5292
);
a_18_YUSED : X_BUF
generic map(
LOC => "SLICE_X17Y25",
PATHPULSE => 638 ps
)
port map (
I => a_18_XORG_5294,
O => a(19)
);
a_18_XORG : X_XOR2
generic map(
LOC => "SLICE_X17Y25"
)
port map (
I0 => Madd_a_cy_18_Q,
I1 => Madd_a_lut(19),
O => a_18_XORG_5294
);
a_18_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X17Y25",
PATHPULSE => 638 ps
)
port map (
I => a_18_CYMUXFAST_5291,
O => Madd_a_cy_19_Q
);
a_18_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X17Y25",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_cy_17_Q,
O => a_18_FASTCARRY_5289
);
a_18_CYAND : X_AND2
generic map(
LOC => "SLICE_X17Y25"
)
port map (
I0 => a_18_CYSELG_5280,
I1 => a_18_CYSELF_5292,
O => a_18_CYAND_5290
);
a_18_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X17Y25"
)
port map (
IA => a_18_CYMUXG2_5288,
IB => a_18_FASTCARRY_5289,
SEL => a_18_CYAND_5290,
O => a_18_CYMUXFAST_5291
);
a_18_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X17Y25"
)
port map (
IA => a_18_CY0G_5286,
IB => a_18_CYMUXF2_5287,
SEL => a_18_CYSELG_5280,
O => a_18_CYMUXG2_5288
);
a_18_CY0G : X_BUF
generic map(
LOC => "SLICE_X17Y25",
PATHPULSE => 638 ps
)
port map (
I => Sh83,
O => a_18_CY0G_5286
);
a_18_CYSELG : X_BUF
generic map(
LOC => "SLICE_X17Y25",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_lut(19),
O => a_18_CYSELG_5280
);
a_20_XUSED : X_BUF
generic map(
LOC => "SLICE_X17Y26",
PATHPULSE => 638 ps
)
port map (
I => a_20_XORF_5346,
O => a(20)
);
a_20_XORF : X_XOR2
generic map(
LOC => "SLICE_X17Y26"
)
port map (
I0 => a_20_CYINIT_5345,
I1 => Madd_a_lut(20),
O => a_20_XORF_5346
);
a_20_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X17Y26"
)
port map (
IA => a_20_CY0F_5344,
IB => a_20_CYINIT_5345,
SEL => a_20_CYSELF_5334,
O => Madd_a_cy_20_Q
);
a_20_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X17Y26"
)
port map (
IA => a_20_CY0F_5344,
IB => a_20_CY0F_5344,
SEL => a_20_CYSELF_5334,
O => a_20_CYMUXF2_5329
);
a_20_CYINIT : X_BUF
generic map(
LOC => "SLICE_X17Y26",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_cy_19_Q,
O => a_20_CYINIT_5345
);
a_20_CY0F : X_BUF
generic map(
LOC => "SLICE_X17Y26",
PATHPULSE => 638 ps
)
port map (
I => Sh84_0,
O => a_20_CY0F_5344
);
a_20_CYSELF : X_BUF
generic map(
LOC => "SLICE_X17Y26",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_lut(20),
O => a_20_CYSELF_5334
);
a_20_YUSED : X_BUF
generic map(
LOC => "SLICE_X17Y26",
PATHPULSE => 638 ps
)
port map (
I => a_20_XORG_5336,
O => a(21)
);
a_20_XORG : X_XOR2
generic map(
LOC => "SLICE_X17Y26"
)
port map (
I0 => Madd_a_cy_20_Q,
I1 => Madd_a_lut(21),
O => a_20_XORG_5336
);
a_20_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X17Y26",
PATHPULSE => 638 ps
)
port map (
I => a_20_CYMUXFAST_5333,
O => Madd_a_cy_21_Q
);
a_20_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X17Y26",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_cy_19_Q,
O => a_20_FASTCARRY_5331
);
a_20_CYAND : X_AND2
generic map(
LOC => "SLICE_X17Y26"
)
port map (
I0 => a_20_CYSELG_5322,
I1 => a_20_CYSELF_5334,
O => a_20_CYAND_5332
);
a_20_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X17Y26"
)
port map (
IA => a_20_CYMUXG2_5330,
IB => a_20_FASTCARRY_5331,
SEL => a_20_CYAND_5332,
O => a_20_CYMUXFAST_5333
);
a_20_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X17Y26"
)
port map (
IA => a_20_CY0G_5328,
IB => a_20_CYMUXF2_5329,
SEL => a_20_CYSELG_5322,
O => a_20_CYMUXG2_5330
);
a_20_CY0G : X_BUF
generic map(
LOC => "SLICE_X17Y26",
PATHPULSE => 638 ps
)
port map (
I => Sh85,
O => a_20_CY0G_5328
);
a_20_CYSELG : X_BUF
generic map(
LOC => "SLICE_X17Y26",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_lut(21),
O => a_20_CYSELG_5322
);
Madd_a_lut_23_Q : X_LUT4
generic map(
INIT => X"1ED2",
LOC => "SLICE_X17Y27"
)
port map (
ADR0 => Sh55,
ADR1 => b_reg(4),
ADR2 => Mrom_a_rom000023_0,
ADR3 => Sh39,
O => Madd_a_lut(23)
);
a_22_XUSED : X_BUF
generic map(
LOC => "SLICE_X17Y27",
PATHPULSE => 638 ps
)
port map (
I => a_22_XORF_5389,
O => a(22)
);
a_22_XORF : X_XOR2
generic map(
LOC => "SLICE_X17Y27"
)
port map (
I0 => a_22_CYINIT_5388,
I1 => Madd_a_lut(22),
O => a_22_XORF_5389
);
a_22_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X17Y27"
)
port map (
IA => a_22_CY0F_5387,
IB => a_22_CYINIT_5388,
SEL => a_22_CYSELF_5376,
O => Madd_a_cy_22_Q
);
a_22_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X17Y27"
)
port map (
IA => a_22_CY0F_5387,
IB => a_22_CY0F_5387,
SEL => a_22_CYSELF_5376,
O => a_22_CYMUXF2_5371
);
a_22_CYINIT : X_BUF
generic map(
LOC => "SLICE_X17Y27",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_cy_21_Q,
O => a_22_CYINIT_5388
);
a_22_CY0F : X_BUF
generic map(
LOC => "SLICE_X17Y27",
PATHPULSE => 638 ps
)
port map (
I => Sh86,
O => a_22_CY0F_5387
);
a_22_CYSELF : X_BUF
generic map(
LOC => "SLICE_X17Y27",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_lut(22),
O => a_22_CYSELF_5376
);
a_22_YUSED : X_BUF
generic map(
LOC => "SLICE_X17Y27",
PATHPULSE => 638 ps
)
port map (
I => a_22_XORG_5378,
O => a(23)
);
a_22_XORG : X_XOR2
generic map(
LOC => "SLICE_X17Y27"
)
port map (
I0 => Madd_a_cy_22_Q,
I1 => Madd_a_lut(23),
O => a_22_XORG_5378
);
a_22_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X17Y27",
PATHPULSE => 638 ps
)
port map (
I => a_22_CYMUXFAST_5375,
O => Madd_a_cy_23_Q
);
a_22_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X17Y27",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_cy_21_Q,
O => a_22_FASTCARRY_5373
);
a_22_CYAND : X_AND2
generic map(
LOC => "SLICE_X17Y27"
)
port map (
I0 => a_22_CYSELG_5364,
I1 => a_22_CYSELF_5376,
O => a_22_CYAND_5374
);
a_22_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X17Y27"
)
port map (
IA => a_22_CYMUXG2_5372,
IB => a_22_FASTCARRY_5373,
SEL => a_22_CYAND_5374,
O => a_22_CYMUXFAST_5375
);
a_22_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X17Y27"
)
port map (
IA => a_22_CY0G_5370,
IB => a_22_CYMUXF2_5371,
SEL => a_22_CYSELG_5364,
O => a_22_CYMUXG2_5372
);
a_22_CY0G : X_BUF
generic map(
LOC => "SLICE_X17Y27",
PATHPULSE => 638 ps
)
port map (
I => Sh87,
O => a_22_CY0G_5370
);
a_22_CYSELG : X_BUF
generic map(
LOC => "SLICE_X17Y27",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_lut(23),
O => a_22_CYSELG_5364
);
a_24_XUSED : X_BUF
generic map(
LOC => "SLICE_X17Y28",
PATHPULSE => 638 ps
)
port map (
I => a_24_XORF_5432,
O => a(24)
);
a_24_XORF : X_XOR2
generic map(
LOC => "SLICE_X17Y28"
)
port map (
I0 => a_24_CYINIT_5431,
I1 => Madd_a_lut(24),
O => a_24_XORF_5432
);
a_24_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X17Y28"
)
port map (
IA => a_24_CY0F_5430,
IB => a_24_CYINIT_5431,
SEL => a_24_CYSELF_5419,
O => Madd_a_cy_24_Q
);
a_24_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X17Y28"
)
port map (
IA => a_24_CY0F_5430,
IB => a_24_CY0F_5430,
SEL => a_24_CYSELF_5419,
O => a_24_CYMUXF2_5414
);
a_24_CYINIT : X_BUF
generic map(
LOC => "SLICE_X17Y28",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_cy_23_Q,
O => a_24_CYINIT_5431
);
a_24_CY0F : X_BUF
generic map(
LOC => "SLICE_X17Y28",
PATHPULSE => 638 ps
)
port map (
I => Sh88,
O => a_24_CY0F_5430
);
a_24_CYSELF : X_BUF
generic map(
LOC => "SLICE_X17Y28",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_lut(24),
O => a_24_CYSELF_5419
);
a_24_YUSED : X_BUF
generic map(
LOC => "SLICE_X17Y28",
PATHPULSE => 638 ps
)
port map (
I => a_24_XORG_5421,
O => a(25)
);
a_24_XORG : X_XOR2
generic map(
LOC => "SLICE_X17Y28"
)
port map (
I0 => Madd_a_cy_24_Q,
I1 => Madd_a_lut(25),
O => a_24_XORG_5421
);
a_24_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X17Y28",
PATHPULSE => 638 ps
)
port map (
I => a_24_CYMUXFAST_5418,
O => Madd_a_cy_25_Q
);
a_24_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X17Y28",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_cy_23_Q,
O => a_24_FASTCARRY_5416
);
a_24_CYAND : X_AND2
generic map(
LOC => "SLICE_X17Y28"
)
port map (
I0 => a_24_CYSELG_5407,
I1 => a_24_CYSELF_5419,
O => a_24_CYAND_5417
);
a_24_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X17Y28"
)
port map (
IA => a_24_CYMUXG2_5415,
IB => a_24_FASTCARRY_5416,
SEL => a_24_CYAND_5417,
O => a_24_CYMUXFAST_5418
);
a_24_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X17Y28"
)
port map (
IA => a_24_CY0G_5413,
IB => a_24_CYMUXF2_5414,
SEL => a_24_CYSELG_5407,
O => a_24_CYMUXG2_5415
);
a_24_CY0G : X_BUF
generic map(
LOC => "SLICE_X17Y28",
PATHPULSE => 638 ps
)
port map (
I => Sh89,
O => a_24_CY0G_5413
);
a_24_CYSELG : X_BUF
generic map(
LOC => "SLICE_X17Y28",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_lut(25),
O => a_24_CYSELG_5407
);
a_26_XUSED : X_BUF
generic map(
LOC => "SLICE_X17Y29",
PATHPULSE => 638 ps
)
port map (
I => a_26_XORF_5475,
O => a(26)
);
a_26_XORF : X_XOR2
generic map(
LOC => "SLICE_X17Y29"
)
port map (
I0 => a_26_CYINIT_5474,
I1 => Madd_a_lut(26),
O => a_26_XORF_5475
);
a_26_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X17Y29"
)
port map (
IA => a_26_CY0F_5473,
IB => a_26_CYINIT_5474,
SEL => a_26_CYSELF_5462,
O => Madd_a_cy_26_Q
);
a_26_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X17Y29"
)
port map (
IA => a_26_CY0F_5473,
IB => a_26_CY0F_5473,
SEL => a_26_CYSELF_5462,
O => a_26_CYMUXF2_5457
);
a_26_CYINIT : X_BUF
generic map(
LOC => "SLICE_X17Y29",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_cy_25_Q,
O => a_26_CYINIT_5474
);
a_26_CY0F : X_BUF
generic map(
LOC => "SLICE_X17Y29",
PATHPULSE => 638 ps
)
port map (
I => Sh90,
O => a_26_CY0F_5473
);
a_26_CYSELF : X_BUF
generic map(
LOC => "SLICE_X17Y29",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_lut(26),
O => a_26_CYSELF_5462
);
a_26_YUSED : X_BUF
generic map(
LOC => "SLICE_X17Y29",
PATHPULSE => 638 ps
)
port map (
I => a_26_XORG_5464,
O => a(27)
);
a_26_XORG : X_XOR2
generic map(
LOC => "SLICE_X17Y29"
)
port map (
I0 => Madd_a_cy_26_Q,
I1 => Madd_a_lut(27),
O => a_26_XORG_5464
);
a_26_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X17Y29",
PATHPULSE => 638 ps
)
port map (
I => a_26_CYMUXFAST_5461,
O => Madd_a_cy_27_Q
);
a_26_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X17Y29",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_cy_25_Q,
O => a_26_FASTCARRY_5459
);
a_26_CYAND : X_AND2
generic map(
LOC => "SLICE_X17Y29"
)
port map (
I0 => a_26_CYSELG_5450,
I1 => a_26_CYSELF_5462,
O => a_26_CYAND_5460
);
a_26_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X17Y29"
)
port map (
IA => a_26_CYMUXG2_5458,
IB => a_26_FASTCARRY_5459,
SEL => a_26_CYAND_5460,
O => a_26_CYMUXFAST_5461
);
a_26_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X17Y29"
)
port map (
IA => a_26_CY0G_5456,
IB => a_26_CYMUXF2_5457,
SEL => a_26_CYSELG_5450,
O => a_26_CYMUXG2_5458
);
a_26_CY0G : X_BUF
generic map(
LOC => "SLICE_X17Y29",
PATHPULSE => 638 ps
)
port map (
I => Sh91,
O => a_26_CY0G_5456
);
a_26_CYSELG : X_BUF
generic map(
LOC => "SLICE_X17Y29",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_lut(27),
O => a_26_CYSELG_5450
);
Madd_a_lut_26_Q : X_LUT4
generic map(
INIT => X"5A66",
LOC => "SLICE_X17Y29"
)
port map (
ADR0 => Mrom_a_rom000026_0,
ADR1 => Sh58,
ADR2 => Sh42,
ADR3 => b_reg(4),
O => Madd_a_lut(26)
);
a_28_XUSED : X_BUF
generic map(
LOC => "SLICE_X17Y30",
PATHPULSE => 638 ps
)
port map (
I => a_28_XORF_5518,
O => a(28)
);
a_28_XORF : X_XOR2
generic map(
LOC => "SLICE_X17Y30"
)
port map (
I0 => a_28_CYINIT_5517,
I1 => Madd_a_lut(28),
O => a_28_XORF_5518
);
a_28_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X17Y30"
)
port map (
IA => a_28_CY0F_5516,
IB => a_28_CYINIT_5517,
SEL => a_28_CYSELF_5505,
O => Madd_a_cy_28_Q
);
a_28_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X17Y30"
)
port map (
IA => a_28_CY0F_5516,
IB => a_28_CY0F_5516,
SEL => a_28_CYSELF_5505,
O => a_28_CYMUXF2_5500
);
a_28_CYINIT : X_BUF
generic map(
LOC => "SLICE_X17Y30",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_cy_27_Q,
O => a_28_CYINIT_5517
);
a_28_CY0F : X_BUF
generic map(
LOC => "SLICE_X17Y30",
PATHPULSE => 638 ps
)
port map (
I => Sh92,
O => a_28_CY0F_5516
);
a_28_CYSELF : X_BUF
generic map(
LOC => "SLICE_X17Y30",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_lut(28),
O => a_28_CYSELF_5505
);
a_28_YUSED : X_BUF
generic map(
LOC => "SLICE_X17Y30",
PATHPULSE => 638 ps
)
port map (
I => a_28_XORG_5507,
O => a(29)
);
a_28_XORG : X_XOR2
generic map(
LOC => "SLICE_X17Y30"
)
port map (
I0 => Madd_a_cy_28_Q,
I1 => Madd_a_lut(29),
O => a_28_XORG_5507
);
a_28_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X17Y30",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_cy_27_Q,
O => a_28_FASTCARRY_5502
);
a_28_CYAND : X_AND2
generic map(
LOC => "SLICE_X17Y30"
)
port map (
I0 => a_28_CYSELG_5493,
I1 => a_28_CYSELF_5505,
O => a_28_CYAND_5503
);
a_28_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X17Y30"
)
port map (
IA => a_28_CYMUXG2_5501,
IB => a_28_FASTCARRY_5502,
SEL => a_28_CYAND_5503,
O => a_28_CYMUXFAST_5504
);
a_28_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X17Y30"
)
port map (
IA => a_28_CY0G_5499,
IB => a_28_CYMUXF2_5500,
SEL => a_28_CYSELG_5493,
O => a_28_CYMUXG2_5501
);
a_28_CY0G : X_BUF
generic map(
LOC => "SLICE_X17Y30",
PATHPULSE => 638 ps
)
port map (
I => Sh93,
O => a_28_CY0G_5499
);
a_28_CYSELG : X_BUF
generic map(
LOC => "SLICE_X17Y30",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_lut(29),
O => a_28_CYSELG_5493
);
a_30_XUSED : X_BUF
generic map(
LOC => "SLICE_X17Y31",
PATHPULSE => 638 ps
)
port map (
I => a_30_XORF_5551,
O => a(30)
);
a_30_XORF : X_XOR2
generic map(
LOC => "SLICE_X17Y31"
)
port map (
I0 => a_30_CYINIT_5550,
I1 => Madd_a_lut(30),
O => a_30_XORF_5551
);
a_30_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X17Y31"
)
port map (
IA => a_30_CY0F_5549,
IB => a_30_CYINIT_5550,
SEL => a_30_CYSELF_5543,
O => Madd_a_cy_30_Q
);
a_30_CYINIT : X_BUF
generic map(
LOC => "SLICE_X17Y31",
PATHPULSE => 638 ps
)
port map (
I => a_28_CYMUXFAST_5504,
O => a_30_CYINIT_5550
);
a_30_CY0F : X_BUF
generic map(
LOC => "SLICE_X17Y31",
PATHPULSE => 638 ps
)
port map (
I => Sh94,
O => a_30_CY0F_5549
);
a_30_CYSELF : X_BUF
generic map(
LOC => "SLICE_X17Y31",
PATHPULSE => 638 ps
)
port map (
I => Madd_a_lut(30),
O => a_30_CYSELF_5543
);
a_30_YUSED : X_BUF
generic map(
LOC => "SLICE_X17Y31",
PATHPULSE => 638 ps
)
port map (
I => a_30_XORG_5539,
O => a(31)
);
a_30_XORG : X_XOR2
generic map(
LOC => "SLICE_X17Y31"
)
port map (
I0 => Madd_a_cy_30_Q,
I1 => Madd_a_lut(31),
O => a_30_XORG_5539
);
Madd_b_lut_0_Q : X_LUT4
generic map(
INIT => X"6666",
LOC => "SLICE_X21Y12"
)
port map (
ADR0 => Mrom_b_rom0000_0,
ADR1 => Sh160,
ADR2 => VCC,
ADR3 => VCC,
O => Madd_b_lut(0)
);
b_0_XORF : X_XOR2
generic map(
LOC => "SLICE_X21Y12"
)
port map (
I0 => b_0_CYINIT_5588,
I1 => Madd_b_lut(0),
O => b_0_XORF_5589
);
b_0_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X21Y12"
)
port map (
IA => b_0_CY0F_5587,
IB => b_0_CYINIT_5588,
SEL => b_0_CYSELF_5579,
O => Madd_b_cy_0_Q
);
b_0_CYINIT : X_BUF
generic map(
LOC => "SLICE_X21Y12",
PATHPULSE => 638 ps
)
port map (
I => b_0_BXINV_5577,
O => b_0_CYINIT_5588
);
b_0_CY0F : X_BUF
generic map(
LOC => "SLICE_X21Y12",
PATHPULSE => 638 ps
)
port map (
I => Sh160,
O => b_0_CY0F_5587
);
b_0_CYSELF : X_BUF
generic map(
LOC => "SLICE_X21Y12",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_lut(0),
O => b_0_CYSELF_5579
);
b_0_BXINV : X_BUF
generic map(
LOC => "SLICE_X21Y12",
PATHPULSE => 638 ps
)
port map (
I => '0',
O => b_0_BXINV_5577
);
b_0_YUSED : X_BUF
generic map(
LOC => "SLICE_X21Y12",
PATHPULSE => 638 ps
)
port map (
I => b_0_XORG_5575,
O => b_1_Q
);
b_0_XORG : X_XOR2
generic map(
LOC => "SLICE_X21Y12"
)
port map (
I0 => Madd_b_cy_0_Q,
I1 => Madd_b_lut(1),
O => b_0_XORG_5575
);
b_0_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X21Y12",
PATHPULSE => 638 ps
)
port map (
I => b_0_CYMUXG_5574,
O => Madd_b_cy_1_Q
);
b_0_CYMUXG : X_MUX2
generic map(
LOC => "SLICE_X21Y12"
)
port map (
IA => b_0_CY0G_5572,
IB => Madd_b_cy_0_Q,
SEL => b_0_CYSELG_5566,
O => b_0_CYMUXG_5574
);
b_0_CY0G : X_BUF
generic map(
LOC => "SLICE_X21Y12",
PATHPULSE => 638 ps
)
port map (
I => Sh161,
O => b_0_CY0G_5572
);
b_0_CYSELG : X_BUF
generic map(
LOC => "SLICE_X21Y12",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_lut(1),
O => b_0_CYSELG_5566
);
b_2_XUSED : X_BUF
generic map(
LOC => "SLICE_X21Y13",
PATHPULSE => 638 ps
)
port map (
I => b_2_XORF_5628,
O => b_2_Q
);
b_2_XORF : X_XOR2
generic map(
LOC => "SLICE_X21Y13"
)
port map (
I0 => b_2_CYINIT_5627,
I1 => Madd_b_lut(2),
O => b_2_XORF_5628
);
b_2_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X21Y13"
)
port map (
IA => b_2_CY0F_5626,
IB => b_2_CYINIT_5627,
SEL => b_2_CYSELF_5616,
O => Madd_b_cy_2_Q
);
b_2_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X21Y13"
)
port map (
IA => b_2_CY0F_5626,
IB => b_2_CY0F_5626,
SEL => b_2_CYSELF_5616,
O => b_2_CYMUXF2_5611
);
b_2_CYINIT : X_BUF
generic map(
LOC => "SLICE_X21Y13",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_cy_1_Q,
O => b_2_CYINIT_5627
);
b_2_CY0F : X_BUF
generic map(
LOC => "SLICE_X21Y13",
PATHPULSE => 638 ps
)
port map (
I => Sh162,
O => b_2_CY0F_5626
);
b_2_CYSELF : X_BUF
generic map(
LOC => "SLICE_X21Y13",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_lut(2),
O => b_2_CYSELF_5616
);
b_2_YUSED : X_BUF
generic map(
LOC => "SLICE_X21Y13",
PATHPULSE => 638 ps
)
port map (
I => b_2_XORG_5618,
O => b_3_Q
);
b_2_XORG : X_XOR2
generic map(
LOC => "SLICE_X21Y13"
)
port map (
I0 => Madd_b_cy_2_Q,
I1 => Madd_b_lut(3),
O => b_2_XORG_5618
);
b_2_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X21Y13",
PATHPULSE => 638 ps
)
port map (
I => b_2_CYMUXFAST_5615,
O => Madd_b_cy_3_Q
);
b_2_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X21Y13",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_cy_1_Q,
O => b_2_FASTCARRY_5613
);
b_2_CYAND : X_AND2
generic map(
LOC => "SLICE_X21Y13"
)
port map (
I0 => b_2_CYSELG_5604,
I1 => b_2_CYSELF_5616,
O => b_2_CYAND_5614
);
b_2_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X21Y13"
)
port map (
IA => b_2_CYMUXG2_5612,
IB => b_2_FASTCARRY_5613,
SEL => b_2_CYAND_5614,
O => b_2_CYMUXFAST_5615
);
b_2_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X21Y13"
)
port map (
IA => b_2_CY0G_5610,
IB => b_2_CYMUXF2_5611,
SEL => b_2_CYSELG_5604,
O => b_2_CYMUXG2_5612
);
b_2_CY0G : X_BUF
generic map(
LOC => "SLICE_X21Y13",
PATHPULSE => 638 ps
)
port map (
I => Sh163,
O => b_2_CY0G_5610
);
b_2_CYSELG : X_BUF
generic map(
LOC => "SLICE_X21Y13",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_lut(3),
O => b_2_CYSELG_5604
);
Madd_b_lut_5_Q : X_LUT4
generic map(
INIT => X"396C",
LOC => "SLICE_X21Y14"
)
port map (
ADR0 => a(4),
ADR1 => Mrom_b_rom00005_0,
ADR2 => Sh149,
ADR3 => Sh133,
O => Madd_b_lut(5)
);
b_4_XUSED : X_BUF
generic map(
LOC => "SLICE_X21Y14",
PATHPULSE => 638 ps
)
port map (
I => b_4_XORF_5669,
O => b_4_Q
);
b_4_XORF : X_XOR2
generic map(
LOC => "SLICE_X21Y14"
)
port map (
I0 => b_4_CYINIT_5668,
I1 => Madd_b_lut(4),
O => b_4_XORF_5669
);
b_4_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X21Y14"
)
port map (
IA => b_4_CY0F_5667,
IB => b_4_CYINIT_5668,
SEL => b_4_CYSELF_5656,
O => Madd_b_cy_4_Q
);
b_4_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X21Y14"
)
port map (
IA => b_4_CY0F_5667,
IB => b_4_CY0F_5667,
SEL => b_4_CYSELF_5656,
O => b_4_CYMUXF2_5651
);
b_4_CYINIT : X_BUF
generic map(
LOC => "SLICE_X21Y14",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_cy_3_Q,
O => b_4_CYINIT_5668
);
b_4_CY0F : X_BUF
generic map(
LOC => "SLICE_X21Y14",
PATHPULSE => 638 ps
)
port map (
I => Sh164,
O => b_4_CY0F_5667
);
b_4_CYSELF : X_BUF
generic map(
LOC => "SLICE_X21Y14",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_lut(4),
O => b_4_CYSELF_5656
);
b_4_YUSED : X_BUF
generic map(
LOC => "SLICE_X21Y14",
PATHPULSE => 638 ps
)
port map (
I => b_4_XORG_5658,
O => b_5_Q
);
b_4_XORG : X_XOR2
generic map(
LOC => "SLICE_X21Y14"
)
port map (
I0 => Madd_b_cy_4_Q,
I1 => Madd_b_lut(5),
O => b_4_XORG_5658
);
b_4_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X21Y14",
PATHPULSE => 638 ps
)
port map (
I => b_4_CYMUXFAST_5655,
O => Madd_b_cy_5_Q
);
b_4_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X21Y14",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_cy_3_Q,
O => b_4_FASTCARRY_5653
);
b_4_CYAND : X_AND2
generic map(
LOC => "SLICE_X21Y14"
)
port map (
I0 => b_4_CYSELG_5644,
I1 => b_4_CYSELF_5656,
O => b_4_CYAND_5654
);
b_4_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X21Y14"
)
port map (
IA => b_4_CYMUXG2_5652,
IB => b_4_FASTCARRY_5653,
SEL => b_4_CYAND_5654,
O => b_4_CYMUXFAST_5655
);
b_4_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X21Y14"
)
port map (
IA => b_4_CY0G_5650,
IB => b_4_CYMUXF2_5651,
SEL => b_4_CYSELG_5644,
O => b_4_CYMUXG2_5652
);
b_4_CY0G : X_BUF
generic map(
LOC => "SLICE_X21Y14",
PATHPULSE => 638 ps
)
port map (
I => Sh165,
O => b_4_CY0G_5650
);
b_4_CYSELG : X_BUF
generic map(
LOC => "SLICE_X21Y14",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_lut(5),
O => b_4_CYSELG_5644
);
b_6_XUSED : X_BUF
generic map(
LOC => "SLICE_X21Y15",
PATHPULSE => 638 ps
)
port map (
I => b_6_XORF_5712,
O => b_6_Q
);
b_6_XORF : X_XOR2
generic map(
LOC => "SLICE_X21Y15"
)
port map (
I0 => b_6_CYINIT_5711,
I1 => Madd_b_lut(6),
O => b_6_XORF_5712
);
b_6_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X21Y15"
)
port map (
IA => b_6_CY0F_5710,
IB => b_6_CYINIT_5711,
SEL => b_6_CYSELF_5699,
O => Madd_b_cy_6_Q
);
b_6_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X21Y15"
)
port map (
IA => b_6_CY0F_5710,
IB => b_6_CY0F_5710,
SEL => b_6_CYSELF_5699,
O => b_6_CYMUXF2_5694
);
b_6_CYINIT : X_BUF
generic map(
LOC => "SLICE_X21Y15",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_cy_5_Q,
O => b_6_CYINIT_5711
);
b_6_CY0F : X_BUF
generic map(
LOC => "SLICE_X21Y15",
PATHPULSE => 638 ps
)
port map (
I => Sh166,
O => b_6_CY0F_5710
);
b_6_CYSELF : X_BUF
generic map(
LOC => "SLICE_X21Y15",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_lut(6),
O => b_6_CYSELF_5699
);
b_6_YUSED : X_BUF
generic map(
LOC => "SLICE_X21Y15",
PATHPULSE => 638 ps
)
port map (
I => b_6_XORG_5701,
O => b_7_Q
);
b_6_XORG : X_XOR2
generic map(
LOC => "SLICE_X21Y15"
)
port map (
I0 => Madd_b_cy_6_Q,
I1 => Madd_b_lut(7),
O => b_6_XORG_5701
);
b_6_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X21Y15",
PATHPULSE => 638 ps
)
port map (
I => b_6_CYMUXFAST_5698,
O => Madd_b_cy_7_Q
);
b_6_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X21Y15",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_cy_5_Q,
O => b_6_FASTCARRY_5696
);
b_6_CYAND : X_AND2
generic map(
LOC => "SLICE_X21Y15"
)
port map (
I0 => b_6_CYSELG_5687,
I1 => b_6_CYSELF_5699,
O => b_6_CYAND_5697
);
b_6_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X21Y15"
)
port map (
IA => b_6_CYMUXG2_5695,
IB => b_6_FASTCARRY_5696,
SEL => b_6_CYAND_5697,
O => b_6_CYMUXFAST_5698
);
b_6_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X21Y15"
)
port map (
IA => b_6_CY0G_5693,
IB => b_6_CYMUXF2_5694,
SEL => b_6_CYSELG_5687,
O => b_6_CYMUXG2_5695
);
b_6_CY0G : X_BUF
generic map(
LOC => "SLICE_X21Y15",
PATHPULSE => 638 ps
)
port map (
I => Sh167,
O => b_6_CY0G_5693
);
b_6_CYSELG : X_BUF
generic map(
LOC => "SLICE_X21Y15",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_lut(7),
O => b_6_CYSELG_5687
);
b_8_XORF : X_XOR2
generic map(
LOC => "SLICE_X21Y16"
)
port map (
I0 => b_8_CYINIT_5754,
I1 => Madd_b_lut(8),
O => b_8_XORF_5755
);
b_8_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X21Y16"
)
port map (
IA => b_8_CY0F_5753,
IB => b_8_CYINIT_5754,
SEL => b_8_CYSELF_5742,
O => Madd_b_cy_8_Q
);
b_8_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X21Y16"
)
port map (
IA => b_8_CY0F_5753,
IB => b_8_CY0F_5753,
SEL => b_8_CYSELF_5742,
O => b_8_CYMUXF2_5737
);
b_8_CYINIT : X_BUF
generic map(
LOC => "SLICE_X21Y16",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_cy_7_Q,
O => b_8_CYINIT_5754
);
b_8_CY0F : X_BUF
generic map(
LOC => "SLICE_X21Y16",
PATHPULSE => 638 ps
)
port map (
I => Sh168,
O => b_8_CY0F_5753
);
b_8_CYSELF : X_BUF
generic map(
LOC => "SLICE_X21Y16",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_lut(8),
O => b_8_CYSELF_5742
);
b_8_XORG : X_XOR2
generic map(
LOC => "SLICE_X21Y16"
)
port map (
I0 => Madd_b_cy_8_Q,
I1 => Madd_b_lut(9),
O => b_8_XORG_5744
);
b_8_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X21Y16",
PATHPULSE => 638 ps
)
port map (
I => b_8_CYMUXFAST_5741,
O => Madd_b_cy_9_Q
);
b_8_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X21Y16",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_cy_7_Q,
O => b_8_FASTCARRY_5739
);
b_8_CYAND : X_AND2
generic map(
LOC => "SLICE_X21Y16"
)
port map (
I0 => b_8_CYSELG_5730,
I1 => b_8_CYSELF_5742,
O => b_8_CYAND_5740
);
b_8_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X21Y16"
)
port map (
IA => b_8_CYMUXG2_5738,
IB => b_8_FASTCARRY_5739,
SEL => b_8_CYAND_5740,
O => b_8_CYMUXFAST_5741
);
b_8_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X21Y16"
)
port map (
IA => b_8_CY0G_5736,
IB => b_8_CYMUXF2_5737,
SEL => b_8_CYSELG_5730,
O => b_8_CYMUXG2_5738
);
b_8_CY0G : X_BUF
generic map(
LOC => "SLICE_X21Y16",
PATHPULSE => 638 ps
)
port map (
I => Sh169,
O => b_8_CY0G_5736
);
b_8_CYSELG : X_BUF
generic map(
LOC => "SLICE_X21Y16",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_lut(9),
O => b_8_CYSELG_5730
);
b_10_XORF : X_XOR2
generic map(
LOC => "SLICE_X21Y17"
)
port map (
I0 => b_10_CYINIT_5797,
I1 => Madd_b_lut(10),
O => b_10_XORF_5798
);
b_10_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X21Y17"
)
port map (
IA => b_10_CY0F_5796,
IB => b_10_CYINIT_5797,
SEL => b_10_CYSELF_5785,
O => Madd_b_cy_10_Q
);
b_10_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X21Y17"
)
port map (
IA => b_10_CY0F_5796,
IB => b_10_CY0F_5796,
SEL => b_10_CYSELF_5785,
O => b_10_CYMUXF2_5780
);
b_10_CYINIT : X_BUF
generic map(
LOC => "SLICE_X21Y17",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_cy_9_Q,
O => b_10_CYINIT_5797
);
b_10_CY0F : X_BUF
generic map(
LOC => "SLICE_X21Y17",
PATHPULSE => 638 ps
)
port map (
I => Sh170,
O => b_10_CY0F_5796
);
b_10_CYSELF : X_BUF
generic map(
LOC => "SLICE_X21Y17",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_lut(10),
O => b_10_CYSELF_5785
);
b_10_YUSED : X_BUF
generic map(
LOC => "SLICE_X21Y17",
PATHPULSE => 638 ps
)
port map (
I => b_10_XORG_5787,
O => b_11_Q
);
b_10_XORG : X_XOR2
generic map(
LOC => "SLICE_X21Y17"
)
port map (
I0 => Madd_b_cy_10_Q,
I1 => Madd_b_lut(11),
O => b_10_XORG_5787
);
b_10_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X21Y17",
PATHPULSE => 638 ps
)
port map (
I => b_10_CYMUXFAST_5784,
O => Madd_b_cy_11_Q
);
b_10_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X21Y17",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_cy_9_Q,
O => b_10_FASTCARRY_5782
);
b_10_CYAND : X_AND2
generic map(
LOC => "SLICE_X21Y17"
)
port map (
I0 => b_10_CYSELG_5773,
I1 => b_10_CYSELF_5785,
O => b_10_CYAND_5783
);
b_10_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X21Y17"
)
port map (
IA => b_10_CYMUXG2_5781,
IB => b_10_FASTCARRY_5782,
SEL => b_10_CYAND_5783,
O => b_10_CYMUXFAST_5784
);
b_10_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X21Y17"
)
port map (
IA => b_10_CY0G_5779,
IB => b_10_CYMUXF2_5780,
SEL => b_10_CYSELG_5773,
O => b_10_CYMUXG2_5781
);
b_10_CY0G : X_BUF
generic map(
LOC => "SLICE_X21Y17",
PATHPULSE => 638 ps
)
port map (
I => Sh171,
O => b_10_CY0G_5779
);
b_10_CYSELG : X_BUF
generic map(
LOC => "SLICE_X21Y17",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_lut(11),
O => b_10_CYSELG_5773
);
b_12_XUSED : X_BUF
generic map(
LOC => "SLICE_X21Y18",
PATHPULSE => 638 ps
)
port map (
I => b_12_XORF_5841,
O => b_12_Q
);
b_12_XORF : X_XOR2
generic map(
LOC => "SLICE_X21Y18"
)
port map (
I0 => b_12_CYINIT_5840,
I1 => Madd_b_lut(12),
O => b_12_XORF_5841
);
b_12_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X21Y18"
)
port map (
IA => b_12_CY0F_5839,
IB => b_12_CYINIT_5840,
SEL => b_12_CYSELF_5828,
O => Madd_b_cy_12_Q
);
b_12_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X21Y18"
)
port map (
IA => b_12_CY0F_5839,
IB => b_12_CY0F_5839,
SEL => b_12_CYSELF_5828,
O => b_12_CYMUXF2_5823
);
b_12_CYINIT : X_BUF
generic map(
LOC => "SLICE_X21Y18",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_cy_11_Q,
O => b_12_CYINIT_5840
);
b_12_CY0F : X_BUF
generic map(
LOC => "SLICE_X21Y18",
PATHPULSE => 638 ps
)
port map (
I => Sh172,
O => b_12_CY0F_5839
);
b_12_CYSELF : X_BUF
generic map(
LOC => "SLICE_X21Y18",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_lut(12),
O => b_12_CYSELF_5828
);
b_12_YUSED : X_BUF
generic map(
LOC => "SLICE_X21Y18",
PATHPULSE => 638 ps
)
port map (
I => b_12_XORG_5830,
O => b_13_Q
);
b_12_XORG : X_XOR2
generic map(
LOC => "SLICE_X21Y18"
)
port map (
I0 => Madd_b_cy_12_Q,
I1 => Madd_b_lut(13),
O => b_12_XORG_5830
);
b_12_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X21Y18",
PATHPULSE => 638 ps
)
port map (
I => b_12_CYMUXFAST_5827,
O => Madd_b_cy_13_Q
);
b_12_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X21Y18",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_cy_11_Q,
O => b_12_FASTCARRY_5825
);
b_12_CYAND : X_AND2
generic map(
LOC => "SLICE_X21Y18"
)
port map (
I0 => b_12_CYSELG_5816,
I1 => b_12_CYSELF_5828,
O => b_12_CYAND_5826
);
b_12_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X21Y18"
)
port map (
IA => b_12_CYMUXG2_5824,
IB => b_12_FASTCARRY_5825,
SEL => b_12_CYAND_5826,
O => b_12_CYMUXFAST_5827
);
b_12_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X21Y18"
)
port map (
IA => b_12_CY0G_5822,
IB => b_12_CYMUXF2_5823,
SEL => b_12_CYSELG_5816,
O => b_12_CYMUXG2_5824
);
b_12_CY0G : X_BUF
generic map(
LOC => "SLICE_X21Y18",
PATHPULSE => 638 ps
)
port map (
I => Sh173,
O => b_12_CY0G_5822
);
b_12_CYSELG : X_BUF
generic map(
LOC => "SLICE_X21Y18",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_lut(13),
O => b_12_CYSELG_5816
);
b_14_XUSED : X_BUF
generic map(
LOC => "SLICE_X21Y19",
PATHPULSE => 638 ps
)
port map (
I => b_14_XORF_5882,
O => b_14_Q
);
b_14_XORF : X_XOR2
generic map(
LOC => "SLICE_X21Y19"
)
port map (
I0 => b_14_CYINIT_5881,
I1 => Madd_b_lut(14),
O => b_14_XORF_5882
);
b_14_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X21Y19"
)
port map (
IA => b_14_CY0F_5880,
IB => b_14_CYINIT_5881,
SEL => b_14_CYSELF_5869,
O => Madd_b_cy_14_Q
);
b_14_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X21Y19"
)
port map (
IA => b_14_CY0F_5880,
IB => b_14_CY0F_5880,
SEL => b_14_CYSELF_5869,
O => b_14_CYMUXF2_5864
);
b_14_CYINIT : X_BUF
generic map(
LOC => "SLICE_X21Y19",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_cy_13_Q,
O => b_14_CYINIT_5881
);
b_14_CY0F : X_BUF
generic map(
LOC => "SLICE_X21Y19",
PATHPULSE => 638 ps
)
port map (
I => Sh174,
O => b_14_CY0F_5880
);
b_14_CYSELF : X_BUF
generic map(
LOC => "SLICE_X21Y19",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_lut(14),
O => b_14_CYSELF_5869
);
b_14_YUSED : X_BUF
generic map(
LOC => "SLICE_X21Y19",
PATHPULSE => 638 ps
)
port map (
I => b_14_XORG_5871,
O => b_15_Q
);
b_14_XORG : X_XOR2
generic map(
LOC => "SLICE_X21Y19"
)
port map (
I0 => Madd_b_cy_14_Q,
I1 => Madd_b_lut(15),
O => b_14_XORG_5871
);
b_14_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X21Y19",
PATHPULSE => 638 ps
)
port map (
I => b_14_CYMUXFAST_5868,
O => Madd_b_cy_15_Q
);
b_14_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X21Y19",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_cy_13_Q,
O => b_14_FASTCARRY_5866
);
b_14_CYAND : X_AND2
generic map(
LOC => "SLICE_X21Y19"
)
port map (
I0 => b_14_CYSELG_5857,
I1 => b_14_CYSELF_5869,
O => b_14_CYAND_5867
);
b_14_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X21Y19"
)
port map (
IA => b_14_CYMUXG2_5865,
IB => b_14_FASTCARRY_5866,
SEL => b_14_CYAND_5867,
O => b_14_CYMUXFAST_5868
);
b_14_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X21Y19"
)
port map (
IA => b_14_CY0G_5863,
IB => b_14_CYMUXF2_5864,
SEL => b_14_CYSELG_5857,
O => b_14_CYMUXG2_5865
);
b_14_CY0G : X_BUF
generic map(
LOC => "SLICE_X21Y19",
PATHPULSE => 638 ps
)
port map (
I => Sh175,
O => b_14_CY0G_5863
);
b_14_CYSELG : X_BUF
generic map(
LOC => "SLICE_X21Y19",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_lut(15),
O => b_14_CYSELG_5857
);
b_16_XUSED : X_BUF
generic map(
LOC => "SLICE_X21Y20",
PATHPULSE => 638 ps
)
port map (
I => b_16_XORF_5925,
O => b_16_Q
);
b_16_XORF : X_XOR2
generic map(
LOC => "SLICE_X21Y20"
)
port map (
I0 => b_16_CYINIT_5924,
I1 => Madd_b_lut(16),
O => b_16_XORF_5925
);
b_16_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X21Y20"
)
port map (
IA => b_16_CY0F_5923,
IB => b_16_CYINIT_5924,
SEL => b_16_CYSELF_5912,
O => Madd_b_cy_16_Q
);
b_16_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X21Y20"
)
port map (
IA => b_16_CY0F_5923,
IB => b_16_CY0F_5923,
SEL => b_16_CYSELF_5912,
O => b_16_CYMUXF2_5907
);
b_16_CYINIT : X_BUF
generic map(
LOC => "SLICE_X21Y20",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_cy_15_Q,
O => b_16_CYINIT_5924
);
b_16_CY0F : X_BUF
generic map(
LOC => "SLICE_X21Y20",
PATHPULSE => 638 ps
)
port map (
I => Sh176,
O => b_16_CY0F_5923
);
b_16_CYSELF : X_BUF
generic map(
LOC => "SLICE_X21Y20",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_lut(16),
O => b_16_CYSELF_5912
);
b_16_YUSED : X_BUF
generic map(
LOC => "SLICE_X21Y20",
PATHPULSE => 638 ps
)
port map (
I => b_16_XORG_5914,
O => b_17_Q
);
b_16_XORG : X_XOR2
generic map(
LOC => "SLICE_X21Y20"
)
port map (
I0 => Madd_b_cy_16_Q,
I1 => Madd_b_lut(17),
O => b_16_XORG_5914
);
b_16_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X21Y20",
PATHPULSE => 638 ps
)
port map (
I => b_16_CYMUXFAST_5911,
O => Madd_b_cy_17_Q
);
b_16_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X21Y20",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_cy_15_Q,
O => b_16_FASTCARRY_5909
);
b_16_CYAND : X_AND2
generic map(
LOC => "SLICE_X21Y20"
)
port map (
I0 => b_16_CYSELG_5900,
I1 => b_16_CYSELF_5912,
O => b_16_CYAND_5910
);
b_16_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X21Y20"
)
port map (
IA => b_16_CYMUXG2_5908,
IB => b_16_FASTCARRY_5909,
SEL => b_16_CYAND_5910,
O => b_16_CYMUXFAST_5911
);
b_16_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X21Y20"
)
port map (
IA => b_16_CY0G_5906,
IB => b_16_CYMUXF2_5907,
SEL => b_16_CYSELG_5900,
O => b_16_CYMUXG2_5908
);
b_16_CY0G : X_BUF
generic map(
LOC => "SLICE_X21Y20",
PATHPULSE => 638 ps
)
port map (
I => Sh177,
O => b_16_CY0G_5906
);
b_16_CYSELG : X_BUF
generic map(
LOC => "SLICE_X21Y20",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_lut(17),
O => b_16_CYSELG_5900
);
b_18_XUSED : X_BUF
generic map(
LOC => "SLICE_X21Y21",
PATHPULSE => 638 ps
)
port map (
I => b_18_XORF_5966,
O => b_18_Q
);
b_18_XORF : X_XOR2
generic map(
LOC => "SLICE_X21Y21"
)
port map (
I0 => b_18_CYINIT_5965,
I1 => Madd_b_lut(18),
O => b_18_XORF_5966
);
b_18_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X21Y21"
)
port map (
IA => b_18_CY0F_5964,
IB => b_18_CYINIT_5965,
SEL => b_18_CYSELF_5954,
O => Madd_b_cy_18_Q
);
b_18_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X21Y21"
)
port map (
IA => b_18_CY0F_5964,
IB => b_18_CY0F_5964,
SEL => b_18_CYSELF_5954,
O => b_18_CYMUXF2_5949
);
b_18_CYINIT : X_BUF
generic map(
LOC => "SLICE_X21Y21",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_cy_17_Q,
O => b_18_CYINIT_5965
);
b_18_CY0F : X_BUF
generic map(
LOC => "SLICE_X21Y21",
PATHPULSE => 638 ps
)
port map (
I => Sh178,
O => b_18_CY0F_5964
);
b_18_CYSELF : X_BUF
generic map(
LOC => "SLICE_X21Y21",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_lut(18),
O => b_18_CYSELF_5954
);
b_18_YUSED : X_BUF
generic map(
LOC => "SLICE_X21Y21",
PATHPULSE => 638 ps
)
port map (
I => b_18_XORG_5956,
O => b_19_Q
);
b_18_XORG : X_XOR2
generic map(
LOC => "SLICE_X21Y21"
)
port map (
I0 => Madd_b_cy_18_Q,
I1 => Madd_b_lut(19),
O => b_18_XORG_5956
);
b_18_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X21Y21",
PATHPULSE => 638 ps
)
port map (
I => b_18_CYMUXFAST_5953,
O => Madd_b_cy_19_Q
);
b_18_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X21Y21",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_cy_17_Q,
O => b_18_FASTCARRY_5951
);
b_18_CYAND : X_AND2
generic map(
LOC => "SLICE_X21Y21"
)
port map (
I0 => b_18_CYSELG_5942,
I1 => b_18_CYSELF_5954,
O => b_18_CYAND_5952
);
b_18_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X21Y21"
)
port map (
IA => b_18_CYMUXG2_5950,
IB => b_18_FASTCARRY_5951,
SEL => b_18_CYAND_5952,
O => b_18_CYMUXFAST_5953
);
b_18_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X21Y21"
)
port map (
IA => b_18_CY0G_5948,
IB => b_18_CYMUXF2_5949,
SEL => b_18_CYSELG_5942,
O => b_18_CYMUXG2_5950
);
b_18_CY0G : X_BUF
generic map(
LOC => "SLICE_X21Y21",
PATHPULSE => 638 ps
)
port map (
I => Sh179,
O => b_18_CY0G_5948
);
b_18_CYSELG : X_BUF
generic map(
LOC => "SLICE_X21Y21",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_lut(19),
O => b_18_CYSELG_5942
);
b_20_XUSED : X_BUF
generic map(
LOC => "SLICE_X21Y22",
PATHPULSE => 638 ps
)
port map (
I => b_20_XORF_6009,
O => b_20_Q
);
b_20_XORF : X_XOR2
generic map(
LOC => "SLICE_X21Y22"
)
port map (
I0 => b_20_CYINIT_6008,
I1 => Madd_b_lut(20),
O => b_20_XORF_6009
);
b_20_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X21Y22"
)
port map (
IA => b_20_CY0F_6007,
IB => b_20_CYINIT_6008,
SEL => b_20_CYSELF_5996,
O => Madd_b_cy_20_Q
);
b_20_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X21Y22"
)
port map (
IA => b_20_CY0F_6007,
IB => b_20_CY0F_6007,
SEL => b_20_CYSELF_5996,
O => b_20_CYMUXF2_5991
);
b_20_CYINIT : X_BUF
generic map(
LOC => "SLICE_X21Y22",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_cy_19_Q,
O => b_20_CYINIT_6008
);
b_20_CY0F : X_BUF
generic map(
LOC => "SLICE_X21Y22",
PATHPULSE => 638 ps
)
port map (
I => Sh180,
O => b_20_CY0F_6007
);
b_20_CYSELF : X_BUF
generic map(
LOC => "SLICE_X21Y22",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_lut(20),
O => b_20_CYSELF_5996
);
b_20_YUSED : X_BUF
generic map(
LOC => "SLICE_X21Y22",
PATHPULSE => 638 ps
)
port map (
I => b_20_XORG_5998,
O => b_21_Q
);
b_20_XORG : X_XOR2
generic map(
LOC => "SLICE_X21Y22"
)
port map (
I0 => Madd_b_cy_20_Q,
I1 => Madd_b_lut(21),
O => b_20_XORG_5998
);
b_20_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X21Y22",
PATHPULSE => 638 ps
)
port map (
I => b_20_CYMUXFAST_5995,
O => Madd_b_cy_21_Q
);
b_20_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X21Y22",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_cy_19_Q,
O => b_20_FASTCARRY_5993
);
b_20_CYAND : X_AND2
generic map(
LOC => "SLICE_X21Y22"
)
port map (
I0 => b_20_CYSELG_5984,
I1 => b_20_CYSELF_5996,
O => b_20_CYAND_5994
);
b_20_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X21Y22"
)
port map (
IA => b_20_CYMUXG2_5992,
IB => b_20_FASTCARRY_5993,
SEL => b_20_CYAND_5994,
O => b_20_CYMUXFAST_5995
);
b_20_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X21Y22"
)
port map (
IA => b_20_CY0G_5990,
IB => b_20_CYMUXF2_5991,
SEL => b_20_CYSELG_5984,
O => b_20_CYMUXG2_5992
);
b_20_CY0G : X_BUF
generic map(
LOC => "SLICE_X21Y22",
PATHPULSE => 638 ps
)
port map (
I => Sh181,
O => b_20_CY0G_5990
);
b_20_CYSELG : X_BUF
generic map(
LOC => "SLICE_X21Y22",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_lut(21),
O => b_20_CYSELG_5984
);
b_22_XUSED : X_BUF
generic map(
LOC => "SLICE_X21Y23",
PATHPULSE => 638 ps
)
port map (
I => b_22_XORF_6052,
O => b_22_Q
);
b_22_XORF : X_XOR2
generic map(
LOC => "SLICE_X21Y23"
)
port map (
I0 => b_22_CYINIT_6051,
I1 => Madd_b_lut(22),
O => b_22_XORF_6052
);
b_22_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X21Y23"
)
port map (
IA => b_22_CY0F_6050,
IB => b_22_CYINIT_6051,
SEL => b_22_CYSELF_6039,
O => Madd_b_cy_22_Q
);
b_22_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X21Y23"
)
port map (
IA => b_22_CY0F_6050,
IB => b_22_CY0F_6050,
SEL => b_22_CYSELF_6039,
O => b_22_CYMUXF2_6034
);
b_22_CYINIT : X_BUF
generic map(
LOC => "SLICE_X21Y23",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_cy_21_Q,
O => b_22_CYINIT_6051
);
b_22_CY0F : X_BUF
generic map(
LOC => "SLICE_X21Y23",
PATHPULSE => 638 ps
)
port map (
I => Sh182,
O => b_22_CY0F_6050
);
b_22_CYSELF : X_BUF
generic map(
LOC => "SLICE_X21Y23",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_lut(22),
O => b_22_CYSELF_6039
);
b_22_YUSED : X_BUF
generic map(
LOC => "SLICE_X21Y23",
PATHPULSE => 638 ps
)
port map (
I => b_22_XORG_6041,
O => b_23_Q
);
b_22_XORG : X_XOR2
generic map(
LOC => "SLICE_X21Y23"
)
port map (
I0 => Madd_b_cy_22_Q,
I1 => Madd_b_lut(23),
O => b_22_XORG_6041
);
b_22_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X21Y23",
PATHPULSE => 638 ps
)
port map (
I => b_22_CYMUXFAST_6038,
O => Madd_b_cy_23_Q
);
b_22_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X21Y23",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_cy_21_Q,
O => b_22_FASTCARRY_6036
);
b_22_CYAND : X_AND2
generic map(
LOC => "SLICE_X21Y23"
)
port map (
I0 => b_22_CYSELG_6027,
I1 => b_22_CYSELF_6039,
O => b_22_CYAND_6037
);
b_22_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X21Y23"
)
port map (
IA => b_22_CYMUXG2_6035,
IB => b_22_FASTCARRY_6036,
SEL => b_22_CYAND_6037,
O => b_22_CYMUXFAST_6038
);
b_22_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X21Y23"
)
port map (
IA => b_22_CY0G_6033,
IB => b_22_CYMUXF2_6034,
SEL => b_22_CYSELG_6027,
O => b_22_CYMUXG2_6035
);
b_22_CY0G : X_BUF
generic map(
LOC => "SLICE_X21Y23",
PATHPULSE => 638 ps
)
port map (
I => Sh183,
O => b_22_CY0G_6033
);
b_22_CYSELG : X_BUF
generic map(
LOC => "SLICE_X21Y23",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_lut(23),
O => b_22_CYSELG_6027
);
b_24_XUSED : X_BUF
generic map(
LOC => "SLICE_X21Y24",
PATHPULSE => 638 ps
)
port map (
I => b_24_XORF_6093,
O => b_24_Q
);
b_24_XORF : X_XOR2
generic map(
LOC => "SLICE_X21Y24"
)
port map (
I0 => b_24_CYINIT_6092,
I1 => Madd_b_lut(24),
O => b_24_XORF_6093
);
b_24_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X21Y24"
)
port map (
IA => b_24_CY0F_6091,
IB => b_24_CYINIT_6092,
SEL => b_24_CYSELF_6080,
O => Madd_b_cy_24_Q
);
b_24_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X21Y24"
)
port map (
IA => b_24_CY0F_6091,
IB => b_24_CY0F_6091,
SEL => b_24_CYSELF_6080,
O => b_24_CYMUXF2_6075
);
b_24_CYINIT : X_BUF
generic map(
LOC => "SLICE_X21Y24",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_cy_23_Q,
O => b_24_CYINIT_6092
);
b_24_CY0F : X_BUF
generic map(
LOC => "SLICE_X21Y24",
PATHPULSE => 638 ps
)
port map (
I => Sh184,
O => b_24_CY0F_6091
);
b_24_CYSELF : X_BUF
generic map(
LOC => "SLICE_X21Y24",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_lut(24),
O => b_24_CYSELF_6080
);
b_24_YUSED : X_BUF
generic map(
LOC => "SLICE_X21Y24",
PATHPULSE => 638 ps
)
port map (
I => b_24_XORG_6082,
O => b_25_Q
);
b_24_XORG : X_XOR2
generic map(
LOC => "SLICE_X21Y24"
)
port map (
I0 => Madd_b_cy_24_Q,
I1 => Madd_b_lut(25),
O => b_24_XORG_6082
);
b_24_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X21Y24",
PATHPULSE => 638 ps
)
port map (
I => b_24_CYMUXFAST_6079,
O => Madd_b_cy_25_Q
);
b_24_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X21Y24",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_cy_23_Q,
O => b_24_FASTCARRY_6077
);
b_24_CYAND : X_AND2
generic map(
LOC => "SLICE_X21Y24"
)
port map (
I0 => b_24_CYSELG_6068,
I1 => b_24_CYSELF_6080,
O => b_24_CYAND_6078
);
b_24_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X21Y24"
)
port map (
IA => b_24_CYMUXG2_6076,
IB => b_24_FASTCARRY_6077,
SEL => b_24_CYAND_6078,
O => b_24_CYMUXFAST_6079
);
b_24_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X21Y24"
)
port map (
IA => b_24_CY0G_6074,
IB => b_24_CYMUXF2_6075,
SEL => b_24_CYSELG_6068,
O => b_24_CYMUXG2_6076
);
b_24_CY0G : X_BUF
generic map(
LOC => "SLICE_X21Y24",
PATHPULSE => 638 ps
)
port map (
I => Sh185_0,
O => b_24_CY0G_6074
);
b_24_CYSELG : X_BUF
generic map(
LOC => "SLICE_X21Y24",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_lut(25),
O => b_24_CYSELG_6068
);
b_26_XUSED : X_BUF
generic map(
LOC => "SLICE_X21Y25",
PATHPULSE => 638 ps
)
port map (
I => b_26_XORF_6136,
O => b_26_Q
);
b_26_XORF : X_XOR2
generic map(
LOC => "SLICE_X21Y25"
)
port map (
I0 => b_26_CYINIT_6135,
I1 => Madd_b_lut(26),
O => b_26_XORF_6136
);
b_26_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X21Y25"
)
port map (
IA => b_26_CY0F_6134,
IB => b_26_CYINIT_6135,
SEL => b_26_CYSELF_6123,
O => Madd_b_cy_26_Q
);
b_26_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X21Y25"
)
port map (
IA => b_26_CY0F_6134,
IB => b_26_CY0F_6134,
SEL => b_26_CYSELF_6123,
O => b_26_CYMUXF2_6118
);
b_26_CYINIT : X_BUF
generic map(
LOC => "SLICE_X21Y25",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_cy_25_Q,
O => b_26_CYINIT_6135
);
b_26_CY0F : X_BUF
generic map(
LOC => "SLICE_X21Y25",
PATHPULSE => 638 ps
)
port map (
I => Sh186,
O => b_26_CY0F_6134
);
b_26_CYSELF : X_BUF
generic map(
LOC => "SLICE_X21Y25",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_lut(26),
O => b_26_CYSELF_6123
);
b_26_YUSED : X_BUF
generic map(
LOC => "SLICE_X21Y25",
PATHPULSE => 638 ps
)
port map (
I => b_26_XORG_6125,
O => b_27_Q
);
b_26_XORG : X_XOR2
generic map(
LOC => "SLICE_X21Y25"
)
port map (
I0 => Madd_b_cy_26_Q,
I1 => Madd_b_lut(27),
O => b_26_XORG_6125
);
b_26_COUTUSED : X_BUF
generic map(
LOC => "SLICE_X21Y25",
PATHPULSE => 638 ps
)
port map (
I => b_26_CYMUXFAST_6122,
O => Madd_b_cy_27_Q
);
b_26_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X21Y25",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_cy_25_Q,
O => b_26_FASTCARRY_6120
);
b_26_CYAND : X_AND2
generic map(
LOC => "SLICE_X21Y25"
)
port map (
I0 => b_26_CYSELG_6111,
I1 => b_26_CYSELF_6123,
O => b_26_CYAND_6121
);
b_26_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X21Y25"
)
port map (
IA => b_26_CYMUXG2_6119,
IB => b_26_FASTCARRY_6120,
SEL => b_26_CYAND_6121,
O => b_26_CYMUXFAST_6122
);
b_26_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X21Y25"
)
port map (
IA => b_26_CY0G_6117,
IB => b_26_CYMUXF2_6118,
SEL => b_26_CYSELG_6111,
O => b_26_CYMUXG2_6119
);
b_26_CY0G : X_BUF
generic map(
LOC => "SLICE_X21Y25",
PATHPULSE => 638 ps
)
port map (
I => Sh187,
O => b_26_CY0G_6117
);
b_26_CYSELG : X_BUF
generic map(
LOC => "SLICE_X21Y25",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_lut(27),
O => b_26_CYSELG_6111
);
b_28_XUSED : X_BUF
generic map(
LOC => "SLICE_X21Y26",
PATHPULSE => 638 ps
)
port map (
I => b_28_XORF_6179,
O => b_28_Q
);
b_28_XORF : X_XOR2
generic map(
LOC => "SLICE_X21Y26"
)
port map (
I0 => b_28_CYINIT_6178,
I1 => Madd_b_lut(28),
O => b_28_XORF_6179
);
b_28_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X21Y26"
)
port map (
IA => b_28_CY0F_6177,
IB => b_28_CYINIT_6178,
SEL => b_28_CYSELF_6166,
O => Madd_b_cy_28_Q
);
b_28_CYMUXF2 : X_MUX2
generic map(
LOC => "SLICE_X21Y26"
)
port map (
IA => b_28_CY0F_6177,
IB => b_28_CY0F_6177,
SEL => b_28_CYSELF_6166,
O => b_28_CYMUXF2_6161
);
b_28_CYINIT : X_BUF
generic map(
LOC => "SLICE_X21Y26",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_cy_27_Q,
O => b_28_CYINIT_6178
);
b_28_CY0F : X_BUF
generic map(
LOC => "SLICE_X21Y26",
PATHPULSE => 638 ps
)
port map (
I => Sh188,
O => b_28_CY0F_6177
);
b_28_CYSELF : X_BUF
generic map(
LOC => "SLICE_X21Y26",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_lut(28),
O => b_28_CYSELF_6166
);
b_28_YUSED : X_BUF
generic map(
LOC => "SLICE_X21Y26",
PATHPULSE => 638 ps
)
port map (
I => b_28_XORG_6168,
O => b_29_Q
);
b_28_XORG : X_XOR2
generic map(
LOC => "SLICE_X21Y26"
)
port map (
I0 => Madd_b_cy_28_Q,
I1 => Madd_b_lut(29),
O => b_28_XORG_6168
);
b_28_FASTCARRY : X_BUF
generic map(
LOC => "SLICE_X21Y26",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_cy_27_Q,
O => b_28_FASTCARRY_6163
);
b_28_CYAND : X_AND2
generic map(
LOC => "SLICE_X21Y26"
)
port map (
I0 => b_28_CYSELG_6154,
I1 => b_28_CYSELF_6166,
O => b_28_CYAND_6164
);
b_28_CYMUXFAST : X_MUX2
generic map(
LOC => "SLICE_X21Y26"
)
port map (
IA => b_28_CYMUXG2_6162,
IB => b_28_FASTCARRY_6163,
SEL => b_28_CYAND_6164,
O => b_28_CYMUXFAST_6165
);
b_28_CYMUXG2 : X_MUX2
generic map(
LOC => "SLICE_X21Y26"
)
port map (
IA => b_28_CY0G_6160,
IB => b_28_CYMUXF2_6161,
SEL => b_28_CYSELG_6154,
O => b_28_CYMUXG2_6162
);
b_28_CY0G : X_BUF
generic map(
LOC => "SLICE_X21Y26",
PATHPULSE => 638 ps
)
port map (
I => Sh189,
O => b_28_CY0G_6160
);
b_28_CYSELG : X_BUF
generic map(
LOC => "SLICE_X21Y26",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_lut(29),
O => b_28_CYSELG_6154
);
b_30_XUSED : X_BUF
generic map(
LOC => "SLICE_X21Y27",
PATHPULSE => 638 ps
)
port map (
I => b_30_XORF_6212,
O => b_30_Q
);
b_30_XORF : X_XOR2
generic map(
LOC => "SLICE_X21Y27"
)
port map (
I0 => b_30_CYINIT_6211,
I1 => Madd_b_lut(30),
O => b_30_XORF_6212
);
b_30_CYMUXF : X_MUX2
generic map(
LOC => "SLICE_X21Y27"
)
port map (
IA => b_30_CY0F_6210,
IB => b_30_CYINIT_6211,
SEL => b_30_CYSELF_6204,
O => Madd_b_cy_30_Q
);
b_30_CYINIT : X_BUF
generic map(
LOC => "SLICE_X21Y27",
PATHPULSE => 638 ps
)
port map (
I => b_28_CYMUXFAST_6165,
O => b_30_CYINIT_6211
);
b_30_CY0F : X_BUF
generic map(
LOC => "SLICE_X21Y27",
PATHPULSE => 638 ps
)
port map (
I => Sh190,
O => b_30_CY0F_6210
);
b_30_CYSELF : X_BUF
generic map(
LOC => "SLICE_X21Y27",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_lut(30),
O => b_30_CYSELF_6204
);
b_30_YUSED : X_BUF
generic map(
LOC => "SLICE_X21Y27",
PATHPULSE => 638 ps
)
port map (
I => b_30_XORG_6200,
O => b_31_Q
);
b_30_XORG : X_XOR2
generic map(
LOC => "SLICE_X21Y27"
)
port map (
I0 => Madd_b_cy_30_Q,
I1 => Madd_b_lut(31),
O => b_30_XORG_6200
);
din_lower_0_IBUF : X_BUF
generic map(
LOC => "IPAD60",
PATHPULSE => 638 ps
)
port map (
I => din_lower(0),
O => din_lower_0_INBUF
);
din_lower_1_IBUF : X_BUF
generic map(
LOC => "PAD83",
PATHPULSE => 638 ps
)
port map (
I => din_lower(1),
O => din_lower_1_INBUF
);
din_lower_2_IBUF : X_BUF
generic map(
LOC => "IPAD86",
PATHPULSE => 638 ps
)
port map (
I => din_lower(2),
O => din_lower_2_INBUF
);
din_lower_3_IBUF : X_BUF
generic map(
LOC => "IPAD3",
PATHPULSE => 638 ps
)
port map (
I => din_lower(3),
O => din_lower_3_INBUF
);
din_lower_4_IBUF : X_BUF
generic map(
LOC => "PAD94",
PATHPULSE => 638 ps
)
port map (
I => din_lower(4),
O => din_lower_4_INBUF
);
din_lower_5_IBUF : X_BUF
generic map(
LOC => "PAD99",
PATHPULSE => 638 ps
)
port map (
I => din_lower(5),
O => din_lower_5_INBUF
);
din_lower_6_IBUF : X_BUF
generic map(
LOC => "IPAD100",
PATHPULSE => 638 ps
)
port map (
I => din_lower(6),
O => din_lower_6_INBUF
);
din_lower_7_IBUF : X_BUF
generic map(
LOC => "IPAD73",
PATHPULSE => 638 ps
)
port map (
I => din_lower(7),
O => din_lower_7_INBUF
);
clr_PULLUP : X_PU
generic map(
LOC => "PAD11"
)
port map (
O => NlwRenamedSig_IO_clr
);
clr_IBUF : X_BUF
generic map(
LOC => "PAD11",
PATHPULSE => 638 ps
)
port map (
I => NlwRenamedSig_IO_clr,
O => clr_INBUF
);
AN_0_OBUF : X_OBUF
generic map(
LOC => "PAD33"
)
port map (
I => AN_0_O,
O => AN(0)
);
AN_1_OBUF : X_OBUF
generic map(
LOC => "PAD44"
)
port map (
I => AN_1_O,
O => AN(1)
);
AN_2_OBUF : X_OBUF
generic map(
LOC => "PAD51"
)
port map (
I => AN_2_O,
O => AN(2)
);
AN_3_OBUF : X_OBUF
generic map(
LOC => "PAD45"
)
port map (
I => AN_3_O,
O => AN(3)
);
segment_a_i_OBUF : X_OBUF
generic map(
LOC => "PAD48"
)
port map (
I => segment_a_i_O,
O => segment_a_i
);
segment_b_i_OBUF : X_OBUF
generic map(
LOC => "PAD39"
)
port map (
I => segment_b_i_O,
O => segment_b_i
);
segment_c_i_OBUF : X_OBUF
generic map(
LOC => "PAD53"
)
port map (
I => segment_c_i_O,
O => segment_c_i
);
segment_d_i_OBUF : X_OBUF
generic map(
LOC => "PAD59"
)
port map (
I => segment_d_i_O,
O => segment_d_i
);
segment_e_i_OBUF : X_OBUF
generic map(
LOC => "PAD56"
)
port map (
I => segment_e_i_O,
O => segment_e_i
);
segment_f_i_OBUF : X_OBUF
generic map(
LOC => "PAD49"
)
port map (
I => segment_f_i_O,
O => segment_f_i
);
segment_g_i_OBUF : X_OBUF
generic map(
LOC => "PAD52"
)
port map (
I => segment_g_i_O,
O => segment_g_i
);
clk_25_BUFGP_IBUFG : X_BUF
generic map(
LOC => "IPAD13",
PATHPULSE => 638 ps
)
port map (
I => clk_25,
O => clk_25_INBUF
);
di_vld_PULLUP : X_PU
generic map(
LOC => "PAD72"
)
port map (
O => NlwRenamedSig_IO_di_vld
);
di_vld_IBUF : X_BUF
generic map(
LOC => "PAD72",
PATHPULSE => 638 ps
)
port map (
I => NlwRenamedSig_IO_di_vld,
O => di_vld_INBUF
);
do_rdy_OBUF : X_OBUF
generic map(
LOC => "PAD79"
)
port map (
I => do_rdy_O,
O => do_rdy
);
swtch_led_0_OBUF : X_OBUF
generic map(
LOC => "PAD69"
)
port map (
I => swtch_led_0_O,
O => swtch_led(0)
);
swtch_led_1_OBUF : X_OBUF
generic map(
LOC => "PAD58"
)
port map (
I => swtch_led_1_O,
O => swtch_led(1)
);
swtch_led_2_OBUF : X_OBUF
generic map(
LOC => "PAD64"
)
port map (
I => swtch_led_2_O,
O => swtch_led(2)
);
swtch_led_3_OBUF : X_OBUF
generic map(
LOC => "PAD65"
)
port map (
I => swtch_led_3_O,
O => swtch_led(3)
);
swtch_led_4_OBUF : X_OBUF
generic map(
LOC => "PAD68"
)
port map (
I => swtch_led_4_O,
O => swtch_led(4)
);
swtch_led_5_OBUF : X_OBUF
generic map(
LOC => "PAD71"
)
port map (
I => swtch_led_5_O,
O => swtch_led(5)
);
swtch_led_6_OBUF : X_OBUF
generic map(
LOC => "PAD70"
)
port map (
I => swtch_led_6_O,
O => swtch_led(6)
);
swtch_led_7_OBUF : X_OBUF
generic map(
LOC => "PAD96"
)
port map (
I => swtch_led_7_O,
O => swtch_led(7)
);
clk_25_BUFGP_BUFG : X_BUFGMUX
generic map(
LOC => "BUFGMUX_X2Y11"
)
port map (
I0 => clk_25_BUFGP_BUFG_I0_INV,
I1 => GND,
S => clk_25_BUFGP_BUFG_S_INVNOT,
O => clk_25_BUFGP
);
clk_25_BUFGP_BUFG_SINV : X_INV
generic map(
LOC => "BUFGMUX_X2Y11",
PATHPULSE => 638 ps
)
port map (
I => '1',
O => clk_25_BUFGP_BUFG_S_INVNOT
);
clk_25_BUFGP_BUFG_I0_USED : X_BUF
generic map(
LOC => "BUFGMUX_X2Y11",
PATHPULSE => 638 ps
)
port map (
I => clk_25_INBUF,
O => clk_25_BUFGP_BUFG_I0_INV
);
Sh13120_XUSED : X_BUF
generic map(
LOC => "SLICE_X26Y18",
PATHPULSE => 638 ps
)
port map (
I => Sh13120_F5MUX_6468,
O => Sh13120
);
Sh13120_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X26Y18"
)
port map (
IA => N402,
IB => N403,
SEL => Sh13120_BXINV_6460,
O => Sh13120_F5MUX_6468
);
Sh13120_BXINV : X_BUF
generic map(
LOC => "SLICE_X26Y18",
PATHPULSE => 638 ps
)
port map (
I => a(3),
O => Sh13120_BXINV_6460
);
Sh13332_G : X_LUT4
generic map(
INIT => X"AACC",
LOC => "SLICE_X26Y14"
)
port map (
ADR0 => Sh121,
ADR1 => Sh125,
ADR2 => VCC,
ADR3 => a(2),
O => N527
);
Sh133_XUSED : X_BUF
generic map(
LOC => "SLICE_X26Y14",
PATHPULSE => 638 ps
)
port map (
I => Sh133_F5MUX_6493,
O => Sh133
);
Sh133_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X26Y14"
)
port map (
IA => N526,
IB => N527,
SEL => Sh133_BXINV_6485,
O => Sh133_F5MUX_6493
);
Sh133_BXINV : X_BUF
generic map(
LOC => "SLICE_X26Y14",
PATHPULSE => 638 ps
)
port map (
I => a(3),
O => Sh133_BXINV_6485
);
Sh13332_F : X_LUT4
generic map(
INIT => X"FC30",
LOC => "SLICE_X26Y14"
)
port map (
ADR0 => VCC,
ADR1 => a(2),
ADR2 => Sh101,
ADR3 => Sh97,
O => N526
);
Sh14029_G : X_LUT4
generic map(
INIT => X"AFA0",
LOC => "SLICE_X26Y16"
)
port map (
ADR0 => Sh96,
ADR1 => VCC,
ADR2 => a(2),
ADR3 => Sh100,
O => N407
);
Sh140_XUSED : X_BUF
generic map(
LOC => "SLICE_X26Y16",
PATHPULSE => 638 ps
)
port map (
I => Sh140_F5MUX_6518,
O => Sh140
);
Sh140_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X26Y16"
)
port map (
IA => N406,
IB => N407,
SEL => Sh140_BXINV_6510,
O => Sh140_F5MUX_6518
);
Sh140_BXINV : X_BUF
generic map(
LOC => "SLICE_X26Y16",
PATHPULSE => 638 ps
)
port map (
I => a(3),
O => Sh140_BXINV_6510
);
Sh14029_F : X_LUT4
generic map(
INIT => X"AACC",
LOC => "SLICE_X26Y16"
)
port map (
ADR0 => Sh104,
ADR1 => Sh108,
ADR2 => VCC,
ADR3 => a(2),
O => N406
);
Sh14129_G : X_LUT4
generic map(
INIT => X"ACAC",
LOC => "SLICE_X25Y15"
)
port map (
ADR0 => Sh97,
ADR1 => Sh101,
ADR2 => a(2),
ADR3 => VCC,
O => N409
);
Sh141_XUSED : X_BUF
generic map(
LOC => "SLICE_X25Y15",
PATHPULSE => 638 ps
)
port map (
I => Sh141_F5MUX_6543,
O => Sh141
);
Sh141_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X25Y15"
)
port map (
IA => N408,
IB => N409,
SEL => Sh141_BXINV_6535,
O => Sh141_F5MUX_6543
);
Sh141_BXINV : X_BUF
generic map(
LOC => "SLICE_X25Y15",
PATHPULSE => 638 ps
)
port map (
I => a(3),
O => Sh141_BXINV_6535
);
Sh14129_F : X_LUT4
generic map(
INIT => X"E4E4",
LOC => "SLICE_X25Y15"
)
port map (
ADR0 => a(2),
ADR1 => Sh109,
ADR2 => Sh105,
ADR3 => VCC,
O => N408
);
Sh14229_G : X_LUT4
generic map(
INIT => X"D8D8",
LOC => "SLICE_X27Y19"
)
port map (
ADR0 => a(2),
ADR1 => Sh98_0,
ADR2 => Sh102_0,
ADR3 => VCC,
O => N315
);
Sh142_XUSED : X_BUF
generic map(
LOC => "SLICE_X27Y19",
PATHPULSE => 638 ps
)
port map (
I => Sh142_F5MUX_6568,
O => Sh142
);
Sh142_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X27Y19"
)
port map (
IA => N314,
IB => N315,
SEL => Sh142_BXINV_6560,
O => Sh142_F5MUX_6568
);
Sh142_BXINV : X_BUF
generic map(
LOC => "SLICE_X27Y19",
PATHPULSE => 638 ps
)
port map (
I => a(3),
O => Sh142_BXINV_6560
);
Sh14229_F : X_LUT4
generic map(
INIT => X"EE44",
LOC => "SLICE_X27Y19"
)
port map (
ADR0 => a(2),
ADR1 => Sh110_0,
ADR2 => VCC,
ADR3 => Sh106_0,
O => N314
);
Sh13528_G : X_LUT4
generic map(
INIT => X"CCF0",
LOC => "SLICE_X22Y26"
)
port map (
ADR0 => VCC,
ADR1 => Sh123,
ADR2 => Sh127,
ADR3 => a(2),
O => N303
);
Sh135_XUSED : X_BUF
generic map(
LOC => "SLICE_X22Y26",
PATHPULSE => 638 ps
)
port map (
I => Sh135_F5MUX_6593,
O => Sh135
);
Sh135_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X22Y26"
)
port map (
IA => N302,
IB => N303,
SEL => Sh135_BXINV_6585,
O => Sh135_F5MUX_6593
);
Sh135_BXINV : X_BUF
generic map(
LOC => "SLICE_X22Y26",
PATHPULSE => 638 ps
)
port map (
I => a(3),
O => Sh135_BXINV_6585
);
Sh13528_F : X_LUT4
generic map(
INIT => X"FC30",
LOC => "SLICE_X22Y26"
)
port map (
ADR0 => VCC,
ADR1 => a(2),
ADR2 => Sh103_0,
ADR3 => Sh99_0,
O => N302
);
Sh14612_G : X_LUT4
generic map(
INIT => X"CA00",
LOC => "SLICE_X27Y20"
)
port map (
ADR0 => Sh1022_0,
ADR1 => Sh1002_0,
ADR2 => a(1),
ADR3 => a(2),
O => N415
);
Sh14612_XUSED : X_BUF
generic map(
LOC => "SLICE_X27Y20",
PATHPULSE => 638 ps
)
port map (
I => Sh14612_F5MUX_6618,
O => Sh14612
);
Sh14612_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X27Y20"
)
port map (
IA => N414,
IB => N415,
SEL => Sh14612_BXINV_6611,
O => Sh14612_F5MUX_6618
);
Sh14612_BXINV : X_BUF
generic map(
LOC => "SLICE_X27Y20",
PATHPULSE => 638 ps
)
port map (
I => a(3),
O => Sh14612_BXINV_6611
);
Sh14612_F : X_LUT4
generic map(
INIT => X"E400",
LOC => "SLICE_X27Y20"
)
port map (
ADR0 => a(1),
ADR1 => Sh1102_0,
ADR2 => Sh1082_0,
ADR3 => a(2),
O => N414
);
Sh13628_G : X_LUT4
generic map(
INIT => X"F5A0",
LOC => "SLICE_X27Y16"
)
port map (
ADR0 => a(2),
ADR1 => VCC,
ADR2 => Sh124,
ADR3 => Sh96,
O => N305
);
Sh136_XUSED : X_BUF
generic map(
LOC => "SLICE_X27Y16",
PATHPULSE => 638 ps
)
port map (
I => Sh136_F5MUX_6643,
O => Sh136
);
Sh136_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X27Y16"
)
port map (
IA => N304,
IB => N305,
SEL => Sh136_BXINV_6635,
O => Sh136_F5MUX_6643
);
Sh136_BXINV : X_BUF
generic map(
LOC => "SLICE_X27Y16",
PATHPULSE => 638 ps
)
port map (
I => a(3),
O => Sh136_BXINV_6635
);
Sh13628_F : X_LUT4
generic map(
INIT => X"BB88",
LOC => "SLICE_X27Y16"
)
port map (
ADR0 => Sh100,
ADR1 => a(2),
ADR2 => VCC,
ADR3 => Sh104,
O => N304
);
Sh14712_G : X_LUT4
generic map(
INIT => X"88A0",
LOC => "SLICE_X25Y18"
)
port map (
ADR0 => a(2),
ADR1 => Sh1012_0,
ADR2 => Sh1032_0,
ADR3 => a(1),
O => N413
);
Sh14712_XUSED : X_BUF
generic map(
LOC => "SLICE_X25Y18",
PATHPULSE => 638 ps
)
port map (
I => Sh14712_F5MUX_6668,
O => Sh14712
);
Sh14712_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X25Y18"
)
port map (
IA => N412,
IB => N413,
SEL => Sh14712_BXINV_6661,
O => Sh14712_F5MUX_6668
);
Sh14712_BXINV : X_BUF
generic map(
LOC => "SLICE_X25Y18",
PATHPULSE => 638 ps
)
port map (
I => a(3),
O => Sh14712_BXINV_6661
);
Sh14712_F : X_LUT4
generic map(
INIT => X"8A80",
LOC => "SLICE_X25Y18"
)
port map (
ADR0 => a(2),
ADR1 => Sh1092_0,
ADR2 => a(1),
ADR3 => Sh1112_0,
O => N412
);
Sh13728_G : X_LUT4
generic map(
INIT => X"E4E4",
LOC => "SLICE_X29Y14"
)
port map (
ADR0 => a(2),
ADR1 => Sh97,
ADR2 => Sh125,
ADR3 => VCC,
O => N307
);
Sh137_XUSED : X_BUF
generic map(
LOC => "SLICE_X29Y14",
PATHPULSE => 638 ps
)
port map (
I => Sh137_F5MUX_6693,
O => Sh137
);
Sh137_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X29Y14"
)
port map (
IA => N306,
IB => N307,
SEL => Sh137_BXINV_6685,
O => Sh137_F5MUX_6693
);
Sh137_BXINV : X_BUF
generic map(
LOC => "SLICE_X29Y14",
PATHPULSE => 638 ps
)
port map (
I => a(3),
O => Sh137_BXINV_6685
);
Sh13728_F : X_LUT4
generic map(
INIT => X"D8D8",
LOC => "SLICE_X29Y14"
)
port map (
ADR0 => a(2),
ADR1 => Sh101,
ADR2 => Sh105,
ADR3 => VCC,
O => N306
);
Sh13929_G : X_LUT4
generic map(
INIT => X"CCAA",
LOC => "SLICE_X24Y24"
)
port map (
ADR0 => Sh99_0,
ADR1 => Sh127,
ADR2 => VCC,
ADR3 => a(2),
O => N299
);
Sh139_XUSED : X_BUF
generic map(
LOC => "SLICE_X24Y24",
PATHPULSE => 638 ps
)
port map (
I => Sh139_F5MUX_6718,
O => Sh139
);
Sh139_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X24Y24"
)
port map (
IA => N298,
IB => N299,
SEL => Sh139_BXINV_6710,
O => Sh139_F5MUX_6718
);
Sh139_BXINV : X_BUF
generic map(
LOC => "SLICE_X24Y24",
PATHPULSE => 638 ps
)
port map (
I => a(3),
O => Sh139_BXINV_6710
);
Sh13929_F : X_LUT4
generic map(
INIT => X"EE22",
LOC => "SLICE_X24Y24"
)
port map (
ADR0 => Sh107,
ADR1 => a(2),
ADR2 => VCC,
ADR3 => Sh103_0,
O => N298
);
b_reg_mux0000_10_21_G : X_LUT4
generic map(
INIT => X"FEAE",
LOC => "SLICE_X14Y14"
)
port map (
ADR0 => b_reg_mux0000_10_10_0,
ADR1 => b_reg(10),
ADR2 => state_FSM_FFd2_4312,
ADR3 => state_FSM_FFd1_4311,
O => N529
);
b_reg_mux0000_10_21_F : X_LUT4
generic map(
INIT => X"AFAA",
LOC => "SLICE_X14Y14"
)
port map (
ADR0 => b_reg_mux0000_10_10_0,
ADR1 => VCC,
ADR2 => state_FSM_FFd2_4312,
ADR3 => b_reg(10),
O => N528
);
b_reg_10_FFX_RSTOR : X_BUF
generic map(
LOC => "SLICE_X14Y14",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => b_reg_10_FFX_RST
);
b_reg_10 : X_FF
generic map(
LOC => "SLICE_X14Y14",
INIT => '0'
)
port map (
I => b_reg_10_DXMUX_6749,
CE => VCC,
CLK => b_reg_10_CLKINV_6731,
SET => GND,
RST => b_reg_10_FFX_RST,
O => b_reg(10)
);
b_reg_10_DXMUX : X_BUF
generic map(
LOC => "SLICE_X14Y14",
PATHPULSE => 638 ps
)
port map (
I => b_reg_10_F5MUX_6747,
O => b_reg_10_DXMUX_6749
);
b_reg_10_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X14Y14"
)
port map (
IA => N528,
IB => N529,
SEL => b_reg_10_BXINV_6740,
O => b_reg_10_F5MUX_6747
);
b_reg_10_BXINV : X_BUF
generic map(
LOC => "SLICE_X14Y14",
PATHPULSE => 638 ps
)
port map (
I => b_10_XORF_5798,
O => b_reg_10_BXINV_6740
);
b_reg_10_CLKINV : X_BUF
generic map(
LOC => "SLICE_X14Y14",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => b_reg_10_CLKINV_6731
);
Sh10_XUSED : X_BUF
generic map(
LOC => "SLICE_X12Y13",
PATHPULSE => 638 ps
)
port map (
I => Sh10_F5MUX_6779,
O => Sh10
);
Sh10_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X12Y13"
)
port map (
IA => N470,
IB => N471,
SEL => Sh10_BXINV_6772,
O => Sh10_F5MUX_6779
);
Sh10_BXINV : X_BUF
generic map(
LOC => "SLICE_X12Y13",
PATHPULSE => 638 ps
)
port map (
I => b_reg(1),
O => Sh10_BXINV_6772
);
Sh13_XUSED : X_BUF
generic map(
LOC => "SLICE_X14Y17",
PATHPULSE => 638 ps
)
port map (
I => Sh13_F5MUX_6804,
O => Sh13
);
Sh13_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X14Y17"
)
port map (
IA => N494,
IB => N495,
SEL => Sh13_BXINV_6797,
O => Sh13_F5MUX_6804
);
Sh13_BXINV : X_BUF
generic map(
LOC => "SLICE_X14Y17",
PATHPULSE => 638 ps
)
port map (
I => b_reg(1),
O => Sh13_BXINV_6797
);
Sh21_f5_G : X_LUT4
generic map(
INIT => X"7D28",
LOC => "SLICE_X14Y30"
)
port map (
ADR0 => b_reg_0_2_4323,
ADR1 => b_reg(18),
ADR2 => a_reg(18),
ADR3 => ab_xor_19_0,
O => N491
);
Sh21_XUSED : X_BUF
generic map(
LOC => "SLICE_X14Y30",
PATHPULSE => 638 ps
)
port map (
I => Sh21_F5MUX_6829,
O => Sh21
);
Sh21_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X14Y30"
)
port map (
IA => N490,
IB => N491,
SEL => Sh21_BXINV_6822,
O => Sh21_F5MUX_6829
);
Sh21_BXINV : X_BUF
generic map(
LOC => "SLICE_X14Y30",
PATHPULSE => 638 ps
)
port map (
I => b_reg(1),
O => Sh21_BXINV_6822
);
Sh21_f5_F : X_LUT4
generic map(
INIT => X"BE14",
LOC => "SLICE_X14Y30"
)
port map (
ADR0 => b_reg_0_2_4323,
ADR1 => b_reg(21),
ADR2 => a_reg(21),
ADR3 => ab_xor_20_0,
O => N490
);
Sh14_XUSED : X_BUF
generic map(
LOC => "SLICE_X12Y16",
PATHPULSE => 638 ps
)
port map (
I => Sh14_F5MUX_6854,
O => Sh14
);
Sh14_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X12Y16"
)
port map (
IA => N486,
IB => N487,
SEL => Sh14_BXINV_6847,
O => Sh14_F5MUX_6854
);
Sh14_BXINV : X_BUF
generic map(
LOC => "SLICE_X12Y16",
PATHPULSE => 638 ps
)
port map (
I => b_reg(1),
O => Sh14_BXINV_6847
);
Sh14_f5_G : X_LUT4
generic map(
INIT => X"F066",
LOC => "SLICE_X12Y16"
)
port map (
ADR0 => b_reg(12),
ADR1 => a_reg(12),
ADR2 => ab_xor_11_0,
ADR3 => b_reg_0_3_4316,
O => N487
);
Sh22_XUSED : X_BUF
generic map(
LOC => "SLICE_X15Y31",
PATHPULSE => 638 ps
)
port map (
I => Sh22_F5MUX_6879,
O => Sh22_4347
);
Sh22_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X15Y31"
)
port map (
IA => N482,
IB => N483,
SEL => Sh22_BXINV_6872,
O => Sh22_F5MUX_6879
);
Sh22_BXINV : X_BUF
generic map(
LOC => "SLICE_X15Y31",
PATHPULSE => 638 ps
)
port map (
I => b_reg(1),
O => Sh22_BXINV_6872
);
Sh30_XUSED : X_BUF
generic map(
LOC => "SLICE_X14Y35",
PATHPULSE => 638 ps
)
port map (
I => Sh30_F5MUX_6904,
O => Sh30
);
Sh30_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X14Y35"
)
port map (
IA => N472,
IB => N473,
SEL => Sh30_BXINV_6897,
O => Sh30_F5MUX_6904
);
Sh30_BXINV : X_BUF
generic map(
LOC => "SLICE_X14Y35",
PATHPULSE => 638 ps
)
port map (
I => b_reg(1),
O => Sh30_BXINV_6897
);
Sh17_XUSED : X_BUF
generic map(
LOC => "SLICE_X14Y23",
PATHPULSE => 638 ps
)
port map (
I => Sh17_F5MUX_6929,
O => Sh17
);
Sh17_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X14Y23"
)
port map (
IA => N492,
IB => N493,
SEL => Sh17_BXINV_6922,
O => Sh17_F5MUX_6929
);
Sh17_BXINV : X_BUF
generic map(
LOC => "SLICE_X14Y23",
PATHPULSE => 638 ps
)
port map (
I => b_reg(1),
O => Sh17_BXINV_6922
);
Sh25_XUSED : X_BUF
generic map(
LOC => "SLICE_X15Y32",
PATHPULSE => 638 ps
)
port map (
I => Sh25_F5MUX_6954,
O => Sh25
);
Sh25_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X15Y32"
)
port map (
IA => N488,
IB => N489,
SEL => Sh25_BXINV_6947,
O => Sh25_F5MUX_6954
);
Sh25_BXINV : X_BUF
generic map(
LOC => "SLICE_X15Y32",
PATHPULSE => 638 ps
)
port map (
I => b_reg(1),
O => Sh25_BXINV_6947
);
Sh18_XUSED : X_BUF
generic map(
LOC => "SLICE_X13Y20",
PATHPULSE => 638 ps
)
port map (
I => Sh18_F5MUX_6979,
O => Sh18
);
Sh18_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X13Y20"
)
port map (
IA => N484,
IB => N485,
SEL => Sh18_BXINV_6972,
O => Sh18_F5MUX_6979
);
Sh18_BXINV : X_BUF
generic map(
LOC => "SLICE_X13Y20",
PATHPULSE => 638 ps
)
port map (
I => b_reg(1),
O => Sh18_BXINV_6972
);
Sh26_XUSED : X_BUF
generic map(
LOC => "SLICE_X14Y32",
PATHPULSE => 638 ps
)
port map (
I => Sh26_F5MUX_7004,
O => Sh26
);
Sh26_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X14Y32"
)
port map (
IA => N480,
IB => N481,
SEL => Sh26_BXINV_6997,
O => Sh26_F5MUX_7004
);
Sh26_BXINV : X_BUF
generic map(
LOC => "SLICE_X14Y32",
PATHPULSE => 638 ps
)
port map (
I => b_reg(1),
O => Sh26_BXINV_6997
);
Sh29_XUSED : X_BUF
generic map(
LOC => "SLICE_X15Y34",
PATHPULSE => 638 ps
)
port map (
I => Sh29_F5MUX_7029,
O => Sh29
);
Sh29_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X15Y34"
)
port map (
IA => N478,
IB => N479,
SEL => Sh29_BXINV_7022,
O => Sh29_F5MUX_7029
);
Sh29_BXINV : X_BUF
generic map(
LOC => "SLICE_X15Y34",
PATHPULSE => 638 ps
)
port map (
I => b_reg(1),
O => Sh29_BXINV_7022
);
Sh4_XUSED : X_BUF
generic map(
LOC => "SLICE_X15Y19",
PATHPULSE => 638 ps
)
port map (
I => Sh4_F5MUX_7054,
O => Sh4
);
Sh4_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X15Y19"
)
port map (
IA => N300,
IB => N301,
SEL => Sh4_BXINV_7047,
O => Sh4_F5MUX_7054
);
Sh4_BXINV : X_BUF
generic map(
LOC => "SLICE_X15Y19",
PATHPULSE => 638 ps
)
port map (
I => b_reg(1),
O => Sh4_BXINV_7047
);
Sh8_XUSED : X_BUF
generic map(
LOC => "SLICE_X12Y14",
PATHPULSE => 638 ps
)
port map (
I => Sh8_F5MUX_7079,
O => Sh8
);
Sh8_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X12Y14"
)
port map (
IA => N324,
IB => N325,
SEL => Sh8_BXINV_7072,
O => Sh8_F5MUX_7079
);
Sh8_BXINV : X_BUF
generic map(
LOC => "SLICE_X12Y14",
PATHPULSE => 638 ps
)
port map (
I => b_reg(1),
O => Sh8_BXINV_7072
);
Sh96_XUSED : X_BUF
generic map(
LOC => "SLICE_X23Y27",
PATHPULSE => 638 ps
)
port map (
I => Sh96_F5MUX_7106,
O => Sh96
);
Sh96_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X23Y27"
)
port map (
IA => Sh962,
IB => Sh1262_rt_7104,
SEL => Sh96_BXINV_7096,
O => Sh96_F5MUX_7106
);
Sh96_BXINV : X_BUF
generic map(
LOC => "SLICE_X23Y27",
PATHPULSE => 638 ps
)
port map (
I => a(1),
O => Sh96_BXINV_7096
);
Sh96_YUSED : X_BUF
generic map(
LOC => "SLICE_X23Y27",
PATHPULSE => 638 ps
)
port map (
I => Sh962,
O => Sh962_0
);
Sh97_XUSED : X_BUF
generic map(
LOC => "SLICE_X24Y27",
PATHPULSE => 638 ps
)
port map (
I => Sh97_F5MUX_7131,
O => Sh97
);
Sh97_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X24Y27"
)
port map (
IA => Sh972_7119,
IB => Sh1272_rt_7129,
SEL => Sh97_BXINV_7121,
O => Sh97_F5MUX_7131
);
Sh97_BXINV : X_BUF
generic map(
LOC => "SLICE_X24Y27",
PATHPULSE => 638 ps
)
port map (
I => a(1),
O => Sh97_BXINV_7121
);
Sh100_XUSED : X_BUF
generic map(
LOC => "SLICE_X25Y27",
PATHPULSE => 638 ps
)
port map (
I => Sh100_F5MUX_7158,
O => Sh100
);
Sh100_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X25Y27"
)
port map (
IA => Sh1002,
IB => Sh1001_7156,
SEL => Sh100_BXINV_7151,
O => Sh100_F5MUX_7158
);
Sh100_BXINV : X_BUF
generic map(
LOC => "SLICE_X25Y27",
PATHPULSE => 638 ps
)
port map (
I => a(1),
O => Sh100_BXINV_7151
);
Sh100_YUSED : X_BUF
generic map(
LOC => "SLICE_X25Y27",
PATHPULSE => 638 ps
)
port map (
I => Sh1002,
O => Sh1002_0
);
Sh101_XUSED : X_BUF
generic map(
LOC => "SLICE_X22Y18",
PATHPULSE => 638 ps
)
port map (
I => Sh101_F5MUX_7185,
O => Sh101
);
Sh101_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X22Y18"
)
port map (
IA => Sh1012,
IB => Sh1011_rt_7183,
SEL => Sh101_BXINV_7175,
O => Sh101_F5MUX_7185
);
Sh101_BXINV : X_BUF
generic map(
LOC => "SLICE_X22Y18",
PATHPULSE => 638 ps
)
port map (
I => a(1),
O => Sh101_BXINV_7175
);
Sh101_YUSED : X_BUF
generic map(
LOC => "SLICE_X22Y18",
PATHPULSE => 638 ps
)
port map (
I => Sh1012,
O => Sh1012_0
);
Sh120_XUSED : X_BUF
generic map(
LOC => "SLICE_X21Y28",
PATHPULSE => 638 ps
)
port map (
I => Sh120_F5MUX_7212,
O => Sh120
);
Sh120_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X21Y28"
)
port map (
IA => Sh1202,
IB => Sh1182_rt_7210,
SEL => Sh120_BXINV_7202,
O => Sh120_F5MUX_7212
);
Sh120_BXINV : X_BUF
generic map(
LOC => "SLICE_X21Y28",
PATHPULSE => 638 ps
)
port map (
I => a(1),
O => Sh120_BXINV_7202
);
Sh120_YUSED : X_BUF
generic map(
LOC => "SLICE_X21Y28",
PATHPULSE => 638 ps
)
port map (
I => Sh1202,
O => Sh1202_0
);
Sh112_XUSED : X_BUF
generic map(
LOC => "SLICE_X25Y20",
PATHPULSE => 638 ps
)
port map (
I => Sh112_F5MUX_7239,
O => Sh112
);
Sh112_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X25Y20"
)
port map (
IA => Sh1122,
IB => Sh1102_rt_7237,
SEL => Sh112_BXINV_7229,
O => Sh112_F5MUX_7239
);
Sh112_BXINV : X_BUF
generic map(
LOC => "SLICE_X25Y20",
PATHPULSE => 638 ps
)
port map (
I => a(1),
O => Sh112_BXINV_7229
);
Sh112_YUSED : X_BUF
generic map(
LOC => "SLICE_X25Y20",
PATHPULSE => 638 ps
)
port map (
I => Sh1122,
O => Sh1122_0
);
Sh104_XUSED : X_BUF
generic map(
LOC => "SLICE_X20Y13",
PATHPULSE => 638 ps
)
port map (
I => Sh104_F5MUX_7266,
O => Sh104
);
Sh104_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X20Y13"
)
port map (
IA => Sh1042,
IB => Sh1022_rt_7264,
SEL => Sh104_BXINV_7256,
O => Sh104_F5MUX_7266
);
Sh104_BXINV : X_BUF
generic map(
LOC => "SLICE_X20Y13",
PATHPULSE => 638 ps
)
port map (
I => a(1),
O => Sh104_BXINV_7256
);
Sh104_YUSED : X_BUF
generic map(
LOC => "SLICE_X20Y13",
PATHPULSE => 638 ps
)
port map (
I => Sh1042,
O => Sh1042_0
);
Sh121_XUSED : X_BUF
generic map(
LOC => "SLICE_X23Y29",
PATHPULSE => 638 ps
)
port map (
I => Sh121_F5MUX_7293,
O => Sh121
);
Sh121_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X23Y29"
)
port map (
IA => Sh1212,
IB => Sh1192_rt_7291,
SEL => Sh121_BXINV_7283,
O => Sh121_F5MUX_7293
);
Sh121_BXINV : X_BUF
generic map(
LOC => "SLICE_X23Y29",
PATHPULSE => 638 ps
)
port map (
I => a(1),
O => Sh121_BXINV_7283
);
Sh121_YUSED : X_BUF
generic map(
LOC => "SLICE_X23Y29",
PATHPULSE => 638 ps
)
port map (
I => Sh1212,
O => Sh1212_0
);
Sh113_XUSED : X_BUF
generic map(
LOC => "SLICE_X24Y20",
PATHPULSE => 638 ps
)
port map (
I => Sh113_F5MUX_7320,
O => Sh113
);
Sh113_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X24Y20"
)
port map (
IA => Sh1132,
IB => Sh1112_rt_7318,
SEL => Sh113_BXINV_7310,
O => Sh113_F5MUX_7320
);
Sh113_BXINV : X_BUF
generic map(
LOC => "SLICE_X24Y20",
PATHPULSE => 638 ps
)
port map (
I => a(1),
O => Sh113_BXINV_7310
);
Sh113_YUSED : X_BUF
generic map(
LOC => "SLICE_X24Y20",
PATHPULSE => 638 ps
)
port map (
I => Sh1132,
O => Sh1132_0
);
Sh105_XUSED : X_BUF
generic map(
LOC => "SLICE_X20Y12",
PATHPULSE => 638 ps
)
port map (
I => Sh105_F5MUX_7347,
O => Sh105
);
Sh105_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X20Y12"
)
port map (
IA => Sh1052,
IB => Sh1032_rt_7345,
SEL => Sh105_BXINV_7337,
O => Sh105_F5MUX_7347
);
Sh105_BXINV : X_BUF
generic map(
LOC => "SLICE_X20Y12",
PATHPULSE => 638 ps
)
port map (
I => a(1),
O => Sh105_BXINV_7337
);
Sh105_YUSED : X_BUF
generic map(
LOC => "SLICE_X20Y12",
PATHPULSE => 638 ps
)
port map (
I => Sh1052,
O => Sh1052_0
);
Sh124_XUSED : X_BUF
generic map(
LOC => "SLICE_X22Y29",
PATHPULSE => 638 ps
)
port map (
I => Sh124_F5MUX_7374,
O => Sh124
);
Sh124_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X22Y29"
)
port map (
IA => Sh1242,
IB => Sh1222_rt_7372,
SEL => Sh124_BXINV_7364,
O => Sh124_F5MUX_7374
);
Sh124_BXINV : X_BUF
generic map(
LOC => "SLICE_X22Y29",
PATHPULSE => 638 ps
)
port map (
I => a(1),
O => Sh124_BXINV_7364
);
Sh124_YUSED : X_BUF
generic map(
LOC => "SLICE_X22Y29",
PATHPULSE => 638 ps
)
port map (
I => Sh1242,
O => Sh1242_0
);
Sh116_XUSED : X_BUF
generic map(
LOC => "SLICE_X25Y24",
PATHPULSE => 638 ps
)
port map (
I => Sh116_F5MUX_7401,
O => Sh116
);
Sh116_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X25Y24"
)
port map (
IA => Sh1162,
IB => Sh1142_rt_7399,
SEL => Sh116_BXINV_7391,
O => Sh116_F5MUX_7401
);
Sh116_BXINV : X_BUF
generic map(
LOC => "SLICE_X25Y24",
PATHPULSE => 638 ps
)
port map (
I => a(1),
O => Sh116_BXINV_7391
);
Sh116_YUSED : X_BUF
generic map(
LOC => "SLICE_X25Y24",
PATHPULSE => 638 ps
)
port map (
I => Sh1162,
O => Sh1162_0
);
Sh108_XUSED : X_BUF
generic map(
LOC => "SLICE_X22Y12",
PATHPULSE => 638 ps
)
port map (
I => Sh108_F5MUX_7428,
O => Sh108
);
Sh108_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X22Y12"
)
port map (
IA => Sh1082,
IB => Sh1062_rt_7426,
SEL => Sh108_BXINV_7418,
O => Sh108_F5MUX_7428
);
Sh108_BXINV : X_BUF
generic map(
LOC => "SLICE_X22Y12",
PATHPULSE => 638 ps
)
port map (
I => a(1),
O => Sh108_BXINV_7418
);
Sh108_YUSED : X_BUF
generic map(
LOC => "SLICE_X22Y12",
PATHPULSE => 638 ps
)
port map (
I => Sh1082,
O => Sh1082_0
);
Sh125_XUSED : X_BUF
generic map(
LOC => "SLICE_X23Y30",
PATHPULSE => 638 ps
)
port map (
I => Sh125_F5MUX_7455,
O => Sh125
);
Sh125_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X23Y30"
)
port map (
IA => Sh1252,
IB => Sh1232_rt_7453,
SEL => Sh125_BXINV_7445,
O => Sh125_F5MUX_7455
);
Sh125_BXINV : X_BUF
generic map(
LOC => "SLICE_X23Y30",
PATHPULSE => 638 ps
)
port map (
I => a(1),
O => Sh125_BXINV_7445
);
Sh125_YUSED : X_BUF
generic map(
LOC => "SLICE_X23Y30",
PATHPULSE => 638 ps
)
port map (
I => Sh1252,
O => Sh1252_0
);
Sh117_XUSED : X_BUF
generic map(
LOC => "SLICE_X25Y25",
PATHPULSE => 638 ps
)
port map (
I => Sh117_F5MUX_7482,
O => Sh117
);
Sh117_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X25Y25"
)
port map (
IA => Sh1172,
IB => Sh1152_rt_7480,
SEL => Sh117_BXINV_7472,
O => Sh117_F5MUX_7482
);
Sh117_BXINV : X_BUF
generic map(
LOC => "SLICE_X25Y25",
PATHPULSE => 638 ps
)
port map (
I => a(1),
O => Sh117_BXINV_7472
);
Sh117_YUSED : X_BUF
generic map(
LOC => "SLICE_X25Y25",
PATHPULSE => 638 ps
)
port map (
I => Sh1172,
O => Sh1172_0
);
Sh109_XUSED : X_BUF
generic map(
LOC => "SLICE_X22Y16",
PATHPULSE => 638 ps
)
port map (
I => Sh109_F5MUX_7509,
O => Sh109
);
Sh109_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X22Y16"
)
port map (
IA => Sh1092,
IB => Sh1072_rt_7507,
SEL => Sh109_BXINV_7499,
O => Sh109_F5MUX_7509
);
Sh109_BXINV : X_BUF
generic map(
LOC => "SLICE_X22Y16",
PATHPULSE => 638 ps
)
port map (
I => a(1),
O => Sh109_BXINV_7499
);
Sh109_YUSED : X_BUF
generic map(
LOC => "SLICE_X22Y16",
PATHPULSE => 638 ps
)
port map (
I => Sh1092,
O => Sh1092_0
);
Sh3_XUSED : X_BUF
generic map(
LOC => "SLICE_X12Y20",
PATHPULSE => 638 ps
)
port map (
I => Sh3_F5MUX_7534,
O => Sh3
);
Sh3_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X12Y20"
)
port map (
IA => N308,
IB => N309,
SEL => Sh3_BXINV_7526,
O => Sh3_F5MUX_7534
);
Sh3_BXINV : X_BUF
generic map(
LOC => "SLICE_X12Y20",
PATHPULSE => 638 ps
)
port map (
I => b_reg(1),
O => Sh3_BXINV_7526
);
Sh7_XUSED : X_BUF
generic map(
LOC => "SLICE_X13Y17",
PATHPULSE => 638 ps
)
port map (
I => Sh7_F5MUX_7559,
O => Sh7
);
Sh7_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X13Y17"
)
port map (
IA => N336,
IB => N337,
SEL => Sh7_BXINV_7552,
O => Sh7_F5MUX_7559
);
Sh7_BXINV : X_BUF
generic map(
LOC => "SLICE_X13Y17",
PATHPULSE => 638 ps
)
port map (
I => b_reg(1),
O => Sh7_BXINV_7552
);
Sh11_XUSED : X_BUF
generic map(
LOC => "SLICE_X13Y12",
PATHPULSE => 638 ps
)
port map (
I => Sh11_F5MUX_7584,
O => Sh11
);
Sh11_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X13Y12"
)
port map (
IA => N348,
IB => N349,
SEL => Sh11_BXINV_7577,
O => Sh11_F5MUX_7584
);
Sh11_BXINV : X_BUF
generic map(
LOC => "SLICE_X13Y12",
PATHPULSE => 638 ps
)
port map (
I => b_reg(1),
O => Sh11_BXINV_7577
);
Sh15_XUSED : X_BUF
generic map(
LOC => "SLICE_X13Y16",
PATHPULSE => 638 ps
)
port map (
I => Sh15_F5MUX_7609,
O => Sh15
);
Sh15_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X13Y16"
)
port map (
IA => N346,
IB => N347,
SEL => Sh15_BXINV_7602,
O => Sh15_F5MUX_7609
);
Sh15_BXINV : X_BUF
generic map(
LOC => "SLICE_X13Y16",
PATHPULSE => 638 ps
)
port map (
I => b_reg(1),
O => Sh15_BXINV_7602
);
Sh23_XUSED : X_BUF
generic map(
LOC => "SLICE_X15Y33",
PATHPULSE => 638 ps
)
port map (
I => Sh23_F5MUX_7634,
O => Sh23_4457
);
Sh23_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X15Y33"
)
port map (
IA => N342,
IB => N343,
SEL => Sh23_BXINV_7627,
O => Sh23_F5MUX_7634
);
Sh23_BXINV : X_BUF
generic map(
LOC => "SLICE_X15Y33",
PATHPULSE => 638 ps
)
port map (
I => b_reg(1),
O => Sh23_BXINV_7627
);
Sh31_XUSED : X_BUF
generic map(
LOC => "SLICE_X12Y34",
PATHPULSE => 638 ps
)
port map (
I => Sh31_F5MUX_7659,
O => Sh31
);
Sh31_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X12Y34"
)
port map (
IA => N338,
IB => N339,
SEL => Sh31_BXINV_7652,
O => Sh31_F5MUX_7659
);
Sh31_BXINV : X_BUF
generic map(
LOC => "SLICE_X12Y34",
PATHPULSE => 638 ps
)
port map (
I => b_reg(1),
O => Sh31_BXINV_7652
);
Sh19_XUSED : X_BUF
generic map(
LOC => "SLICE_X14Y22",
PATHPULSE => 638 ps
)
port map (
I => Sh19_F5MUX_7684,
O => Sh19
);
Sh19_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X14Y22"
)
port map (
IA => N344,
IB => N345,
SEL => Sh19_BXINV_7677,
O => Sh19_F5MUX_7684
);
Sh19_BXINV : X_BUF
generic map(
LOC => "SLICE_X14Y22",
PATHPULSE => 638 ps
)
port map (
I => b_reg(1),
O => Sh19_BXINV_7677
);
Sh27_XUSED : X_BUF
generic map(
LOC => "SLICE_X14Y34",
PATHPULSE => 638 ps
)
port map (
I => Sh27_F5MUX_7709,
O => Sh27
);
Sh27_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X14Y34"
)
port map (
IA => N340,
IB => N341,
SEL => Sh27_BXINV_7702,
O => Sh27_F5MUX_7709
);
Sh27_BXINV : X_BUF
generic map(
LOC => "SLICE_X14Y34",
PATHPULSE => 638 ps
)
port map (
I => b_reg(1),
O => Sh27_BXINV_7702
);
Sh12_XUSED : X_BUF
generic map(
LOC => "SLICE_X12Y15",
PATHPULSE => 638 ps
)
port map (
I => Sh12_F5MUX_7734,
O => Sh12
);
Sh12_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X12Y15"
)
port map (
IA => N334,
IB => N335,
SEL => Sh12_BXINV_7727,
O => Sh12_F5MUX_7734
);
Sh12_BXINV : X_BUF
generic map(
LOC => "SLICE_X12Y15",
PATHPULSE => 638 ps
)
port map (
I => b_reg(1),
O => Sh12_BXINV_7727
);
Sh20_XUSED : X_BUF
generic map(
LOC => "SLICE_X15Y25",
PATHPULSE => 638 ps
)
port map (
I => Sh20_F5MUX_7759,
O => Sh20
);
Sh20_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X15Y25"
)
port map (
IA => N330,
IB => N331,
SEL => Sh20_BXINV_7752,
O => Sh20_F5MUX_7759
);
Sh20_BXINV : X_BUF
generic map(
LOC => "SLICE_X15Y25",
PATHPULSE => 638 ps
)
port map (
I => b_reg(1),
O => Sh20_BXINV_7752
);
Sh16_XUSED : X_BUF
generic map(
LOC => "SLICE_X12Y21",
PATHPULSE => 638 ps
)
port map (
I => Sh16_F5MUX_7784,
O => Sh16
);
Sh16_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X12Y21"
)
port map (
IA => N332,
IB => N333,
SEL => Sh16_BXINV_7777,
O => Sh16_F5MUX_7784
);
Sh16_BXINV : X_BUF
generic map(
LOC => "SLICE_X12Y21",
PATHPULSE => 638 ps
)
port map (
I => b_reg(1),
O => Sh16_BXINV_7777
);
Sh24_XUSED : X_BUF
generic map(
LOC => "SLICE_X14Y33",
PATHPULSE => 638 ps
)
port map (
I => Sh24_F5MUX_7809,
O => Sh24
);
Sh24_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X14Y33"
)
port map (
IA => N328,
IB => N329,
SEL => Sh24_BXINV_7802,
O => Sh24_F5MUX_7809
);
Sh24_BXINV : X_BUF
generic map(
LOC => "SLICE_X14Y33",
PATHPULSE => 638 ps
)
port map (
I => b_reg(1),
O => Sh24_BXINV_7802
);
Sh28_XUSED : X_BUF
generic map(
LOC => "SLICE_X15Y35",
PATHPULSE => 638 ps
)
port map (
I => Sh28_F5MUX_7834,
O => Sh28
);
Sh28_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X15Y35"
)
port map (
IA => N326,
IB => N327,
SEL => Sh28_BXINV_7827,
O => Sh28_F5MUX_7834
);
Sh28_BXINV : X_BUF
generic map(
LOC => "SLICE_X15Y35",
PATHPULSE => 638 ps
)
port map (
I => b_reg(1),
O => Sh28_BXINV_7827
);
Sh123_XUSED : X_BUF
generic map(
LOC => "SLICE_X23Y28",
PATHPULSE => 638 ps
)
port map (
I => Sh123_F5MUX_7859,
O => Sh123
);
Sh123_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X23Y28"
)
port map (
IA => N496,
IB => N497,
SEL => Sh123_BXINV_7852,
O => Sh123_F5MUX_7859
);
Sh123_BXINV : X_BUF
generic map(
LOC => "SLICE_X23Y28",
PATHPULSE => 638 ps
)
port map (
I => a(1),
O => Sh123_BXINV_7852
);
Sh127_XUSED : X_BUF
generic map(
LOC => "SLICE_X21Y30",
PATHPULSE => 638 ps
)
port map (
I => Sh127_F5MUX_7884,
O => Sh127
);
Sh127_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X21Y30"
)
port map (
IA => N460,
IB => N461,
SEL => Sh127_BXINV_7877,
O => Sh127_F5MUX_7884
);
Sh127_BXINV : X_BUF
generic map(
LOC => "SLICE_X21Y30",
PATHPULSE => 638 ps
)
port map (
I => a(1),
O => Sh127_BXINV_7877
);
Sh145_XUSED : X_BUF
generic map(
LOC => "SLICE_X24Y15",
PATHPULSE => 638 ps
)
port map (
I => Sh145_F5MUX_7909,
O => Sh145
);
Sh145_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X24Y15"
)
port map (
IA => Sh145311_7899,
IB => Sh14531,
SEL => Sh145_BXINV_7901,
O => Sh145_F5MUX_7909
);
Sh145_BXINV : X_BUF
generic map(
LOC => "SLICE_X24Y15",
PATHPULSE => 638 ps
)
port map (
I => a(3),
O => Sh145_BXINV_7901
);
Sh_XUSED : X_BUF
generic map(
LOC => "SLICE_X12Y35",
PATHPULSE => 638 ps
)
port map (
I => Sh_F5MUX_7934,
O => Sh
);
Sh_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X12Y35"
)
port map (
IA => N410,
IB => N411,
SEL => Sh_BXINV_7927,
O => Sh_F5MUX_7934
);
Sh_BXINV : X_BUF
generic map(
LOC => "SLICE_X12Y35",
PATHPULSE => 638 ps
)
port map (
I => b_reg(1),
O => Sh_BXINV_7927
);
N291_XUSED : X_BUF
generic map(
LOC => "SLICE_X8Y3",
PATHPULSE => 638 ps
)
port map (
I => N291_F5MUX_7959,
O => N291
);
N291_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X8Y3"
)
port map (
IA => N464,
IB => N465,
SEL => N291_BXINV_7950,
O => N291_F5MUX_7959
);
N291_BXINV : X_BUF
generic map(
LOC => "SLICE_X8Y3",
PATHPULSE => 638 ps
)
port map (
I => state_FSM_FFd1_4311,
O => N291_BXINV_7950
);
N292_XUSED : X_BUF
generic map(
LOC => "SLICE_X8Y2",
PATHPULSE => 638 ps
)
port map (
I => N292_F5MUX_7984,
O => N292
);
N292_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X8Y2"
)
port map (
IA => N466,
IB => N467,
SEL => N292_BXINV_7975,
O => N292_F5MUX_7984
);
N292_BXINV : X_BUF
generic map(
LOC => "SLICE_X8Y2",
PATHPULSE => 638 ps
)
port map (
I => state_FSM_FFd1_4311,
O => N292_BXINV_7975
);
N281_XUSED : X_BUF
generic map(
LOC => "SLICE_X2Y20",
PATHPULSE => 638 ps
)
port map (
I => N281_F5MUX_8009,
O => N281
);
N281_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X2Y20"
)
port map (
IA => N456,
IB => N457,
SEL => N281_BXINV_8000,
O => N281_F5MUX_8009
);
N281_BXINV : X_BUF
generic map(
LOC => "SLICE_X2Y20",
PATHPULSE => 638 ps
)
port map (
I => state_FSM_FFd1_4311,
O => N281_BXINV_8000
);
b_reg_mux0000_3_24_SW0_G : X_LUT4
generic map(
INIT => X"2222",
LOC => "SLICE_X2Y20"
)
port map (
ADR0 => b_reg(3),
ADR1 => state_FSM_FFd2_4312,
ADR2 => VCC,
ADR3 => VCC,
O => N457
);
b_reg_mux0000_3_24_SW1_G : X_LUT4
generic map(
INIT => X"FFF0",
LOC => "SLICE_X2Y23"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => state_FSM_FFd2_4312,
ADR3 => b_reg(3),
O => N459
);
N282_XUSED : X_BUF
generic map(
LOC => "SLICE_X2Y23",
PATHPULSE => 638 ps
)
port map (
I => N282_F5MUX_8034,
O => N282
);
N282_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X2Y23"
)
port map (
IA => N458,
IB => N459,
SEL => N282_BXINV_8025,
O => N282_F5MUX_8034
);
N282_BXINV : X_BUF
generic map(
LOC => "SLICE_X2Y23",
PATHPULSE => 638 ps
)
port map (
I => state_FSM_FFd1_4311,
O => N282_BXINV_8025
);
b_reg_mux0000_3_24_SW1_F : X_LUT4
generic map(
INIT => X"B784",
LOC => "SLICE_X2Y23"
)
port map (
ADR0 => Madd_b_pre_cy_2_Q,
ADR1 => state_FSM_FFd2_4312,
ADR2 => swtch_led_3_OBUF_4256,
ADR3 => b_reg(3),
O => N458
);
b_reg_mux0000_4_34_SW0_G : X_LUT4
generic map(
INIT => X"0A0A",
LOC => "SLICE_X1Y20"
)
port map (
ADR0 => b_reg(4),
ADR1 => VCC,
ADR2 => state_FSM_FFd2_4312,
ADR3 => VCC,
O => N453
);
N278_XUSED : X_BUF
generic map(
LOC => "SLICE_X1Y20",
PATHPULSE => 638 ps
)
port map (
I => N278_F5MUX_8059,
O => N278
);
N278_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X1Y20"
)
port map (
IA => N452,
IB => N453,
SEL => N278_BXINV_8050,
O => N278_F5MUX_8059
);
N278_BXINV : X_BUF
generic map(
LOC => "SLICE_X1Y20",
PATHPULSE => 638 ps
)
port map (
I => state_FSM_FFd1_4311,
O => N278_BXINV_8050
);
b_reg_mux0000_4_34_SW0_F : X_LUT4
generic map(
INIT => X"FCAC",
LOC => "SLICE_X1Y20"
)
port map (
ADR0 => b_reg_mux0000_4_3_0,
ADR1 => b_reg(4),
ADR2 => state_FSM_FFd2_4312,
ADR3 => b_reg_mux0000_4_12_0,
O => N452
);
b_reg_mux0000_4_34_SW1_G : X_LUT4
generic map(
INIT => X"FFCC",
LOC => "SLICE_X0Y21"
)
port map (
ADR0 => VCC,
ADR1 => state_FSM_FFd2_4312,
ADR2 => VCC,
ADR3 => b_reg(4),
O => N455
);
N279_XUSED : X_BUF
generic map(
LOC => "SLICE_X0Y21",
PATHPULSE => 638 ps
)
port map (
I => N279_F5MUX_8084,
O => N279
);
N279_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X0Y21"
)
port map (
IA => N454,
IB => N455,
SEL => N279_BXINV_8075,
O => N279_F5MUX_8084
);
N279_BXINV : X_BUF
generic map(
LOC => "SLICE_X0Y21",
PATHPULSE => 638 ps
)
port map (
I => state_FSM_FFd1_4311,
O => N279_BXINV_8075
);
b_reg_mux0000_4_34_SW1_F : X_LUT4
generic map(
INIT => X"FCB8",
LOC => "SLICE_X0Y21"
)
port map (
ADR0 => b_reg_mux0000_4_12_0,
ADR1 => state_FSM_FFd2_4312,
ADR2 => b_reg(4),
ADR3 => b_reg_mux0000_4_3_0,
O => N454
);
Sh1307_G : X_LUT4
generic map(
INIT => X"BB88",
LOC => "SLICE_X26Y23"
)
port map (
ADR0 => Sh1162_0,
ADR1 => a(1),
ADR2 => VCC,
ADR3 => Sh1182_0,
O => N371
);
Sh1307_XUSED : X_BUF
generic map(
LOC => "SLICE_X26Y23",
PATHPULSE => 638 ps
)
port map (
I => Sh1307_F5MUX_8109,
O => Sh1307
);
Sh1307_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X26Y23"
)
port map (
IA => N370,
IB => N371,
SEL => Sh1307_BXINV_8101,
O => Sh1307_F5MUX_8109
);
Sh1307_BXINV : X_BUF
generic map(
LOC => "SLICE_X26Y23",
PATHPULSE => 638 ps
)
port map (
I => a(3),
O => Sh1307_BXINV_8101
);
Sh1307_F : X_LUT4
generic map(
INIT => X"EE22",
LOC => "SLICE_X26Y23"
)
port map (
ADR0 => Sh1262_0,
ADR1 => a(1),
ADR2 => VCC,
ADR3 => Sh1242_0,
O => N370
);
Sh1601_G : X_LUT4
generic map(
INIT => X"DDDC",
LOC => "SLICE_X23Y12"
)
port map (
ADR0 => a(2),
ADR1 => Sh14412_0,
ADR2 => Sh14413_0,
ADR3 => Sh14416_4486,
O => N319
);
Sh160_XUSED : X_BUF
generic map(
LOC => "SLICE_X23Y12",
PATHPULSE => 638 ps
)
port map (
I => Sh160_F5MUX_8134,
O => Sh160
);
Sh160_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X23Y12"
)
port map (
IA => N318,
IB => N319,
SEL => Sh160_BXINV_8127,
O => Sh160_F5MUX_8134
);
Sh160_BXINV : X_BUF
generic map(
LOC => "SLICE_X23Y12",
PATHPULSE => 638 ps
)
port map (
I => a(4),
O => Sh160_BXINV_8127
);
Sh1601_F : X_LUT4
generic map(
INIT => X"FE54",
LOC => "SLICE_X23Y12"
)
port map (
ADR0 => a(2),
ADR1 => Sh12813_0,
ADR2 => Sh12816_0,
ADR3 => Sh1287_0,
O => N318
);
Sh1507_G : X_LUT4
generic map(
INIT => X"E4E4",
LOC => "SLICE_X29Y20"
)
port map (
ADR0 => a(1),
ADR1 => Sh1062_0,
ADR2 => Sh1042_0,
ADR3 => VCC,
O => N435
);
Sh1507_XUSED : X_BUF
generic map(
LOC => "SLICE_X29Y20",
PATHPULSE => 638 ps
)
port map (
I => Sh1507_F5MUX_8159,
O => Sh1507
);
Sh1507_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X29Y20"
)
port map (
IA => N434,
IB => N435,
SEL => Sh1507_BXINV_8151,
O => Sh1507_F5MUX_8159
);
Sh1507_BXINV : X_BUF
generic map(
LOC => "SLICE_X29Y20",
PATHPULSE => 638 ps
)
port map (
I => a(3),
O => Sh1507_BXINV_8151
);
Sh1507_F : X_LUT4
generic map(
INIT => X"ACAC",
LOC => "SLICE_X29Y20"
)
port map (
ADR0 => Sh1122_0,
ADR1 => Sh1142_0,
ADR2 => a(1),
ADR3 => VCC,
O => N434
);
Sh1427_G : X_LUT4
generic map(
INIT => X"F0CC",
LOC => "SLICE_X28Y18"
)
port map (
ADR0 => VCC,
ADR1 => Sh982_4493,
ADR2 => Sh962_0,
ADR3 => a(1),
O => N417
);
Sh13820_XUSED : X_BUF
generic map(
LOC => "SLICE_X28Y18",
PATHPULSE => 638 ps
)
port map (
I => Sh13820_F5MUX_8184,
O => Sh13820
);
Sh13820_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X28Y18"
)
port map (
IA => N416,
IB => N417,
SEL => Sh13820_BXINV_8176,
O => Sh13820_F5MUX_8184
);
Sh13820_BXINV : X_BUF
generic map(
LOC => "SLICE_X28Y18",
PATHPULSE => 638 ps
)
port map (
I => a(3),
O => Sh13820_BXINV_8176
);
Sh1427_F : X_LUT4
generic map(
INIT => X"EE44",
LOC => "SLICE_X28Y18"
)
port map (
ADR0 => a(1),
ADR1 => Sh1062_0,
ADR2 => VCC,
ADR3 => Sh1042_0,
O => N416
);
Sh1517_XUSED : X_BUF
generic map(
LOC => "SLICE_X23Y17",
PATHPULSE => 638 ps
)
port map (
I => Sh1517_F5MUX_8209,
O => Sh1517
);
Sh1517_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X23Y17"
)
port map (
IA => N432,
IB => N433,
SEL => Sh1517_BXINV_8201,
O => Sh1517_F5MUX_8209
);
Sh1517_BXINV : X_BUF
generic map(
LOC => "SLICE_X23Y17",
PATHPULSE => 638 ps
)
port map (
I => a(3),
O => Sh1517_BXINV_8201
);
Sh162_XUSED : X_BUF
generic map(
LOC => "SLICE_X27Y15",
PATHPULSE => 638 ps
)
port map (
I => Sh162_F5MUX_8234,
O => Sh162
);
Sh162_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X27Y15"
)
port map (
IA => N316,
IB => N317,
SEL => Sh162_BXINV_8227,
O => Sh162_F5MUX_8234
);
Sh162_BXINV : X_BUF
generic map(
LOC => "SLICE_X27Y15",
PATHPULSE => 638 ps
)
port map (
I => a(4),
O => Sh162_BXINV_8227
);
Sh40_XUSED : X_BUF
generic map(
LOC => "SLICE_X12Y24",
PATHPULSE => 638 ps
)
port map (
I => Sh40_F5MUX_8259,
O => Sh40
);
Sh40_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X12Y24"
)
port map (
IA => N368,
IB => N369,
SEL => Sh40_BXINV_8251,
O => Sh40_F5MUX_8259
);
Sh40_BXINV : X_BUF
generic map(
LOC => "SLICE_X12Y24",
PATHPULSE => 638 ps
)
port map (
I => b_reg(2),
O => Sh40_BXINV_8251
);
Sh32_XUSED : X_BUF
generic map(
LOC => "SLICE_X13Y26",
PATHPULSE => 638 ps
)
port map (
I => Sh32_F5MUX_8284,
O => Sh32
);
Sh32_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X13Y26"
)
port map (
IA => N352,
IB => N353,
SEL => Sh32_BXINV_8276,
O => Sh32_F5MUX_8284
);
Sh32_BXINV : X_BUF
generic map(
LOC => "SLICE_X13Y26",
PATHPULSE => 638 ps
)
port map (
I => b_reg(2),
O => Sh32_BXINV_8276
);
Sh163_XUSED : X_BUF
generic map(
LOC => "SLICE_X24Y16",
PATHPULSE => 638 ps
)
port map (
I => Sh163_F5MUX_8309,
O => Sh163
);
Sh163_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X24Y16"
)
port map (
IA => N310,
IB => N311,
SEL => Sh163_BXINV_8302,
O => Sh163_F5MUX_8309
);
Sh163_BXINV : X_BUF
generic map(
LOC => "SLICE_X24Y16",
PATHPULSE => 638 ps
)
port map (
I => a(4),
O => Sh163_BXINV_8302
);
Sh164_XUSED : X_BUF
generic map(
LOC => "SLICE_X25Y12",
PATHPULSE => 638 ps
)
port map (
I => Sh164_F5MUX_8334,
O => Sh164
);
Sh164_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X25Y12"
)
port map (
IA => N312,
IB => N313,
SEL => Sh164_BXINV_8327,
O => Sh164_F5MUX_8334
);
Sh164_BXINV : X_BUF
generic map(
LOC => "SLICE_X25Y12",
PATHPULSE => 638 ps
)
port map (
I => a(4),
O => Sh164_BXINV_8327
);
Sh4131_F : X_LUT4
generic map(
INIT => X"D8D8",
LOC => "SLICE_X15Y26"
)
port map (
ADR0 => b_reg(3),
ADR1 => Sh1,
ADR2 => Sh9,
ADR3 => VCC,
O => N424
);
Sh4131_G : X_LUT4
generic map(
INIT => X"ACAC",
LOC => "SLICE_X15Y26"
)
port map (
ADR0 => Sh29,
ADR1 => Sh5,
ADR2 => b_reg(3),
ADR3 => VCC,
O => N425
);
Sh41_XUSED : X_BUF
generic map(
LOC => "SLICE_X15Y26",
PATHPULSE => 638 ps
)
port map (
I => Sh41_F5MUX_8359,
O => Sh41
);
Sh41_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X15Y26"
)
port map (
IA => N424,
IB => N425,
SEL => Sh41_BXINV_8351,
O => Sh41_F5MUX_8359
);
Sh41_BXINV : X_BUF
generic map(
LOC => "SLICE_X15Y26",
PATHPULSE => 638 ps
)
port map (
I => b_reg(2),
O => Sh41_BXINV_8351
);
Sh1547_F : X_LUT4
generic map(
INIT => X"F0AA",
LOC => "SLICE_X27Y25"
)
port map (
ADR0 => Sh1182_0,
ADR1 => VCC,
ADR2 => Sh1162_0,
ADR3 => a(1),
O => N420
);
Sh1547_G : X_LUT4
generic map(
INIT => X"CFC0",
LOC => "SLICE_X27Y25"
)
port map (
ADR0 => VCC,
ADR1 => Sh1082_0,
ADR2 => a(1),
ADR3 => Sh1102_0,
O => N421
);
Sh1547_XUSED : X_BUF
generic map(
LOC => "SLICE_X27Y25",
PATHPULSE => 638 ps
)
port map (
I => Sh1547_F5MUX_8384,
O => Sh1547
);
Sh1547_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X27Y25"
)
port map (
IA => N420,
IB => N421,
SEL => Sh1547_BXINV_8376,
O => Sh1547_F5MUX_8384
);
Sh1547_BXINV : X_BUF
generic map(
LOC => "SLICE_X27Y25",
PATHPULSE => 638 ps
)
port map (
I => a(3),
O => Sh1547_BXINV_8376
);
Sh1387_F : X_LUT4
generic map(
INIT => X"F3C0",
LOC => "SLICE_X26Y21"
)
port map (
ADR0 => VCC,
ADR1 => a(1),
ADR2 => Sh1002_0,
ADR3 => Sh1022_0,
O => N400
);
Sh1387_G : X_LUT4
generic map(
INIT => X"CCAA",
LOC => "SLICE_X26Y21"
)
port map (
ADR0 => Sh1262_0,
ADR1 => Sh1242_0,
ADR2 => VCC,
ADR3 => a(1),
O => N401
);
Sh13420_XUSED : X_BUF
generic map(
LOC => "SLICE_X26Y21",
PATHPULSE => 638 ps
)
port map (
I => Sh13420_F5MUX_8409,
O => Sh13420
);
Sh13420_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X26Y21"
)
port map (
IA => N400,
IB => N401,
SEL => Sh13420_BXINV_8401,
O => Sh13420_F5MUX_8409
);
Sh13420_BXINV : X_BUF
generic map(
LOC => "SLICE_X26Y21",
PATHPULSE => 638 ps
)
port map (
I => a(3),
O => Sh13420_BXINV_8401
);
Sh3331_F : X_LUT4
generic map(
INIT => X"FA50",
LOC => "SLICE_X14Y27"
)
port map (
ADR0 => b_reg(3),
ADR1 => VCC,
ADR2 => Sh1,
ADR3 => Sh25,
O => N374
);
Sh3331_G : X_LUT4
generic map(
INIT => X"DD88",
LOC => "SLICE_X14Y27"
)
port map (
ADR0 => b_reg(3),
ADR1 => Sh21,
ADR2 => VCC,
ADR3 => Sh29,
O => N375
);
Sh33_XUSED : X_BUF
generic map(
LOC => "SLICE_X14Y27",
PATHPULSE => 638 ps
)
port map (
I => Sh33_F5MUX_8434,
O => Sh33
);
Sh33_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X14Y27"
)
port map (
IA => N374,
IB => N375,
SEL => Sh33_BXINV_8426,
O => Sh33_F5MUX_8434
);
Sh33_BXINV : X_BUF
generic map(
LOC => "SLICE_X14Y27",
PATHPULSE => 638 ps
)
port map (
I => b_reg(2),
O => Sh33_BXINV_8426
);
Sh1557_F : X_LUT4
generic map(
INIT => X"CFC0",
LOC => "SLICE_X27Y23"
)
port map (
ADR0 => VCC,
ADR1 => Sh1172_0,
ADR2 => a(1),
ADR3 => Sh1192_0,
O => N418
);
Sh1557_G : X_LUT4
generic map(
INIT => X"FC30",
LOC => "SLICE_X27Y23"
)
port map (
ADR0 => VCC,
ADR1 => a(1),
ADR2 => Sh1112_0,
ADR3 => Sh1092_0,
O => N419
);
Sh1557_XUSED : X_BUF
generic map(
LOC => "SLICE_X27Y23",
PATHPULSE => 638 ps
)
port map (
I => Sh1557_F5MUX_8459,
O => Sh1557
);
Sh1557_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X27Y23"
)
port map (
IA => N418,
IB => N419,
SEL => Sh1557_BXINV_8451,
O => Sh1557_F5MUX_8459
);
Sh1557_BXINV : X_BUF
generic map(
LOC => "SLICE_X27Y23",
PATHPULSE => 638 ps
)
port map (
I => a(3),
O => Sh1557_BXINV_8451
);
Sh4231_G : X_LUT4
generic map(
INIT => X"AFA0",
LOC => "SLICE_X15Y29"
)
port map (
ADR0 => Sh30,
ADR1 => VCC,
ADR2 => b_reg(3),
ADR3 => Sh6,
O => N429
);
Sh42_XUSED : X_BUF
generic map(
LOC => "SLICE_X15Y29",
PATHPULSE => 638 ps
)
port map (
I => Sh42_F5MUX_8484,
O => Sh42
);
Sh42_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X15Y29"
)
port map (
IA => N428,
IB => N429,
SEL => Sh42_BXINV_8476,
O => Sh42_F5MUX_8484
);
Sh42_BXINV : X_BUF
generic map(
LOC => "SLICE_X15Y29",
PATHPULSE => 638 ps
)
port map (
I => b_reg(2),
O => Sh42_BXINV_8476
);
Sh4231_F : X_LUT4
generic map(
INIT => X"CCF0",
LOC => "SLICE_X15Y29"
)
port map (
ADR0 => VCC,
ADR1 => Sh2,
ADR2 => Sh10,
ADR3 => b_reg(3),
O => N428
);
Sh3431_G : X_LUT4
generic map(
INIT => X"FA0A",
LOC => "SLICE_X15Y30"
)
port map (
ADR0 => Sh30,
ADR1 => VCC,
ADR2 => b_reg(3),
ADR3 => Sh22_4347,
O => N379
);
Sh34_XUSED : X_BUF
generic map(
LOC => "SLICE_X15Y30",
PATHPULSE => 638 ps
)
port map (
I => Sh34_F5MUX_8509,
O => Sh34
);
Sh34_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X15Y30"
)
port map (
IA => N378,
IB => N379,
SEL => Sh34_BXINV_8501,
O => Sh34_F5MUX_8509
);
Sh34_BXINV : X_BUF
generic map(
LOC => "SLICE_X15Y30",
PATHPULSE => 638 ps
)
port map (
I => b_reg(2),
O => Sh34_BXINV_8501
);
Sh3431_F : X_LUT4
generic map(
INIT => X"F0CC",
LOC => "SLICE_X15Y30"
)
port map (
ADR0 => VCC,
ADR1 => Sh2,
ADR2 => Sh26,
ADR3 => b_reg(3),
O => N378
);
Sh5031_G : X_LUT4
generic map(
INIT => X"CCAA",
LOC => "SLICE_X12Y23"
)
port map (
ADR0 => Sh14,
ADR1 => Sh6,
ADR2 => VCC,
ADR3 => b_reg(3),
O => N377
);
Sh50_XUSED : X_BUF
generic map(
LOC => "SLICE_X12Y23",
PATHPULSE => 638 ps
)
port map (
I => Sh50_F5MUX_8534,
O => Sh50
);
Sh50_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X12Y23"
)
port map (
IA => N376,
IB => N377,
SEL => Sh50_BXINV_8526,
O => Sh50_F5MUX_8534
);
Sh50_BXINV : X_BUF
generic map(
LOC => "SLICE_X12Y23",
PATHPULSE => 638 ps
)
port map (
I => b_reg(2),
O => Sh50_BXINV_8526
);
Sh5031_F : X_LUT4
generic map(
INIT => X"F0AA",
LOC => "SLICE_X12Y23"
)
port map (
ADR0 => Sh18,
ADR1 => VCC,
ADR2 => Sh10,
ADR3 => b_reg(3),
O => N376
);
Sh175_XUSED : X_BUF
generic map(
LOC => "SLICE_X25Y23",
PATHPULSE => 638 ps
)
port map (
I => Sh175_F5MUX_8559,
O => Sh175
);
Sh175_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X25Y23"
)
port map (
IA => N320,
IB => N321,
SEL => Sh175_BXINV_8552,
O => Sh175_F5MUX_8559
);
Sh175_BXINV : X_BUF
generic map(
LOC => "SLICE_X25Y23",
PATHPULSE => 638 ps
)
port map (
I => a(4),
O => Sh175_BXINV_8552
);
Sh1587_XUSED : X_BUF
generic map(
LOC => "SLICE_X28Y22",
PATHPULSE => 638 ps
)
port map (
I => Sh1587_F5MUX_8584,
O => Sh1587
);
Sh1587_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X28Y22"
)
port map (
IA => N426,
IB => N427,
SEL => Sh1587_BXINV_8576,
O => Sh1587_F5MUX_8584
);
Sh1587_BXINV : X_BUF
generic map(
LOC => "SLICE_X28Y22",
PATHPULSE => 638 ps
)
port map (
I => a(3),
O => Sh1587_BXINV_8576
);
Sh4331_G : X_LUT4
generic map(
INIT => X"F0CC",
LOC => "SLICE_X12Y29"
)
port map (
ADR0 => VCC,
ADR1 => Sh7,
ADR2 => Sh31,
ADR3 => b_reg(3),
O => N383
);
Sh43_XUSED : X_BUF
generic map(
LOC => "SLICE_X12Y29",
PATHPULSE => 638 ps
)
port map (
I => Sh43_F5MUX_8609,
O => Sh43
);
Sh43_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X12Y29"
)
port map (
IA => N382,
IB => N383,
SEL => Sh43_BXINV_8601,
O => Sh43_F5MUX_8609
);
Sh43_BXINV : X_BUF
generic map(
LOC => "SLICE_X12Y29",
PATHPULSE => 638 ps
)
port map (
I => b_reg(2),
O => Sh43_BXINV_8601
);
Sh4331_F : X_LUT4
generic map(
INIT => X"AAF0",
LOC => "SLICE_X12Y29"
)
port map (
ADR0 => Sh3,
ADR1 => VCC,
ADR2 => Sh11,
ADR3 => b_reg(3),
O => N382
);
Sh3531_G : X_LUT4
generic map(
INIT => X"FA0A",
LOC => "SLICE_X13Y31"
)
port map (
ADR0 => Sh31,
ADR1 => VCC,
ADR2 => b_reg(3),
ADR3 => Sh23_4457,
O => N357
);
Sh35_XUSED : X_BUF
generic map(
LOC => "SLICE_X13Y31",
PATHPULSE => 638 ps
)
port map (
I => Sh35_F5MUX_8634,
O => Sh35
);
Sh35_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X13Y31"
)
port map (
IA => N356,
IB => N357,
SEL => Sh35_BXINV_8626,
O => Sh35_F5MUX_8634
);
Sh35_BXINV : X_BUF
generic map(
LOC => "SLICE_X13Y31",
PATHPULSE => 638 ps
)
port map (
I => b_reg(2),
O => Sh35_BXINV_8626
);
Sh51_XUSED : X_BUF
generic map(
LOC => "SLICE_X13Y25",
PATHPULSE => 638 ps
)
port map (
I => Sh51_F5MUX_8659,
O => Sh51
);
Sh51_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X13Y25"
)
port map (
IA => N354,
IB => N355,
SEL => Sh51_BXINV_8651,
O => Sh51_F5MUX_8659
);
Sh51_BXINV : X_BUF
generic map(
LOC => "SLICE_X13Y25",
PATHPULSE => 638 ps
)
port map (
I => b_reg(2),
O => Sh51_BXINV_8651
);
Sh1597_XUSED : X_BUF
generic map(
LOC => "SLICE_X26Y26",
PATHPULSE => 638 ps
)
port map (
I => Sh1597_F5MUX_8684,
O => Sh1597
);
Sh1597_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X26Y26"
)
port map (
IA => N468,
IB => N469,
SEL => Sh1597_BXINV_8676,
O => Sh1597_F5MUX_8684
);
Sh1597_BXINV : X_BUF
generic map(
LOC => "SLICE_X26Y26",
PATHPULSE => 638 ps
)
port map (
I => a(3),
O => Sh1597_BXINV_8676
);
Sh178_XUSED : X_BUF
generic map(
LOC => "SLICE_X27Y14",
PATHPULSE => 638 ps
)
port map (
I => Sh178_F5MUX_8709,
O => Sh178
);
Sh178_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X27Y14"
)
port map (
IA => N322,
IB => N323,
SEL => Sh178_BXINV_8702,
O => Sh178_F5MUX_8709
);
Sh178_BXINV : X_BUF
generic map(
LOC => "SLICE_X27Y14",
PATHPULSE => 638 ps
)
port map (
I => a(4),
O => Sh178_BXINV_8702
);
Sh44_XUSED : X_BUF
generic map(
LOC => "SLICE_X12Y22",
PATHPULSE => 638 ps
)
port map (
I => Sh44_F5MUX_8734,
O => Sh44
);
Sh44_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X12Y22"
)
port map (
IA => N386,
IB => N387,
SEL => Sh44_BXINV_8726,
O => Sh44_F5MUX_8734
);
Sh44_BXINV : X_BUF
generic map(
LOC => "SLICE_X12Y22",
PATHPULSE => 638 ps
)
port map (
I => b_reg(2),
O => Sh44_BXINV_8726
);
Sh60_XUSED : X_BUF
generic map(
LOC => "SLICE_X12Y27",
PATHPULSE => 638 ps
)
port map (
I => Sh60_F5MUX_8759,
O => Sh60
);
Sh60_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X12Y27"
)
port map (
IA => N384,
IB => N385,
SEL => Sh60_BXINV_8751,
O => Sh60_F5MUX_8759
);
Sh60_BXINV : X_BUF
generic map(
LOC => "SLICE_X12Y27",
PATHPULSE => 638 ps
)
port map (
I => b_reg(2),
O => Sh60_BXINV_8751
);
Sh36_XUSED : X_BUF
generic map(
LOC => "SLICE_X13Y24",
PATHPULSE => 638 ps
)
port map (
I => Sh36_F5MUX_8784,
O => Sh36
);
Sh36_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X13Y24"
)
port map (
IA => N360,
IB => N361,
SEL => Sh36_BXINV_8776,
O => Sh36_F5MUX_8784
);
Sh36_BXINV : X_BUF
generic map(
LOC => "SLICE_X13Y24",
PATHPULSE => 638 ps
)
port map (
I => b_reg(2),
O => Sh36_BXINV_8776
);
Sh52_XUSED : X_BUF
generic map(
LOC => "SLICE_X13Y18",
PATHPULSE => 638 ps
)
port map (
I => Sh52_F5MUX_8809,
O => Sh52
);
Sh52_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X13Y18"
)
port map (
IA => N358,
IB => N359,
SEL => Sh52_BXINV_8801,
O => Sh52_F5MUX_8809
);
Sh52_BXINV : X_BUF
generic map(
LOC => "SLICE_X13Y18",
PATHPULSE => 638 ps
)
port map (
I => b_reg(2),
O => Sh52_BXINV_8801
);
Sh45_XUSED : X_BUF
generic map(
LOC => "SLICE_X16Y26",
PATHPULSE => 638 ps
)
port map (
I => Sh45_F5MUX_8834,
O => Sh45
);
Sh45_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X16Y26"
)
port map (
IA => N430,
IB => N431,
SEL => Sh45_BXINV_8826,
O => Sh45_F5MUX_8834
);
Sh45_BXINV : X_BUF
generic map(
LOC => "SLICE_X16Y26",
PATHPULSE => 638 ps
)
port map (
I => b_reg(2),
O => Sh45_BXINV_8826
);
Sh37_XUSED : X_BUF
generic map(
LOC => "SLICE_X14Y26",
PATHPULSE => 638 ps
)
port map (
I => Sh37_F5MUX_8859,
O => Sh37
);
Sh37_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X14Y26"
)
port map (
IA => N390,
IB => N391,
SEL => Sh37_BXINV_8851,
O => Sh37_F5MUX_8859
);
Sh37_BXINV : X_BUF
generic map(
LOC => "SLICE_X14Y26",
PATHPULSE => 638 ps
)
port map (
I => b_reg(2),
O => Sh37_BXINV_8851
);
Sh53_XUSED : X_BUF
generic map(
LOC => "SLICE_X15Y27",
PATHPULSE => 638 ps
)
port map (
I => Sh53_F5MUX_8884,
O => Sh53
);
Sh53_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X15Y27"
)
port map (
IA => N388,
IB => N389,
SEL => Sh53_BXINV_8876,
O => Sh53_F5MUX_8884
);
Sh53_BXINV : X_BUF
generic map(
LOC => "SLICE_X15Y27",
PATHPULSE => 638 ps
)
port map (
I => b_reg(2),
O => Sh53_BXINV_8876
);
Sh46_XUSED : X_BUF
generic map(
LOC => "SLICE_X14Y28",
PATHPULSE => 638 ps
)
port map (
I => Sh46_F5MUX_8909,
O => Sh46
);
Sh46_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X14Y28"
)
port map (
IA => N422,
IB => N423,
SEL => Sh46_BXINV_8901,
O => Sh46_F5MUX_8909
);
Sh46_BXINV : X_BUF
generic map(
LOC => "SLICE_X14Y28",
PATHPULSE => 638 ps
)
port map (
I => b_reg(2),
O => Sh46_BXINV_8901
);
Sh38_XUSED : X_BUF
generic map(
LOC => "SLICE_X14Y31",
PATHPULSE => 638 ps
)
port map (
I => Sh38_F5MUX_8934,
O => Sh38
);
Sh38_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X14Y31"
)
port map (
IA => N394,
IB => N395,
SEL => Sh38_BXINV_8926,
O => Sh38_F5MUX_8934
);
Sh38_BXINV : X_BUF
generic map(
LOC => "SLICE_X14Y31",
PATHPULSE => 638 ps
)
port map (
I => b_reg(2),
O => Sh38_BXINV_8926
);
Sh54_XUSED : X_BUF
generic map(
LOC => "SLICE_X12Y28",
PATHPULSE => 638 ps
)
port map (
I => Sh54_F5MUX_8959,
O => Sh54
);
Sh54_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X12Y28"
)
port map (
IA => N392,
IB => N393,
SEL => Sh54_BXINV_8951,
O => Sh54_F5MUX_8959
);
Sh54_BXINV : X_BUF
generic map(
LOC => "SLICE_X12Y28",
PATHPULSE => 638 ps
)
port map (
I => b_reg(2),
O => Sh54_BXINV_8951
);
Sh47_XUSED : X_BUF
generic map(
LOC => "SLICE_X13Y21",
PATHPULSE => 638 ps
)
port map (
I => Sh47_F5MUX_8984,
O => Sh47
);
Sh47_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X13Y21"
)
port map (
IA => N398,
IB => N399,
SEL => Sh47_BXINV_8976,
O => Sh47_F5MUX_8984
);
Sh47_BXINV : X_BUF
generic map(
LOC => "SLICE_X13Y21",
PATHPULSE => 638 ps
)
port map (
I => b_reg(2),
O => Sh47_BXINV_8976
);
Sh63_XUSED : X_BUF
generic map(
LOC => "SLICE_X12Y33",
PATHPULSE => 638 ps
)
port map (
I => Sh63_F5MUX_9009,
O => Sh63
);
Sh63_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X12Y33"
)
port map (
IA => N396,
IB => N397,
SEL => Sh63_BXINV_9001,
O => Sh63_F5MUX_9009
);
Sh63_BXINV : X_BUF
generic map(
LOC => "SLICE_X12Y33",
PATHPULSE => 638 ps
)
port map (
I => b_reg(2),
O => Sh63_BXINV_9001
);
Sh39_XUSED : X_BUF
generic map(
LOC => "SLICE_X13Y28",
PATHPULSE => 638 ps
)
port map (
I => Sh39_F5MUX_9034,
O => Sh39
);
Sh39_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X13Y28"
)
port map (
IA => N364,
IB => N365,
SEL => Sh39_BXINV_9026,
O => Sh39_F5MUX_9034
);
Sh39_BXINV : X_BUF
generic map(
LOC => "SLICE_X13Y28",
PATHPULSE => 638 ps
)
port map (
I => b_reg(2),
O => Sh39_BXINV_9026
);
Sh55_XUSED : X_BUF
generic map(
LOC => "SLICE_X13Y30",
PATHPULSE => 638 ps
)
port map (
I => Sh55_F5MUX_9059,
O => Sh55
);
Sh55_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X13Y30"
)
port map (
IA => N362,
IB => N363,
SEL => Sh55_BXINV_9051,
O => Sh55_F5MUX_9059
);
Sh55_BXINV : X_BUF
generic map(
LOC => "SLICE_X13Y30",
PATHPULSE => 638 ps
)
port map (
I => b_reg(2),
O => Sh55_BXINV_9051
);
Sh56_XUSED : X_BUF
generic map(
LOC => "SLICE_X13Y27",
PATHPULSE => 638 ps
)
port map (
I => Sh56_F5MUX_9084,
O => Sh56
);
Sh56_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X13Y27"
)
port map (
IA => N366,
IB => N367,
SEL => Sh56_BXINV_9076,
O => Sh56_F5MUX_9084
);
Sh56_BXINV : X_BUF
generic map(
LOC => "SLICE_X13Y27",
PATHPULSE => 638 ps
)
port map (
I => b_reg(2),
O => Sh56_BXINV_9076
);
Sh48_XUSED : X_BUF
generic map(
LOC => "SLICE_X12Y25",
PATHPULSE => 638 ps
)
port map (
I => Sh48_F5MUX_9109,
O => Sh48
);
Sh48_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X12Y25"
)
port map (
IA => N350,
IB => N351,
SEL => Sh48_BXINV_9101,
O => Sh48_F5MUX_9109
);
Sh48_BXINV : X_BUF
generic map(
LOC => "SLICE_X12Y25",
PATHPULSE => 638 ps
)
port map (
I => b_reg(2),
O => Sh48_BXINV_9101
);
Sh49_XUSED : X_BUF
generic map(
LOC => "SLICE_X14Y24",
PATHPULSE => 638 ps
)
port map (
I => Sh49_F5MUX_9134,
O => Sh49
);
Sh49_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X14Y24"
)
port map (
IA => N372,
IB => N373,
SEL => Sh49_BXINV_9126,
O => Sh49_F5MUX_9134
);
Sh49_BXINV : X_BUF
generic map(
LOC => "SLICE_X14Y24",
PATHPULSE => 638 ps
)
port map (
I => b_reg(2),
O => Sh49_BXINV_9126
);
Sh59_XUSED : X_BUF
generic map(
LOC => "SLICE_X12Y31",
PATHPULSE => 638 ps
)
port map (
I => Sh59_F5MUX_9159,
O => Sh59
);
Sh59_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X12Y31"
)
port map (
IA => N380,
IB => N381,
SEL => Sh59_BXINV_9151,
O => Sh59_F5MUX_9159
);
Sh59_BXINV : X_BUF
generic map(
LOC => "SLICE_X12Y31",
PATHPULSE => 638 ps
)
port map (
I => b_reg(2),
O => Sh59_BXINV_9151
);
N275_XUSED : X_BUF
generic map(
LOC => "SLICE_X3Y17",
PATHPULSE => 638 ps
)
port map (
I => N275_F5MUX_9184,
O => N275
);
N275_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X3Y17"
)
port map (
IA => N448,
IB => N449,
SEL => N275_BXINV_9175,
O => N275_F5MUX_9184
);
N275_BXINV : X_BUF
generic map(
LOC => "SLICE_X3Y17",
PATHPULSE => 638 ps
)
port map (
I => state_FSM_FFd1_4311,
O => N275_BXINV_9175
);
N276_XUSED : X_BUF
generic map(
LOC => "SLICE_X2Y17",
PATHPULSE => 638 ps
)
port map (
I => N276_F5MUX_9209,
O => N276
);
N276_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X2Y17"
)
port map (
IA => N450,
IB => N451,
SEL => N276_BXINV_9200,
O => N276_F5MUX_9209
);
N276_BXINV : X_BUF
generic map(
LOC => "SLICE_X2Y17",
PATHPULSE => 638 ps
)
port map (
I => state_FSM_FFd1_4311,
O => N276_BXINV_9200
);
b_reg_8_DXMUX : X_BUF
generic map(
LOC => "SLICE_X16Y15",
PATHPULSE => 638 ps
)
port map (
I => b_reg_8_F5MUX_9238,
O => b_reg_8_DXMUX_9240
);
b_reg_8_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X16Y15"
)
port map (
IA => N532,
IB => N533,
SEL => b_reg_8_BXINV_9231,
O => b_reg_8_F5MUX_9238
);
b_reg_8_BXINV : X_BUF
generic map(
LOC => "SLICE_X16Y15",
PATHPULSE => 638 ps
)
port map (
I => b_8_XORF_5755,
O => b_reg_8_BXINV_9231
);
b_reg_8_CLKINV : X_BUF
generic map(
LOC => "SLICE_X16Y15",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => b_reg_8_CLKINV_9222
);
b_reg_9_DXMUX : X_BUF
generic map(
LOC => "SLICE_X16Y14",
PATHPULSE => 638 ps
)
port map (
I => b_reg_9_F5MUX_9274,
O => b_reg_9_DXMUX_9276
);
b_reg_9_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X16Y14"
)
port map (
IA => N530,
IB => N531,
SEL => b_reg_9_BXINV_9267,
O => b_reg_9_F5MUX_9274
);
b_reg_9_BXINV : X_BUF
generic map(
LOC => "SLICE_X16Y14",
PATHPULSE => 638 ps
)
port map (
I => b_8_XORG_5744,
O => b_reg_9_BXINV_9267
);
b_reg_9_CLKINV : X_BUF
generic map(
LOC => "SLICE_X16Y14",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => b_reg_9_CLKINV_9258
);
N272_XUSED : X_BUF
generic map(
LOC => "SLICE_X3Y13",
PATHPULSE => 638 ps
)
port map (
I => N272_F5MUX_9306,
O => N272
);
N272_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X3Y13"
)
port map (
IA => N444,
IB => N445,
SEL => N272_BXINV_9297,
O => N272_F5MUX_9306
);
N272_BXINV : X_BUF
generic map(
LOC => "SLICE_X3Y13",
PATHPULSE => 638 ps
)
port map (
I => state_FSM_FFd1_4311,
O => N272_BXINV_9297
);
N273_XUSED : X_BUF
generic map(
LOC => "SLICE_X2Y13",
PATHPULSE => 638 ps
)
port map (
I => N273_F5MUX_9331,
O => N273
);
N273_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X2Y13"
)
port map (
IA => N446,
IB => N447,
SEL => N273_BXINV_9322,
O => N273_F5MUX_9331
);
N273_BXINV : X_BUF
generic map(
LOC => "SLICE_X2Y13",
PATHPULSE => 638 ps
)
port map (
I => state_FSM_FFd1_4311,
O => N273_BXINV_9322
);
Sh1_XUSED : X_BUF
generic map(
LOC => "SLICE_X13Y35",
PATHPULSE => 638 ps
)
port map (
I => Sh1_F5MUX_9356,
O => Sh1
);
Sh1_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X13Y35"
)
port map (
IA => N404,
IB => N405,
SEL => Sh1_BXINV_9349,
O => Sh1_F5MUX_9356
);
Sh1_BXINV : X_BUF
generic map(
LOC => "SLICE_X13Y35",
PATHPULSE => 638 ps
)
port map (
I => b_reg(1),
O => Sh1_BXINV_9349
);
Sh2_XUSED : X_BUF
generic map(
LOC => "SLICE_X13Y32",
PATHPULSE => 638 ps
)
port map (
I => Sh2_F5MUX_9381,
O => Sh2
);
Sh2_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X13Y32"
)
port map (
IA => Sh211,
IB => Sh210,
SEL => Sh2_BXINV_9374,
O => Sh2_F5MUX_9381
);
Sh2_BXINV : X_BUF
generic map(
LOC => "SLICE_X13Y32",
PATHPULSE => 638 ps
)
port map (
I => b_reg(1),
O => Sh2_BXINV_9374
);
Sh5_XUSED : X_BUF
generic map(
LOC => "SLICE_X12Y19",
PATHPULSE => 638 ps
)
port map (
I => Sh5_F5MUX_9406,
O => Sh5
);
Sh5_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X12Y19"
)
port map (
IA => N476,
IB => N477,
SEL => Sh5_BXINV_9399,
O => Sh5_F5MUX_9406
);
Sh5_BXINV : X_BUF
generic map(
LOC => "SLICE_X12Y19",
PATHPULSE => 638 ps
)
port map (
I => b_reg(1),
O => Sh5_BXINV_9399
);
N269_XUSED : X_BUF
generic map(
LOC => "SLICE_X12Y8",
PATHPULSE => 638 ps
)
port map (
I => N269_F5MUX_9431,
O => N269
);
N269_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X12Y8"
)
port map (
IA => N440,
IB => N441,
SEL => N269_BXINV_9422,
O => N269_F5MUX_9431
);
N269_BXINV : X_BUF
generic map(
LOC => "SLICE_X12Y8",
PATHPULSE => 638 ps
)
port map (
I => state_FSM_FFd1_4311,
O => N269_BXINV_9422
);
N270_XUSED : X_BUF
generic map(
LOC => "SLICE_X9Y3",
PATHPULSE => 638 ps
)
port map (
I => N270_F5MUX_9456,
O => N270
);
N270_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X9Y3"
)
port map (
IA => N442,
IB => N443,
SEL => N270_BXINV_9447,
O => N270_F5MUX_9456
);
N270_BXINV : X_BUF
generic map(
LOC => "SLICE_X9Y3",
PATHPULSE => 638 ps
)
port map (
I => state_FSM_FFd1_4311,
O => N270_BXINV_9447
);
Sh6_XUSED : X_BUF
generic map(
LOC => "SLICE_X12Y18",
PATHPULSE => 638 ps
)
port map (
I => Sh6_F5MUX_9481,
O => Sh6
);
Sh6_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X12Y18"
)
port map (
IA => N462,
IB => N463,
SEL => Sh6_BXINV_9474,
O => Sh6_F5MUX_9481
);
Sh6_BXINV : X_BUF
generic map(
LOC => "SLICE_X12Y18",
PATHPULSE => 638 ps
)
port map (
I => b_reg(1),
O => Sh6_BXINV_9474
);
Sh9_XUSED : X_BUF
generic map(
LOC => "SLICE_X15Y15",
PATHPULSE => 638 ps
)
port map (
I => Sh9_F5MUX_9506,
O => Sh9
);
Sh9_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X15Y15"
)
port map (
IA => N474,
IB => N475,
SEL => Sh9_BXINV_9499,
O => Sh9_F5MUX_9506
);
Sh9_BXINV : X_BUF
generic map(
LOC => "SLICE_X15Y15",
PATHPULSE => 638 ps
)
port map (
I => b_reg(1),
O => Sh9_BXINV_9499
);
b_reg_0_1_DXMUX : X_BUF
generic map(
LOC => "SLICE_X14Y15",
PATHPULSE => 638 ps
)
port map (
I => b_reg_0_1_FXMUX_9537,
O => b_reg_0_1_DXMUX_9538
);
b_reg_0_1_XUSED : X_BUF
generic map(
LOC => "SLICE_X14Y15",
PATHPULSE => 638 ps
)
port map (
I => b_reg_0_1_FXMUX_9537,
O => b_reg_mux0000_0_Q
);
b_reg_0_1_FXMUX : X_BUF
generic map(
LOC => "SLICE_X14Y15",
PATHPULSE => 638 ps
)
port map (
I => b_reg_0_1_F5MUX_9536,
O => b_reg_0_1_FXMUX_9537
);
b_reg_0_1_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X14Y15"
)
port map (
IA => N524,
IB => N525,
SEL => b_reg_0_1_BXINV_9529,
O => b_reg_0_1_F5MUX_9536
);
b_reg_0_1_BXINV : X_BUF
generic map(
LOC => "SLICE_X14Y15",
PATHPULSE => 638 ps
)
port map (
I => b_0_XORF_5589,
O => b_reg_0_1_BXINV_9529
);
b_reg_0_1_CLKINV : X_BUF
generic map(
LOC => "SLICE_X14Y15",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => b_reg_0_1_CLKINV_9521
);
hex_digit_i_0_DXMUX : X_BUF
generic map(
LOC => "SLICE_X28Y15",
PATHPULSE => 638 ps
)
port map (
I => hex_digit_i_0_F5MUX_9572,
O => hex_digit_i_0_DXMUX_9574
);
hex_digit_i_0_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X28Y15"
)
port map (
IA => Mmux_hex_digit_i_mux0001_4_9562,
IB => Mmux_hex_digit_i_mux0001_3_9570,
SEL => hex_digit_i_0_BXINV_9564,
O => hex_digit_i_0_F5MUX_9572
);
hex_digit_i_0_BXINV : X_BUF
generic map(
LOC => "SLICE_X28Y15",
PATHPULSE => 638 ps
)
port map (
I => LED_flash_cnt(9),
O => hex_digit_i_0_BXINV_9564
);
hex_digit_i_0_CLKINV : X_BUF
generic map(
LOC => "SLICE_X28Y15",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => hex_digit_i_0_CLKINV_9555
);
N266_XUSED : X_BUF
generic map(
LOC => "SLICE_X12Y11",
PATHPULSE => 638 ps
)
port map (
I => N266_F5MUX_9604,
O => N266
);
N266_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X12Y11"
)
port map (
IA => N436,
IB => N437,
SEL => N266_BXINV_9595,
O => N266_F5MUX_9604
);
N266_BXINV : X_BUF
generic map(
LOC => "SLICE_X12Y11",
PATHPULSE => 638 ps
)
port map (
I => state_FSM_FFd1_4311,
O => N266_BXINV_9595
);
N267_XUSED : X_BUF
generic map(
LOC => "SLICE_X13Y11",
PATHPULSE => 638 ps
)
port map (
I => N267_F5MUX_9629,
O => N267
);
N267_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X13Y11"
)
port map (
IA => N438,
IB => N439,
SEL => N267_BXINV_9620,
O => N267_F5MUX_9629
);
N267_BXINV : X_BUF
generic map(
LOC => "SLICE_X13Y11",
PATHPULSE => 638 ps
)
port map (
I => state_FSM_FFd1_4311,
O => N267_BXINV_9620
);
i_cnt_3_DXMUX : X_BUF
generic map(
LOC => "SLICE_X19Y14",
PATHPULSE => 638 ps
)
port map (
I => i_cnt_3_F5MUX_9658,
O => i_cnt_3_DXMUX_9660
);
i_cnt_3_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X19Y14"
)
port map (
IA => i_cnt_mux0001_0_561_9649,
IB => i_cnt_mux0001_0_56,
SEL => i_cnt_3_BXINV_9651,
O => i_cnt_3_F5MUX_9658
);
i_cnt_3_BXINV : X_BUF
generic map(
LOC => "SLICE_X19Y14",
PATHPULSE => 638 ps
)
port map (
I => state_FSM_FFd2_4312,
O => i_cnt_3_BXINV_9651
);
i_cnt_3_CLKINV : X_BUF
generic map(
LOC => "SLICE_X19Y14",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => i_cnt_3_CLKINV_9642
);
hex_digit_i_1_DXMUX : X_BUF
generic map(
LOC => "SLICE_X27Y12",
PATHPULSE => 638 ps
)
port map (
I => hex_digit_i_1_F5MUX_9694,
O => hex_digit_i_1_DXMUX_9696
);
hex_digit_i_1_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X27Y12"
)
port map (
IA => Mmux_hex_digit_i_mux0001_41_9684,
IB => Mmux_hex_digit_i_mux0001_31_9692,
SEL => hex_digit_i_1_BXINV_9686,
O => hex_digit_i_1_F5MUX_9694
);
hex_digit_i_1_BXINV : X_BUF
generic map(
LOC => "SLICE_X27Y12",
PATHPULSE => 638 ps
)
port map (
I => LED_flash_cnt(9),
O => hex_digit_i_1_BXINV_9686
);
hex_digit_i_1_CLKINV : X_BUF
generic map(
LOC => "SLICE_X27Y12",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => hex_digit_i_1_CLKINV_9677
);
hex_digit_i_2_DXMUX : X_BUF
generic map(
LOC => "SLICE_X27Y13",
PATHPULSE => 638 ps
)
port map (
I => hex_digit_i_2_F5MUX_9730,
O => hex_digit_i_2_DXMUX_9732
);
hex_digit_i_2_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X27Y13"
)
port map (
IA => Mmux_hex_digit_i_mux0001_42_9720,
IB => Mmux_hex_digit_i_mux0001_32_9728,
SEL => hex_digit_i_2_BXINV_9722,
O => hex_digit_i_2_F5MUX_9730
);
hex_digit_i_2_BXINV : X_BUF
generic map(
LOC => "SLICE_X27Y13",
PATHPULSE => 638 ps
)
port map (
I => LED_flash_cnt(9),
O => hex_digit_i_2_BXINV_9722
);
hex_digit_i_2_CLKINV : X_BUF
generic map(
LOC => "SLICE_X27Y13",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => hex_digit_i_2_CLKINV_9713
);
hex_digit_i_3_DXMUX : X_BUF
generic map(
LOC => "SLICE_X26Y12",
PATHPULSE => 638 ps
)
port map (
I => hex_digit_i_3_F5MUX_9766,
O => hex_digit_i_3_DXMUX_9768
);
hex_digit_i_3_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X26Y12"
)
port map (
IA => Mmux_hex_digit_i_mux0001_43_9756,
IB => Mmux_hex_digit_i_mux0001_33_9764,
SEL => hex_digit_i_3_BXINV_9758,
O => hex_digit_i_3_F5MUX_9766
);
hex_digit_i_3_BXINV : X_BUF
generic map(
LOC => "SLICE_X26Y12",
PATHPULSE => 638 ps
)
port map (
I => LED_flash_cnt(9),
O => hex_digit_i_3_BXINV_9758
);
hex_digit_i_3_CLKINV : X_BUF
generic map(
LOC => "SLICE_X26Y12",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => hex_digit_i_3_CLKINV_9749
);
Sh150_XUSED : X_BUF
generic map(
LOC => "SLICE_X27Y22",
PATHPULSE => 638 ps
)
port map (
I => Sh150,
O => Sh150_0
);
Sh150_YUSED : X_BUF
generic map(
LOC => "SLICE_X27Y22",
PATHPULSE => 638 ps
)
port map (
I => Sh15016_O_pack_1,
O => Sh15016_O
);
Sh143_XUSED : X_BUF
generic map(
LOC => "SLICE_X25Y22",
PATHPULSE => 638 ps
)
port map (
I => Sh143,
O => Sh143_0
);
Sh143_YUSED : X_BUF
generic map(
LOC => "SLICE_X25Y22",
PATHPULSE => 638 ps
)
port map (
I => Sh14316_pack_1,
O => Sh14316_4518
);
Sh144_XUSED : X_BUF
generic map(
LOC => "SLICE_X23Y13",
PATHPULSE => 638 ps
)
port map (
I => Sh144,
O => Sh144_0
);
Sh144_YUSED : X_BUF
generic map(
LOC => "SLICE_X23Y13",
PATHPULSE => 638 ps
)
port map (
I => Sh14416_pack_1,
O => Sh14416_4486
);
Sh154_XUSED : X_BUF
generic map(
LOC => "SLICE_X28Y25",
PATHPULSE => 638 ps
)
port map (
I => Sh154,
O => Sh154_0
);
Sh154_YUSED : X_BUF
generic map(
LOC => "SLICE_X28Y25",
PATHPULSE => 638 ps
)
port map (
I => Sh15416_O_pack_1,
O => Sh15416_O
);
Sh147_XUSED : X_BUF
generic map(
LOC => "SLICE_X25Y16",
PATHPULSE => 638 ps
)
port map (
I => Sh147,
O => Sh147_0
);
Sh147_YUSED : X_BUF
generic map(
LOC => "SLICE_X25Y16",
PATHPULSE => 638 ps
)
port map (
I => Sh14713_pack_1,
O => Sh14713_4500
);
Sh14832 : X_LUT4
generic map(
INIT => X"FE0E",
LOC => "SLICE_X24Y12"
)
port map (
ADR0 => Sh14816_0,
ADR1 => Sh14813_0,
ADR2 => a(2),
ADR3 => Sh1487_4504,
O => Sh148
);
Sh148_XUSED : X_BUF
generic map(
LOC => "SLICE_X24Y12",
PATHPULSE => 638 ps
)
port map (
I => Sh148,
O => Sh148_0
);
Sh148_YUSED : X_BUF
generic map(
LOC => "SLICE_X24Y12",
PATHPULSE => 638 ps
)
port map (
I => Sh1487_pack_1,
O => Sh1487_4504
);
Sh1487 : X_LUT4
generic map(
INIT => X"EE22",
LOC => "SLICE_X24Y12"
)
port map (
ADR0 => Sh112,
ADR1 => a(3),
ADR2 => VCC,
ADR3 => Sh104,
O => Sh1487_pack_1
);
Sh15932 : X_LUT4
generic map(
INIT => X"BBB8",
LOC => "SLICE_X25Y26"
)
port map (
ADR0 => Sh1597,
ADR1 => a(2),
ADR2 => Sh1313,
ADR3 => Sh1310_0,
O => Sh159
);
Sh159_XUSED : X_BUF
generic map(
LOC => "SLICE_X25Y26",
PATHPULSE => 638 ps
)
port map (
I => Sh159,
O => Sh159_0
);
Sh159_YUSED : X_BUF
generic map(
LOC => "SLICE_X25Y26",
PATHPULSE => 638 ps
)
port map (
I => Sh1313_pack_1,
O => Sh1313
);
Sh15916 : X_LUT4
generic map(
INIT => X"3202",
LOC => "SLICE_X25Y26"
)
port map (
ADR0 => Sh1272_0,
ADR1 => a(3),
ADR2 => a(1),
ADR3 => Sh1252_0,
O => Sh1313_pack_1
);
Sh731 : X_LUT4
generic map(
INIT => X"FC0C",
LOC => "SLICE_X15Y21"
)
port map (
ADR0 => VCC,
ADR1 => Sh41,
ADR2 => b_reg(4),
ADR3 => Sh57,
O => Sh73
);
Sh73_YUSED : X_BUF
generic map(
LOC => "SLICE_X15Y21",
PATHPULSE => 638 ps
)
port map (
I => Sh57_pack_1,
O => Sh57
);
Sh5731 : X_LUT4
generic map(
INIT => X"F0CC",
LOC => "SLICE_X15Y21"
)
port map (
ADR0 => VCC,
ADR1 => Sh5720_0,
ADR2 => Sh5320_0,
ADR3 => b_reg(2),
O => Sh57_pack_1
);
Sh741 : X_LUT4
generic map(
INIT => X"F5A0",
LOC => "SLICE_X14Y29"
)
port map (
ADR0 => b_reg(4),
ADR1 => VCC,
ADR2 => Sh58,
ADR3 => Sh42,
O => Sh74
);
Sh74_YUSED : X_BUF
generic map(
LOC => "SLICE_X14Y29",
PATHPULSE => 638 ps
)
port map (
I => Sh58_pack_1,
O => Sh58
);
Sh5831 : X_LUT4
generic map(
INIT => X"EE44",
LOC => "SLICE_X14Y29"
)
port map (
ADR0 => b_reg(2),
ADR1 => Sh5820_0,
ADR2 => VCC,
ADR3 => Sh5420_0,
O => Sh58_pack_1
);
Sh771 : X_LUT4
generic map(
INIT => X"FC0C",
LOC => "SLICE_X15Y23"
)
port map (
ADR0 => VCC,
ADR1 => Sh45,
ADR2 => b_reg(4),
ADR3 => Sh61,
O => Sh77
);
Sh77_YUSED : X_BUF
generic map(
LOC => "SLICE_X15Y23",
PATHPULSE => 638 ps
)
port map (
I => Sh61_pack_1,
O => Sh61
);
Sh6131 : X_LUT4
generic map(
INIT => X"CCAA",
LOC => "SLICE_X15Y23"
)
port map (
ADR0 => Sh337_0,
ADR1 => Sh5720_0,
ADR2 => VCC,
ADR3 => b_reg(2),
O => Sh61_pack_1
);
Sh781 : X_LUT4
generic map(
INIT => X"F5A0",
LOC => "SLICE_X16Y29"
)
port map (
ADR0 => b_reg(4),
ADR1 => VCC,
ADR2 => Sh62,
ADR3 => Sh46,
O => Sh78
);
Sh78_YUSED : X_BUF
generic map(
LOC => "SLICE_X16Y29",
PATHPULSE => 638 ps
)
port map (
I => Sh62_pack_1,
O => Sh62
);
Sh6231 : X_LUT4
generic map(
INIT => X"CACA",
LOC => "SLICE_X16Y29"
)
port map (
ADR0 => Sh347_0,
ADR1 => Sh5820_0,
ADR2 => b_reg(2),
ADR3 => VCC,
O => Sh62_pack_1
);
Sh14731_SW0 : X_LUT4
generic map(
INIT => X"AAAF",
LOC => "SLICE_X25Y17"
)
port map (
ADR0 => a(2),
ADR1 => VCC,
ADR2 => Sh14713_4500,
ADR3 => Sh14716_4501,
O => N249
);
N249_XUSED : X_BUF
generic map(
LOC => "SLICE_X25Y17",
PATHPULSE => 638 ps
)
port map (
I => N249,
O => N249_0
);
N249_YUSED : X_BUF
generic map(
LOC => "SLICE_X25Y17",
PATHPULSE => 638 ps
)
port map (
I => Sh14716_pack_1,
O => Sh14716_4501
);
Sh14716 : X_LUT4
generic map(
INIT => X"3120",
LOC => "SLICE_X25Y17"
)
port map (
ADR0 => a(1),
ADR1 => a(3),
ADR2 => Sh1132_0,
ADR3 => Sh1152_0,
O => Sh14716_pack_1
);
Sh1022 : X_LUT4
generic map(
INIT => X"8BD1",
LOC => "SLICE_X18Y12"
)
port map (
ADR0 => a(6),
ADR1 => N243_0,
ADR2 => a(5),
ADR3 => Mxor_ba_xor_Result_5_1_SW1_O,
O => Sh1022_10084
);
Sh1022_XUSED : X_BUF
generic map(
LOC => "SLICE_X18Y12",
PATHPULSE => 638 ps
)
port map (
I => Sh1022_10084,
O => Sh1022_0
);
Sh1022_YUSED : X_BUF
generic map(
LOC => "SLICE_X18Y12",
PATHPULSE => 638 ps
)
port map (
I => Mxor_ba_xor_Result_5_1_SW1_O_pack_1,
O => Mxor_ba_xor_Result_5_1_SW1_O
);
Mxor_ba_xor_Result_5_1_SW1 : X_LUT4
generic map(
INIT => X"88DD",
LOC => "SLICE_X18Y12"
)
port map (
ADR0 => a(0),
ADR1 => b_reg(5),
ADR2 => VCC,
ADR3 => b_reg(6),
O => Mxor_ba_xor_Result_5_1_SW1_O_pack_1
);
Sh1102 : X_LUT4
generic map(
INIT => X"8BD1",
LOC => "SLICE_X22Y19"
)
port map (
ADR0 => N231_0,
ADR1 => a(14),
ADR2 => Mxor_ba_xor_Result_13_1_SW1_O,
ADR3 => a(13),
O => Sh1102_10108
);
Sh1102_XUSED : X_BUF
generic map(
LOC => "SLICE_X22Y19",
PATHPULSE => 638 ps
)
port map (
I => Sh1102_10108,
O => Sh1102_0
);
Sh1102_YUSED : X_BUF
generic map(
LOC => "SLICE_X22Y19",
PATHPULSE => 638 ps
)
port map (
I => Mxor_ba_xor_Result_13_1_SW1_O_pack_1,
O => Mxor_ba_xor_Result_13_1_SW1_O
);
Mxor_ba_xor_Result_13_1_SW1 : X_LUT4
generic map(
INIT => X"F505",
LOC => "SLICE_X22Y19"
)
port map (
ADR0 => b_reg(14),
ADR1 => VCC,
ADR2 => a(0),
ADR3 => b_reg(13),
O => Mxor_ba_xor_Result_13_1_SW1_O_pack_1
);
Sh1032 : X_LUT4
generic map(
INIT => X"D18B",
LOC => "SLICE_X25Y13"
)
port map (
ADR0 => Mxor_ba_xor_Result_7_1_SW1_O,
ADR1 => a(7),
ADR2 => N254_0,
ADR3 => a(6),
O => Sh1032_10132
);
Sh1032_XUSED : X_BUF
generic map(
LOC => "SLICE_X25Y13",
PATHPULSE => 638 ps
)
port map (
I => Sh1032_10132,
O => Sh1032_0
);
Sh1032_YUSED : X_BUF
generic map(
LOC => "SLICE_X25Y13",
PATHPULSE => 638 ps
)
port map (
I => Mxor_ba_xor_Result_7_1_SW1_O_pack_1,
O => Mxor_ba_xor_Result_7_1_SW1_O
);
Mxor_ba_xor_Result_7_1_SW1 : X_LUT4
generic map(
INIT => X"AA0F",
LOC => "SLICE_X25Y13"
)
port map (
ADR0 => b_reg(6),
ADR1 => VCC,
ADR2 => b_reg(7),
ADR3 => a(0),
O => Mxor_ba_xor_Result_7_1_SW1_O_pack_1
);
Sh1112 : X_LUT4
generic map(
INIT => X"D81B",
LOC => "SLICE_X19Y20"
)
port map (
ADR0 => a(14),
ADR1 => N228_0,
ADR2 => Mxor_ba_xor_Result_15_1_SW1_O,
ADR3 => a(15),
O => Sh1112_10156
);
Sh1112_XUSED : X_BUF
generic map(
LOC => "SLICE_X19Y20",
PATHPULSE => 638 ps
)
port map (
I => Sh1112_10156,
O => Sh1112_0
);
Sh1112_YUSED : X_BUF
generic map(
LOC => "SLICE_X19Y20",
PATHPULSE => 638 ps
)
port map (
I => Mxor_ba_xor_Result_15_1_SW1_O_pack_1,
O => Mxor_ba_xor_Result_15_1_SW1_O
);
Mxor_ba_xor_Result_15_1_SW1 : X_LUT4
generic map(
INIT => X"C0CF",
LOC => "SLICE_X19Y20"
)
port map (
ADR0 => VCC,
ADR1 => b_reg(14),
ADR2 => a(0),
ADR3 => b_reg(15),
O => Mxor_ba_xor_Result_15_1_SW1_O_pack_1
);
Sh1062 : X_LUT4
generic map(
INIT => X"C5A3",
LOC => "SLICE_X20Y10"
)
port map (
ADR0 => Mxor_ba_xor_Result_9_1_SW1_O,
ADR1 => N237_0,
ADR2 => a(10),
ADR3 => a(9),
O => Sh1062_10180
);
Sh1062_XUSED : X_BUF
generic map(
LOC => "SLICE_X20Y10",
PATHPULSE => 638 ps
)
port map (
I => Sh1062_10180,
O => Sh1062_0
);
Sh1062_YUSED : X_BUF
generic map(
LOC => "SLICE_X20Y10",
PATHPULSE => 638 ps
)
port map (
I => Mxor_ba_xor_Result_9_1_SW1_O_pack_1,
O => Mxor_ba_xor_Result_9_1_SW1_O
);
Mxor_ba_xor_Result_9_1_SW1 : X_LUT4
generic map(
INIT => X"F055",
LOC => "SLICE_X20Y10"
)
port map (
ADR0 => b_reg(10),
ADR1 => VCC,
ADR2 => b_reg(9),
ADR3 => a(0),
O => Mxor_ba_xor_Result_9_1_SW1_O_pack_1
);
Sh1142 : X_LUT4
generic map(
INIT => X"A3C5",
LOC => "SLICE_X23Y25"
)
port map (
ADR0 => a(18),
ADR1 => a(17),
ADR2 => N217_0,
ADR3 => Mxor_ba_xor_Result_17_1_SW1_O,
O => Sh1142_10204
);
Sh1142_XUSED : X_BUF
generic map(
LOC => "SLICE_X23Y25",
PATHPULSE => 638 ps
)
port map (
I => Sh1142_10204,
O => Sh1142_0
);
Sh1142_YUSED : X_BUF
generic map(
LOC => "SLICE_X23Y25",
PATHPULSE => 638 ps
)
port map (
I => Mxor_ba_xor_Result_17_1_SW1_O_pack_1,
O => Mxor_ba_xor_Result_17_1_SW1_O
);
Mxor_ba_xor_Result_17_1_SW1 : X_LUT4
generic map(
INIT => X"F055",
LOC => "SLICE_X23Y25"
)
port map (
ADR0 => b_reg(18),
ADR1 => VCC,
ADR2 => b_reg(17),
ADR3 => a(0),
O => Mxor_ba_xor_Result_17_1_SW1_O_pack_1
);
Sh1222_XUSED : X_BUF
generic map(
LOC => "SLICE_X22Y28",
PATHPULSE => 638 ps
)
port map (
I => Sh1222_10228,
O => Sh1222_0
);
Sh1222_YUSED : X_BUF
generic map(
LOC => "SLICE_X22Y28",
PATHPULSE => 638 ps
)
port map (
I => Mxor_ba_xor_Result_25_1_SW1_O_pack_1,
O => Mxor_ba_xor_Result_25_1_SW1_O
);
Mxor_ba_xor_Result_25_1_SW1 : X_LUT4
generic map(
INIT => X"AF05",
LOC => "SLICE_X22Y28"
)
port map (
ADR0 => a(0),
ADR1 => VCC,
ADR2 => b_reg(26),
ADR3 => b_reg(25),
O => Mxor_ba_xor_Result_25_1_SW1_O_pack_1
);
Sh1072_XUSED : X_BUF
generic map(
LOC => "SLICE_X18Y13",
PATHPULSE => 638 ps
)
port map (
I => Sh1072_10252,
O => Sh1072_0
);
Sh1072_YUSED : X_BUF
generic map(
LOC => "SLICE_X18Y13",
PATHPULSE => 638 ps
)
port map (
I => Mxor_ba_xor_Result_11_1_SW1_O_pack_1,
O => Mxor_ba_xor_Result_11_1_SW1_O
);
Sh1152_XUSED : X_BUF
generic map(
LOC => "SLICE_X22Y24",
PATHPULSE => 638 ps
)
port map (
I => Sh1152_10276,
O => Sh1152_0
);
Sh1152_YUSED : X_BUF
generic map(
LOC => "SLICE_X22Y24",
PATHPULSE => 638 ps
)
port map (
I => Mxor_ba_xor_Result_19_1_SW1_O_pack_1,
O => Mxor_ba_xor_Result_19_1_SW1_O
);
Sh1232_XUSED : X_BUF
generic map(
LOC => "SLICE_X22Y31",
PATHPULSE => 638 ps
)
port map (
I => Sh1232_10300,
O => Sh1232_0
);
Sh1232_YUSED : X_BUF
generic map(
LOC => "SLICE_X22Y31",
PATHPULSE => 638 ps
)
port map (
I => N200_pack_1,
O => N200
);
Sh1182_XUSED : X_BUF
generic map(
LOC => "SLICE_X18Y33",
PATHPULSE => 638 ps
)
port map (
I => Sh1182_10324,
O => Sh1182_0
);
Sh1182_YUSED : X_BUF
generic map(
LOC => "SLICE_X18Y33",
PATHPULSE => 638 ps
)
port map (
I => Mxor_ba_xor_Result_21_1_SW1_O_pack_1,
O => Mxor_ba_xor_Result_21_1_SW1_O
);
Sh1262_XUSED : X_BUF
generic map(
LOC => "SLICE_X20Y31",
PATHPULSE => 638 ps
)
port map (
I => Sh1262_10348,
O => Sh1262_0
);
Sh1262_YUSED : X_BUF
generic map(
LOC => "SLICE_X20Y31",
PATHPULSE => 638 ps
)
port map (
I => Mxor_ba_xor_Result_29_1_SW1_O_pack_1,
O => Mxor_ba_xor_Result_29_1_SW1_O
);
Sh13016_XUSED : X_BUF
generic map(
LOC => "SLICE_X26Y17",
PATHPULSE => 638 ps
)
port map (
I => Sh13016,
O => Sh13016_0
);
Sh13016_YUSED : X_BUF
generic map(
LOC => "SLICE_X26Y17",
PATHPULSE => 638 ps
)
port map (
I => Sh982_pack_1,
O => Sh982_4493
);
Sh1192_XUSED : X_BUF
generic map(
LOC => "SLICE_X18Y28",
PATHPULSE => 638 ps
)
port map (
I => Sh1192_10396,
O => Sh1192_0
);
Sh1192_YUSED : X_BUF
generic map(
LOC => "SLICE_X18Y28",
PATHPULSE => 638 ps
)
port map (
I => Mxor_ba_xor_Result_23_1_SW1_O_pack_1,
O => Mxor_ba_xor_Result_23_1_SW1_O
);
Sh1272_XUSED : X_BUF
generic map(
LOC => "SLICE_X21Y31",
PATHPULSE => 638 ps
)
port map (
I => Sh1272_10420,
O => Sh1272_0
);
Sh1272_YUSED : X_BUF
generic map(
LOC => "SLICE_X21Y31",
PATHPULSE => 638 ps
)
port map (
I => N197_pack_1,
O => N197
);
Sh161_YUSED : X_BUF
generic map(
LOC => "SLICE_X20Y14",
PATHPULSE => 638 ps
)
port map (
I => Sh129_pack_1,
O => Sh129
);
Sh170_YUSED : X_BUF
generic map(
LOC => "SLICE_X27Y18",
PATHPULSE => 638 ps
)
port map (
I => Sh138_pack_1,
O => Sh138
);
Sh1437_XUSED : X_BUF
generic map(
LOC => "SLICE_X24Y22",
PATHPULSE => 638 ps
)
port map (
I => Sh1437_10492,
O => Sh1437_0
);
Sh1437_YUSED : X_BUF
generic map(
LOC => "SLICE_X24Y22",
PATHPULSE => 638 ps
)
port map (
I => Sh107_pack_1,
O => Sh107
);
Sh171_YUSED : X_BUF
generic map(
LOC => "SLICE_X27Y24",
PATHPULSE => 638 ps
)
port map (
I => Sh155_pack_1,
O => Sh155
);
Sh172_YUSED : X_BUF
generic map(
LOC => "SLICE_X23Y19",
PATHPULSE => 638 ps
)
port map (
I => Sh156_pack_1,
O => Sh156
);
Sh180_YUSED : X_BUF
generic map(
LOC => "SLICE_X23Y21",
PATHPULSE => 638 ps
)
port map (
I => Sh132_pack_1,
O => Sh132
);
Sh165_YUSED : X_BUF
generic map(
LOC => "SLICE_X23Y15",
PATHPULSE => 638 ps
)
port map (
I => Sh149_pack_1,
O => Sh149
);
Sh173_YUSED : X_BUF
generic map(
LOC => "SLICE_X20Y16",
PATHPULSE => 638 ps
)
port map (
I => Sh157_pack_1,
O => Sh157
);
Sh166_YUSED : X_BUF
generic map(
LOC => "SLICE_X26Y15",
PATHPULSE => 638 ps
)
port map (
I => Sh134_pack_1,
O => Sh134
);
Sh174_YUSED : X_BUF
generic map(
LOC => "SLICE_X26Y22",
PATHPULSE => 638 ps
)
port map (
I => Sh158_pack_1,
O => Sh158
);
Sh167_YUSED : X_BUF
generic map(
LOC => "SLICE_X22Y21",
PATHPULSE => 638 ps
)
port map (
I => Sh151_pack_1,
O => Sh151
);
Sh168_YUSED : X_BUF
generic map(
LOC => "SLICE_X22Y17",
PATHPULSE => 638 ps
)
port map (
I => Sh152_pack_1,
O => Sh152
);
Sh176_YUSED : X_BUF
generic map(
LOC => "SLICE_X22Y20",
PATHPULSE => 638 ps
)
port map (
I => Sh128_pack_1,
O => Sh128
);
Sh169_YUSED : X_BUF
generic map(
LOC => "SLICE_X23Y16",
PATHPULSE => 638 ps
)
port map (
I => Sh153_pack_1,
O => Sh153
);
Sh179_YUSED : X_BUF
generic map(
LOC => "SLICE_X25Y19",
PATHPULSE => 638 ps
)
port map (
I => Sh131_pack_1,
O => Sh131
);
b_reg_2_1_DYMUX : X_BUF
generic map(
LOC => "SLICE_X12Y10",
PATHPULSE => 638 ps
)
port map (
I => b_reg_2_1_GYMUX_10799,
O => b_reg_2_1_DYMUX_10800
);
b_reg_2_1_GYMUX : X_BUF
generic map(
LOC => "SLICE_X12Y10",
PATHPULSE => 638 ps
)
port map (
I => b_reg_mux0000_2_Q,
O => b_reg_2_1_GYMUX_10799
);
b_reg_2_1_CLKINV : X_BUF
generic map(
LOC => "SLICE_X12Y10",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => b_reg_2_1_CLKINV_10790
);
b_reg_3_1_DYMUX : X_BUF
generic map(
LOC => "SLICE_X3Y21",
PATHPULSE => 638 ps
)
port map (
I => b_reg_3_1_GYMUX_10823,
O => b_reg_3_1_DYMUX_10824
);
b_reg_3_1_GYMUX : X_BUF
generic map(
LOC => "SLICE_X3Y21",
PATHPULSE => 638 ps
)
port map (
I => b_reg_mux0000_3_Q,
O => b_reg_3_1_GYMUX_10823
);
b_reg_3_1_CLKINV : X_BUF
generic map(
LOC => "SLICE_X3Y21",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => b_reg_3_1_CLKINV_10814
);
b_reg_4_1_DYMUX : X_BUF
generic map(
LOC => "SLICE_X3Y19",
PATHPULSE => 638 ps
)
port map (
I => b_reg_4_1_GYMUX_10847,
O => b_reg_4_1_DYMUX_10848
);
b_reg_4_1_GYMUX : X_BUF
generic map(
LOC => "SLICE_X3Y19",
PATHPULSE => 638 ps
)
port map (
I => b_reg_mux0000_4_Q,
O => b_reg_4_1_GYMUX_10847
);
b_reg_4_1_CLKINV : X_BUF
generic map(
LOC => "SLICE_X3Y19",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => b_reg_4_1_CLKINV_10838
);
AN_1_DXMUX : X_BUF
generic map(
LOC => "SLICE_X30Y14",
PATHPULSE => 638 ps
)
port map (
I => Mrom_AN_mux00011,
O => AN_1_DXMUX_10889
);
AN_1_DYMUX : X_BUF
generic map(
LOC => "SLICE_X30Y14",
PATHPULSE => 638 ps
)
port map (
I => Mrom_AN_mux0001,
O => AN_1_DYMUX_10874
);
AN_1_SRINV : X_BUF
generic map(
LOC => "SLICE_X30Y14",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => AN_1_SRINV_10864
);
AN_1_CLKINV : X_BUF
generic map(
LOC => "SLICE_X30Y14",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => AN_1_CLKINV_10863
);
AN_3_DXMUX : X_BUF
generic map(
LOC => "SLICE_X30Y12",
PATHPULSE => 638 ps
)
port map (
I => Mrom_AN_mux00013,
O => AN_3_DXMUX_10929
);
AN_3_DYMUX : X_BUF
generic map(
LOC => "SLICE_X30Y12",
PATHPULSE => 638 ps
)
port map (
I => Mrom_AN_mux00012,
O => AN_3_DYMUX_10914
);
AN_3_SRINV : X_BUF
generic map(
LOC => "SLICE_X30Y12",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => AN_3_SRINV_10904
);
AN_3_CLKINV : X_BUF
generic map(
LOC => "SLICE_X30Y12",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => AN_3_CLKINV_10903
);
a_reg_1_DXMUX : X_BUF
generic map(
LOC => "SLICE_X13Y33",
PATHPULSE => 638 ps
)
port map (
I => a_reg_mux0000(1),
O => a_reg_1_DXMUX_10970
);
a_reg_1_DYMUX : X_BUF
generic map(
LOC => "SLICE_X13Y33",
PATHPULSE => 638 ps
)
port map (
I => a_reg_mux0000(0),
O => a_reg_1_DYMUX_10956
);
a_reg_1_SRINV : X_BUF
generic map(
LOC => "SLICE_X13Y33",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => a_reg_1_SRINV_10948
);
a_reg_1_CLKINV : X_BUF
generic map(
LOC => "SLICE_X13Y33",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => a_reg_1_CLKINV_10947
);
a_reg_3_DXMUX : X_BUF
generic map(
LOC => "SLICE_X2Y21",
PATHPULSE => 638 ps
)
port map (
I => a_reg_mux0000(3),
O => a_reg_3_DXMUX_11012
);
a_reg_3_DYMUX : X_BUF
generic map(
LOC => "SLICE_X2Y21",
PATHPULSE => 638 ps
)
port map (
I => a_reg_mux0000(2),
O => a_reg_3_DYMUX_10998
);
a_reg_3_SRINV : X_BUF
generic map(
LOC => "SLICE_X2Y21",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => a_reg_3_SRINV_10990
);
a_reg_3_CLKINV : X_BUF
generic map(
LOC => "SLICE_X2Y21",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => a_reg_3_CLKINV_10989
);
a_reg_5_DXMUX : X_BUF
generic map(
LOC => "SLICE_X15Y18",
PATHPULSE => 638 ps
)
port map (
I => a_reg_mux0000(5),
O => a_reg_5_DXMUX_11054
);
a_reg_5_DYMUX : X_BUF
generic map(
LOC => "SLICE_X15Y18",
PATHPULSE => 638 ps
)
port map (
I => a_reg_mux0000(4),
O => a_reg_5_DYMUX_11040
);
a_reg_5_SRINV : X_BUF
generic map(
LOC => "SLICE_X15Y18",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => a_reg_5_SRINV_11032
);
a_reg_5_CLKINV : X_BUF
generic map(
LOC => "SLICE_X15Y18",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => a_reg_5_CLKINV_11031
);
a_reg_7_DXMUX : X_BUF
generic map(
LOC => "SLICE_X17Y15",
PATHPULSE => 638 ps
)
port map (
I => a_reg_mux0000(7),
O => a_reg_7_DXMUX_11096
);
a_reg_7_DYMUX : X_BUF
generic map(
LOC => "SLICE_X17Y15",
PATHPULSE => 638 ps
)
port map (
I => a_reg_mux0000(6),
O => a_reg_7_DYMUX_11082
);
a_reg_7_SRINV : X_BUF
generic map(
LOC => "SLICE_X17Y15",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => a_reg_7_SRINV_11074
);
a_reg_7_CLKINV : X_BUF
generic map(
LOC => "SLICE_X17Y15",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => a_reg_7_CLKINV_11073
);
a_reg_9_DXMUX : X_BUF
generic map(
LOC => "SLICE_X16Y12",
PATHPULSE => 638 ps
)
port map (
I => a_reg_mux0000(9),
O => a_reg_9_DXMUX_11138
);
a_reg_9_DYMUX : X_BUF
generic map(
LOC => "SLICE_X16Y12",
PATHPULSE => 638 ps
)
port map (
I => a_reg_mux0000(8),
O => a_reg_9_DYMUX_11124
);
a_reg_9_SRINV : X_BUF
generic map(
LOC => "SLICE_X16Y12",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => a_reg_9_SRINV_11116
);
a_reg_9_CLKINV : X_BUF
generic map(
LOC => "SLICE_X16Y12",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => a_reg_9_CLKINV_11115
);
b_reg_7_DXMUX : X_BUF
generic map(
LOC => "SLICE_X12Y12",
PATHPULSE => 638 ps
)
port map (
I => b_reg_mux0000_7_Q,
O => b_reg_7_DXMUX_11180
);
b_reg_7_DYMUX : X_BUF
generic map(
LOC => "SLICE_X12Y12",
PATHPULSE => 638 ps
)
port map (
I => b_reg_mux0000_6_Q,
O => b_reg_7_DYMUX_11165
);
b_reg_7_SRINV : X_BUF
generic map(
LOC => "SLICE_X12Y12",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => b_reg_7_SRINV_11156
);
b_reg_7_CLKINV : X_BUF
generic map(
LOC => "SLICE_X12Y12",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => b_reg_7_CLKINV_11155
);
i_cnt_1_DXMUX : X_BUF
generic map(
LOC => "SLICE_X12Y32",
PATHPULSE => 638 ps
)
port map (
I => i_cnt_mux0001(2),
O => i_cnt_1_DXMUX_11221
);
i_cnt_1_DYMUX : X_BUF
generic map(
LOC => "SLICE_X12Y32",
PATHPULSE => 638 ps
)
port map (
I => i_cnt_mux0001(3),
O => i_cnt_1_DYMUX_11208
);
i_cnt_1_SRINV : X_BUF
generic map(
LOC => "SLICE_X12Y32",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => i_cnt_1_SRINV_11199
);
i_cnt_1_CLKINV : X_BUF
generic map(
LOC => "SLICE_X12Y32",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => i_cnt_1_CLKINV_11198
);
a_reg_11_DXMUX : X_BUF
generic map(
LOC => "SLICE_X14Y13",
PATHPULSE => 638 ps
)
port map (
I => a_reg_mux0000(11),
O => a_reg_11_DXMUX_11263
);
a_reg_11_DYMUX : X_BUF
generic map(
LOC => "SLICE_X14Y13",
PATHPULSE => 638 ps
)
port map (
I => a_reg_mux0000(10),
O => a_reg_11_DYMUX_11249
);
a_reg_11_SRINV : X_BUF
generic map(
LOC => "SLICE_X14Y13",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => a_reg_11_SRINV_11241
);
a_reg_11_CLKINV : X_BUF
generic map(
LOC => "SLICE_X14Y13",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => a_reg_11_CLKINV_11240
);
a_reg_mux0000_20_1 : X_LUT4
generic map(
INIT => X"BFB0",
LOC => "SLICE_X16Y32"
)
port map (
ADR0 => a(20),
ADR1 => state_FSM_FFd1_4311,
ADR2 => state_FSM_FFd2_4312,
ADR3 => a_reg(20),
O => a_reg_mux0000(20)
);
a_reg_21_FFY_RSTOR : X_BUF
generic map(
LOC => "SLICE_X16Y32",
PATHPULSE => 638 ps
)
port map (
I => a_reg_21_SRINV_11283,
O => a_reg_21_FFY_RST
);
a_reg_20 : X_FF
generic map(
LOC => "SLICE_X16Y32",
INIT => '0'
)
port map (
I => a_reg_21_DYMUX_11291,
CE => VCC,
CLK => a_reg_21_CLKINV_11282,
SET => GND,
RST => a_reg_21_FFY_RST,
O => a_reg(20)
);
a_reg_mux0000_21_1 : X_LUT4
generic map(
INIT => X"F7C4",
LOC => "SLICE_X16Y32"
)
port map (
ADR0 => state_FSM_FFd1_4311,
ADR1 => state_FSM_FFd2_4312,
ADR2 => a(21),
ADR3 => a_reg(21),
O => a_reg_mux0000(21)
);
a_reg_21_FFX_RSTOR : X_BUF
generic map(
LOC => "SLICE_X16Y32",
PATHPULSE => 638 ps
)
port map (
I => a_reg_21_SRINV_11283,
O => a_reg_21_FFX_RST
);
a_reg_21 : X_FF
generic map(
LOC => "SLICE_X16Y32",
INIT => '0'
)
port map (
I => a_reg_21_DXMUX_11305,
CE => VCC,
CLK => a_reg_21_CLKINV_11282,
SET => GND,
RST => a_reg_21_FFX_RST,
O => a_reg(21)
);
a_reg_21_DXMUX : X_BUF
generic map(
LOC => "SLICE_X16Y32",
PATHPULSE => 638 ps
)
port map (
I => a_reg_mux0000(21),
O => a_reg_21_DXMUX_11305
);
a_reg_21_DYMUX : X_BUF
generic map(
LOC => "SLICE_X16Y32",
PATHPULSE => 638 ps
)
port map (
I => a_reg_mux0000(20),
O => a_reg_21_DYMUX_11291
);
a_reg_21_SRINV : X_BUF
generic map(
LOC => "SLICE_X16Y32",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => a_reg_21_SRINV_11283
);
a_reg_21_CLKINV : X_BUF
generic map(
LOC => "SLICE_X16Y32",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => a_reg_21_CLKINV_11282
);
a_reg_13_FFY_RSTOR : X_BUF
generic map(
LOC => "SLICE_X16Y16",
PATHPULSE => 638 ps
)
port map (
I => a_reg_13_SRINV_11325,
O => a_reg_13_FFY_RST
);
a_reg_12 : X_FF
generic map(
LOC => "SLICE_X16Y16",
INIT => '0'
)
port map (
I => a_reg_13_DYMUX_11333,
CE => VCC,
CLK => a_reg_13_CLKINV_11324,
SET => GND,
RST => a_reg_13_FFY_RST,
O => a_reg(12)
);
a_reg_mux0000_12_1 : X_LUT4
generic map(
INIT => X"E2EE",
LOC => "SLICE_X16Y16"
)
port map (
ADR0 => a_reg(12),
ADR1 => state_FSM_FFd2_4312,
ADR2 => a(12),
ADR3 => state_FSM_FFd1_4311,
O => a_reg_mux0000(12)
);
a_reg_mux0000_13_1 : X_LUT4
generic map(
INIT => X"8F80",
LOC => "SLICE_X16Y16"
)
port map (
ADR0 => a(13),
ADR1 => state_FSM_FFd1_4311,
ADR2 => state_FSM_FFd2_4312,
ADR3 => a_reg(13),
O => a_reg_mux0000(13)
);
a_reg_13_FFX_RSTOR : X_BUF
generic map(
LOC => "SLICE_X16Y16",
PATHPULSE => 638 ps
)
port map (
I => a_reg_13_SRINV_11325,
O => a_reg_13_FFX_RST
);
a_reg_13 : X_FF
generic map(
LOC => "SLICE_X16Y16",
INIT => '0'
)
port map (
I => a_reg_13_DXMUX_11347,
CE => VCC,
CLK => a_reg_13_CLKINV_11324,
SET => GND,
RST => a_reg_13_FFX_RST,
O => a_reg(13)
);
a_reg_13_DXMUX : X_BUF
generic map(
LOC => "SLICE_X16Y16",
PATHPULSE => 638 ps
)
port map (
I => a_reg_mux0000(13),
O => a_reg_13_DXMUX_11347
);
a_reg_13_DYMUX : X_BUF
generic map(
LOC => "SLICE_X16Y16",
PATHPULSE => 638 ps
)
port map (
I => a_reg_mux0000(12),
O => a_reg_13_DYMUX_11333
);
a_reg_13_SRINV : X_BUF
generic map(
LOC => "SLICE_X16Y16",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => a_reg_13_SRINV_11325
);
a_reg_13_CLKINV : X_BUF
generic map(
LOC => "SLICE_X16Y16",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => a_reg_13_CLKINV_11324
);
a_reg_31_FFY_RSTOR : X_BUF
generic map(
LOC => "SLICE_X16Y35",
PATHPULSE => 638 ps
)
port map (
I => a_reg_31_SRINV_11367,
O => a_reg_31_FFY_RST
);
a_reg_30 : X_FF
generic map(
LOC => "SLICE_X16Y35",
INIT => '0'
)
port map (
I => a_reg_31_DYMUX_11375,
CE => VCC,
CLK => a_reg_31_CLKINV_11366,
SET => GND,
RST => a_reg_31_FFY_RST,
O => a_reg(30)
);
a_reg_mux0000_30_1 : X_LUT4
generic map(
INIT => X"B830",
LOC => "SLICE_X16Y35"
)
port map (
ADR0 => state_FSM_FFd1_4311,
ADR1 => state_FSM_FFd2_4312,
ADR2 => a_reg(30),
ADR3 => a(30),
O => a_reg_mux0000(30)
);
a_reg_mux0000_31_1 : X_LUT4
generic map(
INIT => X"BF8C",
LOC => "SLICE_X16Y35"
)
port map (
ADR0 => a(31),
ADR1 => state_FSM_FFd2_4312,
ADR2 => state_FSM_FFd1_4311,
ADR3 => a_reg(31),
O => a_reg_mux0000(31)
);
a_reg_31_FFX_RSTOR : X_BUF
generic map(
LOC => "SLICE_X16Y35",
PATHPULSE => 638 ps
)
port map (
I => a_reg_31_SRINV_11367,
O => a_reg_31_FFX_RST
);
a_reg_31 : X_FF
generic map(
LOC => "SLICE_X16Y35",
INIT => '0'
)
port map (
I => a_reg_31_DXMUX_11389,
CE => VCC,
CLK => a_reg_31_CLKINV_11366,
SET => GND,
RST => a_reg_31_FFX_RST,
O => a_reg(31)
);
a_reg_31_DXMUX : X_BUF
generic map(
LOC => "SLICE_X16Y35",
PATHPULSE => 638 ps
)
port map (
I => a_reg_mux0000(31),
O => a_reg_31_DXMUX_11389
);
a_reg_31_DYMUX : X_BUF
generic map(
LOC => "SLICE_X16Y35",
PATHPULSE => 638 ps
)
port map (
I => a_reg_mux0000(30),
O => a_reg_31_DYMUX_11375
);
a_reg_31_SRINV : X_BUF
generic map(
LOC => "SLICE_X16Y35",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => a_reg_31_SRINV_11367
);
a_reg_31_CLKINV : X_BUF
generic map(
LOC => "SLICE_X16Y35",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => a_reg_31_CLKINV_11366
);
a_reg_23_FFY_RSTOR : X_BUF
generic map(
LOC => "SLICE_X18Y31",
PATHPULSE => 638 ps
)
port map (
I => a_reg_23_SRINV_11409,
O => a_reg_23_FFY_RST
);
a_reg_22 : X_FF
generic map(
LOC => "SLICE_X18Y31",
INIT => '0'
)
port map (
I => a_reg_23_DYMUX_11417,
CE => VCC,
CLK => a_reg_23_CLKINV_11408,
SET => GND,
RST => a_reg_23_FFY_RST,
O => a_reg(22)
);
a_reg_mux0000_22_1 : X_LUT4
generic map(
INIT => X"C0AA",
LOC => "SLICE_X18Y31"
)
port map (
ADR0 => a_reg(22),
ADR1 => a(22),
ADR2 => state_FSM_FFd1_4311,
ADR3 => state_FSM_FFd2_4312,
O => a_reg_mux0000(22)
);
a_reg_mux0000_23_1 : X_LUT4
generic map(
INIT => X"F5CC",
LOC => "SLICE_X18Y31"
)
port map (
ADR0 => state_FSM_FFd1_4311,
ADR1 => a_reg(23),
ADR2 => a(23),
ADR3 => state_FSM_FFd2_4312,
O => a_reg_mux0000(23)
);
a_reg_23_DXMUX : X_BUF
generic map(
LOC => "SLICE_X18Y31",
PATHPULSE => 638 ps
)
port map (
I => a_reg_mux0000(23),
O => a_reg_23_DXMUX_11431
);
a_reg_23_DYMUX : X_BUF
generic map(
LOC => "SLICE_X18Y31",
PATHPULSE => 638 ps
)
port map (
I => a_reg_mux0000(22),
O => a_reg_23_DYMUX_11417
);
a_reg_23_SRINV : X_BUF
generic map(
LOC => "SLICE_X18Y31",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => a_reg_23_SRINV_11409
);
a_reg_23_CLKINV : X_BUF
generic map(
LOC => "SLICE_X18Y31",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => a_reg_23_CLKINV_11408
);
a_reg_15_DXMUX : X_BUF
generic map(
LOC => "SLICE_X15Y22",
PATHPULSE => 638 ps
)
port map (
I => a_reg_mux0000(15),
O => a_reg_15_DXMUX_11473
);
a_reg_15_DYMUX : X_BUF
generic map(
LOC => "SLICE_X15Y22",
PATHPULSE => 638 ps
)
port map (
I => a_reg_mux0000(14),
O => a_reg_15_DYMUX_11459
);
a_reg_15_SRINV : X_BUF
generic map(
LOC => "SLICE_X15Y22",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => a_reg_15_SRINV_11451
);
a_reg_15_CLKINV : X_BUF
generic map(
LOC => "SLICE_X15Y22",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => a_reg_15_CLKINV_11450
);
a_reg_mux0000_24_1 : X_LUT4
generic map(
INIT => X"BF8C",
LOC => "SLICE_X17Y33"
)
port map (
ADR0 => a(24),
ADR1 => state_FSM_FFd2_4312,
ADR2 => state_FSM_FFd1_4311,
ADR3 => a_reg(24),
O => a_reg_mux0000(24)
);
a_reg_25_DXMUX : X_BUF
generic map(
LOC => "SLICE_X17Y33",
PATHPULSE => 638 ps
)
port map (
I => a_reg_mux0000(25),
O => a_reg_25_DXMUX_11515
);
a_reg_25_DYMUX : X_BUF
generic map(
LOC => "SLICE_X17Y33",
PATHPULSE => 638 ps
)
port map (
I => a_reg_mux0000(24),
O => a_reg_25_DYMUX_11501
);
a_reg_25_SRINV : X_BUF
generic map(
LOC => "SLICE_X17Y33",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => a_reg_25_SRINV_11493
);
a_reg_25_CLKINV : X_BUF
generic map(
LOC => "SLICE_X17Y33",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => a_reg_25_CLKINV_11492
);
a_reg_17_DXMUX : X_BUF
generic map(
LOC => "SLICE_X13Y22",
PATHPULSE => 638 ps
)
port map (
I => a_reg_mux0000(17),
O => a_reg_17_DXMUX_11557
);
a_reg_17_DYMUX : X_BUF
generic map(
LOC => "SLICE_X13Y22",
PATHPULSE => 638 ps
)
port map (
I => a_reg_mux0000(16),
O => a_reg_17_DYMUX_11543
);
a_reg_17_SRINV : X_BUF
generic map(
LOC => "SLICE_X13Y22",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => a_reg_17_SRINV_11535
);
a_reg_17_CLKINV : X_BUF
generic map(
LOC => "SLICE_X13Y22",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => a_reg_17_CLKINV_11534
);
a_reg_27_DXMUX : X_BUF
generic map(
LOC => "SLICE_X18Y30",
PATHPULSE => 638 ps
)
port map (
I => a_reg_mux0000(27),
O => a_reg_27_DXMUX_11599
);
a_reg_27_DYMUX : X_BUF
generic map(
LOC => "SLICE_X18Y30",
PATHPULSE => 638 ps
)
port map (
I => a_reg_mux0000(26),
O => a_reg_27_DYMUX_11585
);
a_reg_27_SRINV : X_BUF
generic map(
LOC => "SLICE_X18Y30",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => a_reg_27_SRINV_11577
);
a_reg_27_CLKINV : X_BUF
generic map(
LOC => "SLICE_X18Y30",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => a_reg_27_CLKINV_11576
);
a_reg_19_DXMUX : X_BUF
generic map(
LOC => "SLICE_X14Y25",
PATHPULSE => 638 ps
)
port map (
I => a_reg_mux0000(19),
O => a_reg_19_DXMUX_11641
);
a_reg_19_DYMUX : X_BUF
generic map(
LOC => "SLICE_X14Y25",
PATHPULSE => 638 ps
)
port map (
I => a_reg_mux0000(18),
O => a_reg_19_DYMUX_11627
);
a_reg_19_SRINV : X_BUF
generic map(
LOC => "SLICE_X14Y25",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => a_reg_19_SRINV_11619
);
a_reg_19_CLKINV : X_BUF
generic map(
LOC => "SLICE_X14Y25",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => a_reg_19_CLKINV_11618
);
a_reg_29_DXMUX : X_BUF
generic map(
LOC => "SLICE_X17Y34",
PATHPULSE => 638 ps
)
port map (
I => a_reg_mux0000(29),
O => a_reg_29_DXMUX_11683
);
a_reg_29_DYMUX : X_BUF
generic map(
LOC => "SLICE_X17Y34",
PATHPULSE => 638 ps
)
port map (
I => a_reg_mux0000(28),
O => a_reg_29_DYMUX_11669
);
a_reg_29_SRINV : X_BUF
generic map(
LOC => "SLICE_X17Y34",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => a_reg_29_SRINV_11661
);
a_reg_29_CLKINV : X_BUF
generic map(
LOC => "SLICE_X17Y34",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => a_reg_29_CLKINV_11660
);
b_reg_11_DYMUX : X_BUF
generic map(
LOC => "SLICE_X15Y11",
PATHPULSE => 638 ps
)
port map (
I => b_reg_mux0000_11_Q,
O => b_reg_11_DYMUX_11706
);
b_reg_11_CLKINV : X_BUF
generic map(
LOC => "SLICE_X15Y11",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => b_reg_11_CLKINV_11696
);
b_reg_21_DXMUX : X_BUF
generic map(
LOC => "SLICE_X19Y23",
PATHPULSE => 638 ps
)
port map (
I => b_reg_mux0000_21_Q,
O => b_reg_21_DXMUX_11748
);
b_reg_21_DYMUX : X_BUF
generic map(
LOC => "SLICE_X19Y23",
PATHPULSE => 638 ps
)
port map (
I => b_reg_mux0000_20_Q,
O => b_reg_21_DYMUX_11734
);
b_reg_21_SRINV : X_BUF
generic map(
LOC => "SLICE_X19Y23",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => b_reg_21_SRINV_11726
);
b_reg_21_CLKINV : X_BUF
generic map(
LOC => "SLICE_X19Y23",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => b_reg_21_CLKINV_11725
);
b_reg_13_DXMUX : X_BUF
generic map(
LOC => "SLICE_X18Y19",
PATHPULSE => 638 ps
)
port map (
I => b_reg_mux0000_13_Q,
O => b_reg_13_DXMUX_11790
);
b_reg_13_DYMUX : X_BUF
generic map(
LOC => "SLICE_X18Y19",
PATHPULSE => 638 ps
)
port map (
I => b_reg_mux0000_12_Q,
O => b_reg_13_DYMUX_11776
);
b_reg_13_SRINV : X_BUF
generic map(
LOC => "SLICE_X18Y19",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => b_reg_13_SRINV_11768
);
b_reg_13_CLKINV : X_BUF
generic map(
LOC => "SLICE_X18Y19",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => b_reg_13_CLKINV_11767
);
b_reg_31_DXMUX : X_BUF
generic map(
LOC => "SLICE_X19Y26",
PATHPULSE => 638 ps
)
port map (
I => b_reg_mux0000_31_Q,
O => b_reg_31_DXMUX_11832
);
b_reg_31_DYMUX : X_BUF
generic map(
LOC => "SLICE_X19Y26",
PATHPULSE => 638 ps
)
port map (
I => b_reg_mux0000_30_Q,
O => b_reg_31_DYMUX_11818
);
b_reg_31_SRINV : X_BUF
generic map(
LOC => "SLICE_X19Y26",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => b_reg_31_SRINV_11810
);
b_reg_31_CLKINV : X_BUF
generic map(
LOC => "SLICE_X19Y26",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => b_reg_31_CLKINV_11809
);
b_reg_23_DXMUX : X_BUF
generic map(
LOC => "SLICE_X19Y22",
PATHPULSE => 638 ps
)
port map (
I => b_reg_mux0000_23_Q,
O => b_reg_23_DXMUX_11874
);
b_reg_23_DYMUX : X_BUF
generic map(
LOC => "SLICE_X19Y22",
PATHPULSE => 638 ps
)
port map (
I => b_reg_mux0000_22_Q,
O => b_reg_23_DYMUX_11860
);
b_reg_23_SRINV : X_BUF
generic map(
LOC => "SLICE_X19Y22",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => b_reg_23_SRINV_11852
);
b_reg_23_CLKINV : X_BUF
generic map(
LOC => "SLICE_X19Y22",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => b_reg_23_CLKINV_11851
);
b_reg_15_DXMUX : X_BUF
generic map(
LOC => "SLICE_X19Y18",
PATHPULSE => 638 ps
)
port map (
I => b_reg_mux0000_15_Q,
O => b_reg_15_DXMUX_11916
);
b_reg_15_DYMUX : X_BUF
generic map(
LOC => "SLICE_X19Y18",
PATHPULSE => 638 ps
)
port map (
I => b_reg_mux0000_14_Q,
O => b_reg_15_DYMUX_11902
);
b_reg_15_SRINV : X_BUF
generic map(
LOC => "SLICE_X19Y18",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => b_reg_15_SRINV_11894
);
b_reg_15_CLKINV : X_BUF
generic map(
LOC => "SLICE_X19Y18",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => b_reg_15_CLKINV_11893
);
b_reg_25_DXMUX : X_BUF
generic map(
LOC => "SLICE_X19Y24",
PATHPULSE => 638 ps
)
port map (
I => b_reg_mux0000_25_Q,
O => b_reg_25_DXMUX_11958
);
b_reg_25_DYMUX : X_BUF
generic map(
LOC => "SLICE_X19Y24",
PATHPULSE => 638 ps
)
port map (
I => b_reg_mux0000_24_Q,
O => b_reg_25_DYMUX_11944
);
b_reg_25_SRINV : X_BUF
generic map(
LOC => "SLICE_X19Y24",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => b_reg_25_SRINV_11936
);
b_reg_25_CLKINV : X_BUF
generic map(
LOC => "SLICE_X19Y24",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => b_reg_25_CLKINV_11935
);
b_reg_17_DXMUX : X_BUF
generic map(
LOC => "SLICE_X18Y21",
PATHPULSE => 638 ps
)
port map (
I => b_reg_mux0000_17_Q,
O => b_reg_17_DXMUX_12000
);
b_reg_17_DYMUX : X_BUF
generic map(
LOC => "SLICE_X18Y21",
PATHPULSE => 638 ps
)
port map (
I => b_reg_mux0000_16_Q,
O => b_reg_17_DYMUX_11986
);
b_reg_17_SRINV : X_BUF
generic map(
LOC => "SLICE_X18Y21",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => b_reg_17_SRINV_11978
);
b_reg_17_CLKINV : X_BUF
generic map(
LOC => "SLICE_X18Y21",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => b_reg_17_CLKINV_11977
);
b_reg_27_DXMUX : X_BUF
generic map(
LOC => "SLICE_X19Y25",
PATHPULSE => 638 ps
)
port map (
I => b_reg_mux0000_27_Q,
O => b_reg_27_DXMUX_12042
);
b_reg_27_DYMUX : X_BUF
generic map(
LOC => "SLICE_X19Y25",
PATHPULSE => 638 ps
)
port map (
I => b_reg_mux0000_26_Q,
O => b_reg_27_DYMUX_12028
);
b_reg_27_SRINV : X_BUF
generic map(
LOC => "SLICE_X19Y25",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => b_reg_27_SRINV_12020
);
b_reg_27_CLKINV : X_BUF
generic map(
LOC => "SLICE_X19Y25",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => b_reg_27_CLKINV_12019
);
b_reg_19_DXMUX : X_BUF
generic map(
LOC => "SLICE_X18Y20",
PATHPULSE => 638 ps
)
port map (
I => b_reg_mux0000_19_Q,
O => b_reg_19_DXMUX_12084
);
b_reg_19_DYMUX : X_BUF
generic map(
LOC => "SLICE_X18Y20",
PATHPULSE => 638 ps
)
port map (
I => b_reg_mux0000_18_Q,
O => b_reg_19_DYMUX_12070
);
b_reg_19_SRINV : X_BUF
generic map(
LOC => "SLICE_X18Y20",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => b_reg_19_SRINV_12062
);
b_reg_19_CLKINV : X_BUF
generic map(
LOC => "SLICE_X18Y20",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => b_reg_19_CLKINV_12061
);
b_reg_29_DXMUX : X_BUF
generic map(
LOC => "SLICE_X19Y27",
PATHPULSE => 638 ps
)
port map (
I => b_reg_mux0000_29_Q,
O => b_reg_29_DXMUX_12126
);
b_reg_29_DYMUX : X_BUF
generic map(
LOC => "SLICE_X19Y27",
PATHPULSE => 638 ps
)
port map (
I => b_reg_mux0000_28_Q,
O => b_reg_29_DYMUX_12112
);
b_reg_29_SRINV : X_BUF
generic map(
LOC => "SLICE_X19Y27",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => b_reg_29_SRINV_12104
);
b_reg_29_CLKINV : X_BUF
generic map(
LOC => "SLICE_X19Y27",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => b_reg_29_CLKINV_12103
);
Sh1287_XUSED : X_BUF
generic map(
LOC => "SLICE_X24Y19",
PATHPULSE => 638 ps
)
port map (
I => Sh1287_12154,
O => Sh1287_0
);
Sh1287_YUSED : X_BUF
generic map(
LOC => "SLICE_X24Y19",
PATHPULSE => 638 ps
)
port map (
I => Sh13220_12146,
O => Sh13220_0
);
Sh110_XUSED : X_BUF
generic map(
LOC => "SLICE_X26Y20",
PATHPULSE => 638 ps
)
port map (
I => Sh110,
O => Sh110_0
);
Sh110_YUSED : X_BUF
generic map(
LOC => "SLICE_X26Y20",
PATHPULSE => 638 ps
)
port map (
I => Sh15013_12170,
O => Sh15013_0
);
Sh103_XUSED : X_BUF
generic map(
LOC => "SLICE_X24Y21",
PATHPULSE => 638 ps
)
port map (
I => Sh103,
O => Sh103_0
);
Sh103_YUSED : X_BUF
generic map(
LOC => "SLICE_X24Y21",
PATHPULSE => 638 ps
)
port map (
I => Sh14313_12194,
O => Sh14313_0
);
Sh15816_XUSED : X_BUF
generic map(
LOC => "SLICE_X22Y22",
PATHPULSE => 638 ps
)
port map (
I => Sh15816_12226,
O => Sh15816_0
);
Sh15816_YUSED : X_BUF
generic map(
LOC => "SLICE_X22Y22",
PATHPULSE => 638 ps
)
port map (
I => Sh15113_12219,
O => Sh15113_0
);
Sh1310_XUSED : X_BUF
generic map(
LOC => "SLICE_X24Y23",
PATHPULSE => 638 ps
)
port map (
I => Sh1310,
O => Sh1310_0
);
Sh1310_YUSED : X_BUF
generic map(
LOC => "SLICE_X24Y23",
PATHPULSE => 638 ps
)
port map (
I => Sh15116_12243,
O => Sh15116_0
);
Sh14813_XUSED : X_BUF
generic map(
LOC => "SLICE_X24Y13",
PATHPULSE => 638 ps
)
port map (
I => Sh14813_12274,
O => Sh14813_0
);
Sh14813_YUSED : X_BUF
generic map(
LOC => "SLICE_X24Y13",
PATHPULSE => 638 ps
)
port map (
I => Sh14412_12265,
O => Sh14412_0
);
Sh12816_XUSED : X_BUF
generic map(
LOC => "SLICE_X22Y13",
PATHPULSE => 638 ps
)
port map (
I => Sh12816,
O => Sh12816_0
);
Sh12816_YUSED : X_BUF
generic map(
LOC => "SLICE_X22Y13",
PATHPULSE => 638 ps
)
port map (
I => Sh14413_12289,
O => Sh14413_0
);
Sh14616_XUSED : X_BUF
generic map(
LOC => "SLICE_X28Y23",
PATHPULSE => 638 ps
)
port map (
I => Sh14616_12322,
O => Sh14616_0
);
Sh14616_YUSED : X_BUF
generic map(
LOC => "SLICE_X28Y23",
PATHPULSE => 638 ps
)
port map (
I => Sh15413_12315,
O => Sh15413_0
);
Sh106_XUSED : X_BUF
generic map(
LOC => "SLICE_X27Y17",
PATHPULSE => 638 ps
)
port map (
I => Sh106,
O => Sh106_0
);
Sh106_YUSED : X_BUF
generic map(
LOC => "SLICE_X27Y17",
PATHPULSE => 638 ps
)
port map (
I => Sh14613_12338,
O => Sh14613_0
);
Sh15516_XUSED : X_BUF
generic map(
LOC => "SLICE_X26Y25",
PATHPULSE => 638 ps
)
port map (
I => Sh15516_12370,
O => Sh15516_0
);
Sh15516_YUSED : X_BUF
generic map(
LOC => "SLICE_X26Y25",
PATHPULSE => 638 ps
)
port map (
I => Sh15513_12363,
O => Sh15513_0
);
Sh1527_XUSED : X_BUF
generic map(
LOC => "SLICE_X24Y17",
PATHPULSE => 638 ps
)
port map (
I => Sh1527_12394,
O => Sh1527_0
);
Sh1527_YUSED : X_BUF
generic map(
LOC => "SLICE_X24Y17",
PATHPULSE => 638 ps
)
port map (
I => Sh14816_12386,
O => Sh14816_0
);
Sh13013_XUSED : X_BUF
generic map(
LOC => "SLICE_X29Y22",
PATHPULSE => 638 ps
)
port map (
I => Sh13013,
O => Sh13013_0
);
Sh13013_YUSED : X_BUF
generic map(
LOC => "SLICE_X29Y22",
PATHPULSE => 638 ps
)
port map (
I => Sh15813_12411,
O => Sh15813_0
);
b_reg_0_2_DYMUX : X_BUF
generic map(
LOC => "SLICE_X15Y17",
PATHPULSE => 638 ps
)
port map (
I => b_reg_mux0000_0_Q,
O => b_reg_0_2_DYMUX_12428
);
b_reg_0_2_CLKINV : X_BUF
generic map(
LOC => "SLICE_X15Y17",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => b_reg_0_2_CLKINV_12425
);
b_reg_0_3_DYMUX : X_BUF
generic map(
LOC => "SLICE_X14Y16",
PATHPULSE => 638 ps
)
port map (
I => b_reg_mux0000_0_Q,
O => b_reg_0_3_DYMUX_12442
);
b_reg_0_3_CLKINV : X_BUF
generic map(
LOC => "SLICE_X14Y16",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => b_reg_0_3_CLKINV_12439
);
ab_xor_3_XUSED : X_BUF
generic map(
LOC => "SLICE_X3Y20",
PATHPULSE => 638 ps
)
port map (
I => ab_xor_3_Q,
O => ab_xor_3_0
);
ab_xor_4_XUSED : X_BUF
generic map(
LOC => "SLICE_X13Y19",
PATHPULSE => 638 ps
)
port map (
I => ab_xor_4_Q,
O => ab_xor_4_0
);
N247_XUSED : X_BUF
generic map(
LOC => "SLICE_X14Y19",
PATHPULSE => 638 ps
)
port map (
I => N247,
O => N247_0
);
N247_YUSED : X_BUF
generic map(
LOC => "SLICE_X14Y19",
PATHPULSE => 638 ps
)
port map (
I => ab_xor_5_Q,
O => ab_xor_5_0
);
Mxor_ba_xor_Result_7_1_SW3 : X_LUT4
generic map(
INIT => X"C0F3",
LOC => "SLICE_X16Y13"
)
port map (
ADR0 => VCC,
ADR1 => a(0),
ADR2 => b_reg(7),
ADR3 => b_reg(8),
O => N261
);
N261_XUSED : X_BUF
generic map(
LOC => "SLICE_X16Y13",
PATHPULSE => 638 ps
)
port map (
I => N261,
O => N261_0
);
N261_YUSED : X_BUF
generic map(
LOC => "SLICE_X16Y13",
PATHPULSE => 638 ps
)
port map (
I => ab_xor_7_Q,
O => ab_xor_7_0
);
Mxor_ba_xor_Result_7_1_SW2 : X_LUT4
generic map(
INIT => X"1D1D",
LOC => "SLICE_X15Y13"
)
port map (
ADR0 => b_reg(8),
ADR1 => a(0),
ADR2 => b_reg(7),
ADR3 => VCC,
O => N260
);
N260_XUSED : X_BUF
generic map(
LOC => "SLICE_X15Y13",
PATHPULSE => 638 ps
)
port map (
I => N260,
O => N260_0
);
N260_YUSED : X_BUF
generic map(
LOC => "SLICE_X15Y13",
PATHPULSE => 638 ps
)
port map (
I => ab_xor_8_Q,
O => ab_xor_8_0
);
Mxor_ab_xor_Result_8_1 : X_LUT4
generic map(
INIT => X"5A5A",
LOC => "SLICE_X15Y13"
)
port map (
ADR0 => b_reg(8),
ADR1 => VCC,
ADR2 => a_reg(8),
ADR3 => VCC,
O => ab_xor_8_Q
);
Mxor_ba_xor_Result_8_1_SW1 : X_LUT4
generic map(
INIT => X"88BB",
LOC => "SLICE_X15Y12"
)
port map (
ADR0 => b_reg(8),
ADR1 => a(0),
ADR2 => VCC,
ADR3 => b_reg(9),
O => N241
);
N241_XUSED : X_BUF
generic map(
LOC => "SLICE_X15Y12",
PATHPULSE => 638 ps
)
port map (
I => N241,
O => N241_0
);
N241_YUSED : X_BUF
generic map(
LOC => "SLICE_X15Y12",
PATHPULSE => 638 ps
)
port map (
I => ab_xor_9_Q,
O => ab_xor_9_0
);
Mxor_ab_xor_Result_9_1 : X_LUT4
generic map(
INIT => X"33CC",
LOC => "SLICE_X15Y12"
)
port map (
ADR0 => VCC,
ADR1 => a_reg(9),
ADR2 => VCC,
ADR3 => b_reg(9),
O => ab_xor_9_Q
);
Sh102_f51 : X_LUT4
generic map(
INIT => X"F3C0",
LOC => "SLICE_X26Y19"
)
port map (
ADR0 => VCC,
ADR1 => a(1),
ADR2 => Sh1002_0,
ADR3 => Sh1022_0,
O => Sh102
);
Sh102_XUSED : X_BUF
generic map(
LOC => "SLICE_X26Y19",
PATHPULSE => 638 ps
)
port map (
I => Sh102,
O => Sh102_0
);
Sh102_YUSED : X_BUF
generic map(
LOC => "SLICE_X26Y19",
PATHPULSE => 638 ps
)
port map (
I => Sh98,
O => Sh98_0
);
Sh98_f51 : X_LUT4
generic map(
INIT => X"AACC",
LOC => "SLICE_X26Y19"
)
port map (
ADR0 => Sh962_0,
ADR1 => Sh982_4493,
ADR2 => VCC,
ADR3 => a(1),
O => Sh98
);
Madd_a_lut_22_SW0 : X_LUT4
generic map(
INIT => X"1946",
LOC => "SLICE_X18Y27"
)
port map (
ADR0 => i_cnt(0),
ADR1 => i_cnt(3),
ADR2 => i_cnt(2),
ADR3 => i_cnt(1),
O => N520
);
N520_XUSED : X_BUF
generic map(
LOC => "SLICE_X18Y27",
PATHPULSE => 638 ps
)
port map (
I => N520,
O => N520_0
);
N520_YUSED : X_BUF
generic map(
LOC => "SLICE_X18Y27",
PATHPULSE => 638 ps
)
port map (
I => N286,
O => N286_0
);
Sh711_SW0 : X_LUT4
generic map(
INIT => X"EEF0",
LOC => "SLICE_X18Y27"
)
port map (
ADR0 => i_cnt(0),
ADR1 => i_cnt(3),
ADR2 => i_cnt(2),
ADR3 => i_cnt(1),
O => N286
);
Sh671_SW0 : X_LUT4
generic map(
INIT => X"F30C",
LOC => "SLICE_X20Y18"
)
port map (
ADR0 => VCC,
ADR1 => i_cnt(0),
ADR2 => i_cnt(2),
ADR3 => i_cnt(3),
O => N224
);
Madd_b_lut_15_SW0 : X_LUT4
generic map(
INIT => X"4343",
LOC => "SLICE_X20Y18"
)
port map (
ADR0 => i_cnt(3),
ADR1 => i_cnt(0),
ADR2 => i_cnt(2),
ADR3 => VCC,
O => N522
);
N522_XUSED : X_BUF
generic map(
LOC => "SLICE_X20Y18",
PATHPULSE => 638 ps
)
port map (
I => N522,
O => N522_0
);
N522_YUSED : X_BUF
generic map(
LOC => "SLICE_X20Y18",
PATHPULSE => 638 ps
)
port map (
I => N224,
O => N224_0
);
Sh781_SW0 : X_LUT4
generic map(
INIT => X"4341",
LOC => "SLICE_X12Y30"
)
port map (
ADR0 => i_cnt(2),
ADR1 => i_cnt(1),
ADR2 => i_cnt(3),
ADR3 => i_cnt(0),
O => N226
);
Madd_a_lut_28_SW0 : X_LUT4
generic map(
INIT => X"4637",
LOC => "SLICE_X12Y30"
)
port map (
ADR0 => i_cnt(2),
ADR1 => i_cnt(1),
ADR2 => i_cnt(3),
ADR3 => i_cnt(0),
O => N518
);
N518_XUSED : X_BUF
generic map(
LOC => "SLICE_X12Y30",
PATHPULSE => 638 ps
)
port map (
I => N518,
O => N518_0
);
N518_YUSED : X_BUF
generic map(
LOC => "SLICE_X12Y30",
PATHPULSE => 638 ps
)
port map (
I => N226,
O => N226_0
);
Mxor_ab_xor_Result_11_1 : X_LUT4
generic map(
INIT => X"33CC",
LOC => "SLICE_X14Y12"
)
port map (
ADR0 => VCC,
ADR1 => b_reg(11),
ADR2 => VCC,
ADR3 => a_reg(11),
O => ab_xor_11_Q
);
N258_XUSED : X_BUF
generic map(
LOC => "SLICE_X14Y12",
PATHPULSE => 638 ps
)
port map (
I => N258,
O => N258_0
);
N258_YUSED : X_BUF
generic map(
LOC => "SLICE_X14Y12",
PATHPULSE => 638 ps
)
port map (
I => ab_xor_11_Q,
O => ab_xor_11_0
);
N257_XUSED : X_BUF
generic map(
LOC => "SLICE_X15Y14",
PATHPULSE => 638 ps
)
port map (
I => N257,
O => N257_0
);
N257_YUSED : X_BUF
generic map(
LOC => "SLICE_X15Y14",
PATHPULSE => 638 ps
)
port map (
I => ab_xor_12_Q,
O => ab_xor_12_0
);
Sh1181_SW1 : X_LUT4
generic map(
INIT => X"BB11",
LOC => "SLICE_X22Y25"
)
port map (
ADR0 => a(0),
ADR1 => b_reg(20),
ADR2 => VCC,
ADR3 => b_reg(19),
O => N188
);
N188_XUSED : X_BUF
generic map(
LOC => "SLICE_X22Y25",
PATHPULSE => 638 ps
)
port map (
I => N188,
O => N188_0
);
N188_YUSED : X_BUF
generic map(
LOC => "SLICE_X22Y25",
PATHPULSE => 638 ps
)
port map (
I => ab_xor_20_Q,
O => ab_xor_20_0
);
N235_XUSED : X_BUF
generic map(
LOC => "SLICE_X15Y16",
PATHPULSE => 638 ps
)
port map (
I => N235,
O => N235_0
);
N235_YUSED : X_BUF
generic map(
LOC => "SLICE_X15Y16",
PATHPULSE => 638 ps
)
port map (
I => ab_xor_13_Q,
O => ab_xor_13_0
);
Mxor_ab_xor_Result_13_1 : X_LUT4
generic map(
INIT => X"6666",
LOC => "SLICE_X15Y16"
)
port map (
ADR0 => a_reg(13),
ADR1 => b_reg(13),
ADR2 => VCC,
ADR3 => VCC,
O => ab_xor_13_Q
);
N214_XUSED : X_BUF
generic map(
LOC => "SLICE_X17Y32",
PATHPULSE => 638 ps
)
port map (
I => N214,
O => N214_0
);
N214_YUSED : X_BUF
generic map(
LOC => "SLICE_X17Y32",
PATHPULSE => 638 ps
)
port map (
I => ab_xor_21_Q,
O => ab_xor_21_0
);
N228_XUSED : X_BUF
generic map(
LOC => "SLICE_X15Y20",
PATHPULSE => 638 ps
)
port map (
I => N228,
O => N228_0
);
N228_YUSED : X_BUF
generic map(
LOC => "SLICE_X15Y20",
PATHPULSE => 638 ps
)
port map (
I => ab_xor_15_Q,
O => ab_xor_15_0
);
N202_XUSED : X_BUF
generic map(
LOC => "SLICE_X19Y31",
PATHPULSE => 638 ps
)
port map (
I => N202,
O => N202_0
);
N202_YUSED : X_BUF
generic map(
LOC => "SLICE_X19Y31",
PATHPULSE => 638 ps
)
port map (
I => ab_xor_23_Q,
O => ab_xor_23_0
);
N196_XUSED : X_BUF
generic map(
LOC => "SLICE_X17Y35",
PATHPULSE => 638 ps
)
port map (
I => N196,
O => N196_0
);
N196_YUSED : X_BUF
generic map(
LOC => "SLICE_X17Y35",
PATHPULSE => 638 ps
)
port map (
I => ab_xor_31_Q,
O => ab_xor_31_0
);
N194_XUSED : X_BUF
generic map(
LOC => "SLICE_X14Y20",
PATHPULSE => 638 ps
)
port map (
I => N194,
O => N194_0
);
N194_YUSED : X_BUF
generic map(
LOC => "SLICE_X14Y20",
PATHPULSE => 638 ps
)
port map (
I => ab_xor_16_Q,
O => ab_xor_16_0
);
N182_XUSED : X_BUF
generic map(
LOC => "SLICE_X18Y32",
PATHPULSE => 638 ps
)
port map (
I => N182,
O => N182_0
);
N182_YUSED : X_BUF
generic map(
LOC => "SLICE_X18Y32",
PATHPULSE => 638 ps
)
port map (
I => ab_xor_24_Q,
O => ab_xor_24_0
);
N217_XUSED : X_BUF
generic map(
LOC => "SLICE_X13Y23",
PATHPULSE => 638 ps
)
port map (
I => N217,
O => N217_0
);
N217_YUSED : X_BUF
generic map(
LOC => "SLICE_X13Y23",
PATHPULSE => 638 ps
)
port map (
I => ab_xor_17_Q,
O => ab_xor_17_0
);
N211_XUSED : X_BUF
generic map(
LOC => "SLICE_X16Y33",
PATHPULSE => 638 ps
)
port map (
I => N211,
O => N211_0
);
N211_YUSED : X_BUF
generic map(
LOC => "SLICE_X16Y33",
PATHPULSE => 638 ps
)
port map (
I => ab_xor_25_Q,
O => ab_xor_25_0
);
N205_XUSED : X_BUF
generic map(
LOC => "SLICE_X18Y25",
PATHPULSE => 638 ps
)
port map (
I => N205,
O => N205_0
);
N205_YUSED : X_BUF
generic map(
LOC => "SLICE_X18Y25",
PATHPULSE => 638 ps
)
port map (
I => ab_xor_19_Q,
O => ab_xor_19_0
);
N199_XUSED : X_BUF
generic map(
LOC => "SLICE_X19Y30",
PATHPULSE => 638 ps
)
port map (
I => N199,
O => N199_0
);
N199_YUSED : X_BUF
generic map(
LOC => "SLICE_X19Y30",
PATHPULSE => 638 ps
)
port map (
I => ab_xor_27_Q,
O => ab_xor_27_0
);
N176_XUSED : X_BUF
generic map(
LOC => "SLICE_X18Y34",
PATHPULSE => 638 ps
)
port map (
I => N176,
O => N176_0
);
N176_YUSED : X_BUF
generic map(
LOC => "SLICE_X18Y34",
PATHPULSE => 638 ps
)
port map (
I => ab_xor_28_Q,
O => ab_xor_28_0
);
N208_XUSED : X_BUF
generic map(
LOC => "SLICE_X16Y34",
PATHPULSE => 638 ps
)
port map (
I => N208,
O => N208_0
);
N208_YUSED : X_BUF
generic map(
LOC => "SLICE_X16Y34",
PATHPULSE => 638 ps
)
port map (
I => ab_xor_29_Q,
O => ab_xor_29_0
);
N191_XUSED : X_BUF
generic map(
LOC => "SLICE_X25Y21",
PATHPULSE => 638 ps
)
port map (
I => N191,
O => N191_0
);
N191_YUSED : X_BUF
generic map(
LOC => "SLICE_X25Y21",
PATHPULSE => 638 ps
)
port map (
I => N193,
O => N193_0
);
N179_XUSED : X_BUF
generic map(
LOC => "SLICE_X20Y28",
PATHPULSE => 638 ps
)
port map (
I => N179,
O => N179_0
);
N179_YUSED : X_BUF
generic map(
LOC => "SLICE_X20Y28",
PATHPULSE => 638 ps
)
port map (
I => N181,
O => N181_0
);
N289_XUSED : X_BUF
generic map(
LOC => "SLICE_X27Y21",
PATHPULSE => 638 ps
)
port map (
I => N289,
O => N289_0
);
N289_YUSED : X_BUF
generic map(
LOC => "SLICE_X27Y21",
PATHPULSE => 638 ps
)
port map (
I => N190,
O => N190_0
);
N288_XUSED : X_BUF
generic map(
LOC => "SLICE_X23Y26",
PATHPULSE => 638 ps
)
port map (
I => N288,
O => N288_0
);
N288_YUSED : X_BUF
generic map(
LOC => "SLICE_X23Y26",
PATHPULSE => 638 ps
)
port map (
I => N178,
O => N178_0
);
N185_XUSED : X_BUF
generic map(
LOC => "SLICE_X24Y25",
PATHPULSE => 638 ps
)
port map (
I => N185,
O => N185_0
);
N185_YUSED : X_BUF
generic map(
LOC => "SLICE_X24Y25",
PATHPULSE => 638 ps
)
port map (
I => N187,
O => N187_0
);
N173_XUSED : X_BUF
generic map(
LOC => "SLICE_X22Y30",
PATHPULSE => 638 ps
)
port map (
I => N173,
O => N173_0
);
N173_YUSED : X_BUF
generic map(
LOC => "SLICE_X22Y30",
PATHPULSE => 638 ps
)
port map (
I => N175,
O => N175_0
);
N264_XUSED : X_BUF
generic map(
LOC => "SLICE_X24Y26",
PATHPULSE => 638 ps
)
port map (
I => N264,
O => N264_0
);
N264_YUSED : X_BUF
generic map(
LOC => "SLICE_X24Y26",
PATHPULSE => 638 ps
)
port map (
I => N184,
O => N184_0
);
N263_XUSED : X_BUF
generic map(
LOC => "SLICE_X22Y27",
PATHPULSE => 638 ps
)
port map (
I => N263,
O => N263_0
);
N263_YUSED : X_BUF
generic map(
LOC => "SLICE_X22Y27",
PATHPULSE => 638 ps
)
port map (
I => N172,
O => N172_0
);
Sh80_YUSED : X_BUF
generic map(
LOC => "SLICE_X15Y24",
PATHPULSE => 638 ps
)
port map (
I => Sh64,
O => Sh64_0
);
Sh5320_XUSED : X_BUF
generic map(
LOC => "SLICE_X14Y21",
PATHPULSE => 638 ps
)
port map (
I => Sh5320,
O => Sh5320_0
);
Sh5320_YUSED : X_BUF
generic map(
LOC => "SLICE_X14Y21",
PATHPULSE => 638 ps
)
port map (
I => Sh5720,
O => Sh5720_0
);
Sh5420_XUSED : X_BUF
generic map(
LOC => "SLICE_X13Y29",
PATHPULSE => 638 ps
)
port map (
I => Sh5420,
O => Sh5420_0
);
Sh5420_YUSED : X_BUF
generic map(
LOC => "SLICE_X13Y29",
PATHPULSE => 638 ps
)
port map (
I => Sh5820,
O => Sh5820_0
);
N246_XUSED : X_BUF
generic map(
LOC => "SLICE_X16Y21",
PATHPULSE => 638 ps
)
port map (
I => N246,
O => N246_0
);
Sh84_XUSED : X_BUF
generic map(
LOC => "SLICE_X14Y18",
PATHPULSE => 638 ps
)
port map (
I => Sh84,
O => Sh84_0
);
N254_XUSED : X_BUF
generic map(
LOC => "SLICE_X25Y14",
PATHPULSE => 638 ps
)
port map (
I => N254,
O => N254_0
);
N254_YUSED : X_BUF
generic map(
LOC => "SLICE_X25Y14",
PATHPULSE => 638 ps
)
port map (
I => Sh991_13638,
O => Sh991_0
);
Sh99_XUSED : X_BUF
generic map(
LOC => "SLICE_X24Y18",
PATHPULSE => 638 ps
)
port map (
I => Sh99,
O => Sh99_0
);
Sh99_YUSED : X_BUF
generic map(
LOC => "SLICE_X24Y18",
PATHPULSE => 638 ps
)
port map (
I => Sh1011_pack_1,
O => Sh1011
);
b_reg_mux0000_2_13_XUSED : X_BUF
generic map(
LOC => "SLICE_X9Y2",
PATHPULSE => 638 ps
)
port map (
I => b_reg_mux0000_2_13_13694,
O => b_reg_mux0000_2_13_0
);
b_reg_mux0000_2_13_YUSED : X_BUF
generic map(
LOC => "SLICE_X9Y2",
PATHPULSE => 638 ps
)
port map (
I => b_reg_mux0000_2_5_13686,
O => b_reg_mux0000_2_5_0
);
b_reg_1_DXMUX : X_BUF
generic map(
LOC => "SLICE_X13Y15",
PATHPULSE => 638 ps
)
port map (
I => b_reg_1_F5MUX_13734,
O => b_reg_1_DXMUX_13736
);
b_reg_1_F5MUX : X_MUX2
generic map(
LOC => "SLICE_X13Y15"
)
port map (
IA => N498,
IB => N499,
SEL => b_reg_1_BXINV_13726,
O => b_reg_1_F5MUX_13734
);
b_reg_1_BXINV : X_BUF
generic map(
LOC => "SLICE_X13Y15",
PATHPULSE => 638 ps
)
port map (
I => state_FSM_FFd1_4311,
O => b_reg_1_BXINV_13726
);
b_reg_1_DYMUX : X_BUF
generic map(
LOC => "SLICE_X13Y15",
PATHPULSE => 638 ps
)
port map (
I => b_reg_mux0000_0_Q,
O => b_reg_1_DYMUX_13719
);
b_reg_1_SRINV : X_BUF
generic map(
LOC => "SLICE_X13Y15",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => b_reg_1_SRINV_13711
);
b_reg_1_CLKINV : X_BUF
generic map(
LOC => "SLICE_X13Y15",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => b_reg_1_CLKINV_13710
);
b_reg_3_DXMUX : X_BUF
generic map(
LOC => "SLICE_X3Y18",
PATHPULSE => 638 ps
)
port map (
I => b_reg_3_1_GYMUX_10823,
O => b_reg_3_DXMUX_13760
);
b_reg_3_DYMUX : X_BUF
generic map(
LOC => "SLICE_X3Y18",
PATHPULSE => 638 ps
)
port map (
I => b_reg_2_1_GYMUX_10799,
O => b_reg_3_DYMUX_13752
);
b_reg_3_SRINV : X_BUF
generic map(
LOC => "SLICE_X3Y18",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => b_reg_3_SRINV_13750
);
b_reg_3_CLKINV : X_BUF
generic map(
LOC => "SLICE_X3Y18",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => b_reg_3_CLKINV_13749
);
b_reg_4_DXMUX : X_BUF
generic map(
LOC => "SLICE_X2Y16",
PATHPULSE => 638 ps
)
port map (
I => b_reg_4_1_GYMUX_10847,
O => b_reg_4_DXMUX_13793
);
b_reg_4_DYMUX : X_BUF
generic map(
LOC => "SLICE_X2Y16",
PATHPULSE => 638 ps
)
port map (
I => b_reg_mux0000_5_Q,
O => b_reg_4_DYMUX_13785
);
b_reg_4_SRINV : X_BUF
generic map(
LOC => "SLICE_X2Y16",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => b_reg_4_SRINV_13776
);
b_reg_4_CLKINV : X_BUF
generic map(
LOC => "SLICE_X2Y16",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => b_reg_4_CLKINV_13775
);
b_reg_mux0000_4_12_XUSED : X_BUF
generic map(
LOC => "SLICE_X0Y20",
PATHPULSE => 638 ps
)
port map (
I => b_reg_mux0000_4_12_13821,
O => b_reg_mux0000_4_12_0
);
b_reg_mux0000_4_12_YUSED : X_BUF
generic map(
LOC => "SLICE_X0Y20",
PATHPULSE => 638 ps
)
port map (
I => b_reg_mux0000_4_3_13813,
O => b_reg_mux0000_4_3_0
);
b_reg_mux0000_6_12_XUSED : X_BUF
generic map(
LOC => "SLICE_X2Y12",
PATHPULSE => 638 ps
)
port map (
I => b_reg_mux0000_6_12_13845,
O => b_reg_mux0000_6_12_0
);
b_reg_mux0000_6_12_YUSED : X_BUF
generic map(
LOC => "SLICE_X2Y12",
PATHPULSE => 638 ps
)
port map (
I => b_reg_mux0000_6_3_13837,
O => b_reg_mux0000_6_3_0
);
Mrom_b_rom000024 : X_LUT4
generic map(
INIT => X"427A",
LOC => "SLICE_X20Y22"
)
port map (
ADR0 => i_cnt(2),
ADR1 => i_cnt(0),
ADR2 => i_cnt(3),
ADR3 => i_cnt(1),
O => Mrom_b_rom000024_13869
);
Mrom_b_rom000024_XUSED : X_BUF
generic map(
LOC => "SLICE_X20Y22",
PATHPULSE => 638 ps
)
port map (
I => Mrom_b_rom000024_13869,
O => Mrom_b_rom000024_0
);
Mrom_b_rom000024_YUSED : X_BUF
generic map(
LOC => "SLICE_X20Y22",
PATHPULSE => 638 ps
)
port map (
I => N27,
O => N27_0
);
Mrom_a_rom00002321 : X_LUT4
generic map(
INIT => X"0800",
LOC => "SLICE_X20Y22"
)
port map (
ADR0 => i_cnt(2),
ADR1 => i_cnt(0),
ADR2 => i_cnt(3),
ADR3 => i_cnt(1),
O => N27
);
Mrom_b_rom00002921 : X_LUT4
generic map(
INIT => X"EEFF",
LOC => "SLICE_X20Y17"
)
port map (
ADR0 => i_cnt(3),
ADR1 => i_cnt(0),
ADR2 => VCC,
ADR3 => i_cnt(1),
O => N111
);
N111_XUSED : X_BUF
generic map(
LOC => "SLICE_X20Y17",
PATHPULSE => 638 ps
)
port map (
I => N111,
O => N111_0
);
N111_YUSED : X_BUF
generic map(
LOC => "SLICE_X20Y17",
PATHPULSE => 638 ps
)
port map (
I => N12,
O => N12_0
);
Mrom_a_rom00001611 : X_LUT4
generic map(
INIT => X"0011",
LOC => "SLICE_X20Y17"
)
port map (
ADR0 => i_cnt(3),
ADR1 => i_cnt(0),
ADR2 => VCC,
ADR3 => i_cnt(1),
O => N12
);
Mrom_b_rom00002311 : X_LUT4
generic map(
INIT => X"0A00",
LOC => "SLICE_X21Y10"
)
port map (
ADR0 => i_cnt(2),
ADR1 => VCC,
ADR2 => i_cnt(3),
ADR3 => i_cnt(1),
O => N20
);
N20_XUSED : X_BUF
generic map(
LOC => "SLICE_X21Y10",
PATHPULSE => 638 ps
)
port map (
I => N20,
O => N20_0
);
N20_YUSED : X_BUF
generic map(
LOC => "SLICE_X21Y10",
PATHPULSE => 638 ps
)
port map (
I => N17,
O => N17_0
);
Mrom_a_rom00001811 : X_LUT4
generic map(
INIT => X"5000",
LOC => "SLICE_X21Y10"
)
port map (
ADR0 => i_cnt(2),
ADR1 => VCC,
ADR2 => i_cnt(3),
ADR3 => i_cnt(1),
O => N17
);
Mrom_b_rom00005 : X_LUT4
generic map(
INIT => X"1261",
LOC => "SLICE_X18Y15"
)
port map (
ADR0 => i_cnt(1),
ADR1 => i_cnt(2),
ADR2 => i_cnt(0),
ADR3 => i_cnt(3),
O => Mrom_b_rom00005_13941
);
Mrom_b_rom00005_XUSED : X_BUF
generic map(
LOC => "SLICE_X18Y15",
PATHPULSE => 638 ps
)
port map (
I => Mrom_b_rom00005_13941,
O => Mrom_b_rom00005_0
);
Mrom_b_rom00005_YUSED : X_BUF
generic map(
LOC => "SLICE_X18Y15",
PATHPULSE => 638 ps
)
port map (
I => N222,
O => N222_0
);
Madd_a_lut_12_SW0 : X_LUT4
generic map(
INIT => X"501B",
LOC => "SLICE_X18Y15"
)
port map (
ADR0 => i_cnt(3),
ADR1 => i_cnt(2),
ADR2 => i_cnt(1),
ADR3 => i_cnt(0),
O => N222
);
i_cnt_mux0001_0_25 : X_LUT4
generic map(
INIT => X"8000",
LOC => "SLICE_X19Y13"
)
port map (
ADR0 => i_cnt(0),
ADR1 => state_FSM_FFd2_4312,
ADR2 => i_cnt(1),
ADR3 => i_cnt_mux0001_0_22_4123,
O => i_cnt_mux0001_0_25_13965
);
i_cnt_mux0001_0_25_XUSED : X_BUF
generic map(
LOC => "SLICE_X19Y13",
PATHPULSE => 638 ps
)
port map (
I => i_cnt_mux0001_0_25_13965,
O => i_cnt_mux0001_0_25_0
);
i_cnt_mux0001_0_25_YUSED : X_BUF
generic map(
LOC => "SLICE_X19Y13",
PATHPULSE => 638 ps
)
port map (
I => i_cnt_mux0001_0_22_pack_1,
O => i_cnt_mux0001_0_22_4123
);
i_cnt_mux0001_0_22 : X_LUT4
generic map(
INIT => X"3030",
LOC => "SLICE_X19Y13"
)
port map (
ADR0 => VCC,
ADR1 => i_cnt(3),
ADR2 => i_cnt(2),
ADR3 => VCC,
O => i_cnt_mux0001_0_22_pack_1
);
Sh1567 : X_LUT4
generic map(
INIT => X"CFC0",
LOC => "SLICE_X23Y20"
)
port map (
ADR0 => VCC,
ADR1 => Sh112,
ADR2 => a(3),
ADR3 => Sh120,
O => Sh1567_13989
);
Sh1567_XUSED : X_BUF
generic map(
LOC => "SLICE_X23Y20",
PATHPULSE => 638 ps
)
port map (
I => Sh1567_13989,
O => Sh1567_0
);
Sh1567_YUSED : X_BUF
generic map(
LOC => "SLICE_X23Y20",
PATHPULSE => 638 ps
)
port map (
I => Sh12813,
O => Sh12813_0
);
Sh1320 : X_LUT4
generic map(
INIT => X"F000",
LOC => "SLICE_X23Y20"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => a(3),
ADR3 => Sh120,
O => Sh12813
);
Sh1577 : X_LUT4
generic map(
INIT => X"CACA",
LOC => "SLICE_X22Y14"
)
port map (
ADR0 => Sh121,
ADR1 => Sh113,
ADR2 => a(3),
ADR3 => VCC,
O => Sh1577_14013
);
Sh1577_XUSED : X_BUF
generic map(
LOC => "SLICE_X22Y14",
PATHPULSE => 638 ps
)
port map (
I => Sh1577_14013,
O => Sh1577_0
);
Sh1577_YUSED : X_BUF
generic map(
LOC => "SLICE_X22Y14",
PATHPULSE => 638 ps
)
port map (
I => Sh12913,
O => Sh12913_0
);
Sh1330 : X_LUT4
generic map(
INIT => X"A0A0",
LOC => "SLICE_X22Y14"
)
port map (
ADR0 => Sh121,
ADR1 => VCC,
ADR2 => a(3),
ADR3 => VCC,
O => Sh12913
);
Sh1297_XUSED : X_BUF
generic map(
LOC => "SLICE_X22Y15",
PATHPULSE => 638 ps
)
port map (
I => Sh1297_14037,
O => Sh1297_0
);
Sh1297_YUSED : X_BUF
generic map(
LOC => "SLICE_X22Y15",
PATHPULSE => 638 ps
)
port map (
I => Sh12916,
O => Sh12916_0
);
Sh1333 : X_LUT4
generic map(
INIT => X"0F00",
LOC => "SLICE_X22Y15"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => a(3),
ADR3 => Sh97,
O => Sh12916
);
Sh1497_XUSED : X_BUF
generic map(
LOC => "SLICE_X24Y14",
PATHPULSE => 638 ps
)
port map (
I => Sh1497_14061,
O => Sh1497_0
);
Sh1497_YUSED : X_BUF
generic map(
LOC => "SLICE_X24Y14",
PATHPULSE => 638 ps
)
port map (
I => Sh1537_14053,
O => Sh1537_0
);
Sh186_YUSED : X_BUF
generic map(
LOC => "SLICE_X23Y18",
PATHPULSE => 638 ps
)
port map (
I => Sh185,
O => Sh185_0
);
Sh347_XUSED : X_BUF
generic map(
LOC => "SLICE_X15Y28",
PATHPULSE => 638 ps
)
port map (
I => Sh347,
O => Sh347_0
);
Sh347_YUSED : X_BUF
generic map(
LOC => "SLICE_X15Y28",
PATHPULSE => 638 ps
)
port map (
I => Sh337,
O => Sh337_0
);
Madd_b_pre_cy_4_XUSED : X_BUF
generic map(
LOC => "SLICE_X3Y15",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_pre_cy_4_Q,
O => Madd_b_pre_cy_4_0
);
Madd_b_pre_cy_4_YUSED : X_BUF
generic map(
LOC => "SLICE_X3Y15",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_pre_cy_2_pack_1,
O => Madd_b_pre_cy_2_Q
);
b_reg_mux0000_10_10_XUSED : X_BUF
generic map(
LOC => "SLICE_X2Y14",
PATHPULSE => 638 ps
)
port map (
I => b_reg_mux0000_10_10,
O => b_reg_mux0000_10_10_0
);
b_reg_mux0000_10_10_YUSED : X_BUF
generic map(
LOC => "SLICE_X2Y14",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_pre_cy_6_pack_1,
O => Madd_b_pre_cy_6_Q
);
N14_XUSED : X_BUF
generic map(
LOC => "SLICE_X18Y14",
PATHPULSE => 638 ps
)
port map (
I => N14,
O => N14_0
);
N14_YUSED : X_BUF
generic map(
LOC => "SLICE_X18Y14",
PATHPULSE => 638 ps
)
port map (
I => N514,
O => N514_0
);
i_cnt_2_DXMUX : X_BUF
generic map(
LOC => "SLICE_X19Y28",
PATHPULSE => 638 ps
)
port map (
I => i_cnt_mux0001(1),
O => i_cnt_2_DXMUX_14404
);
i_cnt_2_YUSED : X_BUF
generic map(
LOC => "SLICE_X19Y28",
PATHPULSE => 638 ps
)
port map (
I => N516_pack_3,
O => N516
);
i_cnt_2_CLKINV : X_BUF
generic map(
LOC => "SLICE_X19Y28",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => i_cnt_2_CLKINV_14388
);
Mrom_b_rom000012_XUSED : X_BUF
generic map(
LOC => "SLICE_X18Y18",
PATHPULSE => 638 ps
)
port map (
I => Mrom_b_rom000012_14432,
O => Mrom_b_rom000012_0
);
Mrom_b_rom000012_YUSED : X_BUF
generic map(
LOC => "SLICE_X18Y18",
PATHPULSE => 638 ps
)
port map (
I => Mrom_a_rom000010,
O => Mrom_a_rom000010_0
);
Mrom_b_rom000020_XUSED : X_BUF
generic map(
LOC => "SLICE_X19Y21",
PATHPULSE => 638 ps
)
port map (
I => Mrom_b_rom000020_14456,
O => Mrom_b_rom000020_0
);
Mrom_b_rom000020_YUSED : X_BUF
generic map(
LOC => "SLICE_X19Y21",
PATHPULSE => 638 ps
)
port map (
I => Mrom_a_rom000011_14449,
O => Mrom_a_rom000011_0
);
Mrom_b_rom00008_XUSED : X_BUF
generic map(
LOC => "SLICE_X16Y19",
PATHPULSE => 638 ps
)
port map (
I => Mrom_b_rom00008_14480,
O => Mrom_b_rom00008_0
);
Mrom_b_rom00008_YUSED : X_BUF
generic map(
LOC => "SLICE_X16Y19",
PATHPULSE => 638 ps
)
port map (
I => Mrom_a_rom000021,
O => Mrom_a_rom000021_0
);
Mrom_b_rom000013_XUSED : X_BUF
generic map(
LOC => "SLICE_X19Y29",
PATHPULSE => 638 ps
)
port map (
I => Mrom_b_rom000013_14504,
O => Mrom_b_rom000013_0
);
Mrom_b_rom000013_YUSED : X_BUF
generic map(
LOC => "SLICE_X19Y29",
PATHPULSE => 638 ps
)
port map (
I => Mrom_a_rom000030,
O => Mrom_a_rom000030_0
);
Mrom_b_rom000031_XUSED : X_BUF
generic map(
LOC => "SLICE_X21Y29",
PATHPULSE => 638 ps
)
port map (
I => Mrom_b_rom000031,
O => Mrom_b_rom000031_0
);
Mrom_b_rom000031_YUSED : X_BUF
generic map(
LOC => "SLICE_X21Y29",
PATHPULSE => 638 ps
)
port map (
I => Mrom_a_rom000031,
O => Mrom_a_rom000031_0
);
Mrom_b_rom000023_XUSED : X_BUF
generic map(
LOC => "SLICE_X20Y25",
PATHPULSE => 638 ps
)
port map (
I => Mrom_b_rom000023,
O => Mrom_b_rom000023_0
);
Mrom_b_rom000023_YUSED : X_BUF
generic map(
LOC => "SLICE_X20Y25",
PATHPULSE => 638 ps
)
port map (
I => Mrom_a_rom000025,
O => Mrom_a_rom000025_0
);
Mrom_b_rom000017_XUSED : X_BUF
generic map(
LOC => "SLICE_X20Y29",
PATHPULSE => 638 ps
)
port map (
I => Mrom_b_rom000017_14576,
O => Mrom_b_rom000017_0
);
Mrom_b_rom000017_YUSED : X_BUF
generic map(
LOC => "SLICE_X20Y29",
PATHPULSE => 638 ps
)
port map (
I => Mrom_a_rom000026,
O => Mrom_a_rom000026_0
);
Mrom_b_rom00007_XUSED : X_BUF
generic map(
LOC => "SLICE_X17Y14",
PATHPULSE => 638 ps
)
port map (
I => Mrom_b_rom00007,
O => Mrom_b_rom00007_0
);
Mrom_b_rom00007_YUSED : X_BUF
generic map(
LOC => "SLICE_X17Y14",
PATHPULSE => 638 ps
)
port map (
I => Mrom_a_rom000019,
O => Mrom_a_rom000019_0
);
Mrom_b_rom000030_XUSED : X_BUF
generic map(
LOC => "SLICE_X20Y27",
PATHPULSE => 638 ps
)
port map (
I => Mrom_b_rom000030,
O => Mrom_b_rom000030_0
);
Mrom_b_rom000030_YUSED : X_BUF
generic map(
LOC => "SLICE_X20Y27",
PATHPULSE => 638 ps
)
port map (
I => Mrom_a_rom000027,
O => Mrom_a_rom000027_0
);
N237_XUSED : X_BUF
generic map(
LOC => "SLICE_X18Y10",
PATHPULSE => 638 ps
)
port map (
I => N237,
O => N237_0
);
N237_YUSED : X_BUF
generic map(
LOC => "SLICE_X18Y10",
PATHPULSE => 638 ps
)
port map (
I => N251,
O => N251_0
);
Mrom_b_rom000028_XUSED : X_BUF
generic map(
LOC => "SLICE_X20Y23",
PATHPULSE => 638 ps
)
port map (
I => Mrom_b_rom000028,
O => Mrom_b_rom000028_0
);
Mrom_b_rom000028_YUSED : X_BUF
generic map(
LOC => "SLICE_X20Y23",
PATHPULSE => 638 ps
)
port map (
I => Mrom_b_rom000011_14665,
O => Mrom_b_rom000011_0
);
N231_XUSED : X_BUF
generic map(
LOC => "SLICE_X23Y14",
PATHPULSE => 638 ps
)
port map (
I => N231,
O => N231_0
);
N231_YUSED : X_BUF
generic map(
LOC => "SLICE_X23Y14",
PATHPULSE => 638 ps
)
port map (
I => N234,
O => N234_0
);
Mrom_b_rom000026_XUSED : X_BUF
generic map(
LOC => "SLICE_X22Y23",
PATHPULSE => 638 ps
)
port map (
I => Mrom_b_rom000026,
O => Mrom_b_rom000026_0
);
Mrom_b_rom000026_YUSED : X_BUF
generic map(
LOC => "SLICE_X22Y23",
PATHPULSE => 638 ps
)
port map (
I => N77,
O => N77_0
);
N33_XUSED : X_BUF
generic map(
LOC => "SLICE_X20Y15",
PATHPULSE => 638 ps
)
port map (
I => N33,
O => N33_0
);
N33_YUSED : X_BUF
generic map(
LOC => "SLICE_X20Y15",
PATHPULSE => 638 ps
)
port map (
I => N34,
O => N34_0
);
Mrom_b_rom000022_XUSED : X_BUF
generic map(
LOC => "SLICE_X19Y16",
PATHPULSE => 638 ps
)
port map (
I => Mrom_b_rom000022,
O => Mrom_b_rom000022_0
);
Mrom_b_rom000022_YUSED : X_BUF
generic map(
LOC => "SLICE_X19Y16",
PATHPULSE => 638 ps
)
port map (
I => Mrom_a_rom00001,
O => Mrom_a_rom00001_0
);
Mrom_b_rom000016_XUSED : X_BUF
generic map(
LOC => "SLICE_X18Y17",
PATHPULSE => 638 ps
)
port map (
I => Mrom_b_rom000016,
O => Mrom_b_rom000016_0
);
Mrom_b_rom000016_YUSED : X_BUF
generic map(
LOC => "SLICE_X18Y17",
PATHPULSE => 638 ps
)
port map (
I => Mrom_a_rom0000,
O => Mrom_a_rom0000_0
);
Mrom_b_rom000010_XUSED : X_BUF
generic map(
LOC => "SLICE_X18Y16",
PATHPULSE => 638 ps
)
port map (
I => Mrom_b_rom000010,
O => Mrom_b_rom000010_0
);
Mrom_b_rom000010_YUSED : X_BUF
generic map(
LOC => "SLICE_X18Y16",
PATHPULSE => 638 ps
)
port map (
I => Mrom_a_rom000013_14821,
O => Mrom_a_rom000013_0
);
Mrom_b_rom00006_XUSED : X_BUF
generic map(
LOC => "SLICE_X18Y23",
PATHPULSE => 638 ps
)
port map (
I => Mrom_b_rom00006,
O => Mrom_b_rom00006_0
);
Mrom_b_rom00006_YUSED : X_BUF
generic map(
LOC => "SLICE_X18Y23",
PATHPULSE => 638 ps
)
port map (
I => Mrom_a_rom000023_14845,
O => Mrom_a_rom000023_0
);
Mrom_b_rom00009_XUSED : X_BUF
generic map(
LOC => "SLICE_X19Y17",
PATHPULSE => 638 ps
)
port map (
I => Mrom_b_rom00009_14876,
O => Mrom_b_rom00009_0
);
Mrom_b_rom00009_YUSED : X_BUF
generic map(
LOC => "SLICE_X19Y17",
PATHPULSE => 638 ps
)
port map (
I => Mrom_a_rom000015_14869,
O => Mrom_a_rom000015_0
);
Mrom_b_rom000021_XUSED : X_BUF
generic map(
LOC => "SLICE_X18Y29",
PATHPULSE => 638 ps
)
port map (
I => Mrom_b_rom000021,
O => Mrom_b_rom000021_0
);
Mrom_b_rom000021_YUSED : X_BUF
generic map(
LOC => "SLICE_X18Y29",
PATHPULSE => 638 ps
)
port map (
I => Mrom_a_rom000024_14893,
O => Mrom_a_rom000024_0
);
Mrom_b_rom000014_XUSED : X_BUF
generic map(
LOC => "SLICE_X20Y20",
PATHPULSE => 638 ps
)
port map (
I => Mrom_b_rom000014_14924,
O => Mrom_b_rom000014_0
);
Mrom_b_rom000014_YUSED : X_BUF
generic map(
LOC => "SLICE_X20Y20",
PATHPULSE => 638 ps
)
port map (
I => Mrom_a_rom000016_14917,
O => Mrom_a_rom000016_0
);
Mrom_b_rom00001_XUSED : X_BUF
generic map(
LOC => "SLICE_X20Y19",
PATHPULSE => 638 ps
)
port map (
I => Mrom_b_rom00001,
O => Mrom_b_rom00001_0
);
Mrom_b_rom00001_YUSED : X_BUF
generic map(
LOC => "SLICE_X20Y19",
PATHPULSE => 638 ps
)
port map (
I => Mrom_a_rom000017_14941,
O => Mrom_a_rom000017_0
);
Mrom_a_rom000029_XUSED : X_BUF
generic map(
LOC => "SLICE_X16Y30",
PATHPULSE => 638 ps
)
port map (
I => Mrom_a_rom000029_14972,
O => Mrom_a_rom000029_0
);
Mrom_a_rom000029_YUSED : X_BUF
generic map(
LOC => "SLICE_X16Y30",
PATHPULSE => 638 ps
)
port map (
I => Mrom_a_rom000018_14965,
O => Mrom_a_rom000018_0
);
Mrom_b_rom000029_XUSED : X_BUF
generic map(
LOC => "SLICE_X18Y24",
PATHPULSE => 638 ps
)
port map (
I => Mrom_b_rom000029_14996,
O => Mrom_b_rom000029_0
);
Mrom_b_rom000029_YUSED : X_BUF
generic map(
LOC => "SLICE_X18Y24",
PATHPULSE => 638 ps
)
port map (
I => Mrom_a_rom00006,
O => Mrom_a_rom00006_0
);
Mrom_a_rom00009_XUSED : X_BUF
generic map(
LOC => "SLICE_X16Y20",
PATHPULSE => 638 ps
)
port map (
I => Mrom_a_rom00009_15020,
O => Mrom_a_rom00009_0
);
Mrom_a_rom00009_YUSED : X_BUF
generic map(
LOC => "SLICE_X16Y20",
PATHPULSE => 638 ps
)
port map (
I => Mrom_a_rom00008,
O => Mrom_a_rom00008_0
);
Mrom_a_rom00005_XUSED : X_BUF
generic map(
LOC => "SLICE_X19Y19",
PATHPULSE => 638 ps
)
port map (
I => Mrom_a_rom00005_15044,
O => Mrom_a_rom00005_0
);
Mrom_a_rom00005_YUSED : X_BUF
generic map(
LOC => "SLICE_X19Y19",
PATHPULSE => 638 ps
)
port map (
I => Mrom_b_rom000019,
O => Mrom_b_rom000019_0
);
Mrom_a_rom00002_XUSED : X_BUF
generic map(
LOC => "SLICE_X18Y22",
PATHPULSE => 638 ps
)
port map (
I => Mrom_a_rom00002_15068,
O => Mrom_a_rom00002_0
);
Mrom_a_rom00002_YUSED : X_BUF
generic map(
LOC => "SLICE_X18Y22",
PATHPULSE => 638 ps
)
port map (
I => Mrom_b_rom000027,
O => Mrom_b_rom000027_0
);
state_FSM_FFd2_DXMUX : X_BUF
generic map(
LOC => "SLICE_X15Y10",
PATHPULSE => 638 ps
)
port map (
I => state_FSM_FFd2_In,
O => state_FSM_FFd2_DXMUX_15109
);
state_FSM_FFd2_DYMUX : X_BUF
generic map(
LOC => "SLICE_X15Y10",
PATHPULSE => 638 ps
)
port map (
I => state_FSM_FFd2_4312,
O => state_FSM_FFd2_DYMUX_15095
);
state_FSM_FFd2_YUSED : X_BUF
generic map(
LOC => "SLICE_X15Y10",
PATHPULSE => 638 ps
)
port map (
I => state_cmp_eq0000_pack_4,
O => state_cmp_eq0000
);
state_FSM_FFd2_SRINV : X_BUF
generic map(
LOC => "SLICE_X15Y10",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => state_FSM_FFd2_SRINV_15086
);
state_FSM_FFd2_CLKINV : X_BUF
generic map(
LOC => "SLICE_X15Y10",
PATHPULSE => 638 ps
)
port map (
I => clk_25_BUFGP,
O => state_FSM_FFd2_CLKINV_15085
);
Mrom_b_rom0000_XUSED : X_BUF
generic map(
LOC => "SLICE_X19Y15",
PATHPULSE => 638 ps
)
port map (
I => Mrom_b_rom0000,
O => Mrom_b_rom0000_0
);
Mrom_b_rom0000_YUSED : X_BUF
generic map(
LOC => "SLICE_X19Y15",
PATHPULSE => 638 ps
)
port map (
I => Mrom_a_rom00004_15130,
O => Mrom_a_rom00004_0
);
N240_XUSED : X_BUF
generic map(
LOC => "SLICE_X19Y12",
PATHPULSE => 638 ps
)
port map (
I => N240,
O => N240_0
);
N240_YUSED : X_BUF
generic map(
LOC => "SLICE_X19Y12",
PATHPULSE => 638 ps
)
port map (
I => N243,
O => N243_0
);
Madd_a_lut_6_Q : X_LUT4
generic map(
INIT => X"665A",
LOC => "SLICE_X17Y19"
)
port map (
ADR0 => Mrom_a_rom00006_0,
ADR1 => Sh54,
ADR2 => Sh38,
ADR3 => b_reg(4),
O => Madd_a_lut(6)
);
Madd_b_lut_8_Q : X_LUT4
generic map(
INIT => X"1DE2",
LOC => "SLICE_X21Y16"
)
port map (
ADR0 => Sh136,
ADR1 => a(4),
ADR2 => Sh152,
ADR3 => Mrom_b_rom00008_0,
O => Madd_b_lut(8)
);
Sh13120_G : X_LUT4
generic map(
INIT => X"F3C0",
LOC => "SLICE_X26Y18"
)
port map (
ADR0 => VCC,
ADR1 => a(1),
ADR2 => Sh1212_0,
ADR3 => Sh1232_0,
O => N403
);
Sh13120_F : X_LUT4
generic map(
INIT => X"F0CC",
LOC => "SLICE_X26Y18"
)
port map (
ADR0 => VCC,
ADR1 => Sh1011,
ADR2 => Sh991_0,
ADR3 => a(1),
O => N402
);
Sh13_f5_G : X_LUT4
generic map(
INIT => X"5ACC",
LOC => "SLICE_X14Y17"
)
port map (
ADR0 => b_reg(10),
ADR1 => ab_xor_11_0,
ADR2 => a_reg(10),
ADR3 => b_reg_0_2_4323,
O => N495
);
Sh10_f5_G : X_LUT4
generic map(
INIT => X"A3AC",
LOC => "SLICE_X12Y13"
)
port map (
ADR0 => ab_xor_7_0,
ADR1 => a_reg(8),
ADR2 => b_reg_0_3_4316,
ADR3 => b_reg(8),
O => N471
);
Sh10_f5_F : X_LUT4
generic map(
INIT => X"B1E4",
LOC => "SLICE_X12Y13"
)
port map (
ADR0 => b_reg_0_3_4316,
ADR1 => b_reg(10),
ADR2 => ab_xor_9_0,
ADR3 => a_reg(10),
O => N470
);
Sh13_f5_F : X_LUT4
generic map(
INIT => X"AA3C",
LOC => "SLICE_X14Y17"
)
port map (
ADR0 => ab_xor_12_0,
ADR1 => a_reg(13),
ADR2 => b_reg(13),
ADR3 => b_reg_0_2_4323,
O => N494
);
Sh14_f5_F : X_LUT4
generic map(
INIT => X"CC5A",
LOC => "SLICE_X12Y16"
)
port map (
ADR0 => a_reg(14),
ADR1 => ab_xor_13_0,
ADR2 => b_reg(14),
ADR3 => b_reg_0_3_4316,
O => N486
);
Sh1641_G : X_LUT4
generic map(
INIT => X"BBB8",
LOC => "SLICE_X25Y12"
)
port map (
ADR0 => Sh1487_4504,
ADR1 => a(2),
ADR2 => Sh14813_0,
ADR3 => Sh14816_0,
O => N313
);
Sh1641_F : X_LUT4
generic map(
INIT => X"FCAA",
LOC => "SLICE_X25Y12"
)
port map (
ADR0 => Sh13220_0,
ADR1 => Sh12816_0,
ADR2 => Sh12813_0,
ADR3 => a(2),
O => N312
);
Sh1631_G : X_LUT4
generic map(
INIT => X"F0FE",
LOC => "SLICE_X24Y16"
)
port map (
ADR0 => Sh14716_4501,
ADR1 => Sh14713_4500,
ADR2 => Sh14712,
ADR3 => a(2),
O => N311
);
Sh1631_F : X_LUT4
generic map(
INIT => X"FCB8",
LOC => "SLICE_X24Y16"
)
port map (
ADR0 => Sh1313,
ADR1 => a(2),
ADR2 => Sh13120,
ADR3 => Sh1310_0,
O => N310
);
Sh3231_G : X_LUT4
generic map(
INIT => X"F5A0",
LOC => "SLICE_X13Y26"
)
port map (
ADR0 => b_reg(3),
ADR1 => VCC,
ADR2 => Sh20,
ADR3 => Sh28,
O => N353
);
Sh3231_F : X_LUT4
generic map(
INIT => X"E4E4",
LOC => "SLICE_X13Y26"
)
port map (
ADR0 => b_reg(3),
ADR1 => Sh,
ADR2 => Sh24,
ADR3 => VCC,
O => N352
);
Sh4031_G : X_LUT4
generic map(
INIT => X"B8B8",
LOC => "SLICE_X12Y24"
)
port map (
ADR0 => Sh28,
ADR1 => b_reg(3),
ADR2 => Sh4,
ADR3 => VCC,
O => N369
);
Sh4031_F : X_LUT4
generic map(
INIT => X"EE22",
LOC => "SLICE_X12Y24"
)
port map (
ADR0 => Sh8,
ADR1 => b_reg(3),
ADR2 => VCC,
ADR3 => Sh,
O => N368
);
Sh1621_G : X_LUT4
generic map(
INIT => X"DDDC",
LOC => "SLICE_X27Y15"
)
port map (
ADR0 => a(2),
ADR1 => Sh14612,
ADR2 => Sh14613_0,
ADR3 => Sh14616_0,
O => N317
);
Sh1621_F : X_LUT4
generic map(
INIT => X"FE0E",
LOC => "SLICE_X27Y15"
)
port map (
ADR0 => Sh13016_0,
ADR1 => Sh13013_0,
ADR2 => a(2),
ADR3 => Sh1307,
O => N316
);
Sh1517_G : X_LUT4
generic map(
INIT => X"E2E2",
LOC => "SLICE_X23Y17"
)
port map (
ADR0 => Sh1072_0,
ADR1 => a(1),
ADR2 => Sh1052_0,
ADR3 => VCC,
O => N433
);
Sh1517_F : X_LUT4
generic map(
INIT => X"DD88",
LOC => "SLICE_X23Y17"
)
port map (
ADR0 => a(1),
ADR1 => Sh1132_0,
ADR2 => VCC,
ADR3 => Sh1152_0,
O => N432
);
Sh1587_G : X_LUT4
generic map(
INIT => X"FC30",
LOC => "SLICE_X28Y22"
)
port map (
ADR0 => VCC,
ADR1 => a(1),
ADR2 => Sh1142_0,
ADR3 => Sh1122_0,
O => N427
);
Sh1751_G : X_LUT4
generic map(
INIT => X"FE32",
LOC => "SLICE_X25Y23"
)
port map (
ADR0 => Sh1310_0,
ADR1 => a(2),
ADR2 => Sh1313,
ADR3 => Sh1597,
O => N321
);
Sh1751_F : X_LUT4
generic map(
INIT => X"FE54",
LOC => "SLICE_X25Y23"
)
port map (
ADR0 => a(2),
ADR1 => Sh14313_0,
ADR2 => Sh14316_4518,
ADR3 => Sh1437_0,
O => N320
);
Sh1587_F : X_LUT4
generic map(
INIT => X"E2E2",
LOC => "SLICE_X28Y22"
)
port map (
ADR0 => Sh1222_0,
ADR1 => a(1),
ADR2 => Sh1202_0,
ADR3 => VCC,
O => N426
);
Sh3531_F : X_LUT4
generic map(
INIT => X"CFC0",
LOC => "SLICE_X13Y31"
)
port map (
ADR0 => VCC,
ADR1 => Sh27,
ADR2 => b_reg(3),
ADR3 => Sh3,
O => N356
);
Sh14731 : X_LUT4
generic map(
INIT => X"DDDC",
LOC => "SLICE_X25Y16"
)
port map (
ADR0 => a(2),
ADR1 => Sh14712,
ADR2 => Sh14713_4500,
ADR3 => Sh14716_4501,
O => Sh147
);
Sh14713 : X_LUT4
generic map(
INIT => X"C480",
LOC => "SLICE_X25Y16"
)
port map (
ADR0 => a(1),
ADR1 => a(3),
ADR2 => Sh1052_0,
ADR3 => Sh1072_0,
O => Sh14713_pack_1
);
Sh15432 : X_LUT4
generic map(
INIT => X"FE32",
LOC => "SLICE_X28Y25"
)
port map (
ADR0 => Sh15413_0,
ADR1 => a(2),
ADR2 => Sh15416_O,
ADR3 => Sh1547,
O => Sh154
);
Sh15416 : X_LUT4
generic map(
INIT => X"3022",
LOC => "SLICE_X28Y25"
)
port map (
ADR0 => Sh1222_0,
ADR1 => a(3),
ADR2 => Sh1202_0,
ADR3 => a(1),
O => Sh15416_O_pack_1
);
Sh14431 : X_LUT4
generic map(
INIT => X"DDDC",
LOC => "SLICE_X23Y13"
)
port map (
ADR0 => a(2),
ADR1 => Sh14412_0,
ADR2 => Sh14413_0,
ADR3 => Sh14416_4486,
O => Sh144
);
Sh14416 : X_LUT4
generic map(
INIT => X"3300",
LOC => "SLICE_X23Y13"
)
port map (
ADR0 => VCC,
ADR1 => a(3),
ADR2 => VCC,
ADR3 => Sh112,
O => Sh14416_pack_1
);
Sh14332 : X_LUT4
generic map(
INIT => X"FE54",
LOC => "SLICE_X25Y22"
)
port map (
ADR0 => a(2),
ADR1 => Sh14313_0,
ADR2 => Sh14316_4518,
ADR3 => Sh1437_0,
O => Sh143
);
Sh14316 : X_LUT4
generic map(
INIT => X"3210",
LOC => "SLICE_X25Y22"
)
port map (
ADR0 => a(1),
ADR1 => a(3),
ADR2 => Sh1112_0,
ADR3 => Sh1092_0,
O => Sh14316_pack_1
);
Sh15032 : X_LUT4
generic map(
INIT => X"AAFC",
LOC => "SLICE_X27Y22"
)
port map (
ADR0 => Sh1507,
ADR1 => Sh15013_0,
ADR2 => Sh15016_O,
ADR3 => a(2),
O => Sh150
);
Sh15016 : X_LUT4
generic map(
INIT => X"3202",
LOC => "SLICE_X27Y22"
)
port map (
ADR0 => Sh1182_0,
ADR1 => a(3),
ADR2 => a(1),
ADR3 => Sh1162_0,
O => Sh15016_O_pack_1
);
hex_digit_i_3 : X_FF
generic map(
LOC => "SLICE_X26Y12",
INIT => '0'
)
port map (
I => hex_digit_i_3_DXMUX_9768,
CE => VCC,
CLK => hex_digit_i_3_CLKINV_9749,
SET => GND,
RST => hex_digit_i_3_FFX_RSTAND_9773,
O => hex_digit_i(3)
);
hex_digit_i_3_FFX_RSTAND : X_BUF
generic map(
LOC => "SLICE_X26Y12",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => hex_digit_i_3_FFX_RSTAND_9773
);
Mmux_hex_digit_i_mux0001_43 : X_LUT4
generic map(
INIT => X"F5A0",
LOC => "SLICE_X26Y12"
)
port map (
ADR0 => LED_flash_cnt(8),
ADR1 => VCC,
ADR2 => b_reg(11),
ADR3 => b_reg(15),
O => Mmux_hex_digit_i_mux0001_43_9756
);
Mmux_hex_digit_i_mux0001_33 : X_LUT4
generic map(
INIT => X"EE22",
LOC => "SLICE_X26Y12"
)
port map (
ADR0 => b_reg(7),
ADR1 => LED_flash_cnt(8),
ADR2 => VCC,
ADR3 => b_reg(3),
O => Mmux_hex_digit_i_mux0001_33_9764
);
a_reg_mux0000_15_1 : X_LUT4
generic map(
INIT => X"F5CC",
LOC => "SLICE_X15Y22"
)
port map (
ADR0 => state_FSM_FFd1_4311,
ADR1 => a_reg(15),
ADR2 => a(15),
ADR3 => state_FSM_FFd2_4312,
O => a_reg_mux0000(15)
);
a_reg_mux0000_14_1 : X_LUT4
generic map(
INIT => X"DDF0",
LOC => "SLICE_X15Y22"
)
port map (
ADR0 => state_FSM_FFd1_4311,
ADR1 => a(14),
ADR2 => a_reg(14),
ADR3 => state_FSM_FFd2_4312,
O => a_reg_mux0000(14)
);
a_reg_14 : X_FF
generic map(
LOC => "SLICE_X15Y22",
INIT => '0'
)
port map (
I => a_reg_15_DYMUX_11459,
CE => VCC,
CLK => a_reg_15_CLKINV_11450,
SET => GND,
RST => a_reg_15_SRINV_11451,
O => a_reg(14)
);
a_reg_23 : X_FF
generic map(
LOC => "SLICE_X18Y31",
INIT => '0'
)
port map (
I => a_reg_23_DXMUX_11431,
CE => VCC,
CLK => a_reg_23_CLKINV_11408,
SET => GND,
RST => a_reg_23_SRINV_11409,
O => a_reg(23)
);
Mxor_ab_xor_Result_20_1 : X_LUT4
generic map(
INIT => X"3C3C",
LOC => "SLICE_X22Y25"
)
port map (
ADR0 => VCC,
ADR1 => b_reg(20),
ADR2 => a_reg(20),
ADR3 => VCC,
O => ab_xor_20_Q
);
Mxor_ba_xor_Result_11_1_SW2 : X_LUT4
generic map(
INIT => X"0F55",
LOC => "SLICE_X15Y14"
)
port map (
ADR0 => b_reg(12),
ADR1 => VCC,
ADR2 => b_reg(11),
ADR3 => a(0),
O => N257
);
Mxor_ab_xor_Result_12_1 : X_LUT4
generic map(
INIT => X"33CC",
LOC => "SLICE_X15Y14"
)
port map (
ADR0 => VCC,
ADR1 => b_reg(12),
ADR2 => VCC,
ADR3 => a_reg(12),
O => ab_xor_12_Q
);
Mxor_ba_xor_Result_11_1_SW3 : X_LUT4
generic map(
INIT => X"C5C5",
LOC => "SLICE_X14Y12"
)
port map (
ADR0 => b_reg(12),
ADR1 => b_reg(11),
ADR2 => a(0),
ADR3 => VCC,
O => N258
);
Sh1297 : X_LUT4
generic map(
INIT => X"CFC0",
LOC => "SLICE_X22Y15"
)
port map (
ADR0 => VCC,
ADR1 => Sh117,
ADR2 => a(3),
ADR3 => Sh125,
O => Sh1297_14037
);
LED_flash_cnt_4 : X_FF
generic map(
LOC => "SLICE_X31Y12",
INIT => '0'
)
port map (
I => LED_flash_cnt_4_DXMUX_4770,
CE => VCC,
CLK => LED_flash_cnt_4_CLKINV_4729,
SET => GND,
RST => LED_flash_cnt_4_SRINV_4730,
O => LED_flash_cnt(4)
);
LED_flash_cnt_9 : X_FF
generic map(
LOC => "SLICE_X31Y14",
INIT => '0'
)
port map (
I => LED_flash_cnt_8_DYMUX_4854,
CE => VCC,
CLK => LED_flash_cnt_8_CLKINV_4840,
SET => GND,
RST => LED_flash_cnt_8_SRINV_4841,
O => LED_flash_cnt(9)
);
LED_flash_cnt_7 : X_FF
generic map(
LOC => "SLICE_X31Y13",
INIT => '0'
)
port map (
I => LED_flash_cnt_6_DYMUX_4807,
CE => VCC,
CLK => LED_flash_cnt_6_CLKINV_4785,
SET => GND,
RST => LED_flash_cnt_6_SRINV_4786,
O => LED_flash_cnt(7)
);
LED_flash_cnt_1 : X_FF
generic map(
LOC => "SLICE_X31Y10",
INIT => '0'
)
port map (
I => LED_flash_cnt_0_DYMUX_4636,
CE => VCC,
CLK => LED_flash_cnt_0_CLKINV_4619,
SET => GND,
RST => LED_flash_cnt_0_SRINV_4620,
O => LED_flash_cnt(1)
);
Mcount_LED_flash_cnt_lut_0_INV_0 : X_LUT4
generic map(
INIT => X"0F0F",
LOC => "SLICE_X31Y10"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => LED_flash_cnt(0),
ADR3 => VCC,
O => Mcount_LED_flash_cnt_lut(0)
);
LED_flash_cnt_0 : X_FF
generic map(
LOC => "SLICE_X31Y10",
INIT => '0'
)
port map (
I => LED_flash_cnt_0_DXMUX_4658,
CE => VCC,
CLK => LED_flash_cnt_0_CLKINV_4619,
SET => GND,
RST => LED_flash_cnt_0_SRINV_4620,
O => LED_flash_cnt(0)
);
LED_flash_cnt_3 : X_FF
generic map(
LOC => "SLICE_X31Y11",
INIT => '0'
)
port map (
I => LED_flash_cnt_2_DYMUX_4695,
CE => VCC,
CLK => LED_flash_cnt_2_CLKINV_4673,
SET => GND,
RST => LED_flash_cnt_2_SRINV_4674,
O => LED_flash_cnt(3)
);
LED_flash_cnt_2 : X_FF
generic map(
LOC => "SLICE_X31Y11",
INIT => '0'
)
port map (
I => LED_flash_cnt_2_DXMUX_4714,
CE => VCC,
CLK => LED_flash_cnt_2_CLKINV_4673,
SET => GND,
RST => LED_flash_cnt_2_SRINV_4674,
O => LED_flash_cnt(2)
);
LED_flash_cnt_6 : X_FF
generic map(
LOC => "SLICE_X31Y13",
INIT => '0'
)
port map (
I => LED_flash_cnt_6_DXMUX_4826,
CE => VCC,
CLK => LED_flash_cnt_6_CLKINV_4785,
SET => GND,
RST => LED_flash_cnt_6_SRINV_4786,
O => LED_flash_cnt(6)
);
LED_flash_cnt_8 : X_FF
generic map(
LOC => "SLICE_X31Y14",
INIT => '0'
)
port map (
I => LED_flash_cnt_8_DXMUX_4875,
CE => VCC,
CLK => LED_flash_cnt_8_CLKINV_4840,
SET => GND,
RST => LED_flash_cnt_8_SRINV_4841,
O => LED_flash_cnt(8)
);
Madd_a_lut_1_Q : X_LUT4
generic map(
INIT => X"1ED2",
LOC => "SLICE_X17Y16"
)
port map (
ADR0 => Sh33,
ADR1 => b_reg(4),
ADR2 => Mrom_a_rom00001_0,
ADR3 => Sh49,
O => Madd_a_lut(1)
);
Madd_a_lut_0_Q : X_LUT4
generic map(
INIT => X"3C3C",
LOC => "SLICE_X17Y16"
)
port map (
ADR0 => VCC,
ADR1 => Sh64_0,
ADR2 => Mrom_a_rom0000_0,
ADR3 => VCC,
O => Madd_a_lut(0)
);
Madd_a_lut_3_Q : X_LUT4
generic map(
INIT => X"A695",
LOC => "SLICE_X17Y17"
)
port map (
ADR0 => N224_0,
ADR1 => b_reg(4),
ADR2 => Sh51,
ADR3 => Sh35,
O => Madd_a_lut(3)
);
Madd_a_lut_2_Q : X_LUT4
generic map(
INIT => X"47B8",
LOC => "SLICE_X17Y17"
)
port map (
ADR0 => Sh50,
ADR1 => b_reg(4),
ADR2 => Sh34,
ADR3 => Mrom_a_rom00002_0,
O => Madd_a_lut(2)
);
Madd_a_lut_4_Q : X_LUT4
generic map(
INIT => X"5A3C",
LOC => "SLICE_X17Y18"
)
port map (
ADR0 => Sh52,
ADR1 => Sh36,
ADR2 => Mrom_a_rom00004_0,
ADR3 => b_reg(4),
O => Madd_a_lut(4)
);
Madd_a_lut_7_Q : X_LUT4
generic map(
INIT => X"99A5",
LOC => "SLICE_X17Y19"
)
port map (
ADR0 => N286_0,
ADR1 => Sh55,
ADR2 => Sh39,
ADR3 => b_reg(4),
O => Madd_a_lut(7)
);
Madd_a_lut_9_Q : X_LUT4
generic map(
INIT => X"5A66",
LOC => "SLICE_X17Y20"
)
port map (
ADR0 => Mrom_a_rom00009_0,
ADR1 => Sh41,
ADR2 => Sh57,
ADR3 => b_reg(4),
O => Madd_a_lut(9)
);
Madd_a_lut_8_Q : X_LUT4
generic map(
INIT => X"4B78",
LOC => "SLICE_X17Y20"
)
port map (
ADR0 => Sh56,
ADR1 => b_reg(4),
ADR2 => Mrom_a_rom00008_0,
ADR3 => Sh40,
O => Madd_a_lut(8)
);
Madd_a_lut_11_Q : X_LUT4
generic map(
INIT => X"1ED2",
LOC => "SLICE_X17Y21"
)
port map (
ADR0 => Sh43,
ADR1 => b_reg(4),
ADR2 => Mrom_a_rom000011_0,
ADR3 => Sh59,
O => Madd_a_lut(11)
);
Madd_a_lut_10_Q : X_LUT4
generic map(
INIT => X"596A",
LOC => "SLICE_X17Y21"
)
port map (
ADR0 => Mrom_a_rom000010_0,
ADR1 => b_reg(4),
ADR2 => Sh58,
ADR3 => Sh42,
O => Madd_a_lut(10)
);
Madd_a_lut_12_Q : X_LUT4
generic map(
INIT => X"596A",
LOC => "SLICE_X17Y22"
)
port map (
ADR0 => N222_0,
ADR1 => b_reg(4),
ADR2 => Sh60,
ADR3 => Sh44,
O => Madd_a_lut(12)
);
Madd_a_lut_15_Q : X_LUT4
generic map(
INIT => X"47B8",
LOC => "SLICE_X17Y23"
)
port map (
ADR0 => Sh63,
ADR1 => b_reg(4),
ADR2 => Sh47,
ADR3 => Mrom_a_rom000015_0,
O => Madd_a_lut(15)
);
Madd_a_lut_14_Q : X_LUT4
generic map(
INIT => X"1ED2",
LOC => "SLICE_X17Y23"
)
port map (
ADR0 => Sh46,
ADR1 => b_reg(4),
ADR2 => N226_0,
ADR3 => Sh62,
O => Madd_a_lut(14)
);
Madd_a_lut_17_Q : X_LUT4
generic map(
INIT => X"569A",
LOC => "SLICE_X17Y24"
)
port map (
ADR0 => Mrom_a_rom000017_0,
ADR1 => b_reg(4),
ADR2 => Sh49,
ADR3 => Sh33,
O => Madd_a_lut(17)
);
Madd_a_lut_19_Q : X_LUT4
generic map(
INIT => X"4B78",
LOC => "SLICE_X17Y25"
)
port map (
ADR0 => Sh35,
ADR1 => b_reg(4),
ADR2 => Mrom_a_rom000019_0,
ADR3 => Sh51,
O => Madd_a_lut(19)
);
Madd_a_lut_18_Q : X_LUT4
generic map(
INIT => X"665A",
LOC => "SLICE_X17Y25"
)
port map (
ADR0 => Mrom_a_rom000018_0,
ADR1 => Sh34,
ADR2 => Sh50,
ADR3 => b_reg(4),
O => Madd_a_lut(18)
);
Madd_a_lut_21_Q : X_LUT4
generic map(
INIT => X"596A",
LOC => "SLICE_X17Y26"
)
port map (
ADR0 => Mrom_a_rom000021_0,
ADR1 => b_reg(4),
ADR2 => Sh37,
ADR3 => Sh53,
O => Madd_a_lut(21)
);
Madd_a_lut_20_Q : X_LUT4
generic map(
INIT => X"A665",
LOC => "SLICE_X17Y26"
)
port map (
ADR0 => Sh84_0,
ADR1 => i_cnt(1),
ADR2 => i_cnt(2),
ADR3 => i_cnt(3),
O => Madd_a_lut(20)
);
Madd_a_lut_22_Q : X_LUT4
generic map(
INIT => X"569A",
LOC => "SLICE_X17Y27"
)
port map (
ADR0 => N520_0,
ADR1 => b_reg(4),
ADR2 => Sh54,
ADR3 => Sh38,
O => Madd_a_lut(22)
);
Madd_a_lut_25_Q : X_LUT4
generic map(
INIT => X"5A66",
LOC => "SLICE_X17Y28"
)
port map (
ADR0 => Mrom_a_rom000025_0,
ADR1 => Sh57,
ADR2 => Sh41,
ADR3 => b_reg(4),
O => Madd_a_lut(25)
);
Madd_a_lut_24_Q : X_LUT4
generic map(
INIT => X"3C5A",
LOC => "SLICE_X17Y28"
)
port map (
ADR0 => Sh56,
ADR1 => Sh40,
ADR2 => Mrom_a_rom000024_0,
ADR3 => b_reg(4),
O => Madd_a_lut(24)
);
Madd_a_lut_27_Q : X_LUT4
generic map(
INIT => X"663C",
LOC => "SLICE_X17Y29"
)
port map (
ADR0 => Sh43,
ADR1 => Mrom_a_rom000027_0,
ADR2 => Sh59,
ADR3 => b_reg(4),
O => Madd_a_lut(27)
);
Madd_a_lut_29_Q : X_LUT4
generic map(
INIT => X"569A",
LOC => "SLICE_X17Y30"
)
port map (
ADR0 => Mrom_a_rom000029_0,
ADR1 => b_reg(4),
ADR2 => Sh61,
ADR3 => Sh45,
O => Madd_a_lut(29)
);
Madd_a_lut_28_Q : X_LUT4
generic map(
INIT => X"665A",
LOC => "SLICE_X17Y30"
)
port map (
ADR0 => N518_0,
ADR1 => Sh44,
ADR2 => Sh60,
ADR3 => b_reg(4),
O => Madd_a_lut(28)
);
Madd_a_lut_31_Q : X_LUT4
generic map(
INIT => X"596A",
LOC => "SLICE_X17Y31"
)
port map (
ADR0 => Mrom_a_rom000031_0,
ADR1 => b_reg(4),
ADR2 => Sh47,
ADR3 => Sh63,
O => Madd_a_lut(31)
);
Madd_a_lut_30_Q : X_LUT4
generic map(
INIT => X"5A66",
LOC => "SLICE_X17Y31"
)
port map (
ADR0 => Mrom_a_rom000030_0,
ADR1 => Sh62,
ADR2 => Sh46,
ADR3 => b_reg(4),
O => Madd_a_lut(30)
);
Madd_b_lut_1_Q : X_LUT4
generic map(
INIT => X"27D8",
LOC => "SLICE_X21Y12"
)
port map (
ADR0 => a(4),
ADR1 => Sh145,
ADR2 => Sh129,
ADR3 => Mrom_b_rom00001_0,
O => Madd_b_lut(1)
);
Madd_b_lut_3_Q : X_LUT4
generic map(
INIT => X"3336",
LOC => "SLICE_X21Y13"
)
port map (
ADR0 => N33_0,
ADR1 => Sh163,
ADR2 => N12_0,
ADR3 => i_cnt_mux0001_0_22_4123,
O => Madd_b_lut(3)
);
Madd_b_lut_2_Q : X_LUT4
generic map(
INIT => X"5A59",
LOC => "SLICE_X21Y13"
)
port map (
ADR0 => Sh162,
ADR1 => i_cnt(3),
ADR2 => N17_0,
ADR3 => N14_0,
O => Madd_b_lut(2)
);
Madd_b_lut_4_Q : X_LUT4
generic map(
INIT => X"5656",
LOC => "SLICE_X21Y14"
)
port map (
ADR0 => Sh164,
ADR1 => N20_0,
ADR2 => N12_0,
ADR3 => VCC,
O => Madd_b_lut(4)
);
Madd_b_lut_7_Q : X_LUT4
generic map(
INIT => X"369C",
LOC => "SLICE_X21Y15"
)
port map (
ADR0 => a(4),
ADR1 => Mrom_b_rom00007_0,
ADR2 => Sh135,
ADR3 => Sh151,
O => Madd_b_lut(7)
);
Madd_b_lut_6_Q : X_LUT4
generic map(
INIT => X"1BE4",
LOC => "SLICE_X21Y15"
)
port map (
ADR0 => a(4),
ADR1 => Sh134,
ADR2 => Sh150_0,
ADR3 => Mrom_b_rom00006_0,
O => Madd_b_lut(6)
);
Madd_b_lut_9_Q : X_LUT4
generic map(
INIT => X"4B78",
LOC => "SLICE_X21Y16"
)
port map (
ADR0 => Sh153,
ADR1 => a(4),
ADR2 => Mrom_b_rom00009_0,
ADR3 => Sh137,
O => Madd_b_lut(9)
);
Madd_b_lut_11_Q : X_LUT4
generic map(
INIT => X"656A",
LOC => "SLICE_X21Y17"
)
port map (
ADR0 => Mrom_b_rom000011_0,
ADR1 => Sh155,
ADR2 => a(4),
ADR3 => Sh139,
O => Madd_b_lut(11)
);
Madd_b_lut_10_Q : X_LUT4
generic map(
INIT => X"53AC",
LOC => "SLICE_X21Y17"
)
port map (
ADR0 => Sh154_0,
ADR1 => Sh138,
ADR2 => a(4),
ADR3 => Mrom_b_rom000010_0,
O => Madd_b_lut(10)
);
Madd_b_lut_13_Q : X_LUT4
generic map(
INIT => X"56A6",
LOC => "SLICE_X21Y18"
)
port map (
ADR0 => Mrom_b_rom000013_0,
ADR1 => Sh141,
ADR2 => a(4),
ADR3 => Sh157,
O => Madd_b_lut(13)
);
Madd_b_lut_12_Q : X_LUT4
generic map(
INIT => X"1DE2",
LOC => "SLICE_X21Y18"
)
port map (
ADR0 => Sh140,
ADR1 => a(4),
ADR2 => Sh156,
ADR3 => Mrom_b_rom000012_0,
O => Madd_b_lut(12)
);
Madd_b_lut_15_Q : X_LUT4
generic map(
INIT => X"95A6",
LOC => "SLICE_X21Y19"
)
port map (
ADR0 => Sh175,
ADR1 => i_cnt(1),
ADR2 => i_cnt(3),
ADR3 => N522_0,
O => Madd_b_lut(15)
);
Madd_b_lut_14_Q : X_LUT4
generic map(
INIT => X"4B78",
LOC => "SLICE_X21Y19"
)
port map (
ADR0 => Sh158,
ADR1 => a(4),
ADR2 => Mrom_b_rom000014_0,
ADR3 => Sh142,
O => Madd_b_lut(14)
);
Madd_b_lut_17_Q : X_LUT4
generic map(
INIT => X"35CA",
LOC => "SLICE_X21Y20"
)
port map (
ADR0 => Sh145,
ADR1 => Sh129,
ADR2 => a(4),
ADR3 => Mrom_b_rom000017_0,
O => Madd_b_lut(17)
);
Madd_b_lut_16_Q : X_LUT4
generic map(
INIT => X"4B78",
LOC => "SLICE_X21Y20"
)
port map (
ADR0 => Sh128,
ADR1 => a(4),
ADR2 => Mrom_b_rom000016_0,
ADR3 => Sh144_0,
O => Madd_b_lut(16)
);
Madd_b_lut_19_Q : X_LUT4
generic map(
INIT => X"569A",
LOC => "SLICE_X21Y21"
)
port map (
ADR0 => Mrom_b_rom000019_0,
ADR1 => a(4),
ADR2 => Sh147_0,
ADR3 => Sh131,
O => Madd_b_lut(19)
);
Madd_b_lut_18_Q : X_LUT4
generic map(
INIT => X"3336",
LOC => "SLICE_X21Y21"
)
port map (
ADR0 => N27_0,
ADR1 => Sh178,
ADR2 => N34_0,
ADR3 => N77_0,
O => Madd_b_lut(18)
);
Madd_b_lut_21_Q : X_LUT4
generic map(
INIT => X"1DE2",
LOC => "SLICE_X21Y22"
)
port map (
ADR0 => Sh149,
ADR1 => a(4),
ADR2 => Sh133,
ADR3 => Mrom_b_rom000021_0,
O => Madd_b_lut(21)
);
Madd_b_lut_20_Q : X_LUT4
generic map(
INIT => X"4B78",
LOC => "SLICE_X21Y22"
)
port map (
ADR0 => Sh132,
ADR1 => a(4),
ADR2 => Mrom_b_rom000020_0,
ADR3 => Sh148_0,
O => Madd_b_lut(20)
);
Madd_b_lut_23_Q : X_LUT4
generic map(
INIT => X"369C",
LOC => "SLICE_X21Y23"
)
port map (
ADR0 => a(4),
ADR1 => Mrom_b_rom000023_0,
ADR2 => Sh151,
ADR3 => Sh135,
O => Madd_b_lut(23)
);
Madd_b_lut_22_Q : X_LUT4
generic map(
INIT => X"1EB4",
LOC => "SLICE_X21Y23"
)
port map (
ADR0 => a(4),
ADR1 => Sh150_0,
ADR2 => Mrom_b_rom000022_0,
ADR3 => Sh134,
O => Madd_b_lut(22)
);
Madd_b_lut_25_Q : X_LUT4
generic map(
INIT => X"595A",
LOC => "SLICE_X21Y24"
)
port map (
ADR0 => Sh185_0,
ADR1 => i_cnt(2),
ADR2 => N20_0,
ADR3 => N111_0,
O => Madd_b_lut(25)
);
Madd_b_lut_24_Q : X_LUT4
generic map(
INIT => X"27D8",
LOC => "SLICE_X21Y24"
)
port map (
ADR0 => a(4),
ADR1 => Sh136,
ADR2 => Sh152,
ADR3 => Mrom_b_rom000024_0,
O => Madd_b_lut(24)
);
Madd_b_lut_27_Q : X_LUT4
generic map(
INIT => X"1ED2",
LOC => "SLICE_X21Y25"
)
port map (
ADR0 => Sh155,
ADR1 => a(4),
ADR2 => Mrom_b_rom000027_0,
ADR3 => Sh139,
O => Madd_b_lut(27)
);
Madd_b_lut_26_Q : X_LUT4
generic map(
INIT => X"596A",
LOC => "SLICE_X21Y25"
)
port map (
ADR0 => Mrom_b_rom000026_0,
ADR1 => a(4),
ADR2 => Sh138,
ADR3 => Sh154_0,
O => Madd_b_lut(26)
);
Madd_b_lut_29_Q : X_LUT4
generic map(
INIT => X"36C6",
LOC => "SLICE_X21Y26"
)
port map (
ADR0 => Sh157,
ADR1 => Mrom_b_rom000029_0,
ADR2 => a(4),
ADR3 => Sh141,
O => Madd_b_lut(29)
);
Madd_b_lut_28_Q : X_LUT4
generic map(
INIT => X"3C66",
LOC => "SLICE_X21Y26"
)
port map (
ADR0 => Sh156,
ADR1 => Mrom_b_rom000028_0,
ADR2 => Sh140,
ADR3 => a(4),
O => Madd_b_lut(28)
);
Madd_b_lut_31_Q : X_LUT4
generic map(
INIT => X"56A6",
LOC => "SLICE_X21Y27"
)
port map (
ADR0 => Mrom_b_rom000031_0,
ADR1 => Sh159_0,
ADR2 => a(4),
ADR3 => Sh143_0,
O => Madd_b_lut(31)
);
Madd_b_lut_30_Q : X_LUT4
generic map(
INIT => X"1ED2",
LOC => "SLICE_X21Y27"
)
port map (
ADR0 => Sh158,
ADR1 => a(4),
ADR2 => Mrom_b_rom000030_0,
ADR3 => Sh142,
O => Madd_b_lut(30)
);
din_lower_0_IFF_IMUX : X_BUF
generic map(
LOC => "IPAD60",
PATHPULSE => 638 ps
)
port map (
I => din_lower_0_INBUF,
O => Madd_b_pre_cy_0_Q
);
din_lower_1_IFF_IMUX : X_BUF
generic map(
LOC => "PAD83",
PATHPULSE => 638 ps
)
port map (
I => din_lower_1_INBUF,
O => swtch_led_1_OBUF_4254
);
din_lower_2_IFF_IMUX : X_BUF
generic map(
LOC => "IPAD86",
PATHPULSE => 638 ps
)
port map (
I => din_lower_2_INBUF,
O => Madd_b_pre_lut(2)
);
din_lower_3_IFF_IMUX : X_BUF
generic map(
LOC => "IPAD3",
PATHPULSE => 638 ps
)
port map (
I => din_lower_3_INBUF,
O => swtch_led_3_OBUF_4256
);
din_lower_4_IFF_IMUX : X_BUF
generic map(
LOC => "PAD94",
PATHPULSE => 638 ps
)
port map (
I => din_lower_4_INBUF,
O => swtch_led_4_OBUF_4257
);
din_lower_5_IFF_IMUX : X_BUF
generic map(
LOC => "PAD99",
PATHPULSE => 638 ps
)
port map (
I => din_lower_5_INBUF,
O => swtch_led_5_OBUF_4258
);
din_lower_6_IFF_IMUX : X_BUF
generic map(
LOC => "IPAD100",
PATHPULSE => 638 ps
)
port map (
I => din_lower_6_INBUF,
O => swtch_led_6_OBUF_4259
);
din_lower_7_IFF_IMUX : X_BUF
generic map(
LOC => "IPAD73",
PATHPULSE => 638 ps
)
port map (
I => din_lower_7_INBUF,
O => swtch_led_7_OBUF_4260
);
clr_IFF_IMUX : X_BUF
generic map(
LOC => "PAD11",
PATHPULSE => 638 ps
)
port map (
I => clr_INBUF,
O => clr_IBUF_3948
);
di_vld_IFF_IMUX : X_BUF
generic map(
LOC => "PAD72",
PATHPULSE => 638 ps
)
port map (
I => di_vld_INBUF,
O => di_vld_IBUF_4273
);
Sh22_f5_F : X_LUT4
generic map(
INIT => X"AA3C",
LOC => "SLICE_X15Y31"
)
port map (
ADR0 => ab_xor_21_0,
ADR1 => a_reg(22),
ADR2 => b_reg(22),
ADR3 => b_reg_0_2_4323,
O => N482
);
Sh22_f5_G : X_LUT4
generic map(
INIT => X"AA3C",
LOC => "SLICE_X15Y31"
)
port map (
ADR0 => ab_xor_19_0,
ADR1 => a_reg(20),
ADR2 => b_reg(20),
ADR3 => b_reg_0_2_4323,
O => N483
);
Sh30_f5_F : X_LUT4
generic map(
INIT => X"CC5A",
LOC => "SLICE_X14Y35"
)
port map (
ADR0 => b_reg(30),
ADR1 => ab_xor_29_0,
ADR2 => a_reg(30),
ADR3 => b_reg_0_3_4316,
O => N472
);
Sh30_f5_G : X_LUT4
generic map(
INIT => X"F066",
LOC => "SLICE_X14Y35"
)
port map (
ADR0 => a_reg(28),
ADR1 => b_reg(28),
ADR2 => ab_xor_27_0,
ADR3 => b_reg_0_3_4316,
O => N473
);
Sh17_f5_F : X_LUT4
generic map(
INIT => X"A3AC",
LOC => "SLICE_X14Y23"
)
port map (
ADR0 => ab_xor_16_0,
ADR1 => a_reg(17),
ADR2 => b_reg_0_2_4323,
ADR3 => b_reg(17),
O => N492
);
Sh17_f5_G : X_LUT4
generic map(
INIT => X"72D8",
LOC => "SLICE_X14Y23"
)
port map (
ADR0 => b_reg_0_2_4323,
ADR1 => b_reg(14),
ADR2 => ab_xor_15_0,
ADR3 => a_reg(14),
O => N493
);
Sh25_f5_F : X_LUT4
generic map(
INIT => X"F066",
LOC => "SLICE_X15Y32"
)
port map (
ADR0 => b_reg(25),
ADR1 => a_reg(25),
ADR2 => ab_xor_24_0,
ADR3 => b_reg_0_2_4323,
O => N488
);
Sh25_f5_G : X_LUT4
generic map(
INIT => X"72D8",
LOC => "SLICE_X15Y32"
)
port map (
ADR0 => b_reg_0_2_4323,
ADR1 => a_reg(22),
ADR2 => ab_xor_23_0,
ADR3 => b_reg(22),
O => N489
);
Sh18_f5_F : X_LUT4
generic map(
INIT => X"D1E2",
LOC => "SLICE_X13Y20"
)
port map (
ADR0 => a_reg(18),
ADR1 => b_reg_0_2_4323,
ADR2 => ab_xor_17_0,
ADR3 => b_reg(18),
O => N484
);
Sh18_f5_G : X_LUT4
generic map(
INIT => X"D1E2",
LOC => "SLICE_X13Y20"
)
port map (
ADR0 => b_reg(16),
ADR1 => b_reg_0_2_4323,
ADR2 => ab_xor_15_0,
ADR3 => a_reg(16),
O => N485
);
Sh26_f5_F : X_LUT4
generic map(
INIT => X"8DD8",
LOC => "SLICE_X14Y32"
)
port map (
ADR0 => b_reg_0_2_4323,
ADR1 => ab_xor_25_0,
ADR2 => a_reg(26),
ADR3 => b_reg(26),
O => N480
);
Sh26_f5_G : X_LUT4
generic map(
INIT => X"CC5A",
LOC => "SLICE_X14Y32"
)
port map (
ADR0 => b_reg(24),
ADR1 => ab_xor_23_0,
ADR2 => a_reg(24),
ADR3 => b_reg_0_2_4323,
O => N481
);
Sh29_f5_F : X_LUT4
generic map(
INIT => X"D1E2",
LOC => "SLICE_X15Y34"
)
port map (
ADR0 => b_reg(29),
ADR1 => b_reg_0_3_4316,
ADR2 => ab_xor_28_0,
ADR3 => a_reg(29),
O => N478
);
Sh29_f5_G : X_LUT4
generic map(
INIT => X"4EE4",
LOC => "SLICE_X15Y34"
)
port map (
ADR0 => b_reg_0_3_4316,
ADR1 => ab_xor_27_0,
ADR2 => b_reg(26),
ADR3 => a_reg(26),
O => N479
);
Sh4_F : X_LUT4
generic map(
INIT => X"F606",
LOC => "SLICE_X15Y19"
)
port map (
ADR0 => b_reg_4_1_4383,
ADR1 => a_reg(4),
ADR2 => b_reg_0_1_4382,
ADR3 => ab_xor_3_0,
O => N300
);
Sh4_G : X_LUT4
generic map(
INIT => X"2772",
LOC => "SLICE_X15Y19"
)
port map (
ADR0 => b_reg_0_2_4323,
ADR1 => a_reg(1),
ADR2 => a_reg(2),
ADR3 => b_reg_2_1_4381,
O => N301
);
Sh8_F : X_LUT4
generic map(
INIT => X"8DD8",
LOC => "SLICE_X12Y14"
)
port map (
ADR0 => b_reg_0_1_4382,
ADR1 => ab_xor_7_0,
ADR2 => a_reg(8),
ADR3 => b_reg(8),
O => N324
);
Sh8_G : X_LUT4
generic map(
INIT => X"AA3C",
LOC => "SLICE_X12Y14"
)
port map (
ADR0 => ab_xor_5_0,
ADR1 => a_reg(6),
ADR2 => b_reg(6),
ADR3 => b_reg_0_1_4382,
O => N325
);
Sh981 : X_LUT4
generic map(
INIT => X"66F0",
LOC => "SLICE_X23Y27"
)
port map (
ADR0 => b_reg(31),
ADR1 => a(31),
ADR2 => b_reg(0),
ADR3 => a(0),
O => Sh962
);
Sh1262_rt : X_LUT4
generic map(
INIT => X"AAAA",
LOC => "SLICE_X23Y27"
)
port map (
ADR0 => Sh1262_0,
ADR1 => VCC,
ADR2 => VCC,
ADR3 => VCC,
O => Sh1262_rt_7104
);
Sh972 : X_LUT4
generic map(
INIT => X"7272",
LOC => "SLICE_X24Y27"
)
port map (
ADR0 => a(0),
ADR1 => b_reg(0),
ADR2 => b_reg(1),
ADR3 => VCC,
O => Sh972_7119
);
Sh1272_rt : X_LUT4
generic map(
INIT => X"FF00",
LOC => "SLICE_X24Y27"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => VCC,
ADR3 => Sh1272_0,
O => Sh1272_rt_7129
);
Sh1021 : X_LUT4
generic map(
INIT => X"B18D",
LOC => "SLICE_X25Y27"
)
port map (
ADR0 => N263_0,
ADR1 => a(3),
ADR2 => a(4),
ADR3 => N264_0,
O => Sh1002
);
Sh1001 : X_LUT4
generic map(
INIT => X"335A",
LOC => "SLICE_X25Y27"
)
port map (
ADR0 => a(2),
ADR1 => b_reg(1),
ADR2 => b_reg(2),
ADR3 => a(0),
O => Sh1001_7156
);
Sh1031 : X_LUT4
generic map(
INIT => X"D18B",
LOC => "SLICE_X22Y18"
)
port map (
ADR0 => a(4),
ADR1 => N246_0,
ADR2 => a(5),
ADR3 => N247_0,
O => Sh1012
);
Sh1011_rt : X_LUT4
generic map(
INIT => X"FF00",
LOC => "SLICE_X22Y18"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => VCC,
ADR3 => Sh1011,
O => Sh1011_rt_7183
);
Sh1221 : X_LUT4
generic map(
INIT => X"B18D",
LOC => "SLICE_X21Y28"
)
port map (
ADR0 => N181_0,
ADR1 => a(23),
ADR2 => a(24),
ADR3 => N182_0,
O => Sh1202
);
Sh1182_rt : X_LUT4
generic map(
INIT => X"FF00",
LOC => "SLICE_X21Y28"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => VCC,
ADR3 => Sh1182_0,
O => Sh1182_rt_7210
);
Sh1141 : X_LUT4
generic map(
INIT => X"AC35",
LOC => "SLICE_X25Y20"
)
port map (
ADR0 => a(16),
ADR1 => a(15),
ADR2 => N194_0,
ADR3 => N193_0,
O => Sh1122
);
Sh1102_rt : X_LUT4
generic map(
INIT => X"F0F0",
LOC => "SLICE_X25Y20"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => Sh1102_0,
ADR3 => VCC,
O => Sh1102_rt_7237
);
Sh1061 : X_LUT4
generic map(
INIT => X"8BD1",
LOC => "SLICE_X20Y13"
)
port map (
ADR0 => a(8),
ADR1 => N260_0,
ADR2 => a(7),
ADR3 => N261_0,
O => Sh1042
);
Sh1022_rt : X_LUT4
generic map(
INIT => X"FF00",
LOC => "SLICE_X20Y13"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => VCC,
ADR3 => Sh1022_0,
O => Sh1022_rt_7264
);
Sh1231 : X_LUT4
generic map(
INIT => X"B18D",
LOC => "SLICE_X23Y29"
)
port map (
ADR0 => a(25),
ADR1 => N179_0,
ADR2 => N178_0,
ADR3 => a(24),
O => Sh1212
);
Sh1192_rt : X_LUT4
generic map(
INIT => X"FF00",
LOC => "SLICE_X23Y29"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => VCC,
ADR3 => Sh1192_0,
O => Sh1192_rt_7291
);
Sh1151 : X_LUT4
generic map(
INIT => X"D18B",
LOC => "SLICE_X24Y20"
)
port map (
ADR0 => N191_0,
ADR1 => a(17),
ADR2 => N190_0,
ADR3 => a(16),
O => Sh1132
);
Sh1112_rt : X_LUT4
generic map(
INIT => X"F0F0",
LOC => "SLICE_X24Y20"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => Sh1112_0,
ADR3 => VCC,
O => Sh1112_rt_7318
);
Sh1071 : X_LUT4
generic map(
INIT => X"D81B",
LOC => "SLICE_X20Y12"
)
port map (
ADR0 => a(8),
ADR1 => N240_0,
ADR2 => N241_0,
ADR3 => a(9),
O => Sh1052
);
Sh1032_rt : X_LUT4
generic map(
INIT => X"FF00",
LOC => "SLICE_X20Y12"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => VCC,
ADR3 => Sh1032_0,
O => Sh1032_rt_7345
);
Sh1261 : X_LUT4
generic map(
INIT => X"AC35",
LOC => "SLICE_X22Y29"
)
port map (
ADR0 => a(28),
ADR1 => a(27),
ADR2 => N176_0,
ADR3 => N175_0,
O => Sh1242
);
Sh1222_rt : X_LUT4
generic map(
INIT => X"AAAA",
LOC => "SLICE_X22Y29"
)
port map (
ADR0 => Sh1222_0,
ADR1 => VCC,
ADR2 => VCC,
ADR3 => VCC,
O => Sh1222_rt_7372
);
Sh1181 : X_LUT4
generic map(
INIT => X"E247",
LOC => "SLICE_X25Y24"
)
port map (
ADR0 => N188_0,
ADR1 => a(19),
ADR2 => N187_0,
ADR3 => a(20),
O => Sh1162
);
Sh1142_rt : X_LUT4
generic map(
INIT => X"F0F0",
LOC => "SLICE_X25Y24"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => Sh1142_0,
ADR3 => VCC,
O => Sh1142_rt_7399
);
Sh1101 : X_LUT4
generic map(
INIT => X"CA53",
LOC => "SLICE_X22Y12"
)
port map (
ADR0 => N258_0,
ADR1 => N257_0,
ADR2 => a(11),
ADR3 => a(12),
O => Sh1082
);
Sh1062_rt : X_LUT4
generic map(
INIT => X"CCCC",
LOC => "SLICE_X22Y12"
)
port map (
ADR0 => VCC,
ADR1 => Sh1062_0,
ADR2 => VCC,
ADR3 => VCC,
O => Sh1062_rt_7426
);
Sh1271 : X_LUT4
generic map(
INIT => X"8DB1",
LOC => "SLICE_X23Y30"
)
port map (
ADR0 => N172_0,
ADR1 => a(29),
ADR2 => a(28),
ADR3 => N173_0,
O => Sh1252
);
Sh1232_rt : X_LUT4
generic map(
INIT => X"F0F0",
LOC => "SLICE_X23Y30"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => Sh1232_0,
ADR3 => VCC,
O => Sh1232_rt_7453
);
Sh1191 : X_LUT4
generic map(
INIT => X"8BD1",
LOC => "SLICE_X25Y25"
)
port map (
ADR0 => N184_0,
ADR1 => a(21),
ADR2 => N185_0,
ADR3 => a(20),
O => Sh1172
);
Sh1152_rt : X_LUT4
generic map(
INIT => X"FF00",
LOC => "SLICE_X25Y25"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => VCC,
ADR3 => Sh1152_0,
O => Sh1152_rt_7480
);
Sh1111 : X_LUT4
generic map(
INIT => X"B81D",
LOC => "SLICE_X22Y16"
)
port map (
ADR0 => N234_0,
ADR1 => a(12),
ADR2 => N235_0,
ADR3 => a(13),
O => Sh1092
);
Sh1072_rt : X_LUT4
generic map(
INIT => X"CCCC",
LOC => "SLICE_X22Y16"
)
port map (
ADR0 => VCC,
ADR1 => Sh1072_0,
ADR2 => VCC,
ADR3 => VCC,
O => Sh1072_rt_7507
);
Sh3_f51_F : X_LUT4
generic map(
INIT => X"7B48",
LOC => "SLICE_X12Y20"
)
port map (
ADR0 => b_reg_2_1_4381,
ADR1 => b_reg_0_3_4316,
ADR2 => a_reg(2),
ADR3 => ab_xor_3_0,
O => N308
);
Sh3_f51_G : X_LUT4
generic map(
INIT => X"3355",
LOC => "SLICE_X12Y20"
)
port map (
ADR0 => a_reg(1),
ADR1 => a_reg(0),
ADR2 => VCC,
ADR3 => b_reg(0),
O => N309
);
Sh7_f51_F : X_LUT4
generic map(
INIT => X"72D8",
LOC => "SLICE_X13Y17"
)
port map (
ADR0 => b_reg_0_3_4316,
ADR1 => a_reg(6),
ADR2 => ab_xor_7_0,
ADR3 => b_reg(6),
O => N336
);
Sh7_f51_G : X_LUT4
generic map(
INIT => X"B1E4",
LOC => "SLICE_X13Y17"
)
port map (
ADR0 => b_reg_0_3_4316,
ADR1 => b_reg(5),
ADR2 => ab_xor_4_0,
ADR3 => a_reg(5),
O => N337
);
Sh11_f51_F : X_LUT4
generic map(
INIT => X"74B8",
LOC => "SLICE_X13Y12"
)
port map (
ADR0 => a_reg(10),
ADR1 => b_reg_0_3_4316,
ADR2 => ab_xor_11_0,
ADR3 => b_reg(10),
O => N348
);
Sh11_f51_G : X_LUT4
generic map(
INIT => X"D1E2",
LOC => "SLICE_X13Y12"
)
port map (
ADR0 => a_reg(9),
ADR1 => b_reg_0_3_4316,
ADR2 => ab_xor_8_0,
ADR3 => b_reg(9),
O => N349
);
Sh15_f51_F : X_LUT4
generic map(
INIT => X"72D8",
LOC => "SLICE_X13Y16"
)
port map (
ADR0 => b_reg_0_3_4316,
ADR1 => b_reg(14),
ADR2 => ab_xor_15_0,
ADR3 => a_reg(14),
O => N346
);
Sh15_f51_G : X_LUT4
generic map(
INIT => X"BE14",
LOC => "SLICE_X13Y16"
)
port map (
ADR0 => b_reg_0_3_4316,
ADR1 => b_reg(13),
ADR2 => a_reg(13),
ADR3 => ab_xor_12_0,
O => N347
);
Sh23_f51_F : X_LUT4
generic map(
INIT => X"72D8",
LOC => "SLICE_X15Y33"
)
port map (
ADR0 => b_reg_0_3_4316,
ADR1 => a_reg(22),
ADR2 => ab_xor_23_0,
ADR3 => b_reg(22),
O => N342
);
Sh23_f51_G : X_LUT4
generic map(
INIT => X"B1E4",
LOC => "SLICE_X15Y33"
)
port map (
ADR0 => b_reg_0_3_4316,
ADR1 => b_reg(21),
ADR2 => ab_xor_20_0,
ADR3 => a_reg(21),
O => N343
);
Sh31_f51_F : X_LUT4
generic map(
INIT => X"66F0",
LOC => "SLICE_X12Y34"
)
port map (
ADR0 => a_reg(30),
ADR1 => b_reg(30),
ADR2 => ab_xor_31_0,
ADR3 => b_reg_0_3_4316,
O => N338
);
Sh31_f51_G : X_LUT4
generic map(
INIT => X"D1E2",
LOC => "SLICE_X12Y34"
)
port map (
ADR0 => a_reg(29),
ADR1 => b_reg_0_3_4316,
ADR2 => ab_xor_28_0,
ADR3 => b_reg(29),
O => N339
);
Sh19_f51_F : X_LUT4
generic map(
INIT => X"66F0",
LOC => "SLICE_X14Y22"
)
port map (
ADR0 => b_reg(18),
ADR1 => a_reg(18),
ADR2 => ab_xor_19_0,
ADR3 => b_reg_0_3_4316,
O => N344
);
Sh19_f51_G : X_LUT4
generic map(
INIT => X"A3AC",
LOC => "SLICE_X14Y22"
)
port map (
ADR0 => ab_xor_16_0,
ADR1 => a_reg(17),
ADR2 => b_reg_0_3_4316,
ADR3 => b_reg(17),
O => N345
);
Sh27_f51_F : X_LUT4
generic map(
INIT => X"66F0",
LOC => "SLICE_X14Y34"
)
port map (
ADR0 => a_reg(26),
ADR1 => b_reg(26),
ADR2 => ab_xor_27_0,
ADR3 => b_reg_0_3_4316,
O => N340
);
Sh27_f51_G : X_LUT4
generic map(
INIT => X"F066",
LOC => "SLICE_X14Y34"
)
port map (
ADR0 => a_reg(25),
ADR1 => b_reg(25),
ADR2 => ab_xor_24_0,
ADR3 => b_reg_0_3_4316,
O => N341
);
Sh12_F : X_LUT4
generic map(
INIT => X"8DD8",
LOC => "SLICE_X12Y15"
)
port map (
ADR0 => b_reg_0_1_4382,
ADR1 => ab_xor_11_0,
ADR2 => a_reg(12),
ADR3 => b_reg(12),
O => N334
);
Sh12_G : X_LUT4
generic map(
INIT => X"F606",
LOC => "SLICE_X12Y15"
)
port map (
ADR0 => a_reg(10),
ADR1 => b_reg(10),
ADR2 => b_reg_0_1_4382,
ADR3 => ab_xor_9_0,
O => N335
);
Sh20_F : X_LUT4
generic map(
INIT => X"BE14",
LOC => "SLICE_X15Y25"
)
port map (
ADR0 => b_reg_0_1_4382,
ADR1 => a_reg(20),
ADR2 => b_reg(20),
ADR3 => ab_xor_19_0,
O => N330
);
Sh20_G : X_LUT4
generic map(
INIT => X"B1E4",
LOC => "SLICE_X15Y25"
)
port map (
ADR0 => b_reg_0_1_4382,
ADR1 => a_reg(18),
ADR2 => ab_xor_17_0,
ADR3 => b_reg(18),
O => N331
);
Sh16_F : X_LUT4
generic map(
INIT => X"8DD8",
LOC => "SLICE_X12Y21"
)
port map (
ADR0 => b_reg_0_1_4382,
ADR1 => ab_xor_15_0,
ADR2 => a_reg(16),
ADR3 => b_reg(16),
O => N332
);
Sh16_G : X_LUT4
generic map(
INIT => X"8DD8",
LOC => "SLICE_X12Y21"
)
port map (
ADR0 => b_reg_0_1_4382,
ADR1 => ab_xor_13_0,
ADR2 => a_reg(14),
ADR3 => b_reg(14),
O => N333
);
Sh24_F : X_LUT4
generic map(
INIT => X"CC5A",
LOC => "SLICE_X14Y33"
)
port map (
ADR0 => b_reg(24),
ADR1 => ab_xor_23_0,
ADR2 => a_reg(24),
ADR3 => b_reg_0_1_4382,
O => N328
);
Sh24_G : X_LUT4
generic map(
INIT => X"BE14",
LOC => "SLICE_X14Y33"
)
port map (
ADR0 => b_reg_0_1_4382,
ADR1 => b_reg(22),
ADR2 => a_reg(22),
ADR3 => ab_xor_21_0,
O => N329
);
Sh28_F : X_LUT4
generic map(
INIT => X"CC5A",
LOC => "SLICE_X15Y35"
)
port map (
ADR0 => a_reg(28),
ADR1 => ab_xor_27_0,
ADR2 => b_reg(28),
ADR3 => b_reg_0_1_4382,
O => N326
);
Sh28_G : X_LUT4
generic map(
INIT => X"AA3C",
LOC => "SLICE_X15Y35"
)
port map (
ADR0 => ab_xor_25_0,
ADR1 => a_reg(26),
ADR2 => b_reg(26),
ADR3 => b_reg_0_1_4382,
O => N327
);
Sh123_f51_F : X_LUT4
generic map(
INIT => X"D18B",
LOC => "SLICE_X23Y28"
)
port map (
ADR0 => a(26),
ADR1 => N199_0,
ADR2 => a(27),
ADR3 => N200,
O => N496
);
Sh123_f51_G : X_LUT4
generic map(
INIT => X"B18D",
LOC => "SLICE_X23Y28"
)
port map (
ADR0 => a(25),
ADR1 => N179_0,
ADR2 => N178_0,
ADR3 => a(24),
O => N497
);
Sh127_f51_F : X_LUT4
generic map(
INIT => X"8DB1",
LOC => "SLICE_X21Y30"
)
port map (
ADR0 => N196_0,
ADR1 => a(31),
ADR2 => a(30),
ADR3 => N197,
O => N460
);
Sh127_f51_G : X_LUT4
generic map(
INIT => X"8BD1",
LOC => "SLICE_X21Y30"
)
port map (
ADR0 => a(29),
ADR1 => N172_0,
ADR2 => a(28),
ADR3 => N173_0,
O => N461
);
Sh145312 : X_LUT4
generic map(
INIT => X"F0CC",
LOC => "SLICE_X24Y15"
)
port map (
ADR0 => VCC,
ADR1 => Sh113,
ADR2 => Sh109,
ADR3 => a(2),
O => Sh145311_7899
);
Sh145311 : X_LUT4
generic map(
INIT => X"F0CC",
LOC => "SLICE_X24Y15"
)
port map (
ADR0 => VCC,
ADR1 => Sh105,
ADR2 => Sh101,
ADR3 => a(2),
O => Sh14531
);
Sh192_F : X_LUT4
generic map(
INIT => X"4EE4",
LOC => "SLICE_X12Y35"
)
port map (
ADR0 => b_reg_0_1_4382,
ADR1 => a_reg(0),
ADR2 => b_reg(31),
ADR3 => a_reg(31),
O => N410
);
Sh192_G : X_LUT4
generic map(
INIT => X"BE14",
LOC => "SLICE_X12Y35"
)
port map (
ADR0 => b_reg_0_1_4382,
ADR1 => b_reg(30),
ADR2 => a_reg(30),
ADR3 => ab_xor_29_0,
O => N411
);
b_reg_mux0000_2_37_SW0_F : X_LUT4
generic map(
INIT => X"FCAA",
LOC => "SLICE_X8Y3"
)
port map (
ADR0 => b_reg(2),
ADR1 => b_reg_mux0000_2_5_0,
ADR2 => b_reg_mux0000_2_13_0,
ADR3 => state_FSM_FFd2_4312,
O => N464
);
b_reg_mux0000_2_37_SW0_G : X_LUT4
generic map(
INIT => X"00CC",
LOC => "SLICE_X8Y3"
)
port map (
ADR0 => VCC,
ADR1 => b_reg(2),
ADR2 => VCC,
ADR3 => state_FSM_FFd2_4312,
O => N465
);
b_reg_mux0000_2_37_SW1_F : X_LUT4
generic map(
INIT => X"FCAA",
LOC => "SLICE_X8Y2"
)
port map (
ADR0 => b_reg(2),
ADR1 => b_reg_mux0000_2_5_0,
ADR2 => b_reg_mux0000_2_13_0,
ADR3 => state_FSM_FFd2_4312,
O => N466
);
b_reg_mux0000_2_37_SW1_G : X_LUT4
generic map(
INIT => X"FFCC",
LOC => "SLICE_X8Y2"
)
port map (
ADR0 => VCC,
ADR1 => b_reg(2),
ADR2 => VCC,
ADR3 => state_FSM_FFd2_4312,
O => N467
);
b_reg_mux0000_3_24_SW0_F : X_LUT4
generic map(
INIT => X"A5CC",
LOC => "SLICE_X2Y20"
)
port map (
ADR0 => Madd_b_pre_cy_2_Q,
ADR1 => b_reg(3),
ADR2 => swtch_led_3_OBUF_4256,
ADR3 => state_FSM_FFd2_4312,
O => N456
);
Sh5131_F : X_LUT4
generic map(
INIT => X"CACA",
LOC => "SLICE_X13Y25"
)
port map (
ADR0 => Sh19,
ADR1 => Sh11,
ADR2 => b_reg(3),
ADR3 => VCC,
O => N354
);
Sh5131_G : X_LUT4
generic map(
INIT => X"E4E4",
LOC => "SLICE_X13Y25"
)
port map (
ADR0 => b_reg(3),
ADR1 => Sh15,
ADR2 => Sh7,
ADR3 => VCC,
O => N355
);
Sh1597_F : X_LUT4
generic map(
INIT => X"F5A0",
LOC => "SLICE_X26Y26"
)
port map (
ADR0 => a(1),
ADR1 => VCC,
ADR2 => Sh1212_0,
ADR3 => Sh1232_0,
O => N468
);
Sh1597_G : X_LUT4
generic map(
INIT => X"E4E4",
LOC => "SLICE_X26Y26"
)
port map (
ADR0 => a(1),
ADR1 => Sh1152_0,
ADR2 => Sh1132_0,
ADR3 => VCC,
O => N469
);
Sh1781_F : X_LUT4
generic map(
INIT => X"DDDC",
LOC => "SLICE_X27Y14"
)
port map (
ADR0 => a(2),
ADR1 => Sh14612,
ADR2 => Sh14613_0,
ADR3 => Sh14616_0,
O => N322
);
Sh1781_G : X_LUT4
generic map(
INIT => X"FE0E",
LOC => "SLICE_X27Y14"
)
port map (
ADR0 => Sh13016_0,
ADR1 => Sh13013_0,
ADR2 => a(2),
ADR3 => Sh1307,
O => N323
);
Sh4431_F : X_LUT4
generic map(
INIT => X"CCF0",
LOC => "SLICE_X12Y22"
)
port map (
ADR0 => VCC,
ADR1 => Sh4,
ADR2 => Sh12,
ADR3 => b_reg(3),
O => N386
);
Sh4431_G : X_LUT4
generic map(
INIT => X"CCAA",
LOC => "SLICE_X12Y22"
)
port map (
ADR0 => Sh8,
ADR1 => Sh,
ADR2 => VCC,
ADR3 => b_reg(3),
O => N387
);
Sh6031_F : X_LUT4
generic map(
INIT => X"CCAA",
LOC => "SLICE_X12Y27"
)
port map (
ADR0 => Sh28,
ADR1 => Sh20,
ADR2 => VCC,
ADR3 => b_reg(3),
O => N384
);
Sh6031_G : X_LUT4
generic map(
INIT => X"AACC",
LOC => "SLICE_X12Y27"
)
port map (
ADR0 => Sh16,
ADR1 => Sh24,
ADR2 => VCC,
ADR3 => b_reg(3),
O => N385
);
Sh3631_F : X_LUT4
generic map(
INIT => X"EE44",
LOC => "SLICE_X13Y24"
)
port map (
ADR0 => b_reg(3),
ADR1 => Sh4,
ADR2 => VCC,
ADR3 => Sh28,
O => N360
);
Sh3631_G : X_LUT4
generic map(
INIT => X"FA0A",
LOC => "SLICE_X13Y24"
)
port map (
ADR0 => Sh,
ADR1 => VCC,
ADR2 => b_reg(3),
ADR3 => Sh24,
O => N361
);
Sh5231_F : X_LUT4
generic map(
INIT => X"F5A0",
LOC => "SLICE_X13Y18"
)
port map (
ADR0 => b_reg(3),
ADR1 => VCC,
ADR2 => Sh12,
ADR3 => Sh20,
O => N358
);
Sh5231_G : X_LUT4
generic map(
INIT => X"FA50",
LOC => "SLICE_X13Y18"
)
port map (
ADR0 => b_reg(3),
ADR1 => VCC,
ADR2 => Sh16,
ADR3 => Sh8,
O => N359
);
Sh4531_F : X_LUT4
generic map(
INIT => X"AACC",
LOC => "SLICE_X16Y26"
)
port map (
ADR0 => Sh5,
ADR1 => Sh13,
ADR2 => VCC,
ADR3 => b_reg(3),
O => N430
);
Sh4531_G : X_LUT4
generic map(
INIT => X"F0CC",
LOC => "SLICE_X16Y26"
)
port map (
ADR0 => VCC,
ADR1 => Sh9,
ADR2 => Sh1,
ADR3 => b_reg(3),
O => N431
);
Sh3731_F : X_LUT4
generic map(
INIT => X"FA50",
LOC => "SLICE_X14Y26"
)
port map (
ADR0 => b_reg(3),
ADR1 => VCC,
ADR2 => Sh5,
ADR3 => Sh29,
O => N390
);
Sh3731_G : X_LUT4
generic map(
INIT => X"FA50",
LOC => "SLICE_X14Y26"
)
port map (
ADR0 => b_reg(3),
ADR1 => VCC,
ADR2 => Sh1,
ADR3 => Sh25,
O => N391
);
Sh5331_F : X_LUT4
generic map(
INIT => X"AAF0",
LOC => "SLICE_X15Y27"
)
port map (
ADR0 => Sh13,
ADR1 => VCC,
ADR2 => Sh21,
ADR3 => b_reg(3),
O => N388
);
Sh5331_G : X_LUT4
generic map(
INIT => X"E4E4",
LOC => "SLICE_X15Y27"
)
port map (
ADR0 => b_reg(3),
ADR1 => Sh17,
ADR2 => Sh9,
ADR3 => VCC,
O => N389
);
Sh4631_F : X_LUT4
generic map(
INIT => X"AAF0",
LOC => "SLICE_X14Y28"
)
port map (
ADR0 => Sh6,
ADR1 => VCC,
ADR2 => Sh14,
ADR3 => b_reg(3),
O => N422
);
Sh4631_G : X_LUT4
generic map(
INIT => X"E4E4",
LOC => "SLICE_X14Y28"
)
port map (
ADR0 => b_reg(3),
ADR1 => Sh10,
ADR2 => Sh2,
ADR3 => VCC,
O => N423
);
Sh3831_F : X_LUT4
generic map(
INIT => X"EE22",
LOC => "SLICE_X14Y31"
)
port map (
ADR0 => Sh6,
ADR1 => b_reg(3),
ADR2 => VCC,
ADR3 => Sh30,
O => N394
);
Sh3831_G : X_LUT4
generic map(
INIT => X"D8D8",
LOC => "SLICE_X14Y31"
)
port map (
ADR0 => b_reg(3),
ADR1 => Sh26,
ADR2 => Sh2,
ADR3 => VCC,
O => N395
);
Sh5431_F : X_LUT4
generic map(
INIT => X"AAF0",
LOC => "SLICE_X12Y28"
)
port map (
ADR0 => Sh14,
ADR1 => VCC,
ADR2 => Sh22_4347,
ADR3 => b_reg(3),
O => N392
);
Sh5431_G : X_LUT4
generic map(
INIT => X"CCAA",
LOC => "SLICE_X12Y28"
)
port map (
ADR0 => Sh18,
ADR1 => Sh10,
ADR2 => VCC,
ADR3 => b_reg(3),
O => N393
);
Sh4731_F : X_LUT4
generic map(
INIT => X"E4E4",
LOC => "SLICE_X13Y21"
)
port map (
ADR0 => b_reg(3),
ADR1 => Sh15,
ADR2 => Sh7,
ADR3 => VCC,
O => N398
);
Sh4731_G : X_LUT4
generic map(
INIT => X"EE44",
LOC => "SLICE_X13Y21"
)
port map (
ADR0 => b_reg(3),
ADR1 => Sh11,
ADR2 => VCC,
ADR3 => Sh3,
O => N399
);
Sh6331_F : X_LUT4
generic map(
INIT => X"CCAA",
LOC => "SLICE_X12Y33"
)
port map (
ADR0 => Sh31,
ADR1 => Sh23_4457,
ADR2 => VCC,
ADR3 => b_reg(3),
O => N396
);
Sh6331_G : X_LUT4
generic map(
INIT => X"FC30",
LOC => "SLICE_X12Y33"
)
port map (
ADR0 => VCC,
ADR1 => b_reg(3),
ADR2 => Sh27,
ADR3 => Sh19,
O => N397
);
Sh3931_F : X_LUT4
generic map(
INIT => X"D8D8",
LOC => "SLICE_X13Y28"
)
port map (
ADR0 => b_reg(3),
ADR1 => Sh31,
ADR2 => Sh7,
ADR3 => VCC,
O => N364
);
Sh3931_G : X_LUT4
generic map(
INIT => X"DD88",
LOC => "SLICE_X13Y28"
)
port map (
ADR0 => b_reg(3),
ADR1 => Sh27,
ADR2 => VCC,
ADR3 => Sh3,
O => N365
);
Sh5531_F : X_LUT4
generic map(
INIT => X"CFC0",
LOC => "SLICE_X13Y30"
)
port map (
ADR0 => VCC,
ADR1 => Sh15,
ADR2 => b_reg(3),
ADR3 => Sh23_4457,
O => N362
);
Sh5531_G : X_LUT4
generic map(
INIT => X"FA0A",
LOC => "SLICE_X13Y30"
)
port map (
ADR0 => Sh19,
ADR1 => VCC,
ADR2 => b_reg(3),
ADR3 => Sh11,
O => N363
);
Sh5631_F : X_LUT4
generic map(
INIT => X"FA50",
LOC => "SLICE_X13Y27"
)
port map (
ADR0 => b_reg(3),
ADR1 => VCC,
ADR2 => Sh24,
ADR3 => Sh16,
O => N366
);
Sh5631_G : X_LUT4
generic map(
INIT => X"D8D8",
LOC => "SLICE_X13Y27"
)
port map (
ADR0 => b_reg(3),
ADR1 => Sh12,
ADR2 => Sh20,
ADR3 => VCC,
O => N367
);
Sh4831_F : X_LUT4
generic map(
INIT => X"BB88",
LOC => "SLICE_X12Y25"
)
port map (
ADR0 => Sh8,
ADR1 => b_reg(3),
ADR2 => VCC,
ADR3 => Sh16,
O => N350
);
Sh4831_G : X_LUT4
generic map(
INIT => X"F3C0",
LOC => "SLICE_X12Y25"
)
port map (
ADR0 => VCC,
ADR1 => b_reg(3),
ADR2 => Sh4,
ADR3 => Sh12,
O => N351
);
Sh4931_F : X_LUT4
generic map(
INIT => X"CCF0",
LOC => "SLICE_X14Y24"
)
port map (
ADR0 => VCC,
ADR1 => Sh9,
ADR2 => Sh17,
ADR3 => b_reg(3),
O => N372
);
Sh4931_G : X_LUT4
generic map(
INIT => X"F3C0",
LOC => "SLICE_X14Y24"
)
port map (
ADR0 => VCC,
ADR1 => b_reg(3),
ADR2 => Sh5,
ADR3 => Sh13,
O => N373
);
Sh5931_F : X_LUT4
generic map(
INIT => X"B8B8",
LOC => "SLICE_X12Y31"
)
port map (
ADR0 => Sh19,
ADR1 => b_reg(3),
ADR2 => Sh27,
ADR3 => VCC,
O => N380
);
Sh5931_G : X_LUT4
generic map(
INIT => X"E2E2",
LOC => "SLICE_X12Y31"
)
port map (
ADR0 => Sh23_4457,
ADR1 => b_reg(3),
ADR2 => Sh15,
ADR3 => VCC,
O => N381
);
b_reg_mux0000_5_24_SW0_F : X_LUT4
generic map(
INIT => X"AC5C",
LOC => "SLICE_X3Y17"
)
port map (
ADR0 => swtch_led_5_OBUF_4258,
ADR1 => b_reg(5),
ADR2 => state_FSM_FFd2_4312,
ADR3 => Madd_b_pre_cy_4_0,
O => N448
);
b_reg_mux0000_5_24_SW0_G : X_LUT4
generic map(
INIT => X"0F00",
LOC => "SLICE_X3Y17"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => state_FSM_FFd2_4312,
ADR3 => b_reg(5),
O => N449
);
b_reg_mux0000_5_24_SW1_F : X_LUT4
generic map(
INIT => X"B874",
LOC => "SLICE_X2Y17"
)
port map (
ADR0 => Madd_b_pre_cy_4_0,
ADR1 => state_FSM_FFd2_4312,
ADR2 => b_reg(5),
ADR3 => swtch_led_5_OBUF_4258,
O => N450
);
b_reg_mux0000_5_24_SW1_G : X_LUT4
generic map(
INIT => X"EEEE",
LOC => "SLICE_X2Y17"
)
port map (
ADR0 => b_reg(5),
ADR1 => state_FSM_FFd2_4312,
ADR2 => VCC,
ADR3 => VCC,
O => N451
);
b_reg_mux0000_8_21_F : X_LUT4
generic map(
INIT => X"BBAA",
LOC => "SLICE_X16Y15"
)
port map (
ADR0 => b_reg_mux0000_10_10_0,
ADR1 => state_FSM_FFd2_4312,
ADR2 => VCC,
ADR3 => b_reg(8),
O => N532
);
b_reg_mux0000_8_21_G : X_LUT4
generic map(
INIT => X"EFEA",
LOC => "SLICE_X16Y15"
)
port map (
ADR0 => b_reg_mux0000_10_10_0,
ADR1 => state_FSM_FFd1_4311,
ADR2 => state_FSM_FFd2_4312,
ADR3 => b_reg(8),
O => N533
);
b_reg_8 : X_FF
generic map(
LOC => "SLICE_X16Y15",
INIT => '0'
)
port map (
I => b_reg_8_DXMUX_9240,
CE => VCC,
CLK => b_reg_8_CLKINV_9222,
SET => GND,
RST => b_reg_8_FFX_RSTAND_9245,
O => b_reg(8)
);
b_reg_8_FFX_RSTAND : X_BUF
generic map(
LOC => "SLICE_X16Y15",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => b_reg_8_FFX_RSTAND_9245
);
b_reg_mux0000_9_21_F : X_LUT4
generic map(
INIT => X"BABA",
LOC => "SLICE_X16Y14"
)
port map (
ADR0 => b_reg_mux0000_10_10_0,
ADR1 => state_FSM_FFd2_4312,
ADR2 => b_reg(9),
ADR3 => VCC,
O => N530
);
b_reg_mux0000_9_21_G : X_LUT4
generic map(
INIT => X"FEBA",
LOC => "SLICE_X16Y14"
)
port map (
ADR0 => b_reg_mux0000_10_10_0,
ADR1 => state_FSM_FFd2_4312,
ADR2 => b_reg(9),
ADR3 => state_FSM_FFd1_4311,
O => N531
);
b_reg_9 : X_FF
generic map(
LOC => "SLICE_X16Y14",
INIT => '0'
)
port map (
I => b_reg_9_DXMUX_9276,
CE => VCC,
CLK => b_reg_9_CLKINV_9258,
SET => GND,
RST => b_reg_9_FFX_RSTAND_9281,
O => b_reg(9)
);
b_reg_9_FFX_RSTAND : X_BUF
generic map(
LOC => "SLICE_X16Y14",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => b_reg_9_FFX_RSTAND_9281
);
b_reg_mux0000_6_34_SW0_F : X_LUT4
generic map(
INIT => X"FCAC",
LOC => "SLICE_X3Y13"
)
port map (
ADR0 => b_reg_mux0000_6_3_0,
ADR1 => b_reg(6),
ADR2 => state_FSM_FFd2_4312,
ADR3 => b_reg_mux0000_6_12_0,
O => N444
);
b_reg_mux0000_6_34_SW0_G : X_LUT4
generic map(
INIT => X"0F00",
LOC => "SLICE_X3Y13"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => state_FSM_FFd2_4312,
ADR3 => b_reg(6),
O => N445
);
b_reg_mux0000_6_34_SW1_F : X_LUT4
generic map(
INIT => X"FCB8",
LOC => "SLICE_X2Y13"
)
port map (
ADR0 => b_reg_mux0000_6_12_0,
ADR1 => state_FSM_FFd2_4312,
ADR2 => b_reg(6),
ADR3 => b_reg_mux0000_6_3_0,
O => N446
);
b_reg_mux0000_6_34_SW1_G : X_LUT4
generic map(
INIT => X"EEEE",
LOC => "SLICE_X2Y13"
)
port map (
ADR0 => b_reg(6),
ADR1 => state_FSM_FFd2_4312,
ADR2 => VCC,
ADR3 => VCC,
O => N447
);
Sh1_f5_F : X_LUT4
generic map(
INIT => X"3F30",
LOC => "SLICE_X13Y35"
)
port map (
ADR0 => VCC,
ADR1 => a_reg(0),
ADR2 => b_reg_0_3_4316,
ADR3 => a_reg(1),
O => N404
);
Sh1_f5_G : X_LUT4
generic map(
INIT => X"4EE4",
LOC => "SLICE_X13Y35"
)
port map (
ADR0 => b_reg_0_3_4316,
ADR1 => ab_xor_31_0,
ADR2 => b_reg(30),
ADR3 => a_reg(30),
O => N405
);
Sh23 : X_LUT4
generic map(
INIT => X"A3AC",
LOC => "SLICE_X13Y32"
)
port map (
ADR0 => a_reg(1),
ADR1 => a_reg(2),
ADR2 => b_reg_0_3_4316,
ADR3 => b_reg_2_1_4381,
O => Sh211
);
Sh22 : X_LUT4
generic map(
INIT => X"7D28",
LOC => "SLICE_X13Y32"
)
port map (
ADR0 => b_reg_0_3_4316,
ADR1 => a_reg(31),
ADR2 => b_reg(31),
ADR3 => a_reg(0),
O => Sh210
);
Sh5_f5_F : X_LUT4
generic map(
INIT => X"DE12",
LOC => "SLICE_X12Y19"
)
port map (
ADR0 => a_reg(5),
ADR1 => b_reg_0_3_4316,
ADR2 => b_reg(5),
ADR3 => ab_xor_4_0,
O => N476
);
Sh5_f5_G : X_LUT4
generic map(
INIT => X"7B48",
LOC => "SLICE_X12Y19"
)
port map (
ADR0 => b_reg_2_1_4381,
ADR1 => b_reg_0_3_4316,
ADR2 => a_reg(2),
ADR3 => ab_xor_3_0,
O => N477
);
b_reg_mux0000_7_24_SW0_F : X_LUT4
generic map(
INIT => X"D872",
LOC => "SLICE_X12Y8"
)
port map (
ADR0 => state_FSM_FFd2_4312,
ADR1 => Madd_b_pre_cy_6_Q,
ADR2 => b_reg(7),
ADR3 => swtch_led_7_OBUF_4260,
O => N440
);
b_reg_mux0000_7_24_SW0_G : X_LUT4
generic map(
INIT => X"3030",
LOC => "SLICE_X12Y8"
)
port map (
ADR0 => VCC,
ADR1 => state_FSM_FFd2_4312,
ADR2 => b_reg(7),
ADR3 => VCC,
O => N441
);
b_reg_mux0000_7_24_SW1_F : X_LUT4
generic map(
INIT => X"D872",
LOC => "SLICE_X9Y3"
)
port map (
ADR0 => state_FSM_FFd2_4312,
ADR1 => Madd_b_pre_cy_6_Q,
ADR2 => b_reg(7),
ADR3 => swtch_led_7_OBUF_4260,
O => N442
);
b_reg_mux0000_7_24_SW1_G : X_LUT4
generic map(
INIT => X"FAFA",
LOC => "SLICE_X9Y3"
)
port map (
ADR0 => state_FSM_FFd2_4312,
ADR1 => VCC,
ADR2 => b_reg(7),
ADR3 => VCC,
O => N443
);
Sh6_f5_F : X_LUT4
generic map(
INIT => X"DE12",
LOC => "SLICE_X12Y18"
)
port map (
ADR0 => b_reg(6),
ADR1 => b_reg_0_3_4316,
ADR2 => a_reg(6),
ADR3 => ab_xor_5_0,
O => N462
);
Sh6_f5_G : X_LUT4
generic map(
INIT => X"D1E2",
LOC => "SLICE_X12Y18"
)
port map (
ADR0 => a_reg(4),
ADR1 => b_reg_0_3_4316,
ADR2 => ab_xor_3_0,
ADR3 => b_reg(4),
O => N463
);
Sh9_f5_F : X_LUT4
generic map(
INIT => X"BE14",
LOC => "SLICE_X15Y15"
)
port map (
ADR0 => b_reg_0_3_4316,
ADR1 => b_reg(9),
ADR2 => a_reg(9),
ADR3 => ab_xor_8_0,
O => N474
);
Sh9_f5_G : X_LUT4
generic map(
INIT => X"74B8",
LOC => "SLICE_X15Y15"
)
port map (
ADR0 => a_reg(6),
ADR1 => b_reg_0_3_4316,
ADR2 => ab_xor_7_0,
ADR3 => b_reg(6),
O => N475
);
b_reg_mux0000_0_F : X_LUT4
generic map(
INIT => X"444E",
LOC => "SLICE_X14Y15"
)
port map (
ADR0 => state_FSM_FFd2_4312,
ADR1 => b_reg(0),
ADR2 => Madd_b_pre_cy_0_Q,
ADR3 => state_FSM_FFd1_4311,
O => N524
);
b_reg_mux0000_0_G : X_LUT4
generic map(
INIT => X"EE4E",
LOC => "SLICE_X14Y15"
)
port map (
ADR0 => state_FSM_FFd2_4312,
ADR1 => b_reg(0),
ADR2 => Madd_b_pre_cy_0_Q,
ADR3 => state_FSM_FFd1_4311,
O => N525
);
b_reg_0_1 : X_FF
generic map(
LOC => "SLICE_X14Y15",
INIT => '0'
)
port map (
I => b_reg_0_1_DXMUX_9538,
CE => VCC,
CLK => b_reg_0_1_CLKINV_9521,
SET => GND,
RST => b_reg_0_1_FFX_RSTAND_9543,
O => b_reg_0_1_4382
);
b_reg_0_1_FFX_RSTAND : X_BUF
generic map(
LOC => "SLICE_X14Y15",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => b_reg_0_1_FFX_RSTAND_9543
);
Mmux_hex_digit_i_mux0001_4 : X_LUT4
generic map(
INIT => X"FC30",
LOC => "SLICE_X28Y15"
)
port map (
ADR0 => VCC,
ADR1 => LED_flash_cnt(8),
ADR2 => b_reg(12),
ADR3 => b_reg(8),
O => Mmux_hex_digit_i_mux0001_4_9562
);
Mmux_hex_digit_i_mux0001_3 : X_LUT4
generic map(
INIT => X"E2E2",
LOC => "SLICE_X28Y15"
)
port map (
ADR0 => b_reg(4),
ADR1 => LED_flash_cnt(8),
ADR2 => b_reg(0),
ADR3 => VCC,
O => Mmux_hex_digit_i_mux0001_3_9570
);
hex_digit_i_0 : X_FF
generic map(
LOC => "SLICE_X28Y15",
INIT => '0'
)
port map (
I => hex_digit_i_0_DXMUX_9574,
CE => VCC,
CLK => hex_digit_i_0_CLKINV_9555,
SET => GND,
RST => hex_digit_i_0_FFX_RSTAND_9579,
O => hex_digit_i(0)
);
hex_digit_i_0_FFX_RSTAND : X_BUF
generic map(
LOC => "SLICE_X28Y15",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => hex_digit_i_0_FFX_RSTAND_9579
);
b_reg_mux0000_11_10_SW0_F : X_LUT4
generic map(
INIT => X"FAD8",
LOC => "SLICE_X12Y11"
)
port map (
ADR0 => state_FSM_FFd2_4312,
ADR1 => Madd_b_pre_cy_6_Q,
ADR2 => b_reg(11),
ADR3 => swtch_led_7_OBUF_4260,
O => N436
);
b_reg_mux0000_11_10_SW0_G : X_LUT4
generic map(
INIT => X"3300",
LOC => "SLICE_X12Y11"
)
port map (
ADR0 => VCC,
ADR1 => state_FSM_FFd2_4312,
ADR2 => VCC,
ADR3 => b_reg(11),
O => N437
);
b_reg_mux0000_11_10_SW1_F : X_LUT4
generic map(
INIT => X"FCAC",
LOC => "SLICE_X13Y11"
)
port map (
ADR0 => swtch_led_7_OBUF_4260,
ADR1 => b_reg(11),
ADR2 => state_FSM_FFd2_4312,
ADR3 => Madd_b_pre_cy_6_Q,
O => N438
);
b_reg_mux0000_11_10_SW1_G : X_LUT4
generic map(
INIT => X"FAFA",
LOC => "SLICE_X13Y11"
)
port map (
ADR0 => b_reg(11),
ADR1 => VCC,
ADR2 => state_FSM_FFd2_4312,
ADR3 => VCC,
O => N439
);
i_cnt_mux0001_0_562 : X_LUT4
generic map(
INIT => X"FCCC",
LOC => "SLICE_X19Y14"
)
port map (
ADR0 => VCC,
ADR1 => i_cnt(3),
ADR2 => state_FSM_FFd1_4311,
ADR3 => i_cnt_mux0001_0_25_0,
O => i_cnt_mux0001_0_561_9649
);
i_cnt_mux0001_0_561 : X_LUT4
generic map(
INIT => X"B080",
LOC => "SLICE_X19Y14"
)
port map (
ADR0 => N514_0,
ADR1 => i_cnt(3),
ADR2 => state_FSM_FFd1_4311,
ADR3 => i_cnt_mux0001_0_25_0,
O => i_cnt_mux0001_0_56
);
i_cnt_3 : X_FF
generic map(
LOC => "SLICE_X19Y14",
INIT => '0'
)
port map (
I => i_cnt_3_DXMUX_9660,
CE => VCC,
CLK => i_cnt_3_CLKINV_9642,
SET => GND,
RST => i_cnt_3_FFX_RSTAND_9665,
O => i_cnt(3)
);
i_cnt_3_FFX_RSTAND : X_BUF
generic map(
LOC => "SLICE_X19Y14",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => i_cnt_3_FFX_RSTAND_9665
);
Mmux_hex_digit_i_mux0001_41 : X_LUT4
generic map(
INIT => X"CCAA",
LOC => "SLICE_X27Y12"
)
port map (
ADR0 => b_reg(13),
ADR1 => b_reg(9),
ADR2 => VCC,
ADR3 => LED_flash_cnt(8),
O => Mmux_hex_digit_i_mux0001_41_9684
);
Mmux_hex_digit_i_mux0001_31 : X_LUT4
generic map(
INIT => X"F0AA",
LOC => "SLICE_X27Y12"
)
port map (
ADR0 => b_reg(5),
ADR1 => VCC,
ADR2 => b_reg(1),
ADR3 => LED_flash_cnt(8),
O => Mmux_hex_digit_i_mux0001_31_9692
);
hex_digit_i_1 : X_FF
generic map(
LOC => "SLICE_X27Y12",
INIT => '0'
)
port map (
I => hex_digit_i_1_DXMUX_9696,
CE => VCC,
CLK => hex_digit_i_1_CLKINV_9677,
SET => GND,
RST => hex_digit_i_1_FFX_RSTAND_9701,
O => hex_digit_i(1)
);
hex_digit_i_1_FFX_RSTAND : X_BUF
generic map(
LOC => "SLICE_X27Y12",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => hex_digit_i_1_FFX_RSTAND_9701
);
Mmux_hex_digit_i_mux0001_42 : X_LUT4
generic map(
INIT => X"FA50",
LOC => "SLICE_X27Y13"
)
port map (
ADR0 => LED_flash_cnt(8),
ADR1 => VCC,
ADR2 => b_reg(14),
ADR3 => b_reg(10),
O => Mmux_hex_digit_i_mux0001_42_9720
);
Mmux_hex_digit_i_mux0001_32 : X_LUT4
generic map(
INIT => X"AAF0",
LOC => "SLICE_X27Y13"
)
port map (
ADR0 => b_reg(2),
ADR1 => VCC,
ADR2 => b_reg(6),
ADR3 => LED_flash_cnt(8),
O => Mmux_hex_digit_i_mux0001_32_9728
);
hex_digit_i_2 : X_FF
generic map(
LOC => "SLICE_X27Y13",
INIT => '0'
)
port map (
I => hex_digit_i_2_DXMUX_9732,
CE => VCC,
CLK => hex_digit_i_2_CLKINV_9713,
SET => GND,
RST => hex_digit_i_2_FFX_RSTAND_9737,
O => hex_digit_i(2)
);
hex_digit_i_2_FFX_RSTAND : X_BUF
generic map(
LOC => "SLICE_X27Y13",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => hex_digit_i_2_FFX_RSTAND_9737
);
Sh1222 : X_LUT4
generic map(
INIT => X"C5A3",
LOC => "SLICE_X22Y28"
)
port map (
ADR0 => a(25),
ADR1 => a(26),
ADR2 => N211_0,
ADR3 => Mxor_ba_xor_Result_25_1_SW1_O,
O => Sh1222_10228
);
Mxor_ba_xor_Result_11_1_SW1 : X_LUT4
generic map(
INIT => X"A0AF",
LOC => "SLICE_X18Y13"
)
port map (
ADR0 => b_reg(10),
ADR1 => VCC,
ADR2 => a(0),
ADR3 => b_reg(11),
O => Mxor_ba_xor_Result_11_1_SW1_O_pack_1
);
Sh1072 : X_LUT4
generic map(
INIT => X"8BD1",
LOC => "SLICE_X18Y13"
)
port map (
ADR0 => N251_0,
ADR1 => a(11),
ADR2 => Mxor_ba_xor_Result_11_1_SW1_O,
ADR3 => a(10),
O => Sh1072_10252
);
Mxor_ba_xor_Result_19_1_SW1 : X_LUT4
generic map(
INIT => X"A0F5",
LOC => "SLICE_X22Y24"
)
port map (
ADR0 => a(0),
ADR1 => VCC,
ADR2 => b_reg(18),
ADR3 => b_reg(19),
O => Mxor_ba_xor_Result_19_1_SW1_O_pack_1
);
Sh1152 : X_LUT4
generic map(
INIT => X"8BD1",
LOC => "SLICE_X22Y24"
)
port map (
ADR0 => a(19),
ADR1 => N205_0,
ADR2 => a(18),
ADR3 => Mxor_ba_xor_Result_19_1_SW1_O,
O => Sh1152_10276
);
Mxor_ba_xor_Result_27_1_SW1 : X_LUT4
generic map(
INIT => X"88BB",
LOC => "SLICE_X22Y31"
)
port map (
ADR0 => b_reg(26),
ADR1 => a(0),
ADR2 => VCC,
ADR3 => b_reg(27),
O => N200_pack_1
);
Sh1232 : X_LUT4
generic map(
INIT => X"C5A3",
LOC => "SLICE_X22Y31"
)
port map (
ADR0 => a(26),
ADR1 => a(27),
ADR2 => N199_0,
ADR3 => N200,
O => Sh1232_10300
);
Mxor_ba_xor_Result_21_1_SW1 : X_LUT4
generic map(
INIT => X"AF05",
LOC => "SLICE_X18Y33"
)
port map (
ADR0 => a(0),
ADR1 => VCC,
ADR2 => b_reg(22),
ADR3 => b_reg(21),
O => Mxor_ba_xor_Result_21_1_SW1_O_pack_1
);
Sh1182 : X_LUT4
generic map(
INIT => X"D81B",
LOC => "SLICE_X18Y33"
)
port map (
ADR0 => a(21),
ADR1 => N214_0,
ADR2 => Mxor_ba_xor_Result_21_1_SW1_O,
ADR3 => a(22),
O => Sh1182_10324
);
Mxor_ba_xor_Result_29_1_SW1 : X_LUT4
generic map(
INIT => X"88DD",
LOC => "SLICE_X20Y31"
)
port map (
ADR0 => a(0),
ADR1 => b_reg(29),
ADR2 => VCC,
ADR3 => b_reg(30),
O => Mxor_ba_xor_Result_29_1_SW1_O_pack_1
);
Sh1262 : X_LUT4
generic map(
INIT => X"8DB1",
LOC => "SLICE_X20Y31"
)
port map (
ADR0 => a(30),
ADR1 => N208_0,
ADR2 => Mxor_ba_xor_Result_29_1_SW1_O,
ADR3 => a(29),
O => Sh1262_10348
);
Sh982 : X_LUT4
generic map(
INIT => X"B1E4",
LOC => "SLICE_X26Y17"
)
port map (
ADR0 => a(0),
ADR1 => b_reg(2),
ADR2 => b_reg(1),
ADR3 => a(2),
O => Sh982_pack_1
);
Sh1343 : X_LUT4
generic map(
INIT => X"5044",
LOC => "SLICE_X26Y17"
)
port map (
ADR0 => a(3),
ADR1 => Sh982_4493,
ADR2 => Sh962_0,
ADR3 => a(1),
O => Sh13016
);
Mxor_ba_xor_Result_23_1_SW1 : X_LUT4
generic map(
INIT => X"F033",
LOC => "SLICE_X18Y28"
)
port map (
ADR0 => VCC,
ADR1 => b_reg(23),
ADR2 => b_reg(22),
ADR3 => a(0),
O => Mxor_ba_xor_Result_23_1_SW1_O_pack_1
);
Sh1192 : X_LUT4
generic map(
INIT => X"8BD1",
LOC => "SLICE_X18Y28"
)
port map (
ADR0 => a(23),
ADR1 => N202_0,
ADR2 => a(22),
ADR3 => Mxor_ba_xor_Result_23_1_SW1_O,
O => Sh1192_10396
);
Mxor_ba_xor_Result_31_1_SW1 : X_LUT4
generic map(
INIT => X"AA33",
LOC => "SLICE_X21Y31"
)
port map (
ADR0 => b_reg(30),
ADR1 => b_reg(31),
ADR2 => VCC,
ADR3 => a(0),
O => N197_pack_1
);
Sh1272 : X_LUT4
generic map(
INIT => X"8DB1",
LOC => "SLICE_X21Y31"
)
port map (
ADR0 => N196_0,
ADR1 => a(31),
ADR2 => a(30),
ADR3 => N197,
O => Sh1272_10420
);
Sh12932 : X_LUT4
generic map(
INIT => X"AFAC",
LOC => "SLICE_X20Y14"
)
port map (
ADR0 => Sh1297_0,
ADR1 => Sh12913_0,
ADR2 => a(2),
ADR3 => Sh12916_0,
O => Sh129_pack_1
);
Sh1611 : X_LUT4
generic map(
INIT => X"CFC0",
LOC => "SLICE_X20Y14"
)
port map (
ADR0 => VCC,
ADR1 => Sh145,
ADR2 => a(4),
ADR3 => Sh129,
O => Sh161
);
Sh13831 : X_LUT4
generic map(
INIT => X"E4E4",
LOC => "SLICE_X27Y18"
)
port map (
ADR0 => a(2),
ADR1 => Sh13820,
ADR2 => Sh13420,
ADR3 => VCC,
O => Sh138_pack_1
);
Sh1701 : X_LUT4
generic map(
INIT => X"FA50",
LOC => "SLICE_X27Y18"
)
port map (
ADR0 => a(4),
ADR1 => VCC,
ADR2 => Sh138,
ADR3 => Sh154_0,
O => Sh170
);
Sh107_f51 : X_LUT4
generic map(
INIT => X"ACAC",
LOC => "SLICE_X24Y22"
)
port map (
ADR0 => Sh1052_0,
ADR1 => Sh1072_0,
ADR2 => a(1),
ADR3 => VCC,
O => Sh107_pack_1
);
Sh1437 : X_LUT4
generic map(
INIT => X"AFA0",
LOC => "SLICE_X24Y22"
)
port map (
ADR0 => Sh99_0,
ADR1 => VCC,
ADR2 => a(3),
ADR3 => Sh107,
O => Sh1437_10492
);
Sh15532 : X_LUT4
generic map(
INIT => X"FE54",
LOC => "SLICE_X27Y24"
)
port map (
ADR0 => a(2),
ADR1 => Sh15513_0,
ADR2 => Sh15516_0,
ADR3 => Sh1557,
O => Sh155_pack_1
);
Sh1711 : X_LUT4
generic map(
INIT => X"E4E4",
LOC => "SLICE_X27Y24"
)
port map (
ADR0 => a(4),
ADR1 => Sh139,
ADR2 => Sh155,
ADR3 => VCC,
O => Sh171
);
Sh15632 : X_LUT4
generic map(
INIT => X"B8B8",
LOC => "SLICE_X23Y19"
)
port map (
ADR0 => Sh1567_0,
ADR1 => a(2),
ADR2 => Sh1287_0,
ADR3 => VCC,
O => Sh156_pack_1
);
Sh1721 : X_LUT4
generic map(
INIT => X"FA0A",
LOC => "SLICE_X23Y19"
)
port map (
ADR0 => Sh140,
ADR1 => VCC,
ADR2 => a(4),
ADR3 => Sh156,
O => Sh172
);
Sh13232 : X_LUT4
generic map(
INIT => X"FCAA",
LOC => "SLICE_X23Y21"
)
port map (
ADR0 => Sh13220_0,
ADR1 => Sh12816_0,
ADR2 => Sh12813_0,
ADR3 => a(2),
O => Sh132_pack_1
);
Sh1801 : X_LUT4
generic map(
INIT => X"EE44",
LOC => "SLICE_X23Y21"
)
port map (
ADR0 => a(4),
ADR1 => Sh148_0,
ADR2 => VCC,
ADR3 => Sh132,
O => Sh180
);
Sh14932 : X_LUT4
generic map(
INIT => X"DD88",
LOC => "SLICE_X23Y15"
)
port map (
ADR0 => a(2),
ADR1 => Sh1497_0,
ADR2 => VCC,
ADR3 => Sh1537_0,
O => Sh149_pack_1
);
Sh1651 : X_LUT4
generic map(
INIT => X"EE44",
LOC => "SLICE_X23Y15"
)
port map (
ADR0 => a(4),
ADR1 => Sh133,
ADR2 => VCC,
ADR3 => Sh149,
O => Sh165
);
Sh15732 : X_LUT4
generic map(
INIT => X"FC0C",
LOC => "SLICE_X20Y16"
)
port map (
ADR0 => VCC,
ADR1 => Sh1297_0,
ADR2 => a(2),
ADR3 => Sh1577_0,
O => Sh157_pack_1
);
Sh1731 : X_LUT4
generic map(
INIT => X"FC0C",
LOC => "SLICE_X20Y16"
)
port map (
ADR0 => VCC,
ADR1 => Sh141,
ADR2 => a(4),
ADR3 => Sh157,
O => Sh173
);
Sh13432 : X_LUT4
generic map(
INIT => X"EEE4",
LOC => "SLICE_X26Y15"
)
port map (
ADR0 => a(2),
ADR1 => Sh13420,
ADR2 => Sh13013_0,
ADR3 => Sh13016_0,
O => Sh134_pack_1
);
Sh1661 : X_LUT4
generic map(
INIT => X"B8B8",
LOC => "SLICE_X26Y15"
)
port map (
ADR0 => Sh150_0,
ADR1 => a(4),
ADR2 => Sh134,
ADR3 => VCC,
O => Sh166
);
Sh15832 : X_LUT4
generic map(
INIT => X"DDD8",
LOC => "SLICE_X26Y22"
)
port map (
ADR0 => a(2),
ADR1 => Sh1587,
ADR2 => Sh15816_0,
ADR3 => Sh15813_0,
O => Sh158_pack_1
);
Sh1741 : X_LUT4
generic map(
INIT => X"FC0C",
LOC => "SLICE_X26Y22"
)
port map (
ADR0 => VCC,
ADR1 => Sh142,
ADR2 => a(4),
ADR3 => Sh158,
O => Sh174
);
Sh15132 : X_LUT4
generic map(
INIT => X"CCFA",
LOC => "SLICE_X22Y21"
)
port map (
ADR0 => Sh15113_0,
ADR1 => Sh1517,
ADR2 => Sh15116_0,
ADR3 => a(2),
O => Sh151_pack_1
);
Sh1671 : X_LUT4
generic map(
INIT => X"F0AA",
LOC => "SLICE_X22Y21"
)
port map (
ADR0 => Sh135,
ADR1 => VCC,
ADR2 => Sh151,
ADR3 => a(4),
O => Sh167
);
Sh15232 : X_LUT4
generic map(
INIT => X"CFC0",
LOC => "SLICE_X22Y17"
)
port map (
ADR0 => VCC,
ADR1 => Sh1527_0,
ADR2 => a(2),
ADR3 => Sh1567_0,
O => Sh152_pack_1
);
Sh1681 : X_LUT4
generic map(
INIT => X"F3C0",
LOC => "SLICE_X22Y17"
)
port map (
ADR0 => VCC,
ADR1 => a(4),
ADR2 => Sh152,
ADR3 => Sh136,
O => Sh168
);
Sh12832 : X_LUT4
generic map(
INIT => X"FE54",
LOC => "SLICE_X22Y20"
)
port map (
ADR0 => a(2),
ADR1 => Sh12813_0,
ADR2 => Sh12816_0,
ADR3 => Sh1287_0,
O => Sh128_pack_1
);
Sh1761 : X_LUT4
generic map(
INIT => X"FC30",
LOC => "SLICE_X22Y20"
)
port map (
ADR0 => VCC,
ADR1 => a(4),
ADR2 => Sh144_0,
ADR3 => Sh128,
O => Sh176
);
Sh15332 : X_LUT4
generic map(
INIT => X"E2E2",
LOC => "SLICE_X23Y16"
)
port map (
ADR0 => Sh1577_0,
ADR1 => a(2),
ADR2 => Sh1537_0,
ADR3 => VCC,
O => Sh153_pack_1
);
Sh1691 : X_LUT4
generic map(
INIT => X"F5A0",
LOC => "SLICE_X23Y16"
)
port map (
ADR0 => a(4),
ADR1 => VCC,
ADR2 => Sh153,
ADR3 => Sh137,
O => Sh169
);
Sh13132 : X_LUT4
generic map(
INIT => X"EEE2",
LOC => "SLICE_X25Y19"
)
port map (
ADR0 => Sh13120,
ADR1 => a(2),
ADR2 => Sh1310_0,
ADR3 => Sh1313,
O => Sh131_pack_1
);
Sh1791 : X_LUT4
generic map(
INIT => X"FD0D",
LOC => "SLICE_X25Y19"
)
port map (
ADR0 => N249_0,
ADR1 => Sh14712,
ADR2 => a(4),
ADR3 => Sh131,
O => Sh179
);
b_reg_mux0000_2_62 : X_LUT4
generic map(
INIT => X"FA50",
LOC => "SLICE_X12Y10"
)
port map (
ADR0 => b_2_Q,
ADR1 => VCC,
ADR2 => N291,
ADR3 => N292,
O => b_reg_mux0000_2_Q
);
b_reg_2_1 : X_FF
generic map(
LOC => "SLICE_X12Y10",
INIT => '0'
)
port map (
I => b_reg_2_1_DYMUX_10800,
CE => VCC,
CLK => b_reg_2_1_CLKINV_10790,
SET => GND,
RST => b_reg_2_1_FFY_RSTAND_10805,
O => b_reg_2_1_4381
);
b_reg_2_1_FFY_RSTAND : X_BUF
generic map(
LOC => "SLICE_X12Y10",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => b_reg_2_1_FFY_RSTAND_10805
);
b_reg_mux0000_3_38 : X_LUT4
generic map(
INIT => X"F5A0",
LOC => "SLICE_X3Y21"
)
port map (
ADR0 => b_3_Q,
ADR1 => VCC,
ADR2 => N282,
ADR3 => N281,
O => b_reg_mux0000_3_Q
);
b_reg_3_1 : X_FF
generic map(
LOC => "SLICE_X3Y21",
INIT => '0'
)
port map (
I => b_reg_3_1_DYMUX_10824,
CE => VCC,
CLK => b_reg_3_1_CLKINV_10814,
SET => GND,
RST => b_reg_3_1_FFY_RSTAND_10829,
O => b_reg_3_1_4597
);
b_reg_3_1_FFY_RSTAND : X_BUF
generic map(
LOC => "SLICE_X3Y21",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => b_reg_3_1_FFY_RSTAND_10829
);
b_reg_mux0000_4_57 : X_LUT4
generic map(
INIT => X"F0CC",
LOC => "SLICE_X3Y19"
)
port map (
ADR0 => VCC,
ADR1 => N278,
ADR2 => N279,
ADR3 => b_4_Q,
O => b_reg_mux0000_4_Q
);
b_reg_4_1 : X_FF
generic map(
LOC => "SLICE_X3Y19",
INIT => '0'
)
port map (
I => b_reg_4_1_DYMUX_10848,
CE => VCC,
CLK => b_reg_4_1_CLKINV_10838,
SET => GND,
RST => b_reg_4_1_FFY_RSTAND_10853,
O => b_reg_4_1_4383
);
b_reg_4_1_FFY_RSTAND : X_BUF
generic map(
LOC => "SLICE_X3Y19",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => b_reg_4_1_FFY_RSTAND_10853
);
Mrom_AN_mux000111 : X_LUT4
generic map(
INIT => X"33FF",
LOC => "SLICE_X30Y14"
)
port map (
ADR0 => VCC,
ADR1 => LED_flash_cnt(8),
ADR2 => VCC,
ADR3 => LED_flash_cnt(9),
O => Mrom_AN_mux0001
);
AN_0 : X_FF
generic map(
LOC => "SLICE_X30Y14",
INIT => '1'
)
port map (
I => AN_1_DYMUX_10874,
CE => VCC,
CLK => AN_1_CLKINV_10863,
SET => AN_1_SRINV_10864,
RST => GND,
O => AN_0_4261
);
Mrom_AN_mux0001111 : X_LUT4
generic map(
INIT => X"CCFF",
LOC => "SLICE_X30Y14"
)
port map (
ADR0 => VCC,
ADR1 => LED_flash_cnt(8),
ADR2 => VCC,
ADR3 => LED_flash_cnt(9),
O => Mrom_AN_mux00011
);
AN_1 : X_FF
generic map(
LOC => "SLICE_X30Y14",
INIT => '1'
)
port map (
I => AN_1_DXMUX_10889,
CE => VCC,
CLK => AN_1_CLKINV_10863,
SET => AN_1_SRINV_10864,
RST => GND,
O => AN_1_4262
);
Mrom_AN_mux000121 : X_LUT4
generic map(
INIT => X"DDDD",
LOC => "SLICE_X30Y12"
)
port map (
ADR0 => LED_flash_cnt(8),
ADR1 => LED_flash_cnt(9),
ADR2 => VCC,
ADR3 => VCC,
O => Mrom_AN_mux00012
);
AN_2 : X_FF
generic map(
LOC => "SLICE_X30Y12",
INIT => '1'
)
port map (
I => AN_3_DYMUX_10914,
CE => VCC,
CLK => AN_3_CLKINV_10903,
SET => AN_3_SRINV_10904,
RST => GND,
O => AN_2_4263
);
Mrom_AN_mux000131 : X_LUT4
generic map(
INIT => X"EEEE",
LOC => "SLICE_X30Y12"
)
port map (
ADR0 => LED_flash_cnt(8),
ADR1 => LED_flash_cnt(9),
ADR2 => VCC,
ADR3 => VCC,
O => Mrom_AN_mux00013
);
AN_3 : X_FF
generic map(
LOC => "SLICE_X30Y12",
INIT => '1'
)
port map (
I => AN_3_DXMUX_10929,
CE => VCC,
CLK => AN_3_CLKINV_10903,
SET => AN_3_SRINV_10904,
RST => GND,
O => AN_3_4264
);
a_reg_0 : X_FF
generic map(
LOC => "SLICE_X13Y33",
INIT => '0'
)
port map (
I => a_reg_1_DYMUX_10956,
CE => VCC,
CLK => a_reg_1_CLKINV_10947,
SET => GND,
RST => a_reg_1_SRINV_10948,
O => a_reg(0)
);
a_reg_mux0000_0_1 : X_LUT4
generic map(
INIT => X"8F80",
LOC => "SLICE_X13Y33"
)
port map (
ADR0 => state_FSM_FFd1_4311,
ADR1 => a(0),
ADR2 => state_FSM_FFd2_4312,
ADR3 => a_reg(0),
O => a_reg_mux0000(0)
);
a_reg_mux0000_1_1 : X_LUT4
generic map(
INIT => X"A0CC",
LOC => "SLICE_X13Y33"
)
port map (
ADR0 => a(1),
ADR1 => a_reg(1),
ADR2 => state_FSM_FFd1_4311,
ADR3 => state_FSM_FFd2_4312,
O => a_reg_mux0000(1)
);
a_reg_1 : X_FF
generic map(
LOC => "SLICE_X13Y33",
INIT => '0'
)
port map (
I => a_reg_1_DXMUX_10970,
CE => VCC,
CLK => a_reg_1_CLKINV_10947,
SET => GND,
RST => a_reg_1_SRINV_10948,
O => a_reg(1)
);
a_reg_2 : X_FF
generic map(
LOC => "SLICE_X2Y21",
INIT => '0'
)
port map (
I => a_reg_3_DYMUX_10998,
CE => VCC,
CLK => a_reg_3_CLKINV_10989,
SET => GND,
RST => a_reg_3_SRINV_10990,
O => a_reg(2)
);
a_reg_mux0000_2_1 : X_LUT4
generic map(
INIT => X"D850",
LOC => "SLICE_X2Y21"
)
port map (
ADR0 => state_FSM_FFd2_4312,
ADR1 => a(2),
ADR2 => a_reg(2),
ADR3 => state_FSM_FFd1_4311,
O => a_reg_mux0000(2)
);
a_reg_mux0000_3_1 : X_LUT4
generic map(
INIT => X"ACFC",
LOC => "SLICE_X2Y21"
)
port map (
ADR0 => a(3),
ADR1 => a_reg(3),
ADR2 => state_FSM_FFd2_4312,
ADR3 => state_FSM_FFd1_4311,
O => a_reg_mux0000(3)
);
a_reg_3 : X_FF
generic map(
LOC => "SLICE_X2Y21",
INIT => '0'
)
port map (
I => a_reg_3_DXMUX_11012,
CE => VCC,
CLK => a_reg_3_CLKINV_10989,
SET => GND,
RST => a_reg_3_SRINV_10990,
O => a_reg(3)
);
a_reg_4 : X_FF
generic map(
LOC => "SLICE_X15Y18",
INIT => '0'
)
port map (
I => a_reg_5_DYMUX_11040,
CE => VCC,
CLK => a_reg_5_CLKINV_11031,
SET => GND,
RST => a_reg_5_SRINV_11032,
O => a_reg(4)
);
a_reg_mux0000_4_1 : X_LUT4
generic map(
INIT => X"A0CC",
LOC => "SLICE_X15Y18"
)
port map (
ADR0 => state_FSM_FFd1_4311,
ADR1 => a_reg(4),
ADR2 => a(4),
ADR3 => state_FSM_FFd2_4312,
O => a_reg_mux0000(4)
);
a_reg_mux0000_5_1 : X_LUT4
generic map(
INIT => X"E222",
LOC => "SLICE_X15Y18"
)
port map (
ADR0 => a_reg(5),
ADR1 => state_FSM_FFd2_4312,
ADR2 => state_FSM_FFd1_4311,
ADR3 => a(5),
O => a_reg_mux0000(5)
);
a_reg_5 : X_FF
generic map(
LOC => "SLICE_X15Y18",
INIT => '0'
)
port map (
I => a_reg_5_DXMUX_11054,
CE => VCC,
CLK => a_reg_5_CLKINV_11031,
SET => GND,
RST => a_reg_5_SRINV_11032,
O => a_reg(5)
);
a_reg_6 : X_FF
generic map(
LOC => "SLICE_X17Y15",
INIT => '0'
)
port map (
I => a_reg_7_DYMUX_11082,
CE => VCC,
CLK => a_reg_7_CLKINV_11073,
SET => GND,
RST => a_reg_7_SRINV_11074,
O => a_reg(6)
);
a_reg_mux0000_6_1 : X_LUT4
generic map(
INIT => X"B8FC",
LOC => "SLICE_X17Y15"
)
port map (
ADR0 => a(6),
ADR1 => state_FSM_FFd2_4312,
ADR2 => a_reg(6),
ADR3 => state_FSM_FFd1_4311,
O => a_reg_mux0000(6)
);
a_reg_mux0000_7_1 : X_LUT4
generic map(
INIT => X"FC5C",
LOC => "SLICE_X17Y15"
)
port map (
ADR0 => state_FSM_FFd1_4311,
ADR1 => a_reg(7),
ADR2 => state_FSM_FFd2_4312,
ADR3 => a(7),
O => a_reg_mux0000(7)
);
a_reg_7 : X_FF
generic map(
LOC => "SLICE_X17Y15",
INIT => '0'
)
port map (
I => a_reg_7_DXMUX_11096,
CE => VCC,
CLK => a_reg_7_CLKINV_11073,
SET => GND,
RST => a_reg_7_SRINV_11074,
O => a_reg(7)
);
a_reg_8 : X_FF
generic map(
LOC => "SLICE_X16Y12",
INIT => '0'
)
port map (
I => a_reg_9_DYMUX_11124,
CE => VCC,
CLK => a_reg_9_CLKINV_11115,
SET => GND,
RST => a_reg_9_SRINV_11116,
O => a_reg(8)
);
a_reg_mux0000_8_1 : X_LUT4
generic map(
INIT => X"AC0C",
LOC => "SLICE_X16Y12"
)
port map (
ADR0 => a(8),
ADR1 => a_reg(8),
ADR2 => state_FSM_FFd2_4312,
ADR3 => state_FSM_FFd1_4311,
O => a_reg_mux0000(8)
);
a_reg_mux0000_9_1 : X_LUT4
generic map(
INIT => X"D850",
LOC => "SLICE_X16Y12"
)
port map (
ADR0 => state_FSM_FFd2_4312,
ADR1 => a(9),
ADR2 => a_reg(9),
ADR3 => state_FSM_FFd1_4311,
O => a_reg_mux0000(9)
);
a_reg_9 : X_FF
generic map(
LOC => "SLICE_X16Y12",
INIT => '0'
)
port map (
I => a_reg_9_DXMUX_11138,
CE => VCC,
CLK => a_reg_9_CLKINV_11115,
SET => GND,
RST => a_reg_9_SRINV_11116,
O => a_reg(9)
);
b_reg_mux0000_6_57 : X_LUT4
generic map(
INIT => X"EE44",
LOC => "SLICE_X12Y12"
)
port map (
ADR0 => b_6_Q,
ADR1 => N272,
ADR2 => VCC,
ADR3 => N273,
O => b_reg_mux0000_6_Q
);
b_reg_6 : X_FF
generic map(
LOC => "SLICE_X12Y12",
INIT => '0'
)
port map (
I => b_reg_7_DYMUX_11165,
CE => VCC,
CLK => b_reg_7_CLKINV_11155,
SET => GND,
RST => b_reg_7_SRINV_11156,
O => b_reg(6)
);
b_reg_mux0000_7_38 : X_LUT4
generic map(
INIT => X"E4E4",
LOC => "SLICE_X12Y12"
)
port map (
ADR0 => b_7_Q,
ADR1 => N269,
ADR2 => N270,
ADR3 => VCC,
O => b_reg_mux0000_7_Q
);
b_reg_7 : X_FF
generic map(
LOC => "SLICE_X12Y12",
INIT => '0'
)
port map (
I => b_reg_7_DXMUX_11180,
CE => VCC,
CLK => b_reg_7_CLKINV_11155,
SET => GND,
RST => b_reg_7_SRINV_11156,
O => b_reg(7)
);
i_cnt_mux0001_3_1 : X_LUT4
generic map(
INIT => X"3CFC",
LOC => "SLICE_X12Y32"
)
port map (
ADR0 => VCC,
ADR1 => state_FSM_FFd2_4312,
ADR2 => i_cnt(0),
ADR3 => state_FSM_FFd1_4311,
O => i_cnt_mux0001(3)
);
i_cnt_0 : X_FF
generic map(
LOC => "SLICE_X12Y32",
INIT => '1'
)
port map (
I => i_cnt_1_DYMUX_11208,
CE => VCC,
CLK => i_cnt_1_CLKINV_11198,
SET => i_cnt_1_SRINV_11199,
RST => GND,
O => i_cnt(0)
);
i_cnt_mux0001_2_1 : X_LUT4
generic map(
INIT => X"6C44",
LOC => "SLICE_X12Y32"
)
port map (
ADR0 => state_FSM_FFd2_4312,
ADR1 => i_cnt(1),
ADR2 => i_cnt(0),
ADR3 => state_FSM_FFd1_4311,
O => i_cnt_mux0001(2)
);
i_cnt_1 : X_FF
generic map(
LOC => "SLICE_X12Y32",
INIT => '0'
)
port map (
I => i_cnt_1_DXMUX_11221,
CE => VCC,
CLK => i_cnt_1_CLKINV_11198,
SET => GND,
RST => i_cnt_1_SRINV_11199,
O => i_cnt(1)
);
a_reg_10 : X_FF
generic map(
LOC => "SLICE_X14Y13",
INIT => '0'
)
port map (
I => a_reg_11_DYMUX_11249,
CE => VCC,
CLK => a_reg_11_CLKINV_11240,
SET => GND,
RST => a_reg_11_SRINV_11241,
O => a_reg(10)
);
a_reg_mux0000_10_1 : X_LUT4
generic map(
INIT => X"CA0A",
LOC => "SLICE_X14Y13"
)
port map (
ADR0 => a_reg(10),
ADR1 => state_FSM_FFd1_4311,
ADR2 => state_FSM_FFd2_4312,
ADR3 => a(10),
O => a_reg_mux0000(10)
);
a_reg_mux0000_11_1 : X_LUT4
generic map(
INIT => X"BFB0",
LOC => "SLICE_X14Y13"
)
port map (
ADR0 => a(11),
ADR1 => state_FSM_FFd1_4311,
ADR2 => state_FSM_FFd2_4312,
ADR3 => a_reg(11),
O => a_reg_mux0000(11)
);
a_reg_11 : X_FF
generic map(
LOC => "SLICE_X14Y13",
INIT => '0'
)
port map (
I => a_reg_11_DXMUX_11263,
CE => VCC,
CLK => a_reg_11_CLKINV_11240,
SET => GND,
RST => a_reg_11_SRINV_11241,
O => a_reg(11)
);
a_reg_15 : X_FF
generic map(
LOC => "SLICE_X15Y22",
INIT => '0'
)
port map (
I => a_reg_15_DXMUX_11473,
CE => VCC,
CLK => a_reg_15_CLKINV_11450,
SET => GND,
RST => a_reg_15_SRINV_11451,
O => a_reg(15)
);
a_reg_24 : X_FF
generic map(
LOC => "SLICE_X17Y33",
INIT => '0'
)
port map (
I => a_reg_25_DYMUX_11501,
CE => VCC,
CLK => a_reg_25_CLKINV_11492,
SET => GND,
RST => a_reg_25_SRINV_11493,
O => a_reg(24)
);
a_reg_mux0000_25_1 : X_LUT4
generic map(
INIT => X"ACFC",
LOC => "SLICE_X17Y33"
)
port map (
ADR0 => a(25),
ADR1 => a_reg(25),
ADR2 => state_FSM_FFd2_4312,
ADR3 => state_FSM_FFd1_4311,
O => a_reg_mux0000(25)
);
a_reg_25 : X_FF
generic map(
LOC => "SLICE_X17Y33",
INIT => '0'
)
port map (
I => a_reg_25_DXMUX_11515,
CE => VCC,
CLK => a_reg_25_CLKINV_11492,
SET => GND,
RST => a_reg_25_SRINV_11493,
O => a_reg(25)
);
a_reg_16 : X_FF
generic map(
LOC => "SLICE_X13Y22",
INIT => '0'
)
port map (
I => a_reg_17_DYMUX_11543,
CE => VCC,
CLK => a_reg_17_CLKINV_11534,
SET => GND,
RST => a_reg_17_SRINV_11535,
O => a_reg(16)
);
a_reg_mux0000_16_1 : X_LUT4
generic map(
INIT => X"FC5C",
LOC => "SLICE_X13Y22"
)
port map (
ADR0 => state_FSM_FFd1_4311,
ADR1 => a_reg(16),
ADR2 => state_FSM_FFd2_4312,
ADR3 => a(16),
O => a_reg_mux0000(16)
);
a_reg_mux0000_17_1 : X_LUT4
generic map(
INIT => X"DFD0",
LOC => "SLICE_X13Y22"
)
port map (
ADR0 => state_FSM_FFd1_4311,
ADR1 => a(17),
ADR2 => state_FSM_FFd2_4312,
ADR3 => a_reg(17),
O => a_reg_mux0000(17)
);
a_reg_17 : X_FF
generic map(
LOC => "SLICE_X13Y22",
INIT => '0'
)
port map (
I => a_reg_17_DXMUX_11557,
CE => VCC,
CLK => a_reg_17_CLKINV_11534,
SET => GND,
RST => a_reg_17_SRINV_11535,
O => a_reg(17)
);
a_reg_26 : X_FF
generic map(
LOC => "SLICE_X18Y30",
INIT => '0'
)
port map (
I => a_reg_27_DYMUX_11585,
CE => VCC,
CLK => a_reg_27_CLKINV_11576,
SET => GND,
RST => a_reg_27_SRINV_11577,
O => a_reg(26)
);
a_reg_mux0000_26_1 : X_LUT4
generic map(
INIT => X"88F0",
LOC => "SLICE_X18Y30"
)
port map (
ADR0 => state_FSM_FFd1_4311,
ADR1 => a(26),
ADR2 => a_reg(26),
ADR3 => state_FSM_FFd2_4312,
O => a_reg_mux0000(26)
);
a_reg_mux0000_27_1 : X_LUT4
generic map(
INIT => X"F5CC",
LOC => "SLICE_X18Y30"
)
port map (
ADR0 => state_FSM_FFd1_4311,
ADR1 => a_reg(27),
ADR2 => a(27),
ADR3 => state_FSM_FFd2_4312,
O => a_reg_mux0000(27)
);
a_reg_27 : X_FF
generic map(
LOC => "SLICE_X18Y30",
INIT => '0'
)
port map (
I => a_reg_27_DXMUX_11599,
CE => VCC,
CLK => a_reg_27_CLKINV_11576,
SET => GND,
RST => a_reg_27_SRINV_11577,
O => a_reg(27)
);
a_reg_18 : X_FF
generic map(
LOC => "SLICE_X14Y25",
INIT => '0'
)
port map (
I => a_reg_19_DYMUX_11627,
CE => VCC,
CLK => a_reg_19_CLKINV_11618,
SET => GND,
RST => a_reg_19_SRINV_11619,
O => a_reg(18)
);
a_reg_mux0000_18_1 : X_LUT4
generic map(
INIT => X"88F0",
LOC => "SLICE_X14Y25"
)
port map (
ADR0 => a(18),
ADR1 => state_FSM_FFd1_4311,
ADR2 => a_reg(18),
ADR3 => state_FSM_FFd2_4312,
O => a_reg_mux0000(18)
);
a_reg_mux0000_19_1 : X_LUT4
generic map(
INIT => X"F7A2",
LOC => "SLICE_X14Y25"
)
port map (
ADR0 => state_FSM_FFd2_4312,
ADR1 => state_FSM_FFd1_4311,
ADR2 => a(19),
ADR3 => a_reg(19),
O => a_reg_mux0000(19)
);
a_reg_19 : X_FF
generic map(
LOC => "SLICE_X14Y25",
INIT => '0'
)
port map (
I => a_reg_19_DXMUX_11641,
CE => VCC,
CLK => a_reg_19_CLKINV_11618,
SET => GND,
RST => a_reg_19_SRINV_11619,
O => a_reg(19)
);
a_reg_28 : X_FF
generic map(
LOC => "SLICE_X17Y34",
INIT => '0'
)
port map (
I => a_reg_29_DYMUX_11669,
CE => VCC,
CLK => a_reg_29_CLKINV_11660,
SET => GND,
RST => a_reg_29_SRINV_11661,
O => a_reg(28)
);
a_reg_mux0000_28_1 : X_LUT4
generic map(
INIT => X"DF8A",
LOC => "SLICE_X17Y34"
)
port map (
ADR0 => state_FSM_FFd2_4312,
ADR1 => a(28),
ADR2 => state_FSM_FFd1_4311,
ADR3 => a_reg(28),
O => a_reg_mux0000(28)
);
a_reg_mux0000_29_1 : X_LUT4
generic map(
INIT => X"D580",
LOC => "SLICE_X17Y34"
)
port map (
ADR0 => state_FSM_FFd2_4312,
ADR1 => a(29),
ADR2 => state_FSM_FFd1_4311,
ADR3 => a_reg(29),
O => a_reg_mux0000(29)
);
a_reg_29 : X_FF
generic map(
LOC => "SLICE_X17Y34",
INIT => '0'
)
port map (
I => a_reg_29_DXMUX_11683,
CE => VCC,
CLK => a_reg_29_CLKINV_11660,
SET => GND,
RST => a_reg_29_SRINV_11661,
O => a_reg(29)
);
b_reg_mux0000_11_21 : X_LUT4
generic map(
INIT => X"CCAA",
LOC => "SLICE_X15Y11"
)
port map (
ADR0 => N266,
ADR1 => N267,
ADR2 => VCC,
ADR3 => b_11_Q,
O => b_reg_mux0000_11_Q
);
b_reg_11 : X_FF
generic map(
LOC => "SLICE_X15Y11",
INIT => '0'
)
port map (
I => b_reg_11_DYMUX_11706,
CE => VCC,
CLK => b_reg_11_CLKINV_11696,
SET => GND,
RST => b_reg_11_FFY_RSTAND_11711,
O => b_reg(11)
);
b_reg_11_FFY_RSTAND : X_BUF
generic map(
LOC => "SLICE_X15Y11",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => b_reg_11_FFY_RSTAND_11711
);
b_reg_20 : X_FF
generic map(
LOC => "SLICE_X19Y23",
INIT => '0'
)
port map (
I => b_reg_21_DYMUX_11734,
CE => VCC,
CLK => b_reg_21_CLKINV_11725,
SET => GND,
RST => b_reg_21_SRINV_11726,
O => b_reg(20)
);
b_reg_mux0000_20_1 : X_LUT4
generic map(
INIT => X"F5CC",
LOC => "SLICE_X19Y23"
)
port map (
ADR0 => state_FSM_FFd1_4311,
ADR1 => b_reg(20),
ADR2 => b_20_Q,
ADR3 => state_FSM_FFd2_4312,
O => b_reg_mux0000_20_Q
);
b_reg_mux0000_21_1 : X_LUT4
generic map(
INIT => X"EE4E",
LOC => "SLICE_X19Y23"
)
port map (
ADR0 => state_FSM_FFd2_4312,
ADR1 => b_reg(21),
ADR2 => state_FSM_FFd1_4311,
ADR3 => b_21_Q,
O => b_reg_mux0000_21_Q
);
b_reg_21 : X_FF
generic map(
LOC => "SLICE_X19Y23",
INIT => '0'
)
port map (
I => b_reg_21_DXMUX_11748,
CE => VCC,
CLK => b_reg_21_CLKINV_11725,
SET => GND,
RST => b_reg_21_SRINV_11726,
O => b_reg(21)
);
b_reg_12 : X_FF
generic map(
LOC => "SLICE_X18Y19",
INIT => '0'
)
port map (
I => b_reg_13_DYMUX_11776,
CE => VCC,
CLK => b_reg_13_CLKINV_11767,
SET => GND,
RST => b_reg_13_SRINV_11768,
O => b_reg(12)
);
b_reg_mux0000_12_1 : X_LUT4
generic map(
INIT => X"CAFA",
LOC => "SLICE_X18Y19"
)
port map (
ADR0 => b_reg(12),
ADR1 => b_12_Q,
ADR2 => state_FSM_FFd2_4312,
ADR3 => state_FSM_FFd1_4311,
O => b_reg_mux0000_12_Q
);
b_reg_mux0000_13_1 : X_LUT4
generic map(
INIT => X"FC74",
LOC => "SLICE_X18Y19"
)
port map (
ADR0 => state_FSM_FFd1_4311,
ADR1 => state_FSM_FFd2_4312,
ADR2 => b_reg(13),
ADR3 => b_13_Q,
O => b_reg_mux0000_13_Q
);
b_reg_13 : X_FF
generic map(
LOC => "SLICE_X18Y19",
INIT => '0'
)
port map (
I => b_reg_13_DXMUX_11790,
CE => VCC,
CLK => b_reg_13_CLKINV_11767,
SET => GND,
RST => b_reg_13_SRINV_11768,
O => b_reg(13)
);
b_reg_30 : X_FF
generic map(
LOC => "SLICE_X19Y26",
INIT => '0'
)
port map (
I => b_reg_31_DYMUX_11818,
CE => VCC,
CLK => b_reg_31_CLKINV_11809,
SET => GND,
RST => b_reg_31_SRINV_11810,
O => b_reg(30)
);
b_reg_mux0000_30_1 : X_LUT4
generic map(
INIT => X"E444",
LOC => "SLICE_X19Y26"
)
port map (
ADR0 => state_FSM_FFd2_4312,
ADR1 => b_reg(30),
ADR2 => b_30_Q,
ADR3 => state_FSM_FFd1_4311,
O => b_reg_mux0000_30_Q
);
b_reg_mux0000_31_1 : X_LUT4
generic map(
INIT => X"C0AA",
LOC => "SLICE_X19Y26"
)
port map (
ADR0 => b_reg(31),
ADR1 => b_31_Q,
ADR2 => state_FSM_FFd1_4311,
ADR3 => state_FSM_FFd2_4312,
O => b_reg_mux0000_31_Q
);
b_reg_31 : X_FF
generic map(
LOC => "SLICE_X19Y26",
INIT => '0'
)
port map (
I => b_reg_31_DXMUX_11832,
CE => VCC,
CLK => b_reg_31_CLKINV_11809,
SET => GND,
RST => b_reg_31_SRINV_11810,
O => b_reg(31)
);
b_reg_22 : X_FF
generic map(
LOC => "SLICE_X19Y22",
INIT => '0'
)
port map (
I => b_reg_23_DYMUX_11860,
CE => VCC,
CLK => b_reg_23_CLKINV_11851,
SET => GND,
RST => b_reg_23_SRINV_11852,
O => b_reg(22)
);
b_reg_mux0000_22_1 : X_LUT4
generic map(
INIT => X"88F0",
LOC => "SLICE_X19Y22"
)
port map (
ADR0 => state_FSM_FFd1_4311,
ADR1 => b_22_Q,
ADR2 => b_reg(22),
ADR3 => state_FSM_FFd2_4312,
O => b_reg_mux0000_22_Q
);
b_reg_mux0000_23_1 : X_LUT4
generic map(
INIT => X"B380",
LOC => "SLICE_X19Y22"
)
port map (
ADR0 => b_23_Q,
ADR1 => state_FSM_FFd2_4312,
ADR2 => state_FSM_FFd1_4311,
ADR3 => b_reg(23),
O => b_reg_mux0000_23_Q
);
b_reg_23 : X_FF
generic map(
LOC => "SLICE_X19Y22",
INIT => '0'
)
port map (
I => b_reg_23_DXMUX_11874,
CE => VCC,
CLK => b_reg_23_CLKINV_11851,
SET => GND,
RST => b_reg_23_SRINV_11852,
O => b_reg(23)
);
b_reg_14 : X_FF
generic map(
LOC => "SLICE_X19Y18",
INIT => '0'
)
port map (
I => b_reg_15_DYMUX_11902,
CE => VCC,
CLK => b_reg_15_CLKINV_11893,
SET => GND,
RST => b_reg_15_SRINV_11894,
O => b_reg(14)
);
b_reg_mux0000_14_1 : X_LUT4
generic map(
INIT => X"FC74",
LOC => "SLICE_X19Y18"
)
port map (
ADR0 => state_FSM_FFd1_4311,
ADR1 => state_FSM_FFd2_4312,
ADR2 => b_reg(14),
ADR3 => b_14_Q,
O => b_reg_mux0000_14_Q
);
b_reg_mux0000_15_1 : X_LUT4
generic map(
INIT => X"CFAA",
LOC => "SLICE_X19Y18"
)
port map (
ADR0 => b_reg(15),
ADR1 => b_15_Q,
ADR2 => state_FSM_FFd1_4311,
ADR3 => state_FSM_FFd2_4312,
O => b_reg_mux0000_15_Q
);
b_reg_15 : X_FF
generic map(
LOC => "SLICE_X19Y18",
INIT => '0'
)
port map (
I => b_reg_15_DXMUX_11916,
CE => VCC,
CLK => b_reg_15_CLKINV_11893,
SET => GND,
RST => b_reg_15_SRINV_11894,
O => b_reg(15)
);
b_reg_24 : X_FF
generic map(
LOC => "SLICE_X19Y24",
INIT => '0'
)
port map (
I => b_reg_25_DYMUX_11944,
CE => VCC,
CLK => b_reg_25_CLKINV_11935,
SET => GND,
RST => b_reg_25_SRINV_11936,
O => b_reg(24)
);
b_reg_mux0000_24_1 : X_LUT4
generic map(
INIT => X"B830",
LOC => "SLICE_X19Y24"
)
port map (
ADR0 => b_24_Q,
ADR1 => state_FSM_FFd2_4312,
ADR2 => b_reg(24),
ADR3 => state_FSM_FFd1_4311,
O => b_reg_mux0000_24_Q
);
b_reg_mux0000_25_1 : X_LUT4
generic map(
INIT => X"E2EE",
LOC => "SLICE_X19Y24"
)
port map (
ADR0 => b_reg(25),
ADR1 => state_FSM_FFd2_4312,
ADR2 => b_25_Q,
ADR3 => state_FSM_FFd1_4311,
O => b_reg_mux0000_25_Q
);
b_reg_25 : X_FF
generic map(
LOC => "SLICE_X19Y24",
INIT => '0'
)
port map (
I => b_reg_25_DXMUX_11958,
CE => VCC,
CLK => b_reg_25_CLKINV_11935,
SET => GND,
RST => b_reg_25_SRINV_11936,
O => b_reg(25)
);
b_reg_16 : X_FF
generic map(
LOC => "SLICE_X18Y21",
INIT => '0'
)
port map (
I => b_reg_17_DYMUX_11986,
CE => VCC,
CLK => b_reg_17_CLKINV_11977,
SET => GND,
RST => b_reg_17_SRINV_11978,
O => b_reg(16)
);
b_reg_mux0000_16_1 : X_LUT4
generic map(
INIT => X"CFAA",
LOC => "SLICE_X18Y21"
)
port map (
ADR0 => b_reg(16),
ADR1 => b_16_Q,
ADR2 => state_FSM_FFd1_4311,
ADR3 => state_FSM_FFd2_4312,
O => b_reg_mux0000_16_Q
);
b_reg_mux0000_17_1 : X_LUT4
generic map(
INIT => X"EE2E",
LOC => "SLICE_X18Y21"
)
port map (
ADR0 => b_reg(17),
ADR1 => state_FSM_FFd2_4312,
ADR2 => state_FSM_FFd1_4311,
ADR3 => b_17_Q,
O => b_reg_mux0000_17_Q
);
b_reg_17 : X_FF
generic map(
LOC => "SLICE_X18Y21",
INIT => '0'
)
port map (
I => b_reg_17_DXMUX_12000,
CE => VCC,
CLK => b_reg_17_CLKINV_11977,
SET => GND,
RST => b_reg_17_SRINV_11978,
O => b_reg(17)
);
b_reg_26 : X_FF
generic map(
LOC => "SLICE_X19Y25",
INIT => '0'
)
port map (
I => b_reg_27_DYMUX_12028,
CE => VCC,
CLK => b_reg_27_CLKINV_12019,
SET => GND,
RST => b_reg_27_SRINV_12020,
O => b_reg(26)
);
b_reg_mux0000_26_1 : X_LUT4
generic map(
INIT => X"B830",
LOC => "SLICE_X19Y25"
)
port map (
ADR0 => state_FSM_FFd1_4311,
ADR1 => state_FSM_FFd2_4312,
ADR2 => b_reg(26),
ADR3 => b_26_Q,
O => b_reg_mux0000_26_Q
);
b_reg_mux0000_27_1 : X_LUT4
generic map(
INIT => X"DF8A",
LOC => "SLICE_X19Y25"
)
port map (
ADR0 => state_FSM_FFd2_4312,
ADR1 => b_27_Q,
ADR2 => state_FSM_FFd1_4311,
ADR3 => b_reg(27),
O => b_reg_mux0000_27_Q
);
b_reg_27 : X_FF
generic map(
LOC => "SLICE_X19Y25",
INIT => '0'
)
port map (
I => b_reg_27_DXMUX_12042,
CE => VCC,
CLK => b_reg_27_CLKINV_12019,
SET => GND,
RST => b_reg_27_SRINV_12020,
O => b_reg(27)
);
b_reg_18 : X_FF
generic map(
LOC => "SLICE_X18Y20",
INIT => '0'
)
port map (
I => b_reg_19_DYMUX_12070,
CE => VCC,
CLK => b_reg_19_CLKINV_12061,
SET => GND,
RST => b_reg_19_SRINV_12062,
O => b_reg(18)
);
b_reg_mux0000_18_1 : X_LUT4
generic map(
INIT => X"D8FA",
LOC => "SLICE_X18Y20"
)
port map (
ADR0 => state_FSM_FFd2_4312,
ADR1 => b_18_Q,
ADR2 => b_reg(18),
ADR3 => state_FSM_FFd1_4311,
O => b_reg_mux0000_18_Q
);
b_reg_mux0000_19_1 : X_LUT4
generic map(
INIT => X"B380",
LOC => "SLICE_X18Y20"
)
port map (
ADR0 => b_19_Q,
ADR1 => state_FSM_FFd2_4312,
ADR2 => state_FSM_FFd1_4311,
ADR3 => b_reg(19),
O => b_reg_mux0000_19_Q
);
b_reg_19 : X_FF
generic map(
LOC => "SLICE_X18Y20",
INIT => '0'
)
port map (
I => b_reg_19_DXMUX_12084,
CE => VCC,
CLK => b_reg_19_CLKINV_12061,
SET => GND,
RST => b_reg_19_SRINV_12062,
O => b_reg(19)
);
b_reg_28 : X_FF
generic map(
LOC => "SLICE_X19Y27",
INIT => '0'
)
port map (
I => b_reg_29_DYMUX_12112,
CE => VCC,
CLK => b_reg_29_CLKINV_12103,
SET => GND,
RST => b_reg_29_SRINV_12104,
O => b_reg(28)
);
b_reg_mux0000_28_1 : X_LUT4
generic map(
INIT => X"B8FC",
LOC => "SLICE_X19Y27"
)
port map (
ADR0 => b_28_Q,
ADR1 => state_FSM_FFd2_4312,
ADR2 => b_reg(28),
ADR3 => state_FSM_FFd1_4311,
O => b_reg_mux0000_28_Q
);
b_reg_mux0000_29_1 : X_LUT4
generic map(
INIT => X"D580",
LOC => "SLICE_X19Y27"
)
port map (
ADR0 => state_FSM_FFd2_4312,
ADR1 => b_29_Q,
ADR2 => state_FSM_FFd1_4311,
ADR3 => b_reg(29),
O => b_reg_mux0000_29_Q
);
b_reg_29 : X_FF
generic map(
LOC => "SLICE_X19Y27",
INIT => '0'
)
port map (
I => b_reg_29_DXMUX_12126,
CE => VCC,
CLK => b_reg_29_CLKINV_12103,
SET => GND,
RST => b_reg_29_SRINV_12104,
O => b_reg(29)
);
Sh13220 : X_LUT4
generic map(
INIT => X"FA50",
LOC => "SLICE_X24Y19"
)
port map (
ADR0 => a(3),
ADR1 => VCC,
ADR2 => Sh100,
ADR3 => Sh124,
O => Sh13220_12146
);
Sh1287 : X_LUT4
generic map(
INIT => X"EE44",
LOC => "SLICE_X24Y19"
)
port map (
ADR0 => a(3),
ADR1 => Sh124,
ADR2 => VCC,
ADR3 => Sh116,
O => Sh1287_12154
);
Sh15013 : X_LUT4
generic map(
INIT => X"88A0",
LOC => "SLICE_X26Y20"
)
port map (
ADR0 => a(3),
ADR1 => Sh1082_0,
ADR2 => Sh1102_0,
ADR3 => a(1),
O => Sh15013_12170
);
Sh110_f51 : X_LUT4
generic map(
INIT => X"CCF0",
LOC => "SLICE_X26Y20"
)
port map (
ADR0 => VCC,
ADR1 => Sh1082_0,
ADR2 => Sh1102_0,
ADR3 => a(1),
O => Sh110
);
Sh14313 : X_LUT4
generic map(
INIT => X"A808",
LOC => "SLICE_X24Y21"
)
port map (
ADR0 => a(3),
ADR1 => Sh1032_0,
ADR2 => a(1),
ADR3 => Sh1012_0,
O => Sh14313_12194
);
Sh103_f51 : X_LUT4
generic map(
INIT => X"EE44",
LOC => "SLICE_X24Y21"
)
port map (
ADR0 => a(1),
ADR1 => Sh1032_0,
ADR2 => VCC,
ADR3 => Sh1012_0,
O => Sh103
);
Sh15113 : X_LUT4
generic map(
INIT => X"E400",
LOC => "SLICE_X22Y22"
)
port map (
ADR0 => a(1),
ADR1 => Sh1112_0,
ADR2 => Sh1092_0,
ADR3 => a(3),
O => Sh15113_12219
);
Sh15816 : X_LUT4
generic map(
INIT => X"00E2",
LOC => "SLICE_X22Y22"
)
port map (
ADR0 => Sh1262_0,
ADR1 => a(1),
ADR2 => Sh1242_0,
ADR3 => a(3),
O => Sh15816_12226
);
Sh15116 : X_LUT4
generic map(
INIT => X"00E2",
LOC => "SLICE_X24Y23"
)
port map (
ADR0 => Sh1192_0,
ADR1 => a(1),
ADR2 => Sh1172_0,
ADR3 => a(3),
O => Sh15116_12243
);
Sh15913 : X_LUT4
generic map(
INIT => X"C0A0",
LOC => "SLICE_X24Y23"
)
port map (
ADR0 => Sh1192_0,
ADR1 => Sh1172_0,
ADR2 => a(3),
ADR3 => a(1),
O => Sh1310
);
Sh14412 : X_LUT4
generic map(
INIT => X"A808",
LOC => "SLICE_X24Y13"
)
port map (
ADR0 => a(2),
ADR1 => Sh108,
ADR2 => a(3),
ADR3 => Sh100,
O => Sh14412_12265
);
Sh14813 : X_LUT4
generic map(
INIT => X"CC00",
LOC => "SLICE_X24Y13"
)
port map (
ADR0 => VCC,
ADR1 => a(3),
ADR2 => VCC,
ADR3 => Sh108,
O => Sh14813_12274
);
Sh14413 : X_LUT4
generic map(
INIT => X"CC00",
LOC => "SLICE_X22Y13"
)
port map (
ADR0 => VCC,
ADR1 => a(3),
ADR2 => VCC,
ADR3 => Sh104,
O => Sh14413_12289
);
Sh1323 : X_LUT4
generic map(
INIT => X"2222",
LOC => "SLICE_X22Y13"
)
port map (
ADR0 => Sh96,
ADR1 => a(3),
ADR2 => VCC,
ADR3 => VCC,
O => Sh12816
);
Sh15413 : X_LUT4
generic map(
INIT => X"C840",
LOC => "SLICE_X28Y23"
)
port map (
ADR0 => a(1),
ADR1 => a(3),
ADR2 => Sh1142_0,
ADR3 => Sh1122_0,
O => Sh15413_12315
);
Sh14616 : X_LUT4
generic map(
INIT => X"3210",
LOC => "SLICE_X28Y23"
)
port map (
ADR0 => a(1),
ADR1 => a(3),
ADR2 => Sh1142_0,
ADR3 => Sh1122_0,
O => Sh14616_12322
);
Sh14613 : X_LUT4
generic map(
INIT => X"A808",
LOC => "SLICE_X27Y17"
)
port map (
ADR0 => a(3),
ADR1 => Sh1062_0,
ADR2 => a(1),
ADR3 => Sh1042_0,
O => Sh14613_12338
);
Sh106_f51 : X_LUT4
generic map(
INIT => X"EE44",
LOC => "SLICE_X27Y17"
)
port map (
ADR0 => a(1),
ADR1 => Sh1062_0,
ADR2 => VCC,
ADR3 => Sh1042_0,
O => Sh106
);
Sh15513 : X_LUT4
generic map(
INIT => X"E400",
LOC => "SLICE_X26Y25"
)
port map (
ADR0 => a(1),
ADR1 => Sh1152_0,
ADR2 => Sh1132_0,
ADR3 => a(3),
O => Sh15513_12363
);
Sh15516 : X_LUT4
generic map(
INIT => X"5140",
LOC => "SLICE_X26Y25"
)
port map (
ADR0 => a(3),
ADR1 => a(1),
ADR2 => Sh1212_0,
ADR3 => Sh1232_0,
O => Sh15516_12370
);
Sh14816 : X_LUT4
generic map(
INIT => X"0F00",
LOC => "SLICE_X24Y17"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => a(3),
ADR3 => Sh116,
O => Sh14816_12386
);
Sh1527 : X_LUT4
generic map(
INIT => X"CFC0",
LOC => "SLICE_X24Y17"
)
port map (
ADR0 => VCC,
ADR1 => Sh108,
ADR2 => a(3),
ADR3 => Sh116,
O => Sh1527_12394
);
Sh15813 : X_LUT4
generic map(
INIT => X"C0A0",
LOC => "SLICE_X29Y22"
)
port map (
ADR0 => Sh1182_0,
ADR1 => Sh1162_0,
ADR2 => a(3),
ADR3 => a(1),
O => Sh15813_12411
);
Sh1340 : X_LUT4
generic map(
INIT => X"D080",
LOC => "SLICE_X29Y22"
)
port map (
ADR0 => a(1),
ADR1 => Sh1202_0,
ADR2 => a(3),
ADR3 => Sh1222_0,
O => Sh13013
);
b_reg_0_2 : X_FF
generic map(
LOC => "SLICE_X15Y17",
INIT => '0'
)
port map (
I => b_reg_0_2_DYMUX_12428,
CE => VCC,
CLK => b_reg_0_2_CLKINV_12425,
SET => GND,
RST => b_reg_0_2_FFY_RSTAND_12433,
O => b_reg_0_2_4323
);
b_reg_0_2_FFY_RSTAND : X_BUF
generic map(
LOC => "SLICE_X15Y17",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => b_reg_0_2_FFY_RSTAND_12433
);
b_reg_0_3 : X_FF
generic map(
LOC => "SLICE_X14Y16",
INIT => '0'
)
port map (
I => b_reg_0_3_DYMUX_12442,
CE => VCC,
CLK => b_reg_0_3_CLKINV_12439,
SET => GND,
RST => b_reg_0_3_FFY_RSTAND_12447,
O => b_reg_0_3_4316
);
b_reg_0_3_FFY_RSTAND : X_BUF
generic map(
LOC => "SLICE_X14Y16",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => b_reg_0_3_FFY_RSTAND_12447
);
Mxor_ab_xor_Result_3_1 : X_LUT4
generic map(
INIT => X"5A5A",
LOC => "SLICE_X3Y20"
)
port map (
ADR0 => b_reg_3_1_4597,
ADR1 => VCC,
ADR2 => a_reg(3),
ADR3 => VCC,
O => ab_xor_3_Q
);
Mxor_ab_xor_Result_4_1 : X_LUT4
generic map(
INIT => X"33CC",
LOC => "SLICE_X13Y19"
)
port map (
ADR0 => VCC,
ADR1 => b_reg_4_1_4383,
ADR2 => VCC,
ADR3 => a_reg(4),
O => ab_xor_4_Q
);
Mxor_ab_xor_Result_5_1 : X_LUT4
generic map(
INIT => X"33CC",
LOC => "SLICE_X14Y19"
)
port map (
ADR0 => VCC,
ADR1 => b_reg(5),
ADR2 => VCC,
ADR3 => a_reg(5),
O => ab_xor_5_Q
);
Mxor_ba_xor_Result_4_1_SW1 : X_LUT4
generic map(
INIT => X"AA33",
LOC => "SLICE_X14Y19"
)
port map (
ADR0 => b_reg(4),
ADR1 => b_reg(5),
ADR2 => VCC,
ADR3 => a(0),
O => N247
);
Mxor_ab_xor_Result_7_1 : X_LUT4
generic map(
INIT => X"3C3C",
LOC => "SLICE_X16Y13"
)
port map (
ADR0 => VCC,
ADR1 => a_reg(7),
ADR2 => b_reg(7),
ADR3 => VCC,
O => ab_xor_7_Q
);
Mxor_ba_xor_Result_12_1_SW1 : X_LUT4
generic map(
INIT => X"AA33",
LOC => "SLICE_X15Y16"
)
port map (
ADR0 => b_reg(12),
ADR1 => b_reg(13),
ADR2 => VCC,
ADR3 => a(0),
O => N235
);
Mxor_ab_xor_Result_21_1 : X_LUT4
generic map(
INIT => X"6666",
LOC => "SLICE_X17Y32"
)
port map (
ADR0 => a_reg(21),
ADR1 => b_reg(21),
ADR2 => VCC,
ADR3 => VCC,
O => ab_xor_21_Q
);
Mxor_ba_xor_Result_21_1_SW0 : X_LUT4
generic map(
INIT => X"0F33",
LOC => "SLICE_X17Y32"
)
port map (
ADR0 => VCC,
ADR1 => b_reg(22),
ADR2 => b_reg(21),
ADR3 => a(0),
O => N214
);
Mxor_ab_xor_Result_15_1 : X_LUT4
generic map(
INIT => X"5A5A",
LOC => "SLICE_X15Y20"
)
port map (
ADR0 => b_reg(15),
ADR1 => VCC,
ADR2 => a_reg(15),
ADR3 => VCC,
O => ab_xor_15_Q
);
Mxor_ba_xor_Result_15_1_SW0 : X_LUT4
generic map(
INIT => X"2727",
LOC => "SLICE_X15Y20"
)
port map (
ADR0 => a(0),
ADR1 => b_reg(14),
ADR2 => b_reg(15),
ADR3 => VCC,
O => N228
);
Mxor_ab_xor_Result_23_1 : X_LUT4
generic map(
INIT => X"6666",
LOC => "SLICE_X19Y31"
)
port map (
ADR0 => a_reg(23),
ADR1 => b_reg(23),
ADR2 => VCC,
ADR3 => VCC,
O => ab_xor_23_Q
);
Mxor_ba_xor_Result_23_1_SW0 : X_LUT4
generic map(
INIT => X"0F55",
LOC => "SLICE_X19Y31"
)
port map (
ADR0 => b_reg(23),
ADR1 => VCC,
ADR2 => b_reg(22),
ADR3 => a(0),
O => N202
);
Mxor_ab_xor_Result_31_1 : X_LUT4
generic map(
INIT => X"5A5A",
LOC => "SLICE_X17Y35"
)
port map (
ADR0 => a_reg(31),
ADR1 => VCC,
ADR2 => b_reg(31),
ADR3 => VCC,
O => ab_xor_31_Q
);
Mxor_ba_xor_Result_31_1_SW0 : X_LUT4
generic map(
INIT => X"05AF",
LOC => "SLICE_X17Y35"
)
port map (
ADR0 => a(0),
ADR1 => VCC,
ADR2 => b_reg(31),
ADR3 => b_reg(30),
O => N196
);
Mxor_ab_xor_Result_16_1 : X_LUT4
generic map(
INIT => X"0FF0",
LOC => "SLICE_X14Y20"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => a_reg(16),
ADR3 => b_reg(16),
O => ab_xor_16_Q
);
Sh1141_SW1 : X_LUT4
generic map(
INIT => X"AA33",
LOC => "SLICE_X14Y20"
)
port map (
ADR0 => b_reg(15),
ADR1 => b_reg(16),
ADR2 => VCC,
ADR3 => a(0),
O => N194
);
Mxor_ab_xor_Result_24_1 : X_LUT4
generic map(
INIT => X"5A5A",
LOC => "SLICE_X18Y32"
)
port map (
ADR0 => b_reg(24),
ADR1 => VCC,
ADR2 => a_reg(24),
ADR3 => VCC,
O => ab_xor_24_Q
);
Sh1221_SW1 : X_LUT4
generic map(
INIT => X"DD11",
LOC => "SLICE_X18Y32"
)
port map (
ADR0 => b_reg(24),
ADR1 => a(0),
ADR2 => VCC,
ADR3 => b_reg(23),
O => N182
);
Mxor_ab_xor_Result_17_1 : X_LUT4
generic map(
INIT => X"0FF0",
LOC => "SLICE_X13Y23"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => b_reg(17),
ADR3 => a_reg(17),
O => ab_xor_17_Q
);
Mxor_ba_xor_Result_17_1_SW0 : X_LUT4
generic map(
INIT => X"0F55",
LOC => "SLICE_X13Y23"
)
port map (
ADR0 => b_reg(18),
ADR1 => VCC,
ADR2 => b_reg(17),
ADR3 => a(0),
O => N217
);
Mxor_ab_xor_Result_25_1 : X_LUT4
generic map(
INIT => X"3C3C",
LOC => "SLICE_X16Y33"
)
port map (
ADR0 => VCC,
ADR1 => b_reg(25),
ADR2 => a_reg(25),
ADR3 => VCC,
O => ab_xor_25_Q
);
Mxor_ba_xor_Result_25_1_SW0 : X_LUT4
generic map(
INIT => X"2277",
LOC => "SLICE_X16Y33"
)
port map (
ADR0 => a(0),
ADR1 => b_reg(25),
ADR2 => VCC,
ADR3 => b_reg(26),
O => N211
);
Mxor_ab_xor_Result_19_1 : X_LUT4
generic map(
INIT => X"33CC",
LOC => "SLICE_X18Y25"
)
port map (
ADR0 => VCC,
ADR1 => b_reg(19),
ADR2 => VCC,
ADR3 => a_reg(19),
O => ab_xor_19_Q
);
Mxor_ba_xor_Result_19_1_SW0 : X_LUT4
generic map(
INIT => X"4477",
LOC => "SLICE_X18Y25"
)
port map (
ADR0 => b_reg(18),
ADR1 => a(0),
ADR2 => VCC,
ADR3 => b_reg(19),
O => N205
);
Mxor_ab_xor_Result_27_1 : X_LUT4
generic map(
INIT => X"5A5A",
LOC => "SLICE_X19Y30"
)
port map (
ADR0 => b_reg(27),
ADR1 => VCC,
ADR2 => a_reg(27),
ADR3 => VCC,
O => ab_xor_27_Q
);
Mxor_ba_xor_Result_27_1_SW0 : X_LUT4
generic map(
INIT => X"05F5",
LOC => "SLICE_X19Y30"
)
port map (
ADR0 => b_reg(27),
ADR1 => VCC,
ADR2 => a(0),
ADR3 => b_reg(26),
O => N199
);
Mxor_ab_xor_Result_28_1 : X_LUT4
generic map(
INIT => X"6666",
LOC => "SLICE_X18Y34"
)
port map (
ADR0 => a_reg(28),
ADR1 => b_reg(28),
ADR2 => VCC,
ADR3 => VCC,
O => ab_xor_28_Q
);
Sh1261_SW1 : X_LUT4
generic map(
INIT => X"F303",
LOC => "SLICE_X18Y34"
)
port map (
ADR0 => VCC,
ADR1 => b_reg(28),
ADR2 => a(0),
ADR3 => b_reg(27),
O => N176
);
Mxor_ab_xor_Result_29_1 : X_LUT4
generic map(
INIT => X"55AA",
LOC => "SLICE_X16Y34"
)
port map (
ADR0 => a_reg(29),
ADR1 => VCC,
ADR2 => VCC,
ADR3 => b_reg(29),
O => ab_xor_29_Q
);
Mxor_ba_xor_Result_29_1_SW0 : X_LUT4
generic map(
INIT => X"0F33",
LOC => "SLICE_X16Y34"
)
port map (
ADR0 => VCC,
ADR1 => b_reg(30),
ADR2 => b_reg(29),
ADR3 => a(0),
O => N208
);
Sh1141_SW0 : X_LUT4
generic map(
INIT => X"0F33",
LOC => "SLICE_X25Y21"
)
port map (
ADR0 => VCC,
ADR1 => b_reg(16),
ADR2 => b_reg(15),
ADR3 => a(0),
O => N193
);
Sh1151_SW1 : X_LUT4
generic map(
INIT => X"CC55",
LOC => "SLICE_X25Y21"
)
port map (
ADR0 => b_reg(17),
ADR1 => b_reg(16),
ADR2 => VCC,
ADR3 => a(0),
O => N191
);
Sh1221_SW0 : X_LUT4
generic map(
INIT => X"2277",
LOC => "SLICE_X20Y28"
)
port map (
ADR0 => a(0),
ADR1 => b_reg(23),
ADR2 => VCC,
ADR3 => b_reg(24),
O => N181
);
Sh1231_SW1 : X_LUT4
generic map(
INIT => X"88DD",
LOC => "SLICE_X20Y28"
)
port map (
ADR0 => a(0),
ADR1 => b_reg(24),
ADR2 => VCC,
ADR3 => b_reg(25),
O => N179
);
Sh1151_SW0 : X_LUT4
generic map(
INIT => X"3355",
LOC => "SLICE_X27Y21"
)
port map (
ADR0 => b_reg(17),
ADR1 => b_reg(16),
ADR2 => VCC,
ADR3 => a(0),
O => N190
);
Mxor_ba_xor_Result_3_1_SW3 : X_LUT4
generic map(
INIT => X"F033",
LOC => "SLICE_X27Y21"
)
port map (
ADR0 => VCC,
ADR1 => b_reg(3),
ADR2 => b_reg(2),
ADR3 => a(0),
O => N289
);
Sh1231_SW0 : X_LUT4
generic map(
INIT => X"3355",
LOC => "SLICE_X23Y26"
)
port map (
ADR0 => b_reg(25),
ADR1 => b_reg(24),
ADR2 => VCC,
ADR3 => a(0),
O => N178
);
Mxor_ba_xor_Result_3_1_SW2 : X_LUT4
generic map(
INIT => X"3355",
LOC => "SLICE_X23Y26"
)
port map (
ADR0 => b_reg(3),
ADR1 => b_reg(2),
ADR2 => VCC,
ADR3 => a(0),
O => N288
);
Sh1181_SW0 : X_LUT4
generic map(
INIT => X"11BB",
LOC => "SLICE_X24Y25"
)
port map (
ADR0 => a(0),
ADR1 => b_reg(20),
ADR2 => VCC,
ADR3 => b_reg(19),
O => N187
);
Sh1191_SW1 : X_LUT4
generic map(
INIT => X"BB11",
LOC => "SLICE_X24Y25"
)
port map (
ADR0 => a(0),
ADR1 => b_reg(21),
ADR2 => VCC,
ADR3 => b_reg(20),
O => N185
);
Sh1261_SW0 : X_LUT4
generic map(
INIT => X"11BB",
LOC => "SLICE_X22Y30"
)
port map (
ADR0 => a(0),
ADR1 => b_reg(28),
ADR2 => VCC,
ADR3 => b_reg(27),
O => N175
);
Sh1271_SW1 : X_LUT4
generic map(
INIT => X"DD11",
LOC => "SLICE_X22Y30"
)
port map (
ADR0 => b_reg(29),
ADR1 => a(0),
ADR2 => VCC,
ADR3 => b_reg(28),
O => N173
);
Sh1191_SW0 : X_LUT4
generic map(
INIT => X"2277",
LOC => "SLICE_X24Y26"
)
port map (
ADR0 => a(0),
ADR1 => b_reg(20),
ADR2 => VCC,
ADR3 => b_reg(21),
O => N184
);
Mxor_ba_xor_Result_3_1_SW1 : X_LUT4
generic map(
INIT => X"AF05",
LOC => "SLICE_X24Y26"
)
port map (
ADR0 => a(0),
ADR1 => VCC,
ADR2 => b_reg(4),
ADR3 => b_reg(3),
O => N264
);
Sh1271_SW0 : X_LUT4
generic map(
INIT => X"3535",
LOC => "SLICE_X22Y27"
)
port map (
ADR0 => b_reg(29),
ADR1 => b_reg(28),
ADR2 => a(0),
ADR3 => VCC,
O => N172
);
Mxor_ba_xor_Result_3_1_SW0 : X_LUT4
generic map(
INIT => X"05F5",
LOC => "SLICE_X22Y27"
)
port map (
ADR0 => b_reg(4),
ADR1 => VCC,
ADR2 => a(0),
ADR3 => b_reg(3),
O => N263
);
Sh701 : X_LUT4
generic map(
INIT => X"AFA0",
LOC => "SLICE_X16Y22"
)
port map (
ADR0 => Sh54,
ADR1 => VCC,
ADR2 => b_reg(4),
ADR3 => Sh38,
O => Sh70
);
Sh861 : X_LUT4
generic map(
INIT => X"FA0A",
LOC => "SLICE_X16Y22"
)
port map (
ADR0 => Sh54,
ADR1 => VCC,
ADR2 => b_reg(4),
ADR3 => Sh38,
O => Sh86
);
Sh711 : X_LUT4
generic map(
INIT => X"FA0A",
LOC => "SLICE_X18Y26"
)
port map (
ADR0 => Sh39,
ADR1 => VCC,
ADR2 => b_reg(4),
ADR3 => Sh55,
O => Sh71
);
Sh871 : X_LUT4
generic map(
INIT => X"AFA0",
LOC => "SLICE_X18Y26"
)
port map (
ADR0 => Sh39,
ADR1 => VCC,
ADR2 => b_reg(4),
ADR3 => Sh55,
O => Sh87
);
Sh641 : X_LUT4
generic map(
INIT => X"AACC",
LOC => "SLICE_X15Y24"
)
port map (
ADR0 => Sh48,
ADR1 => Sh32,
ADR2 => VCC,
ADR3 => b_reg(4),
O => Sh64
);
Sh801 : X_LUT4
generic map(
INIT => X"CCAA",
LOC => "SLICE_X15Y24"
)
port map (
ADR0 => Sh48,
ADR1 => Sh32,
ADR2 => VCC,
ADR3 => b_reg(4),
O => Sh80
);
Sh721 : X_LUT4
generic map(
INIT => X"EE44",
LOC => "SLICE_X12Y26"
)
port map (
ADR0 => b_reg(4),
ADR1 => Sh40,
ADR2 => VCC,
ADR3 => Sh56,
O => Sh72
);
Sh881 : X_LUT4
generic map(
INIT => X"DD88",
LOC => "SLICE_X12Y26"
)
port map (
ADR0 => b_reg(4),
ADR1 => Sh40,
ADR2 => VCC,
ADR3 => Sh56,
O => Sh88
);
Sh617 : X_LUT4
generic map(
INIT => X"FA0A",
LOC => "SLICE_X14Y21"
)
port map (
ADR0 => Sh25,
ADR1 => VCC,
ADR2 => b_reg(3),
ADR3 => Sh17,
O => Sh5720
);
Sh577 : X_LUT4
generic map(
INIT => X"F5A0",
LOC => "SLICE_X14Y21"
)
port map (
ADR0 => b_reg(3),
ADR1 => VCC,
ADR2 => Sh13,
ADR3 => Sh21,
O => Sh5320
);
Sh651 : X_LUT4
generic map(
INIT => X"AFA0",
LOC => "SLICE_X16Y18"
)
port map (
ADR0 => Sh49,
ADR1 => VCC,
ADR2 => b_reg(4),
ADR3 => Sh33,
O => Sh65
);
Sh811 : X_LUT4
generic map(
INIT => X"FA0A",
LOC => "SLICE_X16Y18"
)
port map (
ADR0 => Sh49,
ADR1 => VCC,
ADR2 => b_reg(4),
ADR3 => Sh33,
O => Sh81
);
Sh627 : X_LUT4
generic map(
INIT => X"F5A0",
LOC => "SLICE_X13Y29"
)
port map (
ADR0 => b_reg(3),
ADR1 => VCC,
ADR2 => Sh18,
ADR3 => Sh26,
O => Sh5820
);
Sh587 : X_LUT4
generic map(
INIT => X"EE44",
LOC => "SLICE_X13Y29"
)
port map (
ADR0 => b_reg(3),
ADR1 => Sh22_4347,
ADR2 => VCC,
ADR3 => Sh14,
O => Sh5420
);
Sh661 : X_LUT4
generic map(
INIT => X"D8D8",
LOC => "SLICE_X16Y23"
)
port map (
ADR0 => b_reg(4),
ADR1 => Sh50,
ADR2 => Sh34,
ADR3 => VCC,
O => Sh66
);
Sh821 : X_LUT4
generic map(
INIT => X"E4E4",
LOC => "SLICE_X16Y23"
)
port map (
ADR0 => b_reg(4),
ADR1 => Sh50,
ADR2 => Sh34,
ADR3 => VCC,
O => Sh82
);
Sh901 : X_LUT4
generic map(
INIT => X"ACAC",
LOC => "SLICE_X16Y21"
)
port map (
ADR0 => Sh42,
ADR1 => Sh58,
ADR2 => b_reg(4),
ADR3 => VCC,
O => Sh90
);
Mxor_ba_xor_Result_4_1_SW0 : X_LUT4
generic map(
INIT => X"550F",
LOC => "SLICE_X16Y21"
)
port map (
ADR0 => b_reg(4),
ADR1 => VCC,
ADR2 => b_reg(5),
ADR3 => a(0),
O => N246
);
Sh671 : X_LUT4
generic map(
INIT => X"CACA",
LOC => "SLICE_X16Y17"
)
port map (
ADR0 => Sh35,
ADR1 => Sh51,
ADR2 => b_reg(4),
ADR3 => VCC,
O => Sh67
);
Sh831 : X_LUT4
generic map(
INIT => X"ACAC",
LOC => "SLICE_X16Y17"
)
port map (
ADR0 => Sh35,
ADR1 => Sh51,
ADR2 => b_reg(4),
ADR3 => VCC,
O => Sh83
);
Sh751 : X_LUT4
generic map(
INIT => X"AFA0",
LOC => "SLICE_X16Y25"
)
port map (
ADR0 => Sh59,
ADR1 => VCC,
ADR2 => b_reg(4),
ADR3 => Sh43,
O => Sh75
);
Sh911 : X_LUT4
generic map(
INIT => X"FA0A",
LOC => "SLICE_X16Y25"
)
port map (
ADR0 => Sh59,
ADR1 => VCC,
ADR2 => b_reg(4),
ADR3 => Sh43,
O => Sh91
);
Sh681 : X_LUT4
generic map(
INIT => X"FA50",
LOC => "SLICE_X14Y18"
)
port map (
ADR0 => b_reg(4),
ADR1 => VCC,
ADR2 => Sh36,
ADR3 => Sh52,
O => Sh68
);
Sh841 : X_LUT4
generic map(
INIT => X"F5A0",
LOC => "SLICE_X14Y18"
)
port map (
ADR0 => b_reg(4),
ADR1 => VCC,
ADR2 => Sh36,
ADR3 => Sh52,
O => Sh84
);
Sh761 : X_LUT4
generic map(
INIT => X"CACA",
LOC => "SLICE_X16Y27"
)
port map (
ADR0 => Sh44,
ADR1 => Sh60,
ADR2 => b_reg(4),
ADR3 => VCC,
O => Sh76
);
Sh921 : X_LUT4
generic map(
INIT => X"ACAC",
LOC => "SLICE_X16Y27"
)
port map (
ADR0 => Sh44,
ADR1 => Sh60,
ADR2 => b_reg(4),
ADR3 => VCC,
O => Sh92
);
Sh691 : X_LUT4
generic map(
INIT => X"CACA",
LOC => "SLICE_X16Y24"
)
port map (
ADR0 => Sh37,
ADR1 => Sh53,
ADR2 => b_reg(4),
ADR3 => VCC,
O => Sh69
);
Sh851 : X_LUT4
generic map(
INIT => X"ACAC",
LOC => "SLICE_X16Y24"
)
port map (
ADR0 => Sh37,
ADR1 => Sh53,
ADR2 => b_reg(4),
ADR3 => VCC,
O => Sh85
);
Sh931 : X_LUT4
generic map(
INIT => X"ACAC",
LOC => "SLICE_X16Y31"
)
port map (
ADR0 => Sh45,
ADR1 => Sh61,
ADR2 => b_reg(4),
ADR3 => VCC,
O => Sh93
);
Sh791 : X_LUT4
generic map(
INIT => X"ACAC",
LOC => "SLICE_X16Y31"
)
port map (
ADR0 => Sh63,
ADR1 => Sh47,
ADR2 => b_reg(4),
ADR3 => VCC,
O => Sh79
);
Sh941 : X_LUT4
generic map(
INIT => X"FA50",
LOC => "SLICE_X16Y28"
)
port map (
ADR0 => b_reg(4),
ADR1 => VCC,
ADR2 => Sh62,
ADR3 => Sh46,
O => Sh94
);
Sh891 : X_LUT4
generic map(
INIT => X"D8D8",
LOC => "SLICE_X16Y28"
)
port map (
ADR0 => b_reg(4),
ADR1 => Sh41,
ADR2 => Sh57,
ADR3 => VCC,
O => Sh89
);
Sh991 : X_LUT4
generic map(
INIT => X"4747",
LOC => "SLICE_X25Y14"
)
port map (
ADR0 => b_reg(0),
ADR1 => a(0),
ADR2 => b_reg(1),
ADR3 => VCC,
O => Sh991_13638
);
Mxor_ba_xor_Result_7_1_SW0 : X_LUT4
generic map(
INIT => X"0C3F",
LOC => "SLICE_X25Y14"
)
port map (
ADR0 => VCC,
ADR1 => a(0),
ADR2 => b_reg(6),
ADR3 => b_reg(7),
O => N254
);
Sh992 : X_LUT4
generic map(
INIT => X"D18B",
LOC => "SLICE_X24Y18"
)
port map (
ADR0 => N289_0,
ADR1 => a(3),
ADR2 => N288_0,
ADR3 => a(2),
O => Sh1011_pack_1
);
Sh99_f51 : X_LUT4
generic map(
INIT => X"F3C0",
LOC => "SLICE_X24Y18"
)
port map (
ADR0 => VCC,
ADR1 => a(1),
ADR2 => Sh991_0,
ADR3 => Sh1011,
O => Sh99
);
b_reg_mux0000_2_5 : X_LUT4
generic map(
INIT => X"0F0A",
LOC => "SLICE_X9Y2"
)
port map (
ADR0 => swtch_led_1_OBUF_4254,
ADR1 => VCC,
ADR2 => Madd_b_pre_lut(2),
ADR3 => Madd_b_pre_cy_0_Q,
O => b_reg_mux0000_2_5_13686
);
b_reg_mux0000_2_13 : X_LUT4
generic map(
INIT => X"0050",
LOC => "SLICE_X9Y2"
)
port map (
ADR0 => swtch_led_1_OBUF_4254,
ADR1 => VCC,
ADR2 => Madd_b_pre_lut(2),
ADR3 => Madd_b_pre_cy_0_Q,
O => b_reg_mux0000_2_13_13694
);
b_reg_0 : X_FF
generic map(
LOC => "SLICE_X13Y15",
INIT => '0'
)
port map (
I => b_reg_1_DYMUX_13719,
CE => VCC,
CLK => b_reg_1_CLKINV_13710,
SET => GND,
RST => b_reg_1_SRINV_13711,
O => b_reg(0)
);
b_reg_mux0000_1_38_F : X_LUT4
generic map(
INIT => X"9F90",
LOC => "SLICE_X13Y15"
)
port map (
ADR0 => swtch_led_1_OBUF_4254,
ADR1 => Madd_b_pre_cy_0_Q,
ADR2 => state_FSM_FFd2_4312,
ADR3 => b_reg(1),
O => N498
);
b_reg_mux0000_1_38_G : X_LUT4
generic map(
INIT => X"FA0A",
LOC => "SLICE_X13Y15"
)
port map (
ADR0 => b_reg(1),
ADR1 => VCC,
ADR2 => state_FSM_FFd2_4312,
ADR3 => b_1_Q,
O => N499
);
b_reg_1 : X_FF
generic map(
LOC => "SLICE_X13Y15",
INIT => '0'
)
port map (
I => b_reg_1_DXMUX_13736,
CE => VCC,
CLK => b_reg_1_CLKINV_13710,
SET => GND,
RST => b_reg_1_SRINV_13711,
O => b_reg(1)
);
b_reg_2 : X_FF
generic map(
LOC => "SLICE_X3Y18",
INIT => '0'
)
port map (
I => b_reg_3_DYMUX_13752,
CE => VCC,
CLK => b_reg_3_CLKINV_13749,
SET => GND,
RST => b_reg_3_SRINV_13750,
O => b_reg(2)
);
b_reg_3 : X_FF
generic map(
LOC => "SLICE_X3Y18",
INIT => '0'
)
port map (
I => b_reg_3_DXMUX_13760,
CE => VCC,
CLK => b_reg_3_CLKINV_13749,
SET => GND,
RST => b_reg_3_SRINV_13750,
O => b_reg(3)
);
b_reg_mux0000_5_38 : X_LUT4
generic map(
INIT => X"CFC0",
LOC => "SLICE_X2Y16"
)
port map (
ADR0 => VCC,
ADR1 => N276,
ADR2 => b_5_Q,
ADR3 => N275,
O => b_reg_mux0000_5_Q
);
b_reg_5 : X_FF
generic map(
LOC => "SLICE_X2Y16",
INIT => '0'
)
port map (
I => b_reg_4_DYMUX_13785,
CE => VCC,
CLK => b_reg_4_CLKINV_13775,
SET => GND,
RST => b_reg_4_SRINV_13776,
O => b_reg(5)
);
b_reg_4 : X_FF
generic map(
LOC => "SLICE_X2Y16",
INIT => '0'
)
port map (
I => b_reg_4_DXMUX_13793,
CE => VCC,
CLK => b_reg_4_CLKINV_13775,
SET => GND,
RST => b_reg_4_SRINV_13776,
O => b_reg(4)
);
b_reg_mux0000_4_3 : X_LUT4
generic map(
INIT => X"CCC0",
LOC => "SLICE_X0Y20"
)
port map (
ADR0 => VCC,
ADR1 => swtch_led_4_OBUF_4257,
ADR2 => swtch_led_3_OBUF_4256,
ADR3 => Madd_b_pre_cy_2_Q,
O => b_reg_mux0000_4_3_13813
);
b_reg_mux0000_4_12 : X_LUT4
generic map(
INIT => X"0003",
LOC => "SLICE_X0Y20"
)
port map (
ADR0 => VCC,
ADR1 => swtch_led_4_OBUF_4257,
ADR2 => swtch_led_3_OBUF_4256,
ADR3 => Madd_b_pre_cy_2_Q,
O => b_reg_mux0000_4_12_13821
);
b_reg_mux0000_6_3 : X_LUT4
generic map(
INIT => X"EE00",
LOC => "SLICE_X2Y12"
)
port map (
ADR0 => swtch_led_5_OBUF_4258,
ADR1 => Madd_b_pre_cy_4_0,
ADR2 => VCC,
ADR3 => swtch_led_6_OBUF_4259,
O => b_reg_mux0000_6_3_13837
);
b_reg_mux0000_6_12 : X_LUT4
generic map(
INIT => X"0011",
LOC => "SLICE_X2Y12"
)
port map (
ADR0 => swtch_led_5_OBUF_4258,
ADR1 => Madd_b_pre_cy_4_0,
ADR2 => VCC,
ADR3 => swtch_led_6_OBUF_4259,
O => b_reg_mux0000_6_12_13845
);
Sh1537 : X_LUT4
generic map(
INIT => X"E4E4",
LOC => "SLICE_X24Y14"
)
port map (
ADR0 => a(3),
ADR1 => Sh117,
ADR2 => Sh109,
ADR3 => VCC,
O => Sh1537_14053
);
Sh1497 : X_LUT4
generic map(
INIT => X"ACAC",
LOC => "SLICE_X24Y14"
)
port map (
ADR0 => Sh105,
ADR1 => Sh113,
ADR2 => a(3),
ADR3 => VCC,
O => Sh1497_14061
);
Sh1811 : X_LUT4
generic map(
INIT => X"EE22",
LOC => "SLICE_X20Y21"
)
port map (
ADR0 => Sh149,
ADR1 => a(4),
ADR2 => VCC,
ADR3 => Sh133,
O => Sh181
);
Sh1771 : X_LUT4
generic map(
INIT => X"F3C0",
LOC => "SLICE_X20Y21"
)
port map (
ADR0 => VCC,
ADR1 => a(4),
ADR2 => Sh129,
ADR3 => Sh145,
O => Sh177
);
Sh1821 : X_LUT4
generic map(
INIT => X"EE44",
LOC => "SLICE_X23Y23"
)
port map (
ADR0 => a(4),
ADR1 => Sh150_0,
ADR2 => VCC,
ADR3 => Sh134,
O => Sh182
);
Sh1831 : X_LUT4
generic map(
INIT => X"EE44",
LOC => "SLICE_X23Y23"
)
port map (
ADR0 => a(4),
ADR1 => Sh151,
ADR2 => VCC,
ADR3 => Sh135,
O => Sh183
);
Sh1901 : X_LUT4
generic map(
INIT => X"AFA0",
LOC => "SLICE_X20Y24"
)
port map (
ADR0 => Sh142,
ADR1 => VCC,
ADR2 => a(4),
ADR3 => Sh158,
O => Sh190
);
Sh1841 : X_LUT4
generic map(
INIT => X"F0CC",
LOC => "SLICE_X20Y24"
)
port map (
ADR0 => VCC,
ADR1 => Sh152,
ADR2 => Sh136,
ADR3 => a(4),
O => Sh184
);
Sh1851 : X_LUT4
generic map(
INIT => X"CFC0",
LOC => "SLICE_X23Y18"
)
port map (
ADR0 => VCC,
ADR1 => Sh137,
ADR2 => a(4),
ADR3 => Sh153,
O => Sh185
);
Sh1861 : X_LUT4
generic map(
INIT => X"CFC0",
LOC => "SLICE_X23Y18"
)
port map (
ADR0 => VCC,
ADR1 => Sh138,
ADR2 => a(4),
ADR3 => Sh154_0,
O => Sh186
);
Sh1871 : X_LUT4
generic map(
INIT => X"EE44",
LOC => "SLICE_X23Y24"
)
port map (
ADR0 => a(4),
ADR1 => Sh155,
ADR2 => VCC,
ADR3 => Sh139,
O => Sh187
);
Sh1881 : X_LUT4
generic map(
INIT => X"F5A0",
LOC => "SLICE_X23Y24"
)
port map (
ADR0 => a(4),
ADR1 => VCC,
ADR2 => Sh140,
ADR3 => Sh156,
O => Sh188
);
Sh6120 : X_LUT4
generic map(
INIT => X"E4E4",
LOC => "SLICE_X15Y28"
)
port map (
ADR0 => b_reg(3),
ADR1 => Sh29,
ADR2 => Sh21,
ADR3 => VCC,
O => Sh337
);
Sh6220 : X_LUT4
generic map(
INIT => X"FA0A",
LOC => "SLICE_X15Y28"
)
port map (
ADR0 => Sh30,
ADR1 => VCC,
ADR2 => b_reg(3),
ADR3 => Sh22_4347,
O => Sh347
);
Sh1891 : X_LUT4
generic map(
INIT => X"AACC",
LOC => "SLICE_X20Y26"
)
port map (
ADR0 => Sh141,
ADR1 => Sh157,
ADR2 => VCC,
ADR3 => a(4),
O => Sh189
);
Madd_b_pre_cy_2_11 : X_LUT4
generic map(
INIT => X"CCC0",
LOC => "SLICE_X3Y15"
)
port map (
ADR0 => VCC,
ADR1 => Madd_b_pre_lut(2),
ADR2 => swtch_led_1_OBUF_4254,
ADR3 => Madd_b_pre_cy_0_Q,
O => Madd_b_pre_cy_2_pack_1
);
Madd_b_pre_cy_4_11 : X_LUT4
generic map(
INIT => X"FFEE",
LOC => "SLICE_X3Y15"
)
port map (
ADR0 => swtch_led_3_OBUF_4256,
ADR1 => swtch_led_4_OBUF_4257,
ADR2 => VCC,
ADR3 => Madd_b_pre_cy_2_Q,
O => Madd_b_pre_cy_4_Q
);
Madd_b_pre_cy_6_11 : X_LUT4
generic map(
INIT => X"FFEE",
LOC => "SLICE_X2Y14"
)
port map (
ADR0 => swtch_led_5_OBUF_4258,
ADR1 => Madd_b_pre_cy_4_0,
ADR2 => VCC,
ADR3 => swtch_led_6_OBUF_4259,
O => Madd_b_pre_cy_6_pack_1
);
b_reg_mux0000_8_10 : X_LUT4
generic map(
INIT => X"0002",
LOC => "SLICE_X2Y14"
)
port map (
ADR0 => state_FSM_FFd2_4312,
ADR1 => state_FSM_FFd1_4311,
ADR2 => swtch_led_7_OBUF_4260,
ADR3 => Madd_b_pre_cy_6_Q,
O => b_reg_mux0000_10_10
);
hex2_7seg_Mrom_segment_data11 : X_LUT4
generic map(
INIT => X"0285",
LOC => "SLICE_X29Y9"
)
port map (
ADR0 => hex_digit_i(2),
ADR1 => hex_digit_i(0),
ADR2 => hex_digit_i(1),
ADR3 => hex_digit_i(3),
O => segment_g_i_OBUF_14282
);
hex2_7seg_Mrom_segment_data61 : X_LUT4
generic map(
INIT => X"4806",
LOC => "SLICE_X29Y9"
)
port map (
ADR0 => hex_digit_i(2),
ADR1 => hex_digit_i(0),
ADR2 => hex_digit_i(1),
ADR3 => hex_digit_i(3),
O => segment_a_i_OBUF_14289
);
hex2_7seg_Mrom_segment_data21 : X_LUT4
generic map(
INIT => X"5170",
LOC => "SLICE_X28Y9"
)
port map (
ADR0 => hex_digit_i(3),
ADR1 => hex_digit_i(1),
ADR2 => hex_digit_i(0),
ADR3 => hex_digit_i(2),
O => segment_e_i_OBUF_14306
);
hex2_7seg_Mrom_segment_data31 : X_LUT4
generic map(
INIT => X"C118",
LOC => "SLICE_X28Y9"
)
port map (
ADR0 => hex_digit_i(3),
ADR1 => hex_digit_i(1),
ADR2 => hex_digit_i(0),
ADR3 => hex_digit_i(2),
O => segment_d_i_OBUF_14313
);
hex2_7seg_Mrom_segment_data41 : X_LUT4
generic map(
INIT => X"A210",
LOC => "SLICE_X29Y8"
)
port map (
ADR0 => hex_digit_i(2),
ADR1 => hex_digit_i(0),
ADR2 => hex_digit_i(1),
ADR3 => hex_digit_i(3),
O => segment_c_i_OBUF_14330
);
hex2_7seg_Mrom_segment_data111 : X_LUT4
generic map(
INIT => X"08D4",
LOC => "SLICE_X29Y8"
)
port map (
ADR0 => hex_digit_i(2),
ADR1 => hex_digit_i(0),
ADR2 => hex_digit_i(1),
ADR3 => hex_digit_i(3),
O => segment_f_i_OBUF_14337
);
hex2_7seg_Mrom_segment_data51 : X_LUT4
generic map(
INIT => X"9E80",
LOC => "SLICE_X28Y12"
)
port map (
ADR0 => hex_digit_i(3),
ADR1 => hex_digit_i(1),
ADR2 => hex_digit_i(0),
ADR3 => hex_digit_i(2),
O => segment_b_i_OBUF_14349
);
i_cnt_mux0001_0_45_SW0 : X_LUT4
generic map(
INIT => X"BFF3",
LOC => "SLICE_X18Y14"
)
port map (
ADR0 => i_cnt_mux0001_0_25_0,
ADR1 => i_cnt(2),
ADR2 => i_cnt(1),
ADR3 => i_cnt(0),
O => N514
);
Mrom_b_rom0000821 : X_LUT4
generic map(
INIT => X"F033",
LOC => "SLICE_X18Y14"
)
port map (
ADR0 => VCC,
ADR1 => i_cnt(2),
ADR2 => i_cnt(1),
ADR3 => i_cnt(0),
O => N14
);
i_cnt_mux0001_1_27_SW0 : X_LUT4
generic map(
INIT => X"9975",
LOC => "SLICE_X19Y28"
)
port map (
ADR0 => i_cnt(2),
ADR1 => i_cnt(1),
ADR2 => i_cnt(3),
ADR3 => i_cnt(0),
O => N516_pack_3
);
i_cnt_mux0001_1_27 : X_LUT4
generic map(
INIT => X"44E4",
LOC => "SLICE_X19Y28"
)
port map (
ADR0 => state_FSM_FFd2_4312,
ADR1 => i_cnt(2),
ADR2 => state_FSM_FFd1_4311,
ADR3 => N516,
O => i_cnt_mux0001(1)
);
i_cnt_2 : X_FF
generic map(
LOC => "SLICE_X19Y28",
INIT => '0'
)
port map (
I => i_cnt_2_DXMUX_14404,
CE => VCC,
CLK => i_cnt_2_CLKINV_14388,
SET => GND,
RST => i_cnt_2_FFX_RSTAND_14409,
O => i_cnt(2)
);
i_cnt_2_FFX_RSTAND : X_BUF
generic map(
LOC => "SLICE_X19Y28",
PATHPULSE => 638 ps
)
port map (
I => clr_IBUF_3948,
O => i_cnt_2_FFX_RSTAND_14409
);
Mrom_a_rom0000101 : X_LUT4
generic map(
INIT => X"504C",
LOC => "SLICE_X18Y18"
)
port map (
ADR0 => i_cnt(3),
ADR1 => i_cnt(2),
ADR2 => i_cnt(1),
ADR3 => i_cnt(0),
O => Mrom_a_rom000010
);
Mrom_b_rom000012 : X_LUT4
generic map(
INIT => X"0065",
LOC => "SLICE_X18Y18"
)
port map (
ADR0 => i_cnt(3),
ADR1 => i_cnt(2),
ADR2 => i_cnt(0),
ADR3 => i_cnt(1),
O => Mrom_b_rom000012_14432
);
Mrom_a_rom0000111 : X_LUT4
generic map(
INIT => X"54BD",
LOC => "SLICE_X19Y21"
)
port map (
ADR0 => i_cnt(2),
ADR1 => i_cnt(0),
ADR2 => i_cnt(1),
ADR3 => i_cnt(3),
O => Mrom_a_rom000011_14449
);
Mrom_b_rom000020 : X_LUT4
generic map(
INIT => X"50A9",
LOC => "SLICE_X19Y21"
)
port map (
ADR0 => i_cnt(2),
ADR1 => i_cnt(0),
ADR2 => i_cnt(1),
ADR3 => i_cnt(3),
O => Mrom_b_rom000020_14456
);
Mrom_a_rom0000211 : X_LUT4
generic map(
INIT => X"175D",
LOC => "SLICE_X16Y19"
)
port map (
ADR0 => i_cnt(3),
ADR1 => i_cnt(0),
ADR2 => i_cnt(2),
ADR3 => i_cnt(1),
O => Mrom_a_rom000021
);
Mrom_b_rom00008 : X_LUT4
generic map(
INIT => X"4F29",
LOC => "SLICE_X16Y19"
)
port map (
ADR0 => i_cnt(3),
ADR1 => i_cnt(0),
ADR2 => i_cnt(2),
ADR3 => i_cnt(1),
O => Mrom_b_rom00008_14480
);
Mrom_a_rom0000301 : X_LUT4
generic map(
INIT => X"176C",
LOC => "SLICE_X19Y29"
)
port map (
ADR0 => i_cnt(2),
ADR1 => i_cnt(1),
ADR2 => i_cnt(3),
ADR3 => i_cnt(0),
O => Mrom_a_rom000030
);
Mrom_b_rom000013 : X_LUT4
generic map(
INIT => X"456B",
LOC => "SLICE_X19Y29"
)
port map (
ADR0 => i_cnt(2),
ADR1 => i_cnt(1),
ADR2 => i_cnt(3),
ADR3 => i_cnt(0),
O => Mrom_b_rom000013_14504
);
Mrom_a_rom0000311 : X_LUT4
generic map(
INIT => X"0A21",
LOC => "SLICE_X21Y29"
)
port map (
ADR0 => i_cnt(2),
ADR1 => i_cnt(1),
ADR2 => i_cnt(3),
ADR3 => i_cnt(0),
O => Mrom_a_rom000031
);
Mrom_b_rom0000311 : X_LUT4
generic map(
INIT => X"0C50",
LOC => "SLICE_X21Y29"
)
port map (
ADR0 => i_cnt(2),
ADR1 => i_cnt(1),
ADR2 => i_cnt(3),
ADR3 => i_cnt(0),
O => Mrom_b_rom000031
);
Mrom_a_rom0000251 : X_LUT4
generic map(
INIT => X"0939",
LOC => "SLICE_X20Y25"
)
port map (
ADR0 => i_cnt(2),
ADR1 => i_cnt(1),
ADR2 => i_cnt(3),
ADR3 => i_cnt(0),
O => Mrom_a_rom000025
);
Mrom_b_rom0000232 : X_LUT4
generic map(
INIT => X"081A",
LOC => "SLICE_X20Y25"
)
port map (
ADR0 => i_cnt(2),
ADR1 => i_cnt(1),
ADR2 => i_cnt(3),
ADR3 => i_cnt(0),
O => Mrom_b_rom000023
);
Mrom_a_rom0000261 : X_LUT4
generic map(
INIT => X"2646",
LOC => "SLICE_X20Y29"
)
port map (
ADR0 => i_cnt(0),
ADR1 => i_cnt(3),
ADR2 => i_cnt(1),
ADR3 => i_cnt(2),
O => Mrom_a_rom000026
);
Mrom_b_rom0000171 : X_LUT4
generic map(
INIT => X"225D",
LOC => "SLICE_X20Y29"
)
port map (
ADR0 => i_cnt(0),
ADR1 => i_cnt(3),
ADR2 => i_cnt(1),
ADR3 => i_cnt(2),
O => Mrom_b_rom000017_14576
);
Mrom_a_rom0000191 : X_LUT4
generic map(
INIT => X"1473",
LOC => "SLICE_X17Y14"
)
port map (
ADR0 => i_cnt(0),
ADR1 => i_cnt(3),
ADR2 => i_cnt(1),
ADR3 => i_cnt(2),
O => Mrom_a_rom000019
);
Mrom_b_rom000071 : X_LUT4
generic map(
INIT => X"1403",
LOC => "SLICE_X17Y14"
)
port map (
ADR0 => i_cnt(0),
ADR1 => i_cnt(3),
ADR2 => i_cnt(1),
ADR3 => i_cnt(2),
O => Mrom_b_rom00007
);
Mrom_a_rom0000271 : X_LUT4
generic map(
INIT => X"0049",
LOC => "SLICE_X20Y27"
)
port map (
ADR0 => i_cnt(3),
ADR1 => i_cnt(1),
ADR2 => i_cnt(2),
ADR3 => i_cnt(0),
O => Mrom_a_rom000027
);
Mrom_b_rom0000301 : X_LUT4
generic map(
INIT => X"5D20",
LOC => "SLICE_X20Y27"
)
port map (
ADR0 => i_cnt(3),
ADR1 => i_cnt(1),
ADR2 => i_cnt(2),
ADR3 => i_cnt(0),
O => Mrom_b_rom000030
);
Mxor_ba_xor_Result_11_1_SW0 : X_LUT4
generic map(
INIT => X"5353",
LOC => "SLICE_X18Y10"
)
port map (
ADR0 => b_reg(10),
ADR1 => b_reg(11),
ADR2 => a(0),
ADR3 => VCC,
O => N251
);
Mxor_ba_xor_Result_9_1_SW0 : X_LUT4
generic map(
INIT => X"5353",
LOC => "SLICE_X18Y10"
)
port map (
ADR0 => b_reg(9),
ADR1 => b_reg(10),
ADR2 => a(0),
ADR3 => VCC,
O => N237
);
Mrom_b_rom00001111 : X_LUT4
generic map(
INIT => X"044A",
LOC => "SLICE_X20Y23"
)
port map (
ADR0 => i_cnt(2),
ADR1 => i_cnt(0),
ADR2 => i_cnt(3),
ADR3 => i_cnt(1),
O => Mrom_b_rom000011_14665
);
Mrom_b_rom0000281 : X_LUT4
generic map(
INIT => X"4459",
LOC => "SLICE_X20Y23"
)
port map (
ADR0 => i_cnt(3),
ADR1 => i_cnt(0),
ADR2 => i_cnt(2),
ADR3 => i_cnt(1),
O => Mrom_b_rom000028
);
Mxor_ba_xor_Result_12_1_SW0 : X_LUT4
generic map(
INIT => X"0F55",
LOC => "SLICE_X23Y14"
)
port map (
ADR0 => b_reg(13),
ADR1 => VCC,
ADR2 => b_reg(12),
ADR3 => a(0),
O => N234
);
Mxor_ba_xor_Result_13_1_SW0 : X_LUT4
generic map(
INIT => X"550F",
LOC => "SLICE_X23Y14"
)
port map (
ADR0 => b_reg(13),
ADR1 => VCC,
ADR2 => b_reg(14),
ADR3 => a(0),
O => N231
);
Mrom_b_rom00002611 : X_LUT4
generic map(
INIT => X"1050",
LOC => "SLICE_X22Y23"
)
port map (
ADR0 => i_cnt(0),
ADR1 => i_cnt(1),
ADR2 => i_cnt(3),
ADR3 => i_cnt(2),
O => N77
);
Mrom_b_rom0000262 : X_LUT4
generic map(
INIT => X"1C5A",
LOC => "SLICE_X22Y23"
)
port map (
ADR0 => i_cnt(0),
ADR1 => i_cnt(1),
ADR2 => i_cnt(3),
ADR3 => i_cnt(2),
O => Mrom_b_rom000026
);
Mrom_b_rom00001821 : X_LUT4
generic map(
INIT => X"0011",
LOC => "SLICE_X20Y15"
)
port map (
ADR0 => i_cnt(2),
ADR1 => i_cnt(3),
ADR2 => VCC,
ADR3 => i_cnt(1),
O => N34
);
Mrom_b_rom00002721 : X_LUT4
generic map(
INIT => X"0022",
LOC => "SLICE_X20Y15"
)
port map (
ADR0 => i_cnt(3),
ADR1 => i_cnt(2),
ADR2 => VCC,
ADR3 => i_cnt(1),
O => N33
);
state_FSM_Out21 : X_LUT4
generic map(
INIT => X"3300",
LOC => "SLICE_X3Y0"
)
port map (
ADR0 => VCC,
ADR1 => state_FSM_FFd2_4312,
ADR2 => VCC,
ADR3 => state_FSM_FFd1_4311,
O => do_rdy_OBUF_14756
);
Mrom_a_rom000011 : X_LUT4
generic map(
INIT => X"0B42",
LOC => "SLICE_X19Y16"
)
port map (
ADR0 => i_cnt(1),
ADR1 => i_cnt(0),
ADR2 => i_cnt(2),
ADR3 => i_cnt(3),
O => Mrom_a_rom00001
);
Mrom_b_rom0000221 : X_LUT4
generic map(
INIT => X"0182",
LOC => "SLICE_X19Y16"
)
port map (
ADR0 => i_cnt(1),
ADR1 => i_cnt(0),
ADR2 => i_cnt(2),
ADR3 => i_cnt(3),
O => Mrom_b_rom000022
);
Mrom_a_rom000012 : X_LUT4
generic map(
INIT => X"445C",
LOC => "SLICE_X18Y17"
)
port map (
ADR0 => i_cnt(3),
ADR1 => i_cnt(2),
ADR2 => i_cnt(0),
ADR3 => i_cnt(1),
O => Mrom_a_rom0000
);
Mrom_b_rom0000161 : X_LUT4
generic map(
INIT => X"3503",
LOC => "SLICE_X18Y17"
)
port map (
ADR0 => i_cnt(3),
ADR1 => i_cnt(2),
ADR2 => i_cnt(0),
ADR3 => i_cnt(1),
O => Mrom_b_rom000016
);
Mrom_a_rom000013 : X_LUT4
generic map(
INIT => X"2552",
LOC => "SLICE_X18Y16"
)
port map (
ADR0 => i_cnt(3),
ADR1 => i_cnt(2),
ADR2 => i_cnt(0),
ADR3 => i_cnt(1),
O => Mrom_a_rom000013_14821
);
Mrom_b_rom0000101 : X_LUT4
generic map(
INIT => X"5421",
LOC => "SLICE_X18Y16"
)
port map (
ADR0 => i_cnt(3),
ADR1 => i_cnt(2),
ADR2 => i_cnt(0),
ADR3 => i_cnt(1),
O => Mrom_b_rom000010
);
Mrom_a_rom000023 : X_LUT4
generic map(
INIT => X"42BF",
LOC => "SLICE_X18Y23"
)
port map (
ADR0 => i_cnt(3),
ADR1 => i_cnt(0),
ADR2 => i_cnt(1),
ADR3 => i_cnt(2),
O => Mrom_a_rom000023_14845
);
Mrom_b_rom000061 : X_LUT4
generic map(
INIT => X"1123",
LOC => "SLICE_X18Y23"
)
port map (
ADR0 => i_cnt(2),
ADR1 => i_cnt(0),
ADR2 => i_cnt(1),
ADR3 => i_cnt(3),
O => Mrom_b_rom00006
);
Mrom_a_rom000015 : X_LUT4
generic map(
INIT => X"0605",
LOC => "SLICE_X19Y17"
)
port map (
ADR0 => i_cnt(1),
ADR1 => i_cnt(0),
ADR2 => i_cnt(2),
ADR3 => i_cnt(3),
O => Mrom_a_rom000015_14869
);
Mrom_b_rom00009 : X_LUT4
generic map(
INIT => X"1993",
LOC => "SLICE_X19Y17"
)
port map (
ADR0 => i_cnt(1),
ADR1 => i_cnt(0),
ADR2 => i_cnt(2),
ADR3 => i_cnt(3),
O => Mrom_b_rom00009_14876
);
Mrom_a_rom000024 : X_LUT4
generic map(
INIT => X"3169",
LOC => "SLICE_X18Y29"
)
port map (
ADR0 => i_cnt(0),
ADR1 => i_cnt(3),
ADR2 => i_cnt(1),
ADR3 => i_cnt(2),
O => Mrom_a_rom000024_14893
);
Mrom_b_rom0000211 : X_LUT4
generic map(
INIT => X"0325",
LOC => "SLICE_X18Y29"
)
port map (
ADR0 => i_cnt(0),
ADR1 => i_cnt(3),
ADR2 => i_cnt(1),
ADR3 => i_cnt(2),
O => Mrom_b_rom000021
);
Mrom_a_rom000016 : X_LUT4
generic map(
INIT => X"0E71",
LOC => "SLICE_X20Y20"
)
port map (
ADR0 => i_cnt(1),
ADR1 => i_cnt(0),
ADR2 => i_cnt(2),
ADR3 => i_cnt(3),
O => Mrom_a_rom000016_14917
);
Mrom_b_rom000014 : X_LUT4
generic map(
INIT => X"114D",
LOC => "SLICE_X20Y20"
)
port map (
ADR0 => i_cnt(1),
ADR1 => i_cnt(0),
ADR2 => i_cnt(2),
ADR3 => i_cnt(3),
O => Mrom_b_rom000014_14924
);
Mrom_a_rom000017 : X_LUT4
generic map(
INIT => X"0E49",
LOC => "SLICE_X20Y19"
)
port map (
ADR0 => i_cnt(3),
ADR1 => i_cnt(0),
ADR2 => i_cnt(2),
ADR3 => i_cnt(1),
O => Mrom_a_rom000017_14941
);
Mrom_b_rom000011 : X_LUT4
generic map(
INIT => X"4709",
LOC => "SLICE_X20Y19"
)
port map (
ADR0 => i_cnt(3),
ADR1 => i_cnt(0),
ADR2 => i_cnt(2),
ADR3 => i_cnt(1),
O => Mrom_b_rom00001
);
Mrom_a_rom000018 : X_LUT4
generic map(
INIT => X"362C",
LOC => "SLICE_X16Y30"
)
port map (
ADR0 => i_cnt(0),
ADR1 => i_cnt(2),
ADR2 => i_cnt(1),
ADR3 => i_cnt(3),
O => Mrom_a_rom000018_14965
);
Mrom_a_rom000029 : X_LUT4
generic map(
INIT => X"2794",
LOC => "SLICE_X16Y30"
)
port map (
ADR0 => i_cnt(0),
ADR1 => i_cnt(2),
ADR2 => i_cnt(1),
ADR3 => i_cnt(3),
O => Mrom_a_rom000029_14972
);
Mrom_a_rom000061 : X_LUT4
generic map(
INIT => X"5255",
LOC => "SLICE_X18Y24"
)
port map (
ADR0 => i_cnt(3),
ADR1 => i_cnt(2),
ADR2 => i_cnt(0),
ADR3 => i_cnt(1),
O => Mrom_a_rom00006
);
Mrom_b_rom000029 : X_LUT4
generic map(
INIT => X"176A",
LOC => "SLICE_X18Y24"
)
port map (
ADR0 => i_cnt(3),
ADR1 => i_cnt(2),
ADR2 => i_cnt(0),
ADR3 => i_cnt(1),
O => Mrom_b_rom000029_14996
);
Mrom_a_rom000081 : X_LUT4
generic map(
INIT => X"190A",
LOC => "SLICE_X16Y20"
)
port map (
ADR0 => i_cnt(3),
ADR1 => i_cnt(0),
ADR2 => i_cnt(2),
ADR3 => i_cnt(1),
O => Mrom_a_rom00008
);
Mrom_a_rom00009 : X_LUT4
generic map(
INIT => X"1B18",
LOC => "SLICE_X16Y20"
)
port map (
ADR0 => i_cnt(3),
ADR1 => i_cnt(0),
ADR2 => i_cnt(2),
ADR3 => i_cnt(1),
O => Mrom_a_rom00009_15020
);
Mrom_b_rom0000191 : X_LUT4
generic map(
INIT => X"0DF6",
LOC => "SLICE_X19Y19"
)
port map (
ADR0 => i_cnt(1),
ADR1 => i_cnt(0),
ADR2 => i_cnt(2),
ADR3 => i_cnt(3),
O => Mrom_b_rom000019
);
Mrom_a_rom00005 : X_LUT4
generic map(
INIT => X"1620",
LOC => "SLICE_X19Y19"
)
port map (
ADR0 => i_cnt(2),
ADR1 => i_cnt(1),
ADR2 => i_cnt(0),
ADR3 => i_cnt(3),
O => Mrom_a_rom00005_15044
);
Mrom_b_rom0000271 : X_LUT4
generic map(
INIT => X"1491",
LOC => "SLICE_X18Y22"
)
port map (
ADR0 => i_cnt(2),
ADR1 => i_cnt(0),
ADR2 => i_cnt(1),
ADR3 => i_cnt(3),
O => Mrom_b_rom000027
);
Mrom_a_rom00002 : X_LUT4
generic map(
INIT => X"1146",
LOC => "SLICE_X18Y22"
)
port map (
ADR0 => i_cnt(2),
ADR1 => i_cnt(0),
ADR2 => i_cnt(1),
ADR3 => i_cnt(3),
O => Mrom_a_rom00002_15068
);
state_cmp_eq00001 : X_LUT4
generic map(
INIT => X"0008",
LOC => "SLICE_X15Y10"
)
port map (
ADR0 => i_cnt(2),
ADR1 => i_cnt(3),
ADR2 => i_cnt(1),
ADR3 => i_cnt(0),
O => state_cmp_eq0000_pack_4
);
state_FSM_FFd1 : X_FF
generic map(
LOC => "SLICE_X15Y10",
INIT => '0'
)
port map (
I => state_FSM_FFd2_DYMUX_15095,
CE => VCC,
CLK => state_FSM_FFd2_CLKINV_15085,
SET => GND,
RST => state_FSM_FFd2_SRINV_15086,
O => state_FSM_FFd1_4311
);
state_FSM_FFd2_In1 : X_LUT4
generic map(
INIT => X"5F44",
LOC => "SLICE_X15Y10"
)
port map (
ADR0 => state_FSM_FFd1_4311,
ADR1 => di_vld_IBUF_4273,
ADR2 => state_cmp_eq0000,
ADR3 => state_FSM_FFd2_4312,
O => state_FSM_FFd2_In
);
state_FSM_FFd2 : X_FF
generic map(
LOC => "SLICE_X15Y10",
INIT => '0'
)
port map (
I => state_FSM_FFd2_DXMUX_15109,
CE => VCC,
CLK => state_FSM_FFd2_CLKINV_15085,
SET => GND,
RST => state_FSM_FFd2_SRINV_15086,
O => state_FSM_FFd2_4312
);
Mrom_a_rom00004 : X_LUT4
generic map(
INIT => X"1738",
LOC => "SLICE_X19Y15"
)
port map (
ADR0 => i_cnt(1),
ADR1 => i_cnt(0),
ADR2 => i_cnt(2),
ADR3 => i_cnt(3),
O => Mrom_a_rom00004_15130
);
Mrom_b_rom000017 : X_LUT4
generic map(
INIT => X"0777",
LOC => "SLICE_X19Y15"
)
port map (
ADR0 => i_cnt(1),
ADR1 => i_cnt(0),
ADR2 => i_cnt(2),
ADR3 => i_cnt(3),
O => Mrom_b_rom0000
);
Mxor_ba_xor_Result_5_1_SW0 : X_LUT4
generic map(
INIT => X"0F55",
LOC => "SLICE_X19Y12"
)
port map (
ADR0 => b_reg(6),
ADR1 => VCC,
ADR2 => b_reg(5),
ADR3 => a(0),
O => N243
);
Mxor_ba_xor_Result_8_1_SW0 : X_LUT4
generic map(
INIT => X"3355",
LOC => "SLICE_X19Y12"
)
port map (
ADR0 => b_reg(9),
ADR1 => b_reg(8),
ADR2 => VCC,
ADR3 => a(0),
O => N240
);
LED_flash_cnt_0_G_X_LUT4 : X_LUT4
generic map(
INIT => X"CCCC",
LOC => "SLICE_X31Y10"
)
port map (
ADR0 => VCC,
ADR1 => LED_flash_cnt(1),
ADR2 => VCC,
ADR3 => VCC,
O => LED_flash_cnt_0_G
);
LED_flash_cnt_2_F_X_LUT4 : X_LUT4
generic map(
INIT => X"AAAA",
LOC => "SLICE_X31Y11"
)
port map (
ADR0 => LED_flash_cnt(2),
ADR1 => VCC,
ADR2 => VCC,
ADR3 => VCC,
O => LED_flash_cnt_2_F
);
LED_flash_cnt_2_G_X_LUT4 : X_LUT4
generic map(
INIT => X"FF00",
LOC => "SLICE_X31Y11"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => VCC,
ADR3 => LED_flash_cnt(3),
O => LED_flash_cnt_2_G
);
LED_flash_cnt_4_F_X_LUT4 : X_LUT4
generic map(
INIT => X"AAAA",
LOC => "SLICE_X31Y12"
)
port map (
ADR0 => LED_flash_cnt(4),
ADR1 => VCC,
ADR2 => VCC,
ADR3 => VCC,
O => LED_flash_cnt_4_F
);
LED_flash_cnt_4_G_X_LUT4 : X_LUT4
generic map(
INIT => X"F0F0",
LOC => "SLICE_X31Y12"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => LED_flash_cnt(5),
ADR3 => VCC,
O => LED_flash_cnt_4_G
);
LED_flash_cnt_6_F_X_LUT4 : X_LUT4
generic map(
INIT => X"CCCC",
LOC => "SLICE_X31Y13"
)
port map (
ADR0 => VCC,
ADR1 => LED_flash_cnt(6),
ADR2 => VCC,
ADR3 => VCC,
O => LED_flash_cnt_6_F
);
LED_flash_cnt_6_G_X_LUT4 : X_LUT4
generic map(
INIT => X"FF00",
LOC => "SLICE_X31Y13"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => VCC,
ADR3 => LED_flash_cnt(7),
O => LED_flash_cnt_6_G
);
LED_flash_cnt_8_F_X_LUT4 : X_LUT4
generic map(
INIT => X"FF00",
LOC => "SLICE_X31Y14"
)
port map (
ADR0 => VCC,
ADR1 => VCC,
ADR2 => VCC,
ADR3 => LED_flash_cnt(8),
O => LED_flash_cnt_8_F
);
AN_0_OUTPUT_OFF_OMUX : X_BUF
generic map(
LOC => "PAD33",
PATHPULSE => 638 ps
)
port map (
I => AN_0_4261,
O => AN_0_O
);
AN_1_OUTPUT_OFF_OMUX : X_BUF
generic map(
LOC => "PAD44",
PATHPULSE => 638 ps
)
port map (
I => AN_1_4262,
O => AN_1_O
);
AN_2_OUTPUT_OFF_OMUX : X_BUF
generic map(
LOC => "PAD51",
PATHPULSE => 638 ps
)
port map (
I => AN_2_4263,
O => AN_2_O
);
AN_3_OUTPUT_OFF_OMUX : X_BUF
generic map(
LOC => "PAD45",
PATHPULSE => 638 ps
)
port map (
I => AN_3_4264,
O => AN_3_O
);
segment_a_i_OUTPUT_OFF_OMUX : X_BUF
generic map(
LOC => "PAD48",
PATHPULSE => 638 ps
)
port map (
I => segment_a_i_OBUF_14289,
O => segment_a_i_O
);
segment_b_i_OUTPUT_OFF_OMUX : X_BUF
generic map(
LOC => "PAD39",
PATHPULSE => 638 ps
)
port map (
I => segment_b_i_OBUF_14349,
O => segment_b_i_O
);
segment_c_i_OUTPUT_OFF_OMUX : X_BUF
generic map(
LOC => "PAD53",
PATHPULSE => 638 ps
)
port map (
I => segment_c_i_OBUF_14330,
O => segment_c_i_O
);
segment_d_i_OUTPUT_OFF_OMUX : X_BUF
generic map(
LOC => "PAD59",
PATHPULSE => 638 ps
)
port map (
I => segment_d_i_OBUF_14313,
O => segment_d_i_O
);
segment_e_i_OUTPUT_OFF_OMUX : X_BUF
generic map(
LOC => "PAD56",
PATHPULSE => 638 ps
)
port map (
I => segment_e_i_OBUF_14306,
O => segment_e_i_O
);
segment_f_i_OUTPUT_OFF_OMUX : X_BUF
generic map(
LOC => "PAD49",
PATHPULSE => 638 ps
)
port map (
I => segment_f_i_OBUF_14337,
O => segment_f_i_O
);
segment_g_i_OUTPUT_OFF_OMUX : X_BUF
generic map(
LOC => "PAD52",
PATHPULSE => 638 ps
)
port map (
I => segment_g_i_OBUF_14282,
O => segment_g_i_O
);
do_rdy_OUTPUT_OFF_OMUX : X_BUF
generic map(
LOC => "PAD79",
PATHPULSE => 638 ps
)
port map (
I => do_rdy_OBUF_14756,
O => do_rdy_O
);
swtch_led_0_OUTPUT_OFF_OMUX : X_BUF
generic map(
LOC => "PAD69",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_pre_cy_0_Q,
O => swtch_led_0_O
);
swtch_led_1_OUTPUT_OFF_OMUX : X_BUF
generic map(
LOC => "PAD58",
PATHPULSE => 638 ps
)
port map (
I => swtch_led_1_OBUF_4254,
O => swtch_led_1_O
);
swtch_led_2_OUTPUT_OFF_OMUX : X_BUF
generic map(
LOC => "PAD64",
PATHPULSE => 638 ps
)
port map (
I => Madd_b_pre_lut(2),
O => swtch_led_2_O
);
swtch_led_3_OUTPUT_OFF_OMUX : X_BUF
generic map(
LOC => "PAD65",
PATHPULSE => 638 ps
)
port map (
I => swtch_led_3_OBUF_4256,
O => swtch_led_3_O
);
swtch_led_4_OUTPUT_OFF_OMUX : X_BUF
generic map(
LOC => "PAD68",
PATHPULSE => 638 ps
)
port map (
I => swtch_led_4_OBUF_4257,
O => swtch_led_4_O
);
swtch_led_5_OUTPUT_OFF_OMUX : X_BUF
generic map(
LOC => "PAD71",
PATHPULSE => 638 ps
)
port map (
I => swtch_led_5_OBUF_4258,
O => swtch_led_5_O
);
swtch_led_6_OUTPUT_OFF_OMUX : X_BUF
generic map(
LOC => "PAD70",
PATHPULSE => 638 ps
)
port map (
I => swtch_led_6_OBUF_4259,
O => swtch_led_6_O
);
swtch_led_7_OUTPUT_OFF_OMUX : X_BUF
generic map(
LOC => "PAD96",
PATHPULSE => 638 ps
)
port map (
I => swtch_led_7_OBUF_4260,
O => swtch_led_7_O
);
NlwBlock_rc5_VCC : X_ONE
port map (
O => VCC
);
NlwBlock_rc5_GND : X_ZERO
port map (
O => GND
);
NlwBlockROC : X_ROC
generic map (ROC_WIDTH => 100 ns)
port map (O => GSR);
NlwBlockTOC : X_TOC
port map (O => GTS);
end Structure;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 18:51:54 10/29/2013
-- Design Name:
-- Module Name: InstructionMemory - Behavioral_InstructionMemory
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity Fetch is
PORT(
clk: in std_logic;
reset: in std_logic;
In_stall_if: in std_logic;
Instruction : OUT STD_LOGIC_VECTOR( 31 DOWNTO 0 );
PC_out : OUT STD_LOGIC_VECTOR( 31 DOWNTO 0 );
BEQ_PC : IN STD_LOGIC_VECTOR( 31 DOWNTO 0 );
PCSrc : IN STD_LOGIC;
Jump : IN STD_LOGIC;
JumpPC : IN STD_LOGIC_VECTOR( 31 DOWNTO 0 ); -- JUmp address
IF_ID_Flush: out std_logic
);
end Fetch;
architecture Behavioral of Fetch is
component ram_instr
port (
ADDR : in std_logic_vector(31 downto 0);
DATA : out std_logic_vector(31 downto 0));
end component;
signal PC: std_logic_vector (31 downto 0); -- fetched instruction
signal nextPC: std_logic_vector(31 downto 0); -- Next PC
signal read_addr: std_logic_vector(31 downto 0);
signal IncPC: std_logic_vector (31 downto 0); -- PC + 4
begin
instr_mem: ram_instr port map (ADDR => read_addr, DATA => Instruction);
-- multiplex next PC
nextPC <= (JumpPC - x"00000004") when (Jump = '1')
else BEQ_PC when (PCSrc = '1')
else incPC;
incPC <= PC + X"00000004"; -- incPC = PC +4 ;
PC_out <= incPC;
read_addr <= "00"&PC(31 downto 2);
IF_ID_Flush <='1' when (Jump='1' or PCSrc = '1') -- in case Jump and Branch, flush the IF_ID
else '0';
process (Clk,Reset)
begin
if (Reset = '1') then
PC <= X"00000000"; -- currently start from 0 for test
elsif (Clk'event and Clk = '1') then
if(In_stall_if = '0') then
PC <= nextPC;
else
PC <= PC;
end if;
end if;
end process;
end Behavioral;
--------------------------------------------------------------------------
-- Instruction RAM
--------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity ram_instr is
port (
ADDR : in std_logic_vector(31 downto 0);
DATA : out std_logic_vector(31 downto 0)
);
end ram_instr;
architecture syn of ram_instr is
type rom_type is array (0 to 31) of std_logic_vector (31 downto 0);
-- currently, set 0-6 for test purpose
CONSTANT ROM : rom_type := (
x"34020003",x"34030009",x"00432020",x"00822020",x"00832020",x"8c050004",x"00852020",x"ac040008",
x"8c060008",x"0086382a",x"28480004",X"000341c0",x"00622804",x"00033843",x"00433807",x"00034042",
x"00434006",x"10420002",x"34020007",x"34020009",x"3402000b",x"08000019",x"34030008",x"3403000a",
x"3403000c",x"08000019",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000",x"00000000");
begin
DATA <= ROM(conv_integer(ADDR));
end syn; |
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
LrLwv3wh7GrPN2kRdqcQuzsZdcA6YWZnGFpFZfNIB1CUHVfV2YfTGC0pQM+B7kuepF/B3EwITXlI
geOVKgrT7Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
HCkSaB1OGojiqkqp0tktUnYEhDwGOrRW8mQR7+0WBu1kT0l5wZfSCYeC7veTlbokwkzwVwF7s9eH
SqNwTHK8r9XHLy9TNRFQJ1YiZ5PbOgVBnaTZd6CtBrr3Zb2g0G7VYcAyoaZ5RckULjeBbGakKqye
ZNpxJ68HsSvrhzPG+/c=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
A7du0ZBChZpRPA+CBdiL9iJBlzB64zkQ5Jx4P8S/cAscxvefrPE73WwqEF85eg46Nc6bHgdVALsD
3Eueq96EsFtytIZH2nDoM4R0hpgl/mrhX+KXWvqtVBMHF/QM+XSbor7UD3CeUq/HqIIMHastQAoG
jtKiuVmyWEF+4QIh08Yesyp1rOCEEgXRBLGbe6L4N4Su6TvNmTK0iTTi+ymf34CDBURmr5wy+ekn
2Bey+5xha1jdzYD+1iS9Hw2By7bzZKFRc9+kKUakD4am0lVzTr2jz5wAu0l3LJLLZ6rdOSuxhboF
fwylKyE7TPUk5hQd+WPW7wstY81moynM8fy+kg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Rl9tP5IhX/IUVuWQf4djn5oZLsyBvYaerGTp66Fv16joli+8V+8rGH48bwc6jWdmoBjVN2VU9gz1
HiSSfory30d2QDwX+leo+zXekVTxieiEGdGnold6uy2YRBUaVbo7+PpX5A2GVpqwjSF6hZx8mcIL
AQP4UfyDr3n2dcPuFvE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
owkaGowPm1iNAzG9ufAze903cjObnni7bC4SBPyedk1YtMXxf31rCTIa5RbW7iSOhWnlylEd7hTl
yICmyNbENnvnEmaXu2EfXalIprQeJS9ZOqW6Z6fyh7+/PvDfgsb5iJqSw9rrVoOzw0kz02wu3vuG
z9S68u1c0LlC+ZeV0Y5848mzkDXlqKGisRP4QNi/ZXE0PljLD7dJYgKUhxjcOqJDj5ug3MVLe5w4
RnufDbIk4Sb0xPCI9LxmEmIRRezLZjvfyMbXxf17NRQ/sipbnKX7SZ+LV/uvwc/oreoY/tRihRqr
zizssuDms0fgq1WzwjZXCNT55f9dSGH1k0T0jA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8208)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
LrLwv3wh7GrPN2kRdqcQuzsZdcA6YWZnGFpFZfNIB1CUHVfV2YfTGC0pQM+B7kuepF/B3EwITXlI
geOVKgrT7Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
HCkSaB1OGojiqkqp0tktUnYEhDwGOrRW8mQR7+0WBu1kT0l5wZfSCYeC7veTlbokwkzwVwF7s9eH
SqNwTHK8r9XHLy9TNRFQJ1YiZ5PbOgVBnaTZd6CtBrr3Zb2g0G7VYcAyoaZ5RckULjeBbGakKqye
ZNpxJ68HsSvrhzPG+/c=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
A7du0ZBChZpRPA+CBdiL9iJBlzB64zkQ5Jx4P8S/cAscxvefrPE73WwqEF85eg46Nc6bHgdVALsD
3Eueq96EsFtytIZH2nDoM4R0hpgl/mrhX+KXWvqtVBMHF/QM+XSbor7UD3CeUq/HqIIMHastQAoG
jtKiuVmyWEF+4QIh08Yesyp1rOCEEgXRBLGbe6L4N4Su6TvNmTK0iTTi+ymf34CDBURmr5wy+ekn
2Bey+5xha1jdzYD+1iS9Hw2By7bzZKFRc9+kKUakD4am0lVzTr2jz5wAu0l3LJLLZ6rdOSuxhboF
fwylKyE7TPUk5hQd+WPW7wstY81moynM8fy+kg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Rl9tP5IhX/IUVuWQf4djn5oZLsyBvYaerGTp66Fv16joli+8V+8rGH48bwc6jWdmoBjVN2VU9gz1
HiSSfory30d2QDwX+leo+zXekVTxieiEGdGnold6uy2YRBUaVbo7+PpX5A2GVpqwjSF6hZx8mcIL
AQP4UfyDr3n2dcPuFvE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
owkaGowPm1iNAzG9ufAze903cjObnni7bC4SBPyedk1YtMXxf31rCTIa5RbW7iSOhWnlylEd7hTl
yICmyNbENnvnEmaXu2EfXalIprQeJS9ZOqW6Z6fyh7+/PvDfgsb5iJqSw9rrVoOzw0kz02wu3vuG
z9S68u1c0LlC+ZeV0Y5848mzkDXlqKGisRP4QNi/ZXE0PljLD7dJYgKUhxjcOqJDj5ug3MVLe5w4
RnufDbIk4Sb0xPCI9LxmEmIRRezLZjvfyMbXxf17NRQ/sipbnKX7SZ+LV/uvwc/oreoY/tRihRqr
zizssuDms0fgq1WzwjZXCNT55f9dSGH1k0T0jA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8208)
`protect data_block
DT7Ag/6RtZm2oqaPjxcOjcfctet5MOgFv1tB9ikOQJTvYA8F3K75gFlo7Ah3949l8twAdhEhrciJ
iA66Z2KBgp+fkOUD2xWpJZ9ALMNkjbv1f8723ihK2RZT2uDjhx4A0HYF51h2DHHlBSngSASg6s75
VW0YD3ABg+NstfV2JFA0zJmDlrsNlk39Er5XpJPY34D5LxT5RCpkYztUYDyvsBbt5pwzc7F06w5N
wtK5BIAe1nUiQZqQG6vVlJqMMyFENmMv1NocfALzoBNcm2XkUE1W5uc6QZF8PUnPTNKPuRA7Mlh1
QtWBp3AMIX+ijP+q9+5fbHH/WbkQi+NCUz3fYHNkag0TS0xoRq31TVN8lxHSB+X3Jg3dyOj2g4CH
zu+KZQvctb5BKG9fZvRv22Fmy35GqVvyMhojsaeOIidRiC8s7r8ebPCOepbiHWxncRdinXKEBp5B
rqRkNMODjP4600ovxtzomQp0ynehpEubDpiWyOIJwxytiaceOKfaBRH8Xq9ne6fjCfzPhh1dCeRj
58P7O3GL2Iv1DWsB4LyL4nryzeDyVpabJPW9o3XYmUI1B3mND9FLN2uuysuZ7l+YyW97RCgID+qp
cdKRhUIai5rsdH41Ok911XbB/fb0ZDf9jYT6QKk/HpiuYny7GPmlMYXKRCRTKkoncuC03iK0XTOS
akQsJid2JWP14IwyWXRl1fhpOh8haCzlz3enOXrXKbNYvBcqYxBSmVqx4KUXRUf80AtFmmrrj4bU
igBpYI1FGixbG0iK043Q4hAiDRTI004Z8ogab+OSqnxbZ7auoakO93rRIOEtfiZWTJ/Zf+sqpQP5
oqjYykEbOAvLpVBJDydNwwUUpPJuTQk9t0f108fZPOVoGEzz/gvjtFQDFnG+d+MJgycwQaxj8s8V
/f7sK2IGgHVmnUPY6sqRds2SA6DYPZl5w341cw6gyt1O2jjRlVr0BJlwDMm42HmctWt5z8ZPxbf/
QOV57qO6fAYF4iTNWgbXYXefpsVp+D3R0CtZPemrtxDm9t4GDFqx2mH1u+r/HrLiU8b1uMtf36Ml
so5pTv99sdyYgXyR2ARf9ru47ypk1SGUwV8OWeKFgsxGjy85/NSHGTPw++tBvATzFADai4X0ZnA6
gdB42r/Ib0y0XNNzdY3jaWtAKbfHDyLrs311IViHvRw/TLB5B8nOhIkaXynxf1dPGgo1b6P9rCQN
mcJebWLtwLfyQ7Xz9vWORBwOgDcSO9xKHKPwBKEQ7CdB8qgD2rkWJnWhQaIJDnJWX8f+Hxkvzp/+
75nJv5eiqNff1yNPIDrFmM6Be12nX9Y3casCQld+9+rMValS/MhBfn8MQIo5Lci+UE4KCehojKKn
Y/KuPY75JomYwfyV2uV5aZIh43reJi1Am2CNdwT2HfUbGotSYDtyreh88otc9ohGnTa4FAwW26vX
Jufhjjx9qADpZ9sNTqKpH0BUIdHVVMyIysDtgnAJXD6dl2wCuUDRwdHYz58W7Zol2YVksX8Iq0BW
7gDQzx/jqCblMlUU+hc4GsR6hLkHF9zUMIih6HWdn1GxNjAZakYVvYYw3h8+fjyK33ObDsmDSzfy
477gzevDogIUujFdNZetqWzS+vx+X74/OMtZEhU79GjW3L8IOdywp/9gH1QPK8xIIsz+Q7KAmUU9
u2h4SmM4BpZzJk62kCB3V6D7x7YOugQpJy3nYB5Poi+EfYftErbiZvH6HU0eZymmOjDLpkbn31S3
ujI9+Vdln3CdLfr8LUvMK08Kbq30gEytl77ClDb/jOpJN7a0+2iVmb4Kbl7GjhrGqR4mbZzlV8jj
VNJeMxjG3XiiltMHPO/fNsLMUJMLrzOTGOvaPczM69y9QEq2iDptRA2X6WKmLx3A7tvt8cfG9lFC
bac943WcjJ3vFwlRQR/+mfIIniwKqAdaA/s58otowd3E5/3eo4hGujNZ9E6yGoSHujp67E8fvFpU
gBbtCibzqKeJoMZtOW6QMLpVeOS1Km5ruwN9EXqCnhQt4fDoPV0mBgiWB/2ZXnNmm4g8024iKWRU
HFd5tfhYMn4o3YoTIeh33N2054xp4KQ50pi72zzJpWqpc9FrKzm5oltj5hMQiQh2okP6cXn+eC6D
Fk0Smyc91xR7AKb0dM0lFM48kQ7zSdTLZEAfjO3qWoaV6O8yD7d1tYH5ja1vFCeI6zW3009U6fzx
QJzM9wDm7iAlHNsUuDH3Ef1nCCOj5DD5M7JxZZDoqDqzTJKTLCNL2cfy2u0LHzvIzxpRDPEJQ6xM
Qp2ROjklGVZqww5PuA1tkuWUgNA7vEuJ8goyD6YofUh0mT0dTTCyoU+WN8XAh08KLdFK6Oi4vrpS
xn5W8X9rsWBxJe5DPbas2vxWcFi6WOuGP8SJpgsA2pHnr9/30mQDKYAYawGFEkp2BDs9LQM4jKoN
Uk8JR980cajVfwtbbezUFWMFm0KUyE5KBVkE4rMHt5rwIYy3rolunQ5w0+x7s4tEkQNcekEEwpcm
ylWMHY0Tj0uMufBDwJRYkhJsshVP6poX0RwZnE/S+k8fmfnT3/+L7l9t06Hyi0ziVv+R7xACie/v
2b3ad27gkdjTCCxDEx7RU6k1R2aavtDixo9pgssDaPKDZI64pICW5t3O6BU3yx3PYByATqO6HuDR
RBdRszyFhfNWY/7eJoB632zvZjDsNn8MNngzr7cmatAmd1XVwM4bpDi9nthXv7auVnEWlrW10T93
odDmdbSSnWWmrlFEaB4GtW2woVKtSWVddlxRmCVPXWzhfbYF5eP2P0fj5tJDHo0yL5EDmW9jZ8FY
Qkd4Y6NsTHBeGPCdv9GW7Ex7VRFp0vyKIHkrJLrwzgs90I9ukctWOiDBWuHaGv0jgpTomOk2p0eG
f2D8/Q5PtCcUVGSH5Mlav8UxEYPwSK1kO2MLCYG1WUmkCG4+IvEqcolRIWEqJC95yQmP8uNS70X+
kjYhYUuAFFEjKn0tAGnfZ0S3yDqrBlnHtbC+NG5kh0hYQaPpiVLaRmdJaegZAlcUBNuqPlQ4BiZe
VBrKIagtFtO40pidcioWS8B+AtoWzhAtRB2wTRTQjC7yCPUDKclkX/38vz/I0RuL5fP83LH9SE8A
pUTGd4XmPs1NRYhJLhHWe234DPcUKCaG3ULhdnI6Bm4XgdqX8aowqmn9zriYSMvGRO5p/UFtRxjN
EzyID9DolwWru9WQRl6f/zG9+ukHlGTZD4XP9LiiHjIoh2WYnHXEtDoYrsNoh3S3CxE7gE2v+LFX
A9kTQUFOu/k0/pn5XP6NuQyEPZpBa3Fe8MidSoiY3gZHjQYgtVEF6GsNcRRZ94FpmmqO+hF2RiFM
nCTDoAMS+Vu9pP2SpEGt/dVCAGgebSr7Cj7xHMSwc7om/eZvGVa+hzwIIE4nsv90AuFtJbi3odQQ
p4CMnwGQAicBH7l305IaIxAyZAoGKNMlaRLJZCwl/3SZMF6aP8etH1Nk8C+V6wyGvjER4RhE07hP
jvnP+YbVNT4Ih82P0oi5u7kWj+t2yYr8Qa/33UlzpNOPdCJRGv28CLCnsjZ9nAeSg3HkB3aIj6Qi
EsF+ke90Rzl5cJdaki5lMMVw3bdKrGbW5Bzu41JNl5W5CHt/u3sUQ/W3ANPDEnwYugEtPl1MamZZ
/So5lS3h4zWv5CVV9uNqRLsPbE/mzgXTiGR6k2CxPr/qVukUI731/eA8MAiHSL+I1nQRnOeC2Mav
/X+Kesn+Jmr4OY86uJZyh7VR7wp8G+tafPsvBb+fduX2Kv5w/y2iAduc0ScGeHg+Nkbig6bnyFdM
wwXNADB5Fc9Twxdi84Q4st89JAC+3V6G/ZXtFnk2JbquszCYMmZNYVU3ZGwn5kbEbF0VtguQJlYO
KVNr9zd9ag1YfsZ0WU/Z4JzkKzbqaZg8t+UFr3RmV/FueoBORkR15/PUtQmfiglqiF4PTrVQJBNz
J93VesCtlJOiu+lPnNAKk45Ls0NXmmbqnxQWvxnfB5gdDju7hkVQbAvmE+117UV5NEOEaT/xMBCZ
gEje9kDr1BfhNcJ6SGH+yfgcFyxBQ8Gk1thjTgbz6ChsqXLcoCuFkFlH7gZW6qEHUDSEdMor+A8L
302ptNnjNY813mFZ9HNebC3j7+ppPVKKGEh4quGPqlobMKoVd6AWyBlPZzoubbHVGqEcNe+8kHxq
ZLiaABQptj6A0OZAq1qCulngSnMdRuxDj9CniLtdylXGiZF1s84wBxNZ9K+CYAnmkzX3Ee3rHm/1
mmavdjCdgpPqp9II7rYb9UGQKDZ1Ksh2QSoW06BYckbkmMEnYKYG6OIXqYPhv5uKCkf/QoofGw0o
X08wQkH3FsfYE/pfpHIKzdbT2LVhkXB/QANhSGHyG7c2SmxP+bqlLnQ6illbUdR/PFIMXbL2oK0l
yo+fg6CWz5x4Rq2uq2zWbGu0gSlHqIc3SV54c/3xFHMkeDmm/WerwztM78lsAgN5Itcr1HlUtiT7
Enq9DkJLavnB3NLRAF3WPAjpVqFBAEXq+vo+whQ2L8KaDUyAy3l9HhsrRXxOe7S0HYdJ/gtPw7vv
UAgoiI4lEtI4jVI0/BJrGC9sZCY0HfsIwNtg8hQaIfw/1CTpmrhMDykMAW+ChT36avd4VLOeuyhB
PCswzb8LU77YzkRN11gjIlDMSDBQFx06KXioBUO3saKKG/ASDk0pC3HBlv2ed2XfcgECoAgRLe8f
VylNlTJpj94bjt0hBvsQ3h+w+p767Wf8lvd8FZpBbeLIlDKF5k2QuDUDMd9OdRCcHA9jRLrmyJSK
12DJS48GU+bNnJXGAJ5DiFYrroVPy+gwM1+7Ski45cDWq1aK/BPxVFJ/dgl4+4TaVNQEQdL05gg7
kIIIFlKMKiiZuifgCpMKMbwAaROFRlxF4eT98U6VtRvw8r9T1qHXMUlVdUjuw1oxlD4zCuyLx2Np
a92q8mb4IwLCpC7W/00ynYKt7h7go88t+gVnV0MU+v4ZHInrMkFC5PnRG5Yec07P5/MhQV0eY7ZE
NWM0wnwph9Tkm9+NGbLCeRkN9l2ZEoxN4SOQzpfsxsPahcX0hmG0XbeumAN7PlOKFXa5JpI+6jw7
542NC2x1A895z2iBtjIcmGLp73zuw+YLZAEgWa5NUt6tlGQky1uWNMir3kG5BZi79IFGJdDUXS2I
cJv1ggRkMmoRX7sc98w8x5NrEA5w98Nkwg5PuOzDl4NP6xKQ/BjzUL/PCkujIMxpcrAWGgpYdXPM
3EsTPGHmCI/irM0jlJRw6WWAgMXnTIdXOdyPqhyQh0ww007k0ptqYWkysRtEkq2NIf2djNdRV69x
NuXrmO572z5ZIW90VNs+PTl48lhJ8lkYNJwtTw3tfmCNfEokBn0cghuzDDxl1KrSP4PLI7homr3y
iNp07XdYVdTICvZi2qfvHoyiF8w41YMx+C8JDaL3GPintHBeFWdRnGfgdwVsLGY0rvAp/V9NzjcJ
GVFX0NjdSKG1pODFHsCaK1ANw1HurmW4n2eEhWhwz70mg0rKMWEFYyZAsKJPzEsYRCS9wjA7hJqR
+9F/mqCkdKldw4Fri5ESPMsj1b104DdmD9BCj+LGaC02o7yDSfbSlUztXQbeCw916bVJeJTusDVk
jd2O9Kp1jqrg6T//reuGxRkKRi8ivwbMT5649I2zQf7rhZ2wpEXxNVBgGS2Dw9Pgyj+RyOueo4CK
Fqv6GkcllKdQmpAzo07nM0nklOwmGKsg+NAY4GV4XQMiuXANmmwFExxSqT0eYncm69SN0pKGLtc7
f1enfuIwk7O9J2CkX2SuITdoC4vBTbsnLoiF+PJ5SGPELoRPdF90eOHQRY/0+OW5IGeUy6jtCqnm
sqKoHPCXKMpZP5Knv2xBCrr0wXJBTPkyE7AwwsGjw9d+uiAGcrQvyUjBuo9Pwfst+g0xqmvmQGxZ
bI+oaI6ZUyDTmk/XSVBYEbi4AtGTgynUKHTBLuSJAkxmWUgKGvZc83/Ic2dOVFupn20tqPmfspbW
rl76o+okw/g/Qoy1gmtFi5q7EiXdd4yD3Mv2nTVolnNx1bPBT5IBLUBOQA7NvahKmuIYoxZpYH4o
OjLRYKVaUmyVsanjbDT2z2STxe/bzvvvXFg3HCF9UuS5p6ltFJoYVvti9ZIVYEzdSorpeuhnUh2k
dbq9d+RjJ06QrrMmV9qGyKezP9LwUiHan/TeD1ylx91UVV49y/4O8XU8AbIdXzIl4Z6EhcL6HgRc
RHopgsQrpr2E3Qc0xOfbosSEqY8TuqYAc1/oykf6+YADithXbzWDY1UR1QjRDVy/14UnpJnLjCAv
HWD1dwLThuvxDXanXIIQnxTQPf4rhVhkpckC2hRq2PTgxHCuY1kgWhjmurr8/iAU8uoT3VXn0ab1
493+4OIz8Zp8WcgYtKTdrT4MbWWXoTAhiBCZmogC+0noXye66vhKUfxI6LEXCFOvtiwiHGAQSQqS
lLjeQj1eBGEswkAOUBdiJ3TJBRm/yBB3ipeKiQiKuaKKiGCmF7BOe3ECdmNCaDDYPkJShrhjX4LR
2AWyjdKkxDIixjc/b9QGvuaTwZ3Tc+COOAOXj26LMIAe1J73tvomdtiPArr+yY+s/dSjVvsQ5T3a
fTu2opvD1UZHDK0enc6yImyEZxPteX2hqA+5wm3hKp9JWbwQLUn3sRBmLy6wFMzoZkibWT6Spjgu
9SlYYxFbVCVENCiknGU+qTfem3ZnnQQLjJ4MjhhhA3OPycmguFrKUA8RInr2kD6Ni5MUtrLcVnrh
fjkTkhtIeJIro7/MKKgPksEp2LAAXB9bgGGRS6EMcrv4Yk4vk9Tlpwfz5bvg89net74NvTtHbPR1
QFF9AOynNwNetRP1HB2b5kD8h0SNG3gRd1sWY5M9lI3BcmA3/N1EjhPo8yr0CNKYBdL/YMBnp6iS
dAIAQwOA4ia9GxdWf4Q5WTYPrOhn6kPSZZdEWkqSXog7Lwr7wtjGOyr4NfaKXJS3cny1jP2d9Sm/
t+P64NGxUJ6db8cFdqmStWvt4DPhJ54vAne6ypkG+R42uXw3J7B1+2z99UP9RLUkk8B/3oaoDpQB
LQr7CK1M9qogYN5xJGVsKMOGVuJtOQNWxTyGjRgI48qotkDPiNqdN+Ec9x8lfn9CjZqk5sssRDas
cHWppgQK842LW5XXOqWnf7lNZ5RqSI8pnQhg5SSKaExSRFCHb0Jq+rC4f9KrA4AOPbg1DyNj1a1Q
gGwC4bgJq5yQWBoj2nbUsgNEUpvZ6Qz+exY03+WY3oKjeZ6EePSIJQn4V3iBxN3IWdQV08DB5gBi
vp9x2LYAX0HlY3PJiThShRCJgoRs/FyAywfMbR0a2rnbsBrZslo+829/AfQWK954h1KKavy0oNOs
q/R0U8I/PiTMf5AX/tRJOQKljoOTQ6A4oSwS0uYEN3Km5uMUqRX9WAzKMaCvefy4Vbtk4tpVHIPc
TC1yq3gHlHPdkil+bwXiXDraX5VGwVy7YMCfxNbgRc0AbQIzCFpeGHmHt/lUV1TlqmgJ2Xka68k1
I5WMaQi6kPPgne8S1HX2NRHFxT51LQWQMq+pll4prUEn4bjO3HJ/uZGVemlSo4Fv8q0tc00soQvu
MLa4W5BaCuPJZEKXCU4C6xvtJHj4nSZCTfT+sBtjTcbJ313H7PnGIgJ6uWAC+L/eRmD9mWoUDwBh
ozFUs7+bIHiTGT1Gl0NqeiiWaHqb7xtC3G8rDMtek+P7zBFDegSd0fTaA2d6wqMsh2R1YSXrxUf4
4LcSMmcOG7YxQkMZrhND61P8EUyw9aorXabizBy+qRP5cU0Mb2Fzoob8KUdKo1lL9+9LUuHTMuqs
EGQToaGFi1NBZCh9ZehCNuGxVT8QwVhi6/29y2yuQh+i2QuCvCDSnuLVdTYc0ggxqKIQs9bbal4M
DagLbWpjdSCfrjZGZmvjr/D0wsUU2Nk30BdOkF6W3LfLZLLBK53xP5HfzHkN2ZmUDsabHx5YY09T
kCnwQinK33ZHAY1LwU42kfTeROuex/k8wE7d1v/N+5PIdH4FZSXC5FQfNU1vKsONs7WTj1KkgHjM
ASvlB9UOJ1nzLATNsBQOhv4ogKV3Ngh6Ecr8b+Xvr9AmBJGBN0hssFmSAkvg0H1QhWXZrtL4kJId
ki2X0DwFm2IA3+1GhyKLkdF/KvY7OcEaJ1q0qtEEAYHtvylq37RPSsSSQ/LEdAN11nL6TZjaDem3
ZptfyFSoRPNTicr5oLLWJ5H63ZvmLSjAI10nW0XQ93n5XE7Y6Gwp4s9PEPbqW6nqWKJ8uyCPmCWN
GYE6EwDfhCwjwRAiNJ7CKkPfuLvG8t/VjRZjUOuJQZ4t57qC03fWrl0MadcJ+8791XS1dIDsklvY
8BRW3ViDqECyDLIrrqiwY+HMzsb3pkgNs3DlfU6IGyv+lPcTJ3/laTskHl7QfzrvvM+Ebqh9OFlS
c4FMang+3U2jWu0074GhOPzW3n3bemTlsOD5vBjkMyFMiCksTwBVsOUNNN/9UW1o4bjF0cHAnrQJ
opExMUk7dXgLOAwysq2oZ57oO8QvCIQu9RK24413QFll+Ens/VHT1wMOiztsF1VpCVYqgBK1O/VB
PkTKUoNQfHBO41UC6FrqfuVRwpEUwkqskLNk9OLxdcre+zgDzw91e34Q/NU1vQdCrMC3b/xGh/J7
3qzze95kTyZ1RJIWbon5PFrU2Hi6bHykI/BVzsabdiWuPLmMRVal8aCWvoCWxF8/bOmaA5sCw+hL
3mUjBjB/b1yV7fZtRATeWKNjEs+SAIHthV8TiFpEK1I0AnN+dsROSBAUYKUDEk/Ju/ZjVAPO0L8o
JnEiNBJPTg2rtJov1k841hx9bbWznXffiR6QYKEBriRcRNhmAO/xaut9br+zHpq2qvSSD5V1T4Wd
Moyk1tsBSgHKsIVHWCWwhyT/i/HYb2dozeBx4yn4BcTsze8lS2KRsSFJp5cWpa4/suSdGTdlGsbG
/2Uho/1g9JRtrKpOYNgOyifu+OqUEB8xf16g+Yr4AzD6kStMZDAxylGN87TAA7df7ZFJgfuFCOWr
6+KcatIaA+GlNnmTp0dWC8IbWZi/4pH+08I0oj2EBmv+ZdthH75uAWyccuw5TKaSAd3mXjSpvgM/
8yBF0Pw8GsW2qiHxb6vbKLZRDCteyn83TfQFpJJW1VPGoYO7iPamBMvGmnLxAXTU/TMcIDHr9QDX
tohQLYh7+5tTU4qjlaUZPQqaSPTjoHpYc9H7mmsAS6qVffH0lTyDbQvGpttC9zN9R1CNoQKh1TZF
lxDaTiSxzed68BTbbkqQqm+I9HhALpsdghH0PqwvXLUl8Om2bT/jYxf5OyjnxMY+xsypkZjU7AKn
sXqCsOkZgLNJywQukDs7Vx5liNWCHY86vF3ZToXjCoM2dh37+mmbTS91VLNpVJVF62jFlktBIeJ+
ddEXOO0Juuy0kzLEdSuhL6Ss/cNovs8v52hV6TXvgMnV4yYXhrD6SjDeM0uxfW4fh2GfOTJzEaE2
Vu+saFvVsvnAA0Mxy5tkquLwn0q/YqWfrhPKGKuNBeO5F6M5xMZpph1YtMEBpA+V/wDxcEe3Njlc
3F2X0fpJAfb7ZNalmzslI0iaQjABIbAGV4R8RWKAZaGxboyVglG/wpcSuphrUgPnfpFIVvz8H9XC
hknC62qwxdt+vXGuuSOHCNuXczHYPcyaWcESA3cIPMiwRJcWeTE49OBPGeDHxPsXjviqTavgkhuS
VdgJ2cVHpcYLvaTF1xsocDEA5QnRsaCLc5RuC81MHkrY3kjdoOBfE7QaLz1goNWuY4MKtvKiMmrT
o2kunnMZCwroucUwtg0D5YxBoPJ4Y/LTxoscNR8O3l15v8ZL7sfGM/mhlrb/zUkJXAZUUHZ724J1
JCeAJrsJaqkQJDVeEBdCHY6oJtcjmb1NkCCzDN5NdfbGxcy7uk2cfP/0lGbylBn/7MIPWF8y4WxS
drBchaFe4F3Zp0vsRa5akePsiEUju2z/Ca8dZdb70xdECSuXg4RQVZydhfpcTYS5osi3Ye9A36X9
LLDqpvNzB+xSigfhO9+7nqWQgRChDXgHMHs1cD+BZWvdtlmhumns6XO/mEaIc3WjwpMw1ImnNgqw
S1myHh8GqSmui/Ur4wLtzXI9HEsmuB5D2hINTmT+vdnirgRLDnc4Jy0gn52msb/4+QkpDozWk+Re
YYQevpS2MTri+GdVHSO++6gkEBjk6tJsL1nDzt3rpFyjU1zmvtAfyIzp4YbIn4noU80VPUlpkApw
/T1t577GY6ZMTbyBuqKkQ+e/4iNK2DNvhNnvWIk1T2yUAB0+sLxnrgnWl7YAAOVnXmtUlGo28LyN
kxovLoKh/+2iZ7P5bpxHSFhTUTHr3Y+yjpmQlOg1xGzXv7VhiAHySsNhZ0TWAU3FFKTZa4z5mq+4
TqoVRGwXZDK9aosjNbpSl+c8sPHSe0DYMwkUap2hbzAphv0OlqxmbmbHWOkmqIEOVADFScX0/jDG
edDfvixQ222VKutXqQmIplzvXXA9RcWD6+6+DZQz1+W12mxB87N8AvEYA2pWjsHZgsdxFNxeKceV
SeHLCsmX9yeh/ksfSlez9wFcXay8MqLZWClqj/XbHQ80Oeq0DpgDNyWkvjqCxIn1a+Ko5p9o7Avc
UMJf3aaNKcY4eKnX8t+haR5FDiTI05p29+1o5GjAJgMU4r4JG1H8orsumuXipemlJOf7rUj16OzH
ncTvbofQSMwBk9Wj9JrTQfhs0jYHfHs4I+V7j1a5LohfWDuXqQOukulNaVMh8aMvsoOwQM2e7pOE
A6+1LWeU+r6ckVdJLAw2KDyyl8wenro4js8CTO8zSD6G7JnuU9qtEoOu5hJ4oj9s09wuV3+2hXgl
nz5CeyMcwAugtScpSYI1qHwyViz2QARN7/eMUxH03cDM6ZPC9I1XHmCRl0BNmpeNqa6Pdc3uYw5n
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
LrLwv3wh7GrPN2kRdqcQuzsZdcA6YWZnGFpFZfNIB1CUHVfV2YfTGC0pQM+B7kuepF/B3EwITXlI
geOVKgrT7Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
HCkSaB1OGojiqkqp0tktUnYEhDwGOrRW8mQR7+0WBu1kT0l5wZfSCYeC7veTlbokwkzwVwF7s9eH
SqNwTHK8r9XHLy9TNRFQJ1YiZ5PbOgVBnaTZd6CtBrr3Zb2g0G7VYcAyoaZ5RckULjeBbGakKqye
ZNpxJ68HsSvrhzPG+/c=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
A7du0ZBChZpRPA+CBdiL9iJBlzB64zkQ5Jx4P8S/cAscxvefrPE73WwqEF85eg46Nc6bHgdVALsD
3Eueq96EsFtytIZH2nDoM4R0hpgl/mrhX+KXWvqtVBMHF/QM+XSbor7UD3CeUq/HqIIMHastQAoG
jtKiuVmyWEF+4QIh08Yesyp1rOCEEgXRBLGbe6L4N4Su6TvNmTK0iTTi+ymf34CDBURmr5wy+ekn
2Bey+5xha1jdzYD+1iS9Hw2By7bzZKFRc9+kKUakD4am0lVzTr2jz5wAu0l3LJLLZ6rdOSuxhboF
fwylKyE7TPUk5hQd+WPW7wstY81moynM8fy+kg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Rl9tP5IhX/IUVuWQf4djn5oZLsyBvYaerGTp66Fv16joli+8V+8rGH48bwc6jWdmoBjVN2VU9gz1
HiSSfory30d2QDwX+leo+zXekVTxieiEGdGnold6uy2YRBUaVbo7+PpX5A2GVpqwjSF6hZx8mcIL
AQP4UfyDr3n2dcPuFvE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
owkaGowPm1iNAzG9ufAze903cjObnni7bC4SBPyedk1YtMXxf31rCTIa5RbW7iSOhWnlylEd7hTl
yICmyNbENnvnEmaXu2EfXalIprQeJS9ZOqW6Z6fyh7+/PvDfgsb5iJqSw9rrVoOzw0kz02wu3vuG
z9S68u1c0LlC+ZeV0Y5848mzkDXlqKGisRP4QNi/ZXE0PljLD7dJYgKUhxjcOqJDj5ug3MVLe5w4
RnufDbIk4Sb0xPCI9LxmEmIRRezLZjvfyMbXxf17NRQ/sipbnKX7SZ+LV/uvwc/oreoY/tRihRqr
zizssuDms0fgq1WzwjZXCNT55f9dSGH1k0T0jA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8208)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
LrLwv3wh7GrPN2kRdqcQuzsZdcA6YWZnGFpFZfNIB1CUHVfV2YfTGC0pQM+B7kuepF/B3EwITXlI
geOVKgrT7Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
HCkSaB1OGojiqkqp0tktUnYEhDwGOrRW8mQR7+0WBu1kT0l5wZfSCYeC7veTlbokwkzwVwF7s9eH
SqNwTHK8r9XHLy9TNRFQJ1YiZ5PbOgVBnaTZd6CtBrr3Zb2g0G7VYcAyoaZ5RckULjeBbGakKqye
ZNpxJ68HsSvrhzPG+/c=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
A7du0ZBChZpRPA+CBdiL9iJBlzB64zkQ5Jx4P8S/cAscxvefrPE73WwqEF85eg46Nc6bHgdVALsD
3Eueq96EsFtytIZH2nDoM4R0hpgl/mrhX+KXWvqtVBMHF/QM+XSbor7UD3CeUq/HqIIMHastQAoG
jtKiuVmyWEF+4QIh08Yesyp1rOCEEgXRBLGbe6L4N4Su6TvNmTK0iTTi+ymf34CDBURmr5wy+ekn
2Bey+5xha1jdzYD+1iS9Hw2By7bzZKFRc9+kKUakD4am0lVzTr2jz5wAu0l3LJLLZ6rdOSuxhboF
fwylKyE7TPUk5hQd+WPW7wstY81moynM8fy+kg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Rl9tP5IhX/IUVuWQf4djn5oZLsyBvYaerGTp66Fv16joli+8V+8rGH48bwc6jWdmoBjVN2VU9gz1
HiSSfory30d2QDwX+leo+zXekVTxieiEGdGnold6uy2YRBUaVbo7+PpX5A2GVpqwjSF6hZx8mcIL
AQP4UfyDr3n2dcPuFvE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
owkaGowPm1iNAzG9ufAze903cjObnni7bC4SBPyedk1YtMXxf31rCTIa5RbW7iSOhWnlylEd7hTl
yICmyNbENnvnEmaXu2EfXalIprQeJS9ZOqW6Z6fyh7+/PvDfgsb5iJqSw9rrVoOzw0kz02wu3vuG
z9S68u1c0LlC+ZeV0Y5848mzkDXlqKGisRP4QNi/ZXE0PljLD7dJYgKUhxjcOqJDj5ug3MVLe5w4
RnufDbIk4Sb0xPCI9LxmEmIRRezLZjvfyMbXxf17NRQ/sipbnKX7SZ+LV/uvwc/oreoY/tRihRqr
zizssuDms0fgq1WzwjZXCNT55f9dSGH1k0T0jA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8208)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
LrLwv3wh7GrPN2kRdqcQuzsZdcA6YWZnGFpFZfNIB1CUHVfV2YfTGC0pQM+B7kuepF/B3EwITXlI
geOVKgrT7Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
HCkSaB1OGojiqkqp0tktUnYEhDwGOrRW8mQR7+0WBu1kT0l5wZfSCYeC7veTlbokwkzwVwF7s9eH
SqNwTHK8r9XHLy9TNRFQJ1YiZ5PbOgVBnaTZd6CtBrr3Zb2g0G7VYcAyoaZ5RckULjeBbGakKqye
ZNpxJ68HsSvrhzPG+/c=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
A7du0ZBChZpRPA+CBdiL9iJBlzB64zkQ5Jx4P8S/cAscxvefrPE73WwqEF85eg46Nc6bHgdVALsD
3Eueq96EsFtytIZH2nDoM4R0hpgl/mrhX+KXWvqtVBMHF/QM+XSbor7UD3CeUq/HqIIMHastQAoG
jtKiuVmyWEF+4QIh08Yesyp1rOCEEgXRBLGbe6L4N4Su6TvNmTK0iTTi+ymf34CDBURmr5wy+ekn
2Bey+5xha1jdzYD+1iS9Hw2By7bzZKFRc9+kKUakD4am0lVzTr2jz5wAu0l3LJLLZ6rdOSuxhboF
fwylKyE7TPUk5hQd+WPW7wstY81moynM8fy+kg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Rl9tP5IhX/IUVuWQf4djn5oZLsyBvYaerGTp66Fv16joli+8V+8rGH48bwc6jWdmoBjVN2VU9gz1
HiSSfory30d2QDwX+leo+zXekVTxieiEGdGnold6uy2YRBUaVbo7+PpX5A2GVpqwjSF6hZx8mcIL
AQP4UfyDr3n2dcPuFvE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
owkaGowPm1iNAzG9ufAze903cjObnni7bC4SBPyedk1YtMXxf31rCTIa5RbW7iSOhWnlylEd7hTl
yICmyNbENnvnEmaXu2EfXalIprQeJS9ZOqW6Z6fyh7+/PvDfgsb5iJqSw9rrVoOzw0kz02wu3vuG
z9S68u1c0LlC+ZeV0Y5848mzkDXlqKGisRP4QNi/ZXE0PljLD7dJYgKUhxjcOqJDj5ug3MVLe5w4
RnufDbIk4Sb0xPCI9LxmEmIRRezLZjvfyMbXxf17NRQ/sipbnKX7SZ+LV/uvwc/oreoY/tRihRqr
zizssuDms0fgq1WzwjZXCNT55f9dSGH1k0T0jA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8208)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
LrLwv3wh7GrPN2kRdqcQuzsZdcA6YWZnGFpFZfNIB1CUHVfV2YfTGC0pQM+B7kuepF/B3EwITXlI
geOVKgrT7Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
HCkSaB1OGojiqkqp0tktUnYEhDwGOrRW8mQR7+0WBu1kT0l5wZfSCYeC7veTlbokwkzwVwF7s9eH
SqNwTHK8r9XHLy9TNRFQJ1YiZ5PbOgVBnaTZd6CtBrr3Zb2g0G7VYcAyoaZ5RckULjeBbGakKqye
ZNpxJ68HsSvrhzPG+/c=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
A7du0ZBChZpRPA+CBdiL9iJBlzB64zkQ5Jx4P8S/cAscxvefrPE73WwqEF85eg46Nc6bHgdVALsD
3Eueq96EsFtytIZH2nDoM4R0hpgl/mrhX+KXWvqtVBMHF/QM+XSbor7UD3CeUq/HqIIMHastQAoG
jtKiuVmyWEF+4QIh08Yesyp1rOCEEgXRBLGbe6L4N4Su6TvNmTK0iTTi+ymf34CDBURmr5wy+ekn
2Bey+5xha1jdzYD+1iS9Hw2By7bzZKFRc9+kKUakD4am0lVzTr2jz5wAu0l3LJLLZ6rdOSuxhboF
fwylKyE7TPUk5hQd+WPW7wstY81moynM8fy+kg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Rl9tP5IhX/IUVuWQf4djn5oZLsyBvYaerGTp66Fv16joli+8V+8rGH48bwc6jWdmoBjVN2VU9gz1
HiSSfory30d2QDwX+leo+zXekVTxieiEGdGnold6uy2YRBUaVbo7+PpX5A2GVpqwjSF6hZx8mcIL
AQP4UfyDr3n2dcPuFvE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
owkaGowPm1iNAzG9ufAze903cjObnni7bC4SBPyedk1YtMXxf31rCTIa5RbW7iSOhWnlylEd7hTl
yICmyNbENnvnEmaXu2EfXalIprQeJS9ZOqW6Z6fyh7+/PvDfgsb5iJqSw9rrVoOzw0kz02wu3vuG
z9S68u1c0LlC+ZeV0Y5848mzkDXlqKGisRP4QNi/ZXE0PljLD7dJYgKUhxjcOqJDj5ug3MVLe5w4
RnufDbIk4Sb0xPCI9LxmEmIRRezLZjvfyMbXxf17NRQ/sipbnKX7SZ+LV/uvwc/oreoY/tRihRqr
zizssuDms0fgq1WzwjZXCNT55f9dSGH1k0T0jA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8208)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
LrLwv3wh7GrPN2kRdqcQuzsZdcA6YWZnGFpFZfNIB1CUHVfV2YfTGC0pQM+B7kuepF/B3EwITXlI
geOVKgrT7Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
HCkSaB1OGojiqkqp0tktUnYEhDwGOrRW8mQR7+0WBu1kT0l5wZfSCYeC7veTlbokwkzwVwF7s9eH
SqNwTHK8r9XHLy9TNRFQJ1YiZ5PbOgVBnaTZd6CtBrr3Zb2g0G7VYcAyoaZ5RckULjeBbGakKqye
ZNpxJ68HsSvrhzPG+/c=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
A7du0ZBChZpRPA+CBdiL9iJBlzB64zkQ5Jx4P8S/cAscxvefrPE73WwqEF85eg46Nc6bHgdVALsD
3Eueq96EsFtytIZH2nDoM4R0hpgl/mrhX+KXWvqtVBMHF/QM+XSbor7UD3CeUq/HqIIMHastQAoG
jtKiuVmyWEF+4QIh08Yesyp1rOCEEgXRBLGbe6L4N4Su6TvNmTK0iTTi+ymf34CDBURmr5wy+ekn
2Bey+5xha1jdzYD+1iS9Hw2By7bzZKFRc9+kKUakD4am0lVzTr2jz5wAu0l3LJLLZ6rdOSuxhboF
fwylKyE7TPUk5hQd+WPW7wstY81moynM8fy+kg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Rl9tP5IhX/IUVuWQf4djn5oZLsyBvYaerGTp66Fv16joli+8V+8rGH48bwc6jWdmoBjVN2VU9gz1
HiSSfory30d2QDwX+leo+zXekVTxieiEGdGnold6uy2YRBUaVbo7+PpX5A2GVpqwjSF6hZx8mcIL
AQP4UfyDr3n2dcPuFvE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
owkaGowPm1iNAzG9ufAze903cjObnni7bC4SBPyedk1YtMXxf31rCTIa5RbW7iSOhWnlylEd7hTl
yICmyNbENnvnEmaXu2EfXalIprQeJS9ZOqW6Z6fyh7+/PvDfgsb5iJqSw9rrVoOzw0kz02wu3vuG
z9S68u1c0LlC+ZeV0Y5848mzkDXlqKGisRP4QNi/ZXE0PljLD7dJYgKUhxjcOqJDj5ug3MVLe5w4
RnufDbIk4Sb0xPCI9LxmEmIRRezLZjvfyMbXxf17NRQ/sipbnKX7SZ+LV/uvwc/oreoY/tRihRqr
zizssuDms0fgq1WzwjZXCNT55f9dSGH1k0T0jA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8208)
`protect data_block
DT7Ag/6RtZm2oqaPjxcOjcfctet5MOgFv1tB9ikOQJTvYA8F3K75gFlo7Ah3949l8twAdhEhrciJ
iA66Z2KBgp+fkOUD2xWpJZ9ALMNkjbv1f8723ihK2RZT2uDjhx4A0HYF51h2DHHlBSngSASg6s75
VW0YD3ABg+NstfV2JFA0zJmDlrsNlk39Er5XpJPY34D5LxT5RCpkYztUYDyvsBbt5pwzc7F06w5N
wtK5BIAe1nUiQZqQG6vVlJqMMyFENmMv1NocfALzoBNcm2XkUE1W5uc6QZF8PUnPTNKPuRA7Mlh1
QtWBp3AMIX+ijP+q9+5fbHH/WbkQi+NCUz3fYHNkag0TS0xoRq31TVN8lxHSB+X3Jg3dyOj2g4CH
zu+KZQvctb5BKG9fZvRv22Fmy35GqVvyMhojsaeOIidRiC8s7r8ebPCOepbiHWxncRdinXKEBp5B
rqRkNMODjP4600ovxtzomQp0ynehpEubDpiWyOIJwxytiaceOKfaBRH8Xq9ne6fjCfzPhh1dCeRj
58P7O3GL2Iv1DWsB4LyL4nryzeDyVpabJPW9o3XYmUI1B3mND9FLN2uuysuZ7l+YyW97RCgID+qp
cdKRhUIai5rsdH41Ok911XbB/fb0ZDf9jYT6QKk/HpiuYny7GPmlMYXKRCRTKkoncuC03iK0XTOS
akQsJid2JWP14IwyWXRl1fhpOh8haCzlz3enOXrXKbNYvBcqYxBSmVqx4KUXRUf80AtFmmrrj4bU
igBpYI1FGixbG0iK043Q4hAiDRTI004Z8ogab+OSqnxbZ7auoakO93rRIOEtfiZWTJ/Zf+sqpQP5
oqjYykEbOAvLpVBJDydNwwUUpPJuTQk9t0f108fZPOVoGEzz/gvjtFQDFnG+d+MJgycwQaxj8s8V
/f7sK2IGgHVmnUPY6sqRds2SA6DYPZl5w341cw6gyt1O2jjRlVr0BJlwDMm42HmctWt5z8ZPxbf/
QOV57qO6fAYF4iTNWgbXYXefpsVp+D3R0CtZPemrtxDm9t4GDFqx2mH1u+r/HrLiU8b1uMtf36Ml
so5pTv99sdyYgXyR2ARf9ru47ypk1SGUwV8OWeKFgsxGjy85/NSHGTPw++tBvATzFADai4X0ZnA6
gdB42r/Ib0y0XNNzdY3jaWtAKbfHDyLrs311IViHvRw/TLB5B8nOhIkaXynxf1dPGgo1b6P9rCQN
mcJebWLtwLfyQ7Xz9vWORBwOgDcSO9xKHKPwBKEQ7CdB8qgD2rkWJnWhQaIJDnJWX8f+Hxkvzp/+
75nJv5eiqNff1yNPIDrFmM6Be12nX9Y3casCQld+9+rMValS/MhBfn8MQIo5Lci+UE4KCehojKKn
Y/KuPY75JomYwfyV2uV5aZIh43reJi1Am2CNdwT2HfUbGotSYDtyreh88otc9ohGnTa4FAwW26vX
Jufhjjx9qADpZ9sNTqKpH0BUIdHVVMyIysDtgnAJXD6dl2wCuUDRwdHYz58W7Zol2YVksX8Iq0BW
7gDQzx/jqCblMlUU+hc4GsR6hLkHF9zUMIih6HWdn1GxNjAZakYVvYYw3h8+fjyK33ObDsmDSzfy
477gzevDogIUujFdNZetqWzS+vx+X74/OMtZEhU79GjW3L8IOdywp/9gH1QPK8xIIsz+Q7KAmUU9
u2h4SmM4BpZzJk62kCB3V6D7x7YOugQpJy3nYB5Poi+EfYftErbiZvH6HU0eZymmOjDLpkbn31S3
ujI9+Vdln3CdLfr8LUvMK08Kbq30gEytl77ClDb/jOpJN7a0+2iVmb4Kbl7GjhrGqR4mbZzlV8jj
VNJeMxjG3XiiltMHPO/fNsLMUJMLrzOTGOvaPczM69y9QEq2iDptRA2X6WKmLx3A7tvt8cfG9lFC
bac943WcjJ3vFwlRQR/+mfIIniwKqAdaA/s58otowd3E5/3eo4hGujNZ9E6yGoSHujp67E8fvFpU
gBbtCibzqKeJoMZtOW6QMLpVeOS1Km5ruwN9EXqCnhQt4fDoPV0mBgiWB/2ZXnNmm4g8024iKWRU
HFd5tfhYMn4o3YoTIeh33N2054xp4KQ50pi72zzJpWqpc9FrKzm5oltj5hMQiQh2okP6cXn+eC6D
Fk0Smyc91xR7AKb0dM0lFM48kQ7zSdTLZEAfjO3qWoaV6O8yD7d1tYH5ja1vFCeI6zW3009U6fzx
QJzM9wDm7iAlHNsUuDH3Ef1nCCOj5DD5M7JxZZDoqDqzTJKTLCNL2cfy2u0LHzvIzxpRDPEJQ6xM
Qp2ROjklGVZqww5PuA1tkuWUgNA7vEuJ8goyD6YofUh0mT0dTTCyoU+WN8XAh08KLdFK6Oi4vrpS
xn5W8X9rsWBxJe5DPbas2vxWcFi6WOuGP8SJpgsA2pHnr9/30mQDKYAYawGFEkp2BDs9LQM4jKoN
Uk8JR980cajVfwtbbezUFWMFm0KUyE5KBVkE4rMHt5rwIYy3rolunQ5w0+x7s4tEkQNcekEEwpcm
ylWMHY0Tj0uMufBDwJRYkhJsshVP6poX0RwZnE/S+k8fmfnT3/+L7l9t06Hyi0ziVv+R7xACie/v
2b3ad27gkdjTCCxDEx7RU6k1R2aavtDixo9pgssDaPKDZI64pICW5t3O6BU3yx3PYByATqO6HuDR
RBdRszyFhfNWY/7eJoB632zvZjDsNn8MNngzr7cmatAmd1XVwM4bpDi9nthXv7auVnEWlrW10T93
odDmdbSSnWWmrlFEaB4GtW2woVKtSWVddlxRmCVPXWzhfbYF5eP2P0fj5tJDHo0yL5EDmW9jZ8FY
Qkd4Y6NsTHBeGPCdv9GW7Ex7VRFp0vyKIHkrJLrwzgs90I9ukctWOiDBWuHaGv0jgpTomOk2p0eG
f2D8/Q5PtCcUVGSH5Mlav8UxEYPwSK1kO2MLCYG1WUmkCG4+IvEqcolRIWEqJC95yQmP8uNS70X+
kjYhYUuAFFEjKn0tAGnfZ0S3yDqrBlnHtbC+NG5kh0hYQaPpiVLaRmdJaegZAlcUBNuqPlQ4BiZe
VBrKIagtFtO40pidcioWS8B+AtoWzhAtRB2wTRTQjC7yCPUDKclkX/38vz/I0RuL5fP83LH9SE8A
pUTGd4XmPs1NRYhJLhHWe234DPcUKCaG3ULhdnI6Bm4XgdqX8aowqmn9zriYSMvGRO5p/UFtRxjN
EzyID9DolwWru9WQRl6f/zG9+ukHlGTZD4XP9LiiHjIoh2WYnHXEtDoYrsNoh3S3CxE7gE2v+LFX
A9kTQUFOu/k0/pn5XP6NuQyEPZpBa3Fe8MidSoiY3gZHjQYgtVEF6GsNcRRZ94FpmmqO+hF2RiFM
nCTDoAMS+Vu9pP2SpEGt/dVCAGgebSr7Cj7xHMSwc7om/eZvGVa+hzwIIE4nsv90AuFtJbi3odQQ
p4CMnwGQAicBH7l305IaIxAyZAoGKNMlaRLJZCwl/3SZMF6aP8etH1Nk8C+V6wyGvjER4RhE07hP
jvnP+YbVNT4Ih82P0oi5u7kWj+t2yYr8Qa/33UlzpNOPdCJRGv28CLCnsjZ9nAeSg3HkB3aIj6Qi
EsF+ke90Rzl5cJdaki5lMMVw3bdKrGbW5Bzu41JNl5W5CHt/u3sUQ/W3ANPDEnwYugEtPl1MamZZ
/So5lS3h4zWv5CVV9uNqRLsPbE/mzgXTiGR6k2CxPr/qVukUI731/eA8MAiHSL+I1nQRnOeC2Mav
/X+Kesn+Jmr4OY86uJZyh7VR7wp8G+tafPsvBb+fduX2Kv5w/y2iAduc0ScGeHg+Nkbig6bnyFdM
wwXNADB5Fc9Twxdi84Q4st89JAC+3V6G/ZXtFnk2JbquszCYMmZNYVU3ZGwn5kbEbF0VtguQJlYO
KVNr9zd9ag1YfsZ0WU/Z4JzkKzbqaZg8t+UFr3RmV/FueoBORkR15/PUtQmfiglqiF4PTrVQJBNz
J93VesCtlJOiu+lPnNAKk45Ls0NXmmbqnxQWvxnfB5gdDju7hkVQbAvmE+117UV5NEOEaT/xMBCZ
gEje9kDr1BfhNcJ6SGH+yfgcFyxBQ8Gk1thjTgbz6ChsqXLcoCuFkFlH7gZW6qEHUDSEdMor+A8L
302ptNnjNY813mFZ9HNebC3j7+ppPVKKGEh4quGPqlobMKoVd6AWyBlPZzoubbHVGqEcNe+8kHxq
ZLiaABQptj6A0OZAq1qCulngSnMdRuxDj9CniLtdylXGiZF1s84wBxNZ9K+CYAnmkzX3Ee3rHm/1
mmavdjCdgpPqp9II7rYb9UGQKDZ1Ksh2QSoW06BYckbkmMEnYKYG6OIXqYPhv5uKCkf/QoofGw0o
X08wQkH3FsfYE/pfpHIKzdbT2LVhkXB/QANhSGHyG7c2SmxP+bqlLnQ6illbUdR/PFIMXbL2oK0l
yo+fg6CWz5x4Rq2uq2zWbGu0gSlHqIc3SV54c/3xFHMkeDmm/WerwztM78lsAgN5Itcr1HlUtiT7
Enq9DkJLavnB3NLRAF3WPAjpVqFBAEXq+vo+whQ2L8KaDUyAy3l9HhsrRXxOe7S0HYdJ/gtPw7vv
UAgoiI4lEtI4jVI0/BJrGC9sZCY0HfsIwNtg8hQaIfw/1CTpmrhMDykMAW+ChT36avd4VLOeuyhB
PCswzb8LU77YzkRN11gjIlDMSDBQFx06KXioBUO3saKKG/ASDk0pC3HBlv2ed2XfcgECoAgRLe8f
VylNlTJpj94bjt0hBvsQ3h+w+p767Wf8lvd8FZpBbeLIlDKF5k2QuDUDMd9OdRCcHA9jRLrmyJSK
12DJS48GU+bNnJXGAJ5DiFYrroVPy+gwM1+7Ski45cDWq1aK/BPxVFJ/dgl4+4TaVNQEQdL05gg7
kIIIFlKMKiiZuifgCpMKMbwAaROFRlxF4eT98U6VtRvw8r9T1qHXMUlVdUjuw1oxlD4zCuyLx2Np
a92q8mb4IwLCpC7W/00ynYKt7h7go88t+gVnV0MU+v4ZHInrMkFC5PnRG5Yec07P5/MhQV0eY7ZE
NWM0wnwph9Tkm9+NGbLCeRkN9l2ZEoxN4SOQzpfsxsPahcX0hmG0XbeumAN7PlOKFXa5JpI+6jw7
542NC2x1A895z2iBtjIcmGLp73zuw+YLZAEgWa5NUt6tlGQky1uWNMir3kG5BZi79IFGJdDUXS2I
cJv1ggRkMmoRX7sc98w8x5NrEA5w98Nkwg5PuOzDl4NP6xKQ/BjzUL/PCkujIMxpcrAWGgpYdXPM
3EsTPGHmCI/irM0jlJRw6WWAgMXnTIdXOdyPqhyQh0ww007k0ptqYWkysRtEkq2NIf2djNdRV69x
NuXrmO572z5ZIW90VNs+PTl48lhJ8lkYNJwtTw3tfmCNfEokBn0cghuzDDxl1KrSP4PLI7homr3y
iNp07XdYVdTICvZi2qfvHoyiF8w41YMx+C8JDaL3GPintHBeFWdRnGfgdwVsLGY0rvAp/V9NzjcJ
GVFX0NjdSKG1pODFHsCaK1ANw1HurmW4n2eEhWhwz70mg0rKMWEFYyZAsKJPzEsYRCS9wjA7hJqR
+9F/mqCkdKldw4Fri5ESPMsj1b104DdmD9BCj+LGaC02o7yDSfbSlUztXQbeCw916bVJeJTusDVk
jd2O9Kp1jqrg6T//reuGxRkKRi8ivwbMT5649I2zQf7rhZ2wpEXxNVBgGS2Dw9Pgyj+RyOueo4CK
Fqv6GkcllKdQmpAzo07nM0nklOwmGKsg+NAY4GV4XQMiuXANmmwFExxSqT0eYncm69SN0pKGLtc7
f1enfuIwk7O9J2CkX2SuITdoC4vBTbsnLoiF+PJ5SGPELoRPdF90eOHQRY/0+OW5IGeUy6jtCqnm
sqKoHPCXKMpZP5Knv2xBCrr0wXJBTPkyE7AwwsGjw9d+uiAGcrQvyUjBuo9Pwfst+g0xqmvmQGxZ
bI+oaI6ZUyDTmk/XSVBYEbi4AtGTgynUKHTBLuSJAkxmWUgKGvZc83/Ic2dOVFupn20tqPmfspbW
rl76o+okw/g/Qoy1gmtFi5q7EiXdd4yD3Mv2nTVolnNx1bPBT5IBLUBOQA7NvahKmuIYoxZpYH4o
OjLRYKVaUmyVsanjbDT2z2STxe/bzvvvXFg3HCF9UuS5p6ltFJoYVvti9ZIVYEzdSorpeuhnUh2k
dbq9d+RjJ06QrrMmV9qGyKezP9LwUiHan/TeD1ylx91UVV49y/4O8XU8AbIdXzIl4Z6EhcL6HgRc
RHopgsQrpr2E3Qc0xOfbosSEqY8TuqYAc1/oykf6+YADithXbzWDY1UR1QjRDVy/14UnpJnLjCAv
HWD1dwLThuvxDXanXIIQnxTQPf4rhVhkpckC2hRq2PTgxHCuY1kgWhjmurr8/iAU8uoT3VXn0ab1
493+4OIz8Zp8WcgYtKTdrT4MbWWXoTAhiBCZmogC+0noXye66vhKUfxI6LEXCFOvtiwiHGAQSQqS
lLjeQj1eBGEswkAOUBdiJ3TJBRm/yBB3ipeKiQiKuaKKiGCmF7BOe3ECdmNCaDDYPkJShrhjX4LR
2AWyjdKkxDIixjc/b9QGvuaTwZ3Tc+COOAOXj26LMIAe1J73tvomdtiPArr+yY+s/dSjVvsQ5T3a
fTu2opvD1UZHDK0enc6yImyEZxPteX2hqA+5wm3hKp9JWbwQLUn3sRBmLy6wFMzoZkibWT6Spjgu
9SlYYxFbVCVENCiknGU+qTfem3ZnnQQLjJ4MjhhhA3OPycmguFrKUA8RInr2kD6Ni5MUtrLcVnrh
fjkTkhtIeJIro7/MKKgPksEp2LAAXB9bgGGRS6EMcrv4Yk4vk9Tlpwfz5bvg89net74NvTtHbPR1
QFF9AOynNwNetRP1HB2b5kD8h0SNG3gRd1sWY5M9lI3BcmA3/N1EjhPo8yr0CNKYBdL/YMBnp6iS
dAIAQwOA4ia9GxdWf4Q5WTYPrOhn6kPSZZdEWkqSXog7Lwr7wtjGOyr4NfaKXJS3cny1jP2d9Sm/
t+P64NGxUJ6db8cFdqmStWvt4DPhJ54vAne6ypkG+R42uXw3J7B1+2z99UP9RLUkk8B/3oaoDpQB
LQr7CK1M9qogYN5xJGVsKMOGVuJtOQNWxTyGjRgI48qotkDPiNqdN+Ec9x8lfn9CjZqk5sssRDas
cHWppgQK842LW5XXOqWnf7lNZ5RqSI8pnQhg5SSKaExSRFCHb0Jq+rC4f9KrA4AOPbg1DyNj1a1Q
gGwC4bgJq5yQWBoj2nbUsgNEUpvZ6Qz+exY03+WY3oKjeZ6EePSIJQn4V3iBxN3IWdQV08DB5gBi
vp9x2LYAX0HlY3PJiThShRCJgoRs/FyAywfMbR0a2rnbsBrZslo+829/AfQWK954h1KKavy0oNOs
q/R0U8I/PiTMf5AX/tRJOQKljoOTQ6A4oSwS0uYEN3Km5uMUqRX9WAzKMaCvefy4Vbtk4tpVHIPc
TC1yq3gHlHPdkil+bwXiXDraX5VGwVy7YMCfxNbgRc0AbQIzCFpeGHmHt/lUV1TlqmgJ2Xka68k1
I5WMaQi6kPPgne8S1HX2NRHFxT51LQWQMq+pll4prUEn4bjO3HJ/uZGVemlSo4Fv8q0tc00soQvu
MLa4W5BaCuPJZEKXCU4C6xvtJHj4nSZCTfT+sBtjTcbJ313H7PnGIgJ6uWAC+L/eRmD9mWoUDwBh
ozFUs7+bIHiTGT1Gl0NqeiiWaHqb7xtC3G8rDMtek+P7zBFDegSd0fTaA2d6wqMsh2R1YSXrxUf4
4LcSMmcOG7YxQkMZrhND61P8EUyw9aorXabizBy+qRP5cU0Mb2Fzoob8KUdKo1lL9+9LUuHTMuqs
EGQToaGFi1NBZCh9ZehCNuGxVT8QwVhi6/29y2yuQh+i2QuCvCDSnuLVdTYc0ggxqKIQs9bbal4M
DagLbWpjdSCfrjZGZmvjr/D0wsUU2Nk30BdOkF6W3LfLZLLBK53xP5HfzHkN2ZmUDsabHx5YY09T
kCnwQinK33ZHAY1LwU42kfTeROuex/k8wE7d1v/N+5PIdH4FZSXC5FQfNU1vKsONs7WTj1KkgHjM
ASvlB9UOJ1nzLATNsBQOhv4ogKV3Ngh6Ecr8b+Xvr9AmBJGBN0hssFmSAkvg0H1QhWXZrtL4kJId
ki2X0DwFm2IA3+1GhyKLkdF/KvY7OcEaJ1q0qtEEAYHtvylq37RPSsSSQ/LEdAN11nL6TZjaDem3
ZptfyFSoRPNTicr5oLLWJ5H63ZvmLSjAI10nW0XQ93n5XE7Y6Gwp4s9PEPbqW6nqWKJ8uyCPmCWN
GYE6EwDfhCwjwRAiNJ7CKkPfuLvG8t/VjRZjUOuJQZ4t57qC03fWrl0MadcJ+8791XS1dIDsklvY
8BRW3ViDqECyDLIrrqiwY+HMzsb3pkgNs3DlfU6IGyv+lPcTJ3/laTskHl7QfzrvvM+Ebqh9OFlS
c4FMang+3U2jWu0074GhOPzW3n3bemTlsOD5vBjkMyFMiCksTwBVsOUNNN/9UW1o4bjF0cHAnrQJ
opExMUk7dXgLOAwysq2oZ57oO8QvCIQu9RK24413QFll+Ens/VHT1wMOiztsF1VpCVYqgBK1O/VB
PkTKUoNQfHBO41UC6FrqfuVRwpEUwkqskLNk9OLxdcre+zgDzw91e34Q/NU1vQdCrMC3b/xGh/J7
3qzze95kTyZ1RJIWbon5PFrU2Hi6bHykI/BVzsabdiWuPLmMRVal8aCWvoCWxF8/bOmaA5sCw+hL
3mUjBjB/b1yV7fZtRATeWKNjEs+SAIHthV8TiFpEK1I0AnN+dsROSBAUYKUDEk/Ju/ZjVAPO0L8o
JnEiNBJPTg2rtJov1k841hx9bbWznXffiR6QYKEBriRcRNhmAO/xaut9br+zHpq2qvSSD5V1T4Wd
Moyk1tsBSgHKsIVHWCWwhyT/i/HYb2dozeBx4yn4BcTsze8lS2KRsSFJp5cWpa4/suSdGTdlGsbG
/2Uho/1g9JRtrKpOYNgOyifu+OqUEB8xf16g+Yr4AzD6kStMZDAxylGN87TAA7df7ZFJgfuFCOWr
6+KcatIaA+GlNnmTp0dWC8IbWZi/4pH+08I0oj2EBmv+ZdthH75uAWyccuw5TKaSAd3mXjSpvgM/
8yBF0Pw8GsW2qiHxb6vbKLZRDCteyn83TfQFpJJW1VPGoYO7iPamBMvGmnLxAXTU/TMcIDHr9QDX
tohQLYh7+5tTU4qjlaUZPQqaSPTjoHpYc9H7mmsAS6qVffH0lTyDbQvGpttC9zN9R1CNoQKh1TZF
lxDaTiSxzed68BTbbkqQqm+I9HhALpsdghH0PqwvXLUl8Om2bT/jYxf5OyjnxMY+xsypkZjU7AKn
sXqCsOkZgLNJywQukDs7Vx5liNWCHY86vF3ZToXjCoM2dh37+mmbTS91VLNpVJVF62jFlktBIeJ+
ddEXOO0Juuy0kzLEdSuhL6Ss/cNovs8v52hV6TXvgMnV4yYXhrD6SjDeM0uxfW4fh2GfOTJzEaE2
Vu+saFvVsvnAA0Mxy5tkquLwn0q/YqWfrhPKGKuNBeO5F6M5xMZpph1YtMEBpA+V/wDxcEe3Njlc
3F2X0fpJAfb7ZNalmzslI0iaQjABIbAGV4R8RWKAZaGxboyVglG/wpcSuphrUgPnfpFIVvz8H9XC
hknC62qwxdt+vXGuuSOHCNuXczHYPcyaWcESA3cIPMiwRJcWeTE49OBPGeDHxPsXjviqTavgkhuS
VdgJ2cVHpcYLvaTF1xsocDEA5QnRsaCLc5RuC81MHkrY3kjdoOBfE7QaLz1goNWuY4MKtvKiMmrT
o2kunnMZCwroucUwtg0D5YxBoPJ4Y/LTxoscNR8O3l15v8ZL7sfGM/mhlrb/zUkJXAZUUHZ724J1
JCeAJrsJaqkQJDVeEBdCHY6oJtcjmb1NkCCzDN5NdfbGxcy7uk2cfP/0lGbylBn/7MIPWF8y4WxS
drBchaFe4F3Zp0vsRa5akePsiEUju2z/Ca8dZdb70xdECSuXg4RQVZydhfpcTYS5osi3Ye9A36X9
LLDqpvNzB+xSigfhO9+7nqWQgRChDXgHMHs1cD+BZWvdtlmhumns6XO/mEaIc3WjwpMw1ImnNgqw
S1myHh8GqSmui/Ur4wLtzXI9HEsmuB5D2hINTmT+vdnirgRLDnc4Jy0gn52msb/4+QkpDozWk+Re
YYQevpS2MTri+GdVHSO++6gkEBjk6tJsL1nDzt3rpFyjU1zmvtAfyIzp4YbIn4noU80VPUlpkApw
/T1t577GY6ZMTbyBuqKkQ+e/4iNK2DNvhNnvWIk1T2yUAB0+sLxnrgnWl7YAAOVnXmtUlGo28LyN
kxovLoKh/+2iZ7P5bpxHSFhTUTHr3Y+yjpmQlOg1xGzXv7VhiAHySsNhZ0TWAU3FFKTZa4z5mq+4
TqoVRGwXZDK9aosjNbpSl+c8sPHSe0DYMwkUap2hbzAphv0OlqxmbmbHWOkmqIEOVADFScX0/jDG
edDfvixQ222VKutXqQmIplzvXXA9RcWD6+6+DZQz1+W12mxB87N8AvEYA2pWjsHZgsdxFNxeKceV
SeHLCsmX9yeh/ksfSlez9wFcXay8MqLZWClqj/XbHQ80Oeq0DpgDNyWkvjqCxIn1a+Ko5p9o7Avc
UMJf3aaNKcY4eKnX8t+haR5FDiTI05p29+1o5GjAJgMU4r4JG1H8orsumuXipemlJOf7rUj16OzH
ncTvbofQSMwBk9Wj9JrTQfhs0jYHfHs4I+V7j1a5LohfWDuXqQOukulNaVMh8aMvsoOwQM2e7pOE
A6+1LWeU+r6ckVdJLAw2KDyyl8wenro4js8CTO8zSD6G7JnuU9qtEoOu5hJ4oj9s09wuV3+2hXgl
nz5CeyMcwAugtScpSYI1qHwyViz2QARN7/eMUxH03cDM6ZPC9I1XHmCRl0BNmpeNqa6Pdc3uYw5n
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
LrLwv3wh7GrPN2kRdqcQuzsZdcA6YWZnGFpFZfNIB1CUHVfV2YfTGC0pQM+B7kuepF/B3EwITXlI
geOVKgrT7Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
HCkSaB1OGojiqkqp0tktUnYEhDwGOrRW8mQR7+0WBu1kT0l5wZfSCYeC7veTlbokwkzwVwF7s9eH
SqNwTHK8r9XHLy9TNRFQJ1YiZ5PbOgVBnaTZd6CtBrr3Zb2g0G7VYcAyoaZ5RckULjeBbGakKqye
ZNpxJ68HsSvrhzPG+/c=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
A7du0ZBChZpRPA+CBdiL9iJBlzB64zkQ5Jx4P8S/cAscxvefrPE73WwqEF85eg46Nc6bHgdVALsD
3Eueq96EsFtytIZH2nDoM4R0hpgl/mrhX+KXWvqtVBMHF/QM+XSbor7UD3CeUq/HqIIMHastQAoG
jtKiuVmyWEF+4QIh08Yesyp1rOCEEgXRBLGbe6L4N4Su6TvNmTK0iTTi+ymf34CDBURmr5wy+ekn
2Bey+5xha1jdzYD+1iS9Hw2By7bzZKFRc9+kKUakD4am0lVzTr2jz5wAu0l3LJLLZ6rdOSuxhboF
fwylKyE7TPUk5hQd+WPW7wstY81moynM8fy+kg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Rl9tP5IhX/IUVuWQf4djn5oZLsyBvYaerGTp66Fv16joli+8V+8rGH48bwc6jWdmoBjVN2VU9gz1
HiSSfory30d2QDwX+leo+zXekVTxieiEGdGnold6uy2YRBUaVbo7+PpX5A2GVpqwjSF6hZx8mcIL
AQP4UfyDr3n2dcPuFvE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
owkaGowPm1iNAzG9ufAze903cjObnni7bC4SBPyedk1YtMXxf31rCTIa5RbW7iSOhWnlylEd7hTl
yICmyNbENnvnEmaXu2EfXalIprQeJS9ZOqW6Z6fyh7+/PvDfgsb5iJqSw9rrVoOzw0kz02wu3vuG
z9S68u1c0LlC+ZeV0Y5848mzkDXlqKGisRP4QNi/ZXE0PljLD7dJYgKUhxjcOqJDj5ug3MVLe5w4
RnufDbIk4Sb0xPCI9LxmEmIRRezLZjvfyMbXxf17NRQ/sipbnKX7SZ+LV/uvwc/oreoY/tRihRqr
zizssuDms0fgq1WzwjZXCNT55f9dSGH1k0T0jA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8208)
`protect data_block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`protect end_protected
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 17:33:48 11/17/2015
-- Design Name:
-- Module Name: Datapath - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity Datapath is
end Datapath;
architecture Behavioral of Datapath is
begin
end Behavioral;
|
--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 18:59:22 01/11/2012
-- Design Name:
-- Module Name: F:/repos/cpe-233-test-benches/lab-4-arc/RegisterFileTestBench.vhd
-- Project Name: lab-4-arc
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: RegisterFile
--
-- Dependencies: RegisterFile
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- Runtime must be set to 700ns for proper execution
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY RegisterFileTestBench IS
END RegisterFileTestBench;
ARCHITECTURE behavior OF RegisterFileTestBench IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT RegisterFile
PORT(
D_IN : IN std_logic_vector(7 downto 0);
DX_OUT : OUT std_logic_vector(7 downto 0);
DY_OUT : OUT std_logic_vector(7 downto 0);
ADRX : IN std_logic_vector(4 downto 0);
ADRY : IN std_logic_vector(4 downto 0);
WE : IN std_logic;
CLK : IN std_logic
);
END COMPONENT;
-- test signals
signal data_x_exp : std_logic_vector(7 downto 0) := x"00";
signal data_y_exp : std_logic_vector(7 downto 0) := x"00";
--Inputs
signal D_IN_tb : std_logic_vector(7 downto 0) := (others => '0');
signal ADRX_tb : std_logic_vector(4 downto 0) := (others => '0');
signal ADRY_tb : std_logic_vector(4 downto 0) := (others => '0');
signal WE_tb : std_logic := '0';
signal CLK_tb : std_logic := '0';
--Outputs
signal DX_OUT_tb : std_logic_vector(7 downto 0);
signal DY_OUT_tb : std_logic_vector(7 downto 0);
-- Clock period definitions
constant CLK_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: RegisterFile PORT MAP (
D_IN => D_IN_tb,
DX_OUT => DX_OUT_tb,
DY_OUT => DY_OUT_tb,
ADRX => ADRX_tb,
ADRY => ADRY_tb,
WE => WE_tb,
CLK => CLK_tb
);
-- Clock process definitions
CLK_process :process
begin
CLK_tb <= '0';
wait for CLK_period/2;
CLK_tb <= '1';
wait for CLK_period/2;
end process;
-- verify memory
VERIFY_process :process
variable I : integer range 0 to 32 := 0;
begin
--Write to RegisterFile
ADRX_tb<="00000";
D_IN_tb<="00000000";
wait for 4ns;
WE_tb <= '1'; --togle high before rising edge
wait for 1ns;
while( I < 32) loop
wait for 1ns;
WE_tb <= '0'; --drop after rising edge
wait for 1ns;
ADRX_tb <= ADRX_tb + 1; --prepare next address and data
wait for 1ns;
D_IN_tb <= D_IN_tb +2;
wait for 6ns;
I := I+1;
if(I <32) then
WE_tb <= '1';
end if;
wait for 1ns;
end loop;
WE_tb <= '0';
-- DX_OE_tb <= '1';
wait for 75ns; --no reason, just like to start at a nice number such as 400ns...
-- Read from RegisterFile
I := 0;
-- set initial values
data_x_exp <= "00000000";
data_y_exp <= "00000010";
ADRX_tb <= "00000";
ADRY_tb <= "00001";
-- loop through all memory locations. NOTE: can read two at once
while ( I < 16) loop
WE_tb <= '0';
wait for 1ns;
if not(DX_OUT_tb = data_x_exp) then
report "error with data X at t= " & time'image(now)
severity failure;
else
report "data X at t= " & time'image(now) & " is good"
severity note;
end if;
if not(DY_OUT_tb = data_y_exp) then
report "error with data Y at t= " & time'image(now)
severity failure;
else
report "data Y at t= " & time'image(now) & " is good"
severity note;
end if;
wait for 1ns;
--get new values
data_x_exp <= data_x_exp + 4 ; --add 4 because each location increases by 2, and you're increasing by 2 memory locations
data_y_exp <= data_y_exp + 4 ;
ADRX_tb <= ADRX_tb + 2;
ADRY_tb <= ADRY_tb + 2;
wait for 8ns;
I := I + 1;
end loop;
wait for 40ns; -- again, just lining up for a nice start time of 600ns.
-- -- Test OE pin of RegisterFile
-- DX_OE_tb <= '0';
-- wait for 50ns;
-- if not (DX_OUT_tb = "ZZZZZZZZ") then
-- report "error with OE pin"
-- severity failure;
-- else
-- report "OE pin test 1 passed"
-- severity note;
-- end if;
-- -- DX_OE_tb <= '1';
-- wait for 50ns;
-- if (DX_OUT_tb = "ZZZZZZZZ") then
-- report "error with OE pin"
-- severity failure;
-- else
-- report "OE pin test 2 passed"
-- severity note;
-- end if;
-- report "Error checking complete at 700ns" severity note;
end process VERIFY_process;
END;
|
entity tb_dff01 is
end tb_dff01;
library ieee;
use ieee.std_logic_1164.all;
architecture behav of tb_dff01 is
signal clk : std_logic;
signal en1 : std_logic;
signal en2 : std_logic;
signal din : std_logic;
signal dout : std_logic;
begin
dut: entity work.dff01
port map (
q => dout,
d => din,
en1 => en1,
en2 => en2,
clk => clk);
process
procedure pulse is
begin
clk <= '0';
wait for 1 ns;
clk <= '1';
wait for 1 ns;
end pulse;
begin
en1 <= '1';
en2 <= '1';
din <= '0';
pulse;
assert dout = '0' severity failure;
din <= '1';
pulse;
assert dout = '1' severity failure;
en1 <= '0';
din <= '0';
pulse;
assert dout = '1' severity failure;
en1 <= '1';
din <= '0';
pulse;
assert dout = '0' severity failure;
wait;
end process;
end behav;
|
--------------------------------------------------------------------------------
-- PROJECT: PIPE MANIA - GAME FOR FPGA
--------------------------------------------------------------------------------
-- NAME: BRAM_SYNC_TDP
-- AUTHORS: Jakub Cabal <xcabal05@stud.feec.vutbr.cz>
-- LICENSE: The MIT License, please read LICENSE file
-- WEBSITE: https://github.com/jakubcabal/pipemania-fpga-game
--------------------------------------------------------------------------------
-- BRAM_SYNC_TDP is optimized for Altera Cyclone IV BRAM!
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity BRAM_SYNC_TDP is
Generic (
DATA_WIDTH : integer := 32; -- Sirka datoveho vstupu a vystupu
ADDR_WIDTH : integer := 9 -- Sirka adresove sbernice, urcuje take pocet polozek v pameti (2^ADDR_WIDTH)
);
Port (
CLK : in std_logic;
-- Port A
WE_A : in std_logic;
ADDR_A : in std_logic_vector(ADDR_WIDTH-1 downto 0);
DATAIN_A : in std_logic_vector(DATA_WIDTH-1 downto 0);
DATAOUT_A : out std_logic_vector(DATA_WIDTH-1 downto 0);
-- Port B
WE_B : in std_logic;
ADDR_B : in std_logic_vector(ADDR_WIDTH-1 downto 0);
DATAIN_B : in std_logic_vector(DATA_WIDTH-1 downto 0);
DATAOUT_B : out std_logic_vector(DATA_WIDTH-1 downto 0)
);
end BRAM_SYNC_TDP;
architecture FULL of BRAM_SYNC_TDP is
type ram_t is array((2**ADDR_WIDTH)-1 downto 0) of std_logic_vector(DATA_WIDTH-1 downto 0);
shared variable ram : ram_t := (others => (others => '0'));
begin
process (CLK)
begin
if (rising_edge(CLK)) then
if (WE_A = '1') then
ram(to_integer(unsigned(ADDR_A))) := DATAIN_A;
end if;
DATAOUT_A <= ram(to_integer(unsigned(ADDR_A)));
end if;
end process;
process (CLK)
begin
if (rising_edge(CLK)) then
if (WE_B = '1') then
ram(to_integer(unsigned(ADDR_B))) := DATAIN_B;
end if;
DATAOUT_B <= ram(to_integer(unsigned(ADDR_B)));
end if;
end process;
end FULL;
|
-- Test case from Brian Padalino
--
entity wait22 is end entity ;
architecture arch of wait22 is
procedure generate_clock(signal ena : in boolean ; signal clock : inout bit) is
begin
-- Inspired by UVVM clock_generator procedure
loop
if not ena then
if now /= 0 ps then
report "Stopping clock" ;
end if ;
clock <= '0' ;
wait until ena ;
end if ;
clock <= '1' ;
wait for 5 ns ;
clock <= '0' ;
wait for 5 ns ;
end loop ;
end procedure ;
signal clock_enable : boolean := false ;
signal clock : bit := '0' ;
signal reset : bit := '1' ;
begin
gen_clock_p: generate_clock(clock_enable, clock) ;
tick_p: process(clock, reset)
begin
if reset = '1' then
else
if clock'event and clock = '1' then
report "Clock tick" ;
end if ;
end if ;
end process ;
tb : process
begin
report "About to begin" ;
wait for 10 ns ;
reset <= '0' ;
wait for 10 ns ;
clock_enable <= true ;
wait for 100 ns ;
std.env.stop;
end process ;
end architecture ;
|
--!-----------------------------------------------------------------------------
--! --
--! BNL - Brookhaven National Lboratory --
--! Physics Department --
--! Omega Group --
--!-----------------------------------------------------------------------------
--|
--! author: Kai Chen (kchen@bnl.gov)
--!
--!
--!-----------------------------------------------------------------------------
--
-- Create Date: 21:33:01 2015/11/18
-- Design Name:
-- Module Name: HDLC_TXRX - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description: The MODULE to send data to IC/EC bit, and receive data from
-- IC/EC bit. The data encoding and decoding to/from HDLC shold
-- be done in software. This module only send and receive data
-- to a multi-bytes register. users can change its width.
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
LIBRARY IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
library UNISIM;
use UNISIM.VComponents.all;
use work.pcie_package.all;
use work.FELIX_gbt_package.all;
entity HDLC_TXRX_WRAPPER is
generic (
REG_WIDTH : integer := 256;
GBT_NUM : integer := 24
);
port (
txclk_40m : in std_logic;
rxclk_40m : in std_logic;
ICECBUSY : out std_logic_vector(15 downto 0);
ICEC_INT_RX_DATA : out txrx64b_24ch_type;
register_map_control: in register_map_control_type;
ICEC_RX_4b : in txrx4b_type;
ICEC_TX_4b : out txrx4b_type
);
end HDLC_TXRX_WRAPPER;
architecture behv of HDLC_TXRX_WRAPPER is
type txrx256b_24ch_type is array (23 downto 0) of std_logic_vector(REG_WIDTH-1 downto 0);
signal rx_ic_reg : txrx256b_24ch_type;
signal tx_ic_reg : txrx256b_24ch_type;
signal rx_ec_reg : txrx256b_24ch_type;
signal tx_ec_reg : txrx256b_24ch_type;
signal ic_trig : std_logic_vector(23 downto 0);
signal ec_trig : std_logic_vector(23 downto 0);
signal IC_RX_REG_BUF : std_logic_vector(REG_WIDTH-1 downto 0);
signal EC_RX_REG_BUF : std_logic_vector(REG_WIDTH-1 downto 0);
signal ic_ch_sel : std_logic_vector(4 downto 0);
signal ec_ch_sel : std_logic_vector(4 downto 0);
signal ICEC_TX_4b_i : txrx4b_type(0 to GBT_NUM-1);
signal ICEC_RX_4b_i : txrx4b_type(0 to GBT_NUM-1);
signal ic_trig_i : std_logic_vector(23 downto 0):=x"000000";
signal ic_trig_r : std_logic_vector(23 downto 0):=x"000000";
signal ic_trig_2r : std_logic_vector(23 downto 0):=x"000000";
signal ic_trig_3r : std_logic_vector(23 downto 0):=x"000000";
signal ic_sel_rx_i : std_logic_vector(23 downto 0):=x"000000";
signal IC_busy_pull : std_logic_vector(23 downto 0):=x"000000";
signal ic_int : std_logic_vector(23 downto 0):=x"000000";
signal ec_trig_i : std_logic_vector(23 downto 0):=x"000000";
signal ec_trig_r : std_logic_vector(23 downto 0):=x"000000";
signal ec_trig_2r : std_logic_vector(23 downto 0):=x"000000";
signal ec_trig_3r : std_logic_vector(23 downto 0):=x"000000";
signal EC_busy_pull : std_logic_vector(23 downto 0):=x"000000";
signal ec_int : std_logic_vector(23 downto 0):=x"000000";
signal muxsel : std_logic_vector(23 downto 0);
begin
ic_trig_i(4 downto 0) <= register_map_control.ICEC_TRIG(4 downto 0);
ic_sel_rx_i(4 downto 0) <= register_map_control.ICEC_TRIG(20 downto 16);
ec_trig_i(4 downto 0) <= register_map_control.ICEC_TRIG(12 downto 8);
tx_ec_reg(0) <= register_map_control.EC_TXDATA03 & register_map_control.EC_TXDATA02
& register_map_control.EC_TXDATA01 & register_map_control.EC_TXDATA00;
tx_ec_reg(1) <= register_map_control.EC_TXDATA13 & register_map_control.EC_TXDATA12
& register_map_control.EC_TXDATA11 & register_map_control.EC_TXDATA10;
tx_ec_reg(2) <= register_map_control.EC_TXDATA23 & register_map_control.EC_TXDATA22
& register_map_control.EC_TXDATA21 & register_map_control.EC_TXDATA20;
tx_ec_reg(3) <= register_map_control.EC_TXDATA33 & register_map_control.EC_TXDATA32
& register_map_control.EC_TXDATA31 & register_map_control.EC_TXDATA30;
tx_ec_reg(4) <= register_map_control.EC_TXDATA43 & register_map_control.EC_TXDATA42
& register_map_control.EC_TXDATA41 & register_map_control.EC_TXDATA40;
ch_gen : for i in GBT_NUM-1 downto 0 generate
tx_ic_reg(i) <= register_map_control.IC_TXDATA03 & register_map_control.IC_TXDATA02
& register_map_control.IC_TXDATA01 & register_map_control.IC_TXDATA00;
process (txclk_40m)
begin
if txclk_40m'event and txclk_40m='1' then
ic_trig_r(i) <= ic_trig_i(i);
ic_trig_2r(i) <= ic_trig_r(i);
ic_trig_3r(i) <= ic_trig_2r(i);
IC_busy_pull(i) <= ic_trig_r(i) and (not ic_trig_3r(i));
ic_trig(i) <= ic_trig_r(i) and (not ic_trig_2r(i));
ec_trig_r(i) <= ec_trig_i(i);
ec_trig_2r(i) <= ec_trig_r(i);
ec_trig_3r(i) <= ec_trig_2r(i);
EC_busy_pull(i) <= ec_trig_r(i) and (not ec_trig_3r(i));
ec_trig(i) <= ec_trig_r(i) and (not ec_trig_2r(i));
end if;
end process;
process(rxclk_40m)
begin
if rxclk_40m'event and rxclk_40m='1' then
if ic_busy_pull(i) = '1' then
ICECBUSY(i) <= '1';
elsif ic_int(i)='1' then
ICECBUSY(i) <= '0';
end if;
if ec_busy_pull(i)= '1' then
ICECBUSY(i+8) <= '1';
elsif ec_int(i)='1' then
ICECBUSY(i+8) <= '0';
end if;
end if;
end process;
end generate;
ICEC_INT_RX_DATA(3) <= IC_RX_REG_BUF(255 downto 192);
ICEC_INT_RX_DATA(2) <= IC_RX_REG_BUF(191 downto 128);
ICEC_INT_RX_DATA(1) <= IC_RX_REG_BUF(127 downto 64);
ICEC_INT_RX_DATA(0) <= IC_RX_REG_BUF(63 downto 0);
ICEC_INT_RX_DATA(7) <= rx_ec_reg(0)(255 downto 192);
ICEC_INT_RX_DATA(6) <= rx_ec_reg(0)(191 downto 128);
ICEC_INT_RX_DATA(5) <= rx_ec_reg(0)(127 downto 64);
ICEC_INT_RX_DATA(4) <= rx_ec_reg(0)(63 downto 0);
ICEC_INT_RX_DATA(11) <= rx_ec_reg(1)(255 downto 192);
ICEC_INT_RX_DATA(10) <= rx_ec_reg(1)(191 downto 128);
ICEC_INT_RX_DATA(9) <= rx_ec_reg(1)(127 downto 64);
ICEC_INT_RX_DATA(8) <= rx_ec_reg(1)(63 downto 0);
ICEC_INT_RX_DATA(15) <= rx_ec_reg(2)(255 downto 192);
ICEC_INT_RX_DATA(14) <= rx_ec_reg(2)(191 downto 128);
ICEC_INT_RX_DATA(13) <= rx_ec_reg(2)(127 downto 64);
ICEC_INT_RX_DATA(12) <= rx_ec_reg(2)(63 downto 0);
ICEC_INT_RX_DATA(19) <= rx_ec_reg(3)(255 downto 192);
ICEC_INT_RX_DATA(18) <= rx_ec_reg(3)(191 downto 128);
ICEC_INT_RX_DATA(17) <= rx_ec_reg(3)(127 downto 64);
ICEC_INT_RX_DATA(16) <= rx_ec_reg(3)(63 downto 0);
ICEC_INT_RX_DATA(23) <= rx_ec_reg(4)(255 downto 192);
ICEC_INT_RX_DATA(22) <= rx_ec_reg(4)(191 downto 128);
ICEC_INT_RX_DATA(21) <= rx_ec_reg(4)(127 downto 64);
ICEC_INT_RX_DATA(20) <= rx_ec_reg(4)(63 downto 0);
IC_RX_REG_BUF <= rx_ic_reg(0) when ic_sel_rx_i(0) = '1' else
rx_ic_reg(1) when ic_sel_rx_i(1) = '1' else
rx_ic_reg(2) when ic_sel_rx_i(2) = '1' else
rx_ic_reg(3) when ic_sel_rx_i(3) = '1' else
rx_ic_reg(4) when ic_sel_rx_i(4) = '1' else
IC_RX_REG_BUF;
-- EC_RX_REG_BUF <= rx_ec_reg(0) when ec_ch_sel = "00000" else
-- rx_ec_reg(1) when ec_ch_sel = "00001" else
-- rx_ec_reg(2) when ec_ch_sel = "00010" else
-- rx_ec_reg(3) when ec_ch_sel = "00011" else
-- rx_ec_reg(4) when ec_ch_sel = "00100" else
-- rx_ec_reg(5) when ec_ch_sel = "00101" else
-- rx_ec_reg(6) when ec_ch_sel = "00110" else
-- rx_ec_reg(7) when ec_ch_sel = "00111" else
-- rx_ec_reg(8) when ec_ch_sel = "01000" else
-- rx_ec_reg(9) when ec_ch_sel = "01001" else
-- rx_ec_reg(10) when ec_ch_sel = "01010" else
-- rx_ec_reg(11) when ec_ch_sel = "01011" else
-- rx_ec_reg(12) when ec_ch_sel = "01100" else
-- rx_ec_reg(13) when ec_ch_sel = "01101" else
-- rx_ec_reg(14) when ec_ch_sel = "01110" else
-- rx_ec_reg(15) when ec_ch_sel = "01111" else
-- rx_ec_reg(16) when ec_ch_sel = "10000" else
-- rx_ec_reg(17) when ec_ch_sel = "10001" else
-- rx_ec_reg(18) when ec_ch_sel = "10010" else
-- rx_ec_reg(19) when ec_ch_sel = "10011" else
-- rx_ec_reg(20) when ec_ch_sel = "10100" else
-- rx_ec_reg(21) when ec_ch_sel = "10101" else
-- rx_ec_reg(22) when ec_ch_sel = "10110" else
-- rx_ec_reg(23) when ec_ch_sel = "10111" else
-- rx_ec_reg(0);
ICEC_HDLC_TXRX_GEN : for i in GBT_NUM-1 downto 0 generate
IC_HDLC_TXRX_inst : entity work.HDLC_TXRX
generic map(
REG_WIDTH => REG_WIDTH
)
port map(
rx_long_data_reg_o => rx_ic_reg(i),
tx_long_data_reg_i => tx_ic_reg(i),
tx_trig_i => ic_trig(i), --one tx40m cycle
rx_trig_o => ic_int(i), --one rx40m cycle
txclk_40m => txclk_40m,
rxclk_40m => rxclk_40m,
IC_RX_2b => ICEC_RX_4b(i)(3 downto 2),
IC_TX_2b => ICEC_TX_4b(i)(3 downto 2)
);
EC_HDLC_TXRX_inst : entity work.HDLC_TXRX
generic map(
REG_WIDTH => 256
)
port map(
rx_long_data_reg_o => rx_ec_reg(i),
tx_long_data_reg_i => tx_ec_reg(i),
tx_trig_i => ec_trig(i), --one tx40m cycle
rx_trig_o => ec_int(i), --one rx40m cycle
txclk_40m => txclk_40m,
rxclk_40m => rxclk_40m,
IC_RX_2b => ICEC_RX_4b_i(i)(1 downto 0),
IC_TX_2b => ICEC_TX_4b_i(i)(1 downto 0)
);
process(rxclk_40m)
begin
if rxclk_40m'event and rxclk_40m='1' then
--if muxsel(i)='0' then
ICEC_RX_4b_i(i)(1) <= ICEC_RX_4b(i)(0);
ICEC_RX_4b_i(i)(0) <= ICEC_RX_4b(i)(1);
--else
-- ICEC_RX_4b_i(i)(0) <= ICEC_RX_4b(i)(0);
--ICEC_RX_4b_i(i)(1) <= ICEC_RX_4b(i)(1);
--end if;
end if;
end process;
ICEC_TX_4b(i)(0) <= ICEC_TX_4b_i(i)(1);
ICEC_TX_4b(i)(1) <= ICEC_TX_4b_i(i)(0);
end generate;
end behv;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
p2uKwpGifAgpoZdWTJormYLkghAL+Nt1EUf6bq161tC9Af/4e3kAo8EHswflkywqXNa/oWHTqJi7
a6PCGGd9zw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iGKN0YBzsq5cm4a1PWhln5xZAeWyxV/jTGvrN1yEtrTE9mu9DfIzb1BDdmYW2ylOwGS8TkFzKzOx
s4irvfBKfbF7jvhNLAuz6xmxflvoBkV92580GGY/Tx/9IEtCWksEDcHnIy7MG1OB/lwrpNKjBU6V
Rai8jXsKpp2lxz8js9w=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FKhA3iWWCrDqWKez2FKMp3EP8wahbz1/AXoSTe92Z9HYsVfnXb21fcOHY6qItNEUK2OViWyYIHxG
tWs//Eiye8JI3RVKZ0BneA9kpnCq3SI0izU5e0VHs8ZgEdLWjSmFbyFNae4+62JO3mu28m8Zsrnz
FSCzBenviBzWyVO86HdDFKbFK1jHjPn5Ex07tuPcCZ9UiZWrI3Maaam60wNGovLHRXgHi0XZTUa9
mnyJwS7xSuBKN/e88ug5GNyfucOKEg6+SX9D8MM6n96zEoWSVjcsKcWcjJEmlvHE9erMeoxORS9y
dB+YPGofRxBOVhvLmA3thmyNRhbszA625n3F0w==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
x+vtR/8s7IepFTHmnilVoSQnDsp//QKTBtxjtv1biXyMZ/93FdTJffbOpRtrWEqoC3mgtzFlp7zO
+roThQOys5dfvAIuM2EcKWDQPeNKrcnsGK4xhgI984QJHEjBxG/7Lw0uRR4YjelXwJ5JCJU6DOfl
7E4P322EwzIkOyKgEuQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
z7iZb5jhNZ0BQ3nBV+Pg3qOcZIlZE8y27vC03WriXasmmyW2tRZ6nkQWVpw8+oRYZdtsGtaJFbQ7
Z0ZugUFsUR/l3xgNm0yjZWmeblX2PE0PpLM33qUCT0TQ6xxRGtSvpYddU2RHfrLP1Vn7nBhR3u0i
pLbXoftOgP8jPOdxbOcHXAAjsRYKvIxGSSnQGwiyUoA9UCglkXA5m/TNUFjiNqU4s6Pmj7xrFWWk
eKq/GlMlSLzMA05VOqY7Ur1oVNqPIzACF0znJnkwCSWRH1Z0eRWaXjXp39sNII1MfKricNBNLbv4
TpPXM40K6MjMHNXw9/2OQHHOFnGNil68hcj7zA==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
DcTXq+5FOaKfAHQDgAiwLZrLrGECSSmLD65rjqk7fe9O6pVNypzK2ZASM8ww6b97VxSzQJ0f6FbF
5U6NvctYBZ9j7ew6CchL7ICTdAikQBsRJNsuAjPqENuDsiG3UJZpoQhE3VnHZid+Dox3SB67BrRB
GgN570mVRRrOocDWt7xiTUhOSGMLxhG0ctx0wA/llTlM/R2Vey85haPLh67+z2Tt5rFJs+ET2piK
tUQV9F4CrCtDJsm8r2T75EdCMp5jkejYAb0DD7OajS/y09AQsY0sC3qzb3SXyjCWu0m2+5OoZJ2i
srfHZeMk2mp/kD51B8RfksKyJAX532p06VGhTQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 65248)
`protect data_block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`protect end_protected
|
entity tb_ent is
end tb_ent;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
architecture behav of tb_ent is
-- Interrupt mapping register:
signal iar : unsigned(15 downto 0) := "0000000010010000";
signal ipend : unsigned(3 downto 0) := (others => '0');
signal irq : unsigned(3 downto 0);
signal clk : std_logic := '0';
begin
dut: entity work.ent
generic map (NUM_CHANNELS => 4)
port map (iar => iar, ipend => ipend, irq => irq, clk => clk);
process
begin
clk <= not clk;
wait for 1 ns;
end process;
stim:
process
begin
wait for 10 ns;
ipend(0) <= '1';
wait for 10 ns;
ipend(1) <= '1';
wait for 10 ns;
ipend(2) <= '1';
wait for 10 ns;
ipend <= (others => '0');
wait for 10 ns;
ipend(3) <= '1';
wait for 10 ns;
ipend(2) <= '1';
wait for 10 ns;
ipend(1) <= '1';
wait for 10 ns;
ipend <= (others => '0');
wait;
end process;
end behav;
|
/***************************************************************************************************
/
/ Author: Antonio Pastor González
/ ¯¯¯¯¯¯
/
/ Date:
/ ¯¯¯¯
/
/ Version:
/ ¯¯¯¯¯¯¯
/
/ Notes:
/ ¯¯¯¯¯
/ This design makes use of some features from VHDL-2008, all of which have been implemented by
/ Altera and Xilinx in their software.
/ A 3 space tab is used throughout the document
/
/
/ Description:
/ ¯¯¯¯¯¯¯¯¯¯¯
/
/
**************************************************************************************************/
library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
use ieee.math_real.all;
library work;
use work.fixed_float_types.all;
use work.fixed_generic_pkg.all;
/*================================================================================================*/
/*================================================================================================*/
/*================================================================================================*/
entity pipelines_u is
generic(
LENGTH : natural
);
port(
clk : in std_ulogic;
input : in u_ufixed;
output : out u_ufixed
);
end entity;
/*================================================================================================*/
/*================================================================================================*/
/*================================================================================================*/
architecture pipelines_u_1 of pipelines_u is
signal input_aux : std_ulogic_vector(input'length-1 downto 0);
signal output_aux : std_ulogic_vector(input'length-1 downto 0);
begin
pipelines_core_1:
entity work.pipelines_core
generic map(
LENGTH => LENGTH,
INPUT_HIGH => input'high,
INPUT_LOW => input'low
)
port map(
clk => clk,
input => input_aux,
output => output_aux
);
output <= to_ufixed(output_aux, input'high, input'low);
input_aux <= std_ulogic_vector(input);
end architecture; |
-- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2015.4
-- Copyright (C) 2015 Xilinx Inc. All rights reserved.
--
-- ==============================================================
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity feedforward_p_uOut_ram is
generic(
mem_type : string := "block";
dwidth : integer := 32;
awidth : integer := 8;
mem_size : integer := 140
);
port (
addr0 : in std_logic_vector(awidth-1 downto 0);
ce0 : in std_logic;
d0 : in std_logic_vector(dwidth-1 downto 0);
we0 : in std_logic;
q0 : out std_logic_vector(dwidth-1 downto 0);
addr1 : in std_logic_vector(awidth-1 downto 0);
ce1 : in std_logic;
q1 : out std_logic_vector(dwidth-1 downto 0);
clk : in std_logic
);
end entity;
architecture rtl of feedforward_p_uOut_ram is
signal addr0_tmp : std_logic_vector(awidth-1 downto 0);
signal addr1_tmp : std_logic_vector(awidth-1 downto 0);
type mem_array is array (0 to mem_size-1) of std_logic_vector (dwidth-1 downto 0);
shared variable ram : mem_array;
attribute syn_ramstyle : string;
attribute syn_ramstyle of ram : variable is "block_ram";
attribute ram_style : string;
attribute ram_style of ram : variable is mem_type;
attribute EQUIVALENT_REGISTER_REMOVAL : string;
begin
memory_access_guard_0: process (addr0)
begin
addr0_tmp <= addr0;
--synthesis translate_off
if (CONV_INTEGER(addr0) > mem_size-1) then
addr0_tmp <= (others => '0');
else
addr0_tmp <= addr0;
end if;
--synthesis translate_on
end process;
p_memory_access_0: process (clk)
begin
if (clk'event and clk = '1') then
if (ce0 = '1') then
if (we0 = '1') then
ram(CONV_INTEGER(addr0_tmp)) := d0;
end if;
q0 <= ram(CONV_INTEGER(addr0_tmp));
end if;
end if;
end process;
memory_access_guard_1: process (addr1)
begin
addr1_tmp <= addr1;
--synthesis translate_off
if (CONV_INTEGER(addr1) > mem_size-1) then
addr1_tmp <= (others => '0');
else
addr1_tmp <= addr1;
end if;
--synthesis translate_on
end process;
p_memory_access_1: process (clk)
begin
if (clk'event and clk = '1') then
if (ce1 = '1') then
q1 <= ram(CONV_INTEGER(addr1_tmp));
end if;
end if;
end process;
end rtl;
Library IEEE;
use IEEE.std_logic_1164.all;
entity feedforward_p_uOut is
generic (
DataWidth : INTEGER := 32;
AddressRange : INTEGER := 140;
AddressWidth : INTEGER := 8);
port (
reset : IN STD_LOGIC;
clk : IN STD_LOGIC;
address0 : IN STD_LOGIC_VECTOR(AddressWidth - 1 DOWNTO 0);
ce0 : IN STD_LOGIC;
we0 : IN STD_LOGIC;
d0 : IN STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0);
q0 : OUT STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0);
address1 : IN STD_LOGIC_VECTOR(AddressWidth - 1 DOWNTO 0);
ce1 : IN STD_LOGIC;
q1 : OUT STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0));
end entity;
architecture arch of feedforward_p_uOut is
component feedforward_p_uOut_ram is
port (
clk : IN STD_LOGIC;
addr0 : IN STD_LOGIC_VECTOR;
ce0 : IN STD_LOGIC;
d0 : IN STD_LOGIC_VECTOR;
we0 : IN STD_LOGIC;
q0 : OUT STD_LOGIC_VECTOR;
addr1 : IN STD_LOGIC_VECTOR;
ce1 : IN STD_LOGIC;
q1 : OUT STD_LOGIC_VECTOR);
end component;
begin
feedforward_p_uOut_ram_U : component feedforward_p_uOut_ram
port map (
clk => clk,
addr0 => address0,
ce0 => ce0,
d0 => d0,
we0 => we0,
q0 => q0,
addr1 => address1,
ce1 => ce1,
q1 => q1);
end architecture;
|
-- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2015.4
-- Copyright (C) 2015 Xilinx Inc. All rights reserved.
--
-- ==============================================================
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity feedforward_p_uOut_ram is
generic(
mem_type : string := "block";
dwidth : integer := 32;
awidth : integer := 8;
mem_size : integer := 140
);
port (
addr0 : in std_logic_vector(awidth-1 downto 0);
ce0 : in std_logic;
d0 : in std_logic_vector(dwidth-1 downto 0);
we0 : in std_logic;
q0 : out std_logic_vector(dwidth-1 downto 0);
addr1 : in std_logic_vector(awidth-1 downto 0);
ce1 : in std_logic;
q1 : out std_logic_vector(dwidth-1 downto 0);
clk : in std_logic
);
end entity;
architecture rtl of feedforward_p_uOut_ram is
signal addr0_tmp : std_logic_vector(awidth-1 downto 0);
signal addr1_tmp : std_logic_vector(awidth-1 downto 0);
type mem_array is array (0 to mem_size-1) of std_logic_vector (dwidth-1 downto 0);
shared variable ram : mem_array;
attribute syn_ramstyle : string;
attribute syn_ramstyle of ram : variable is "block_ram";
attribute ram_style : string;
attribute ram_style of ram : variable is mem_type;
attribute EQUIVALENT_REGISTER_REMOVAL : string;
begin
memory_access_guard_0: process (addr0)
begin
addr0_tmp <= addr0;
--synthesis translate_off
if (CONV_INTEGER(addr0) > mem_size-1) then
addr0_tmp <= (others => '0');
else
addr0_tmp <= addr0;
end if;
--synthesis translate_on
end process;
p_memory_access_0: process (clk)
begin
if (clk'event and clk = '1') then
if (ce0 = '1') then
if (we0 = '1') then
ram(CONV_INTEGER(addr0_tmp)) := d0;
end if;
q0 <= ram(CONV_INTEGER(addr0_tmp));
end if;
end if;
end process;
memory_access_guard_1: process (addr1)
begin
addr1_tmp <= addr1;
--synthesis translate_off
if (CONV_INTEGER(addr1) > mem_size-1) then
addr1_tmp <= (others => '0');
else
addr1_tmp <= addr1;
end if;
--synthesis translate_on
end process;
p_memory_access_1: process (clk)
begin
if (clk'event and clk = '1') then
if (ce1 = '1') then
q1 <= ram(CONV_INTEGER(addr1_tmp));
end if;
end if;
end process;
end rtl;
Library IEEE;
use IEEE.std_logic_1164.all;
entity feedforward_p_uOut is
generic (
DataWidth : INTEGER := 32;
AddressRange : INTEGER := 140;
AddressWidth : INTEGER := 8);
port (
reset : IN STD_LOGIC;
clk : IN STD_LOGIC;
address0 : IN STD_LOGIC_VECTOR(AddressWidth - 1 DOWNTO 0);
ce0 : IN STD_LOGIC;
we0 : IN STD_LOGIC;
d0 : IN STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0);
q0 : OUT STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0);
address1 : IN STD_LOGIC_VECTOR(AddressWidth - 1 DOWNTO 0);
ce1 : IN STD_LOGIC;
q1 : OUT STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0));
end entity;
architecture arch of feedforward_p_uOut is
component feedforward_p_uOut_ram is
port (
clk : IN STD_LOGIC;
addr0 : IN STD_LOGIC_VECTOR;
ce0 : IN STD_LOGIC;
d0 : IN STD_LOGIC_VECTOR;
we0 : IN STD_LOGIC;
q0 : OUT STD_LOGIC_VECTOR;
addr1 : IN STD_LOGIC_VECTOR;
ce1 : IN STD_LOGIC;
q1 : OUT STD_LOGIC_VECTOR);
end component;
begin
feedforward_p_uOut_ram_U : component feedforward_p_uOut_ram
port map (
clk => clk,
addr0 => address0,
ce0 => ce0,
d0 => d0,
we0 => we0,
q0 => q0,
addr1 => address1,
ce1 => ce1,
q1 => q1);
end architecture;
|
-- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2015.4
-- Copyright (C) 2015 Xilinx Inc. All rights reserved.
--
-- ==============================================================
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity feedforward_p_uOut_ram is
generic(
mem_type : string := "block";
dwidth : integer := 32;
awidth : integer := 8;
mem_size : integer := 140
);
port (
addr0 : in std_logic_vector(awidth-1 downto 0);
ce0 : in std_logic;
d0 : in std_logic_vector(dwidth-1 downto 0);
we0 : in std_logic;
q0 : out std_logic_vector(dwidth-1 downto 0);
addr1 : in std_logic_vector(awidth-1 downto 0);
ce1 : in std_logic;
q1 : out std_logic_vector(dwidth-1 downto 0);
clk : in std_logic
);
end entity;
architecture rtl of feedforward_p_uOut_ram is
signal addr0_tmp : std_logic_vector(awidth-1 downto 0);
signal addr1_tmp : std_logic_vector(awidth-1 downto 0);
type mem_array is array (0 to mem_size-1) of std_logic_vector (dwidth-1 downto 0);
shared variable ram : mem_array;
attribute syn_ramstyle : string;
attribute syn_ramstyle of ram : variable is "block_ram";
attribute ram_style : string;
attribute ram_style of ram : variable is mem_type;
attribute EQUIVALENT_REGISTER_REMOVAL : string;
begin
memory_access_guard_0: process (addr0)
begin
addr0_tmp <= addr0;
--synthesis translate_off
if (CONV_INTEGER(addr0) > mem_size-1) then
addr0_tmp <= (others => '0');
else
addr0_tmp <= addr0;
end if;
--synthesis translate_on
end process;
p_memory_access_0: process (clk)
begin
if (clk'event and clk = '1') then
if (ce0 = '1') then
if (we0 = '1') then
ram(CONV_INTEGER(addr0_tmp)) := d0;
end if;
q0 <= ram(CONV_INTEGER(addr0_tmp));
end if;
end if;
end process;
memory_access_guard_1: process (addr1)
begin
addr1_tmp <= addr1;
--synthesis translate_off
if (CONV_INTEGER(addr1) > mem_size-1) then
addr1_tmp <= (others => '0');
else
addr1_tmp <= addr1;
end if;
--synthesis translate_on
end process;
p_memory_access_1: process (clk)
begin
if (clk'event and clk = '1') then
if (ce1 = '1') then
q1 <= ram(CONV_INTEGER(addr1_tmp));
end if;
end if;
end process;
end rtl;
Library IEEE;
use IEEE.std_logic_1164.all;
entity feedforward_p_uOut is
generic (
DataWidth : INTEGER := 32;
AddressRange : INTEGER := 140;
AddressWidth : INTEGER := 8);
port (
reset : IN STD_LOGIC;
clk : IN STD_LOGIC;
address0 : IN STD_LOGIC_VECTOR(AddressWidth - 1 DOWNTO 0);
ce0 : IN STD_LOGIC;
we0 : IN STD_LOGIC;
d0 : IN STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0);
q0 : OUT STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0);
address1 : IN STD_LOGIC_VECTOR(AddressWidth - 1 DOWNTO 0);
ce1 : IN STD_LOGIC;
q1 : OUT STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0));
end entity;
architecture arch of feedforward_p_uOut is
component feedforward_p_uOut_ram is
port (
clk : IN STD_LOGIC;
addr0 : IN STD_LOGIC_VECTOR;
ce0 : IN STD_LOGIC;
d0 : IN STD_LOGIC_VECTOR;
we0 : IN STD_LOGIC;
q0 : OUT STD_LOGIC_VECTOR;
addr1 : IN STD_LOGIC_VECTOR;
ce1 : IN STD_LOGIC;
q1 : OUT STD_LOGIC_VECTOR);
end component;
begin
feedforward_p_uOut_ram_U : component feedforward_p_uOut_ram
port map (
clk => clk,
addr0 => address0,
ce0 => ce0,
d0 => d0,
we0 => we0,
q0 => q0,
addr1 => address1,
ce1 => ce1,
q1 => q1);
end architecture;
|
-- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2015.4
-- Copyright (C) 2015 Xilinx Inc. All rights reserved.
--
-- ==============================================================
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity feedforward_p_uOut_ram is
generic(
mem_type : string := "block";
dwidth : integer := 32;
awidth : integer := 8;
mem_size : integer := 140
);
port (
addr0 : in std_logic_vector(awidth-1 downto 0);
ce0 : in std_logic;
d0 : in std_logic_vector(dwidth-1 downto 0);
we0 : in std_logic;
q0 : out std_logic_vector(dwidth-1 downto 0);
addr1 : in std_logic_vector(awidth-1 downto 0);
ce1 : in std_logic;
q1 : out std_logic_vector(dwidth-1 downto 0);
clk : in std_logic
);
end entity;
architecture rtl of feedforward_p_uOut_ram is
signal addr0_tmp : std_logic_vector(awidth-1 downto 0);
signal addr1_tmp : std_logic_vector(awidth-1 downto 0);
type mem_array is array (0 to mem_size-1) of std_logic_vector (dwidth-1 downto 0);
shared variable ram : mem_array;
attribute syn_ramstyle : string;
attribute syn_ramstyle of ram : variable is "block_ram";
attribute ram_style : string;
attribute ram_style of ram : variable is mem_type;
attribute EQUIVALENT_REGISTER_REMOVAL : string;
begin
memory_access_guard_0: process (addr0)
begin
addr0_tmp <= addr0;
--synthesis translate_off
if (CONV_INTEGER(addr0) > mem_size-1) then
addr0_tmp <= (others => '0');
else
addr0_tmp <= addr0;
end if;
--synthesis translate_on
end process;
p_memory_access_0: process (clk)
begin
if (clk'event and clk = '1') then
if (ce0 = '1') then
if (we0 = '1') then
ram(CONV_INTEGER(addr0_tmp)) := d0;
end if;
q0 <= ram(CONV_INTEGER(addr0_tmp));
end if;
end if;
end process;
memory_access_guard_1: process (addr1)
begin
addr1_tmp <= addr1;
--synthesis translate_off
if (CONV_INTEGER(addr1) > mem_size-1) then
addr1_tmp <= (others => '0');
else
addr1_tmp <= addr1;
end if;
--synthesis translate_on
end process;
p_memory_access_1: process (clk)
begin
if (clk'event and clk = '1') then
if (ce1 = '1') then
q1 <= ram(CONV_INTEGER(addr1_tmp));
end if;
end if;
end process;
end rtl;
Library IEEE;
use IEEE.std_logic_1164.all;
entity feedforward_p_uOut is
generic (
DataWidth : INTEGER := 32;
AddressRange : INTEGER := 140;
AddressWidth : INTEGER := 8);
port (
reset : IN STD_LOGIC;
clk : IN STD_LOGIC;
address0 : IN STD_LOGIC_VECTOR(AddressWidth - 1 DOWNTO 0);
ce0 : IN STD_LOGIC;
we0 : IN STD_LOGIC;
d0 : IN STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0);
q0 : OUT STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0);
address1 : IN STD_LOGIC_VECTOR(AddressWidth - 1 DOWNTO 0);
ce1 : IN STD_LOGIC;
q1 : OUT STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0));
end entity;
architecture arch of feedforward_p_uOut is
component feedforward_p_uOut_ram is
port (
clk : IN STD_LOGIC;
addr0 : IN STD_LOGIC_VECTOR;
ce0 : IN STD_LOGIC;
d0 : IN STD_LOGIC_VECTOR;
we0 : IN STD_LOGIC;
q0 : OUT STD_LOGIC_VECTOR;
addr1 : IN STD_LOGIC_VECTOR;
ce1 : IN STD_LOGIC;
q1 : OUT STD_LOGIC_VECTOR);
end component;
begin
feedforward_p_uOut_ram_U : component feedforward_p_uOut_ram
port map (
clk => clk,
addr0 => address0,
ce0 => ce0,
d0 => d0,
we0 => we0,
q0 => q0,
addr1 => address1,
ce1 => ce1,
q1 => q1);
end architecture;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
-- Assume beginning of LVDS packet occurs at same time as
-- falling edge of I2S_SCLK where WS also falls (or
-- any multiple of exactly 8 bits later than this time).
-- This means that the first packet actually contains the
-- LSB of the last frame and then the seven MSBs of the
-- current frame, etc.
entity i2s_dio_2 is
port(
main_clk, reset, seq_reset: in std_logic;
--
i2s_out_dat, i2s_out_sh, i2s_out_ld: in std_logic;
i2s_in_ld, i2s_in_sh: in std_logic;
i2s_in_dat: out std_logic;
i2s_lr_st: in std_logic;
--
i2s_sclk: out std_logic;
i2s_ws: out std_logic;
i2s_din: in std_logic;
i2s_dout: out std_logic
);
end entity;
architecture a of i2s_dio_2 is
component sr8_rising is
port(
clk, reset: in std_logic;
ld, sh: in std_logic;
pin: in std_logic_vector(7 downto 0);
pout: out std_logic_vector(7 downto 0);
sin: in std_logic;
sout: out std_logic
);
end component;
component sr8_falling is
port(
clk, reset: in std_logic;
ld, sh: in std_logic;
pin: in std_logic_vector(7 downto 0);
pout: out std_logic_vector(7 downto 0);
sin: in std_logic;
sout: out std_logic
);
end component;
component r8_rising is
port(
clk, reset: in std_logic;
ld: in std_logic;
din: in std_logic_vector(7 downto 0);
dout: out std_logic_vector(7 downto 0)
);
end component;
signal out_1, out_2, out_3: std_logic_vector(7 downto 0);
signal in_1, in_2, in_3: std_logic_vector(7 downto 0);
signal lvds_bit_ctr: unsigned(4 downto 0);
signal cycle_begin: std_logic;
signal i2s_out_shiften, i2s_in_shiften: std_logic;
signal lrst_1: std_logic;
begin
-- SCLK is aligned to main_clk rising edge but 4x slower
i2s_sclk <= lvds_bit_ctr(1);
process(main_clk, reset) is begin
if reset = '1' then
lvds_bit_ctr <= "00000";
i2s_out_shiften <= '0';
i2s_in_shiften <= '0';
cycle_begin <= '0';
elsif rising_edge(main_clk) then
if seq_reset = '1' then
-- This happens at the start of bit 2 of a LVDS cycle
lvds_bit_ctr <= "00010";
else
lvds_bit_ctr <= lvds_bit_ctr + 1;
end if;
elsif falling_edge(main_clk) then
--
cycle_begin <= '0';
if lvds_bit_ctr = "11111" then
cycle_begin <= '1';
end if;
--
i2s_out_shiften <= '0';
if lvds_bit_ctr(1 downto 0) = "11" then
i2s_out_shiften <= '1';
end if;
--
i2s_in_shiften <= '0';
if lvds_bit_ctr(1 downto 0) = "01" then
i2s_in_shiften <= '1';
end if;
end if;
end process;
out_lvds_sr: sr8_rising port map(
clk => main_clk, reset => reset, ld => '0', sh => i2s_out_sh,
pin => "00000000", pout => out_1, sin => i2s_out_dat, sout => open
);
out_reg_1: r8_rising port map(
clk => main_clk, reset => reset, ld => i2s_out_ld, din => out_1, dout => out_2
);
out_reg_2: r8_rising port map(
clk => main_clk, reset => reset, ld => i2s_out_ld, din => out_2, dout => out_3
);
out_i2s_sr: sr8_rising port map(
clk => main_clk, reset => reset, ld => cycle_begin, sh => i2s_out_shiften,
pin => out_3, pout => open, sin => '0', sout => i2s_dout
);
in_i2s_sr: sr8_rising port map(
clk => main_clk, reset => reset, ld => '0', sh => i2s_in_shiften,
pin => "00000000", pout => in_1, sin => i2s_din, sout => open
);
in_reg_1: r8_rising port map(
clk => main_clk, reset => reset, ld => cycle_begin, din => in_1, dout => in_2
);
in_reg_2: r8_rising port map(
clk => main_clk, reset => reset, ld => cycle_begin, din => in_2, dout => in_3
);
in_lvds_sr: sr8_falling port map(
clk => main_clk, reset => reset, ld => i2s_in_ld, sh => i2s_in_sh,
pin => in_3, pout => open, sin => '0', sout => i2s_in_dat
);
process(main_clk, reset) is begin
if reset = '1' then
i2s_ws <= '0';
lrst_1 <= '0';
elsif rising_edge(main_clk) then
if cycle_begin = '1' then
i2s_ws <= lrst_1;
lrst_1 <= i2s_lr_st;
end if;
end if;
end process;
end architecture; |
architecture RTL of FIFO is
begin
process
begin
-- These are passing
ret := (
data => (others => '-'),
valid => '0',
sop => '0',
eop => '0',
empty => (others => '0'),
error => (others => '0')
);
-- These are failing
ret := (data => (others => '-'), valid => '0', sop => '0', eop => '0', empty => (others => '0'), error => (others => '0'));
end process;
end architecture RTL;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ccbJd4RcrcLL82SSmiMUZQYjVv6I/MTxHGNzASrbEyJROBnDlCfxDtzhA1oJPvnOnvrFLlmGv4yM
7l2GM/ELFA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
h3LSeaRSWaojj+rZXUS4JvVmDxmxNRj/t+e2XxSuFAnvZ8r4UErkSXPeFae2NYlnMH0aamxxDi9B
P86s8UxMbYjcVb5YOK/dQqR+6n8/1FZGVZEzQ+/Lc1LlbSGf/BuBRl+4tl0L7RjHEBUimoSOfpdW
GvTXFzcyeDu3vX+BJ/8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
uxxws2WCHSyRJyWotdqymR7uz/omw1tiArbb9C5uvkBs3mGDgEk+qbGPj838QNzHLrGo8ZeFQtQT
hbnIu75N4Np5QpYAmkyuEVi1VdvbN/kPcYFlmCi50ouMSEwtEyeewG5N6oOsP9o/7kF31kIldVaZ
ZpbJKWeAhXCYm1LQ8YgoHcSPo0VNE3SSKbjYRTiL5V1BX05UHxICQOYg6Q0WHI78VBS24UBA5zJB
d2wkvyXnNShSLTK4JLX6YPSBh4M7LuOIKEyWVc4HqPZBnPERNbf2TaSyf5BBb1hvmV7sOW5OfTNK
9HZGhP1B9TgSF0wbBOOqCleuvz23TWpNV4Zbtw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
JPgExZXDs7qaBiGNTzLtcX4CHUy6R81YL7qjoTZV7pxrDu4gRdp7vDsN5CD5W+s0E/26Y4w3pabq
F1Xg18A4AJZYEF/muh8rGRXXi5rWVl/dsm5+au2YQ0fgdaQesj+y+tysWkxnORYyFW3CKY5EjnPF
nVH0nNV5GQ7UZw/wS08=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
OAMzZqqyhob+hiiVwN1tpHEsjfJ3qiQD3VFeQK425nNjncGz+haP/SOAzuTTXjEm6+Bci5h74mL+
uC8DgADh+0xKIWQWRzUIshMfSSoYuNQs6DoW0i2PI+wck5t+SB8NXW+S19gO5xztvv0hTfV6NZqi
ZJ3vUisx+skEIStI2s8lXJNwsJ/pQKsihzUem2Pzu6y3V4kATmbWrEc9uTri7ZicAlk6MUIGso+Q
GfLbjEUcdQni05RpVTHFSdkvwI9YHdlfFA9virl2UYpbmSD4ojyhu9uyjD4LgyJlBtgBckyZwZcN
3X1TXFdj0vzrJyGDt2vMmu3/ppa+8fPOAX47tQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 14448)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ccbJd4RcrcLL82SSmiMUZQYjVv6I/MTxHGNzASrbEyJROBnDlCfxDtzhA1oJPvnOnvrFLlmGv4yM
7l2GM/ELFA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
h3LSeaRSWaojj+rZXUS4JvVmDxmxNRj/t+e2XxSuFAnvZ8r4UErkSXPeFae2NYlnMH0aamxxDi9B
P86s8UxMbYjcVb5YOK/dQqR+6n8/1FZGVZEzQ+/Lc1LlbSGf/BuBRl+4tl0L7RjHEBUimoSOfpdW
GvTXFzcyeDu3vX+BJ/8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
uxxws2WCHSyRJyWotdqymR7uz/omw1tiArbb9C5uvkBs3mGDgEk+qbGPj838QNzHLrGo8ZeFQtQT
hbnIu75N4Np5QpYAmkyuEVi1VdvbN/kPcYFlmCi50ouMSEwtEyeewG5N6oOsP9o/7kF31kIldVaZ
ZpbJKWeAhXCYm1LQ8YgoHcSPo0VNE3SSKbjYRTiL5V1BX05UHxICQOYg6Q0WHI78VBS24UBA5zJB
d2wkvyXnNShSLTK4JLX6YPSBh4M7LuOIKEyWVc4HqPZBnPERNbf2TaSyf5BBb1hvmV7sOW5OfTNK
9HZGhP1B9TgSF0wbBOOqCleuvz23TWpNV4Zbtw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
JPgExZXDs7qaBiGNTzLtcX4CHUy6R81YL7qjoTZV7pxrDu4gRdp7vDsN5CD5W+s0E/26Y4w3pabq
F1Xg18A4AJZYEF/muh8rGRXXi5rWVl/dsm5+au2YQ0fgdaQesj+y+tysWkxnORYyFW3CKY5EjnPF
nVH0nNV5GQ7UZw/wS08=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
OAMzZqqyhob+hiiVwN1tpHEsjfJ3qiQD3VFeQK425nNjncGz+haP/SOAzuTTXjEm6+Bci5h74mL+
uC8DgADh+0xKIWQWRzUIshMfSSoYuNQs6DoW0i2PI+wck5t+SB8NXW+S19gO5xztvv0hTfV6NZqi
ZJ3vUisx+skEIStI2s8lXJNwsJ/pQKsihzUem2Pzu6y3V4kATmbWrEc9uTri7ZicAlk6MUIGso+Q
GfLbjEUcdQni05RpVTHFSdkvwI9YHdlfFA9virl2UYpbmSD4ojyhu9uyjD4LgyJlBtgBckyZwZcN
3X1TXFdj0vzrJyGDt2vMmu3/ppa+8fPOAX47tQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 14448)
`protect data_block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`protect end_protected
|
------------------------------------------------------------------------------
-- axi_tpg.vhd - entity/architecture pair
------------------------------------------------------------------------------
-- IMPORTANT:
-- DO NOT MODIFY THIS FILE EXCEPT IN THE DESIGNATED SECTIONS.
--
-- SEARCH FOR --USER TO DETERMINE WHERE CHANGES ARE ALLOWED.
--
-- TYPICALLY, THE ONLY ACCEPTABLE CHANGES INVOLVE ADDING NEW
-- PORTS AND GENERICS THAT GET PASSED THROUGH TO THE INSTANTIATION
-- OF THE USER_LOGIC ENTITY.
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2007 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: axi_tpg.vhd
-- Version: 1.00.a
-- Description: Top level design, instantiates library components and user logic.
-- Date: Mon Oct 22 10:34:41 2007 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
use proc_common_v3_00_a.ipif_pkg.all;
library axi_lite_ipif_v1_00_a;
use axi_lite_ipif_v1_00_a.axi_lite_ipif;
library axi_tpg_v2_00_a;
use axi_tpg_v2_00_a.user_logic;
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_BASEADDR -- AXI slave: base address
-- C_HIGHADDR -- AXI slave: high address
-- C_S_AXI_ADDR_WIDTH -- AXI address bus width - allowed value - 32 only
-- C_S_AXI_DATA_WIDTH -- AXI data bus width - allowed value - 32 or 64 only
-- C_S_AXI_ID_WIDTH -- AXI Identification TAG width - 1 to 16
-- C_S_AXI_CLK_FREQ_HZ -- AXI Clock Frequency in Hz
-- Not used:
-- C_S_AXI_SUPPORTS_NARROW = 1, DT = INTEGER, RANGE = (0:1), BUS = S_AXI
-- C_S_AXI_WRITE_ACCEPTANCE
-- C_S_AXI_READ_ACCEPTANCE
-- C_S_AXI_READ_ACCEPTANCE
-- C_S_AXI_SUPPORTS_NARROW_BURST
-- C_FAMILY -- Xilinx FPGA family
--
-- Definition of Ports:
-- S_AXI_ACLK -- AXI Clock
-- S_AXI_ARESETN -- AXI Reset - active low
--==================================
-- AXI Write Address Channel Signals
--==================================
-- S_AXI_AWID -- AXI Write Address ID
-- S_AXI_AWADDR -- AXI Write address - 32 bit
-- S_AXI_AWLEN -- AXI Write Data Length
-- S_AXI_AWSIZE -- AXI Burst Size - allowed values
-- -- 000 - byte burst
-- -- 001 - half word
-- -- 010 - word
-- -- 011 - double word
-- -- NA for all remaining values
-- S_AXI_AWBURST -- AXI Burst Type
-- -- 00 - Fixed
-- -- 01 - Incr
-- -- 10 - Wrap
-- -- 11 - Reserved
-- S_AXI_AWLOCK -- AXI Lock type
-- S_AXI_AWCACHE -- AXI Cache Type
-- S_AXI_AWPROT -- AXI Protection Type
-- S_AXI_AWVALID -- Write address valid
-- S_AXI_AWREADY -- Write address ready
--===============================
-- AXI Write Data Channel Signals
--===============================
-- S_AXI_WDATA -- AXI Write data width
-- S_AXI_WSTRB -- AXI Write strobes
-- S_AXI_WLAST -- AXI Last write indicator signal
-- S_AXI_WVALID -- AXI Write valid
-- S_AXI_WREADY -- AXI Write ready
--================================
-- AXI Write Data Response Signals
--================================
-- S_AXI_BID -- AXI Write Response channel number
-- S_AXI_BRESP -- AXI Write response
-- -- 00 - Okay
-- -- 01 - ExOkay
-- -- 10 - Slave Error
-- -- 11 - Decode Error
-- S_AXI_BVALID -- AXI Write response valid
-- S_AXI_BREADY -- AXI Response ready
--=================================
-- AXI Read Address Channel Signals
--=================================
-- S_AXI_ARID -- AXI Read ID
-- S_AXI_ARADDR -- AXI Read address
-- S_AXI_ARLEN -- AXI Read Data length
-- S_AXI_ARSIZE -- AXI Read Size
-- S_AXI_ARBURST -- AXI Read Burst length
-- S_AXI_ARLOCK -- AXI Read Lock
-- S_AXI_ARCACHE -- AXI Read Cache
-- S_AXI_ARPROT -- AXI Read Protection
-- S_AXI_RVALID -- AXI Read valid
-- S_AXI_RREADY -- AXI Read ready
--==============================
-- AXI Read Data Channel Signals
--==============================
-- S_AXI_RID -- AXI Read Channel ID
-- S_AXI_RDATA -- AXI Read data
-- S_AXI_RRESP -- AXI Read response
-- S_AXI_RLAST -- AXI Read Data Last signal
-- S_AXI_RVALID -- AXI Read address valid
-- S_AXI_RREADY -- AXI Read address ready
------------------------------------------------------------------------------
entity axi_tpg is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
C_CHROMA_FORMAT : integer := 0;
C_DATA_WIDTH : integer := 8;
C_NUM_CHANNELS : integer := 2;
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_BASEADDR : std_logic_vector := X"FFFFFFFF";
C_HIGHADDR : std_logic_vector := X"00000000";
C_S_AXI_ADDR_WIDTH : integer range 32 to 32 := 32;
C_S_AXI_DATA_WIDTH : integer range 32 to 64 := 32;
C_S_AXI_CLK_FREQ_HZ : integer := 100000000;
C_FAMILY : string := "virtex5"
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
-- USER ports added here
clk : in STD_LOGIC;
-- XSVI input bus.
vsync_in : in std_logic;
hsync_in : in std_logic;
vblank_in : in std_logic;
hblank_in : in std_logic;
active_video_in : in std_logic;
video_data_in : in std_logic_vector((C_NUM_CHANNELS*C_DATA_WIDTH)-1 downto 0);
-- XSVI output bus.
vsync_out : out std_logic;
hsync_out : out std_logic;
vblank_out : out std_logic;
hblank_out : out std_logic;
active_video_out : out std_logic;
video_data_out : out std_logic_vector((C_NUM_CHANNELS*C_DATA_WIDTH)-1 downto 0);
-- Video out to VDMA to VFBC to MPMC to external memory
VDMA_wd_clk : out std_logic;
VDMA_wd_reset : out std_logic;
VDMA_video_out_we : out std_logic;
VDMA_video_out_full : in std_logic;
VDMA_video_data_out : out std_logic_vector((C_DATA_WIDTH*C_NUM_CHANNELS)-1 downto 0);
ZP_debug : out std_logic_vector(57 downto 0);
TPG_debug : out std_logic_vector(38 downto 0);
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
-- -- AXI Slave signals ------------------------------------------------------
-- -- AXI Global System Signals
S_AXI_ACLK : in std_logic := '0';
S_AXI_ARESETN : in std_logic := '1';
-- -- AXI Write Address Channel Signals
S_AXI_AWADDR : in std_logic_vector((C_S_AXI_ADDR_WIDTH-1) downto 0) := (others => '0');
S_AXI_AWVALID : in std_logic := '0';
S_AXI_AWREADY : out std_logic;
-- -- AXI Write Channel Signals
S_AXI_WDATA : in std_logic_vector((C_S_AXI_DATA_WIDTH-1) downto 0) := (others => '0');
S_AXI_WSTRB : in std_logic_vector
(((C_S_AXI_DATA_WIDTH/8)-1) downto 0) := (others => '0');
S_AXI_WVALID : in std_logic := '0';
S_AXI_WREADY : out std_logic;
-- -- AXI Write Response Channel Signals
S_AXI_BRESP : out std_logic_vector(1 downto 0);
S_AXI_BVALID : out std_logic;
S_AXI_BREADY : in std_logic := '0';
-- -- AXI Read Address Channel Signals
S_AXI_ARADDR : in std_logic_vector((C_S_AXI_ADDR_WIDTH-1) downto 0) := (others => '0');
S_AXI_ARVALID : in std_logic := '0';
S_AXI_ARREADY : out std_logic := '0';
-- -- AXI Read Data Channel Signals
S_AXI_RDATA : out std_logic_vector((C_S_AXI_DATA_WIDTH-1) downto 0);
S_AXI_RRESP : out std_logic_vector(1 downto 0);
S_AXI_RVALID : out std_logic;
S_AXI_RREADY : in std_logic := '0'
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
attribute SIGIS : string;
attribute SIGIS of S_AXI_ACLK : signal is "CLK";
attribute SIGIS of S_AXI_ARESETN : signal is "RST";
end entity axi_tpg;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of axi_tpg is
------------------------------------------
-- Array of base/high address pairs for each address range
------------------------------------------
constant ZERO_ADDR_PAD : std_logic_vector(0 to 31) := (others => '0');
constant USER_SLV_BASEADDR : std_logic_vector := C_BASEADDR;
constant USER_SLV_HIGHADDR : std_logic_vector := C_HIGHADDR;
constant IPIF_ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE :=
(
ZERO_ADDR_PAD & USER_SLV_BASEADDR, -- user logic slave space base address
ZERO_ADDR_PAD & USER_SLV_HIGHADDR -- user logic slave space high address
);
------------------------------------------
-- Array of desired number of chip enables for each address range
------------------------------------------
constant USER_SLV_NUM_REG : integer := 8;
constant USER_NUM_REG : integer := USER_SLV_NUM_REG;
constant IPIF_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE :=
(
0 => pad_power2(USER_SLV_NUM_REG) -- number of ce for user logic slave space
);
------------------------------------------
-- Ratio of bus clock to core clock (for use in dual clock systems)
-- 1 = ratio is 1:1
-- 2 = ratio is 2:1
------------------------------------------
constant IPIF_BUS2CORE_CLK_RATIO : integer := 1;
------------------------------------------
-- Width of the slave data bus (32 only)
------------------------------------------
constant USER_SLV_DWIDTH : integer := C_S_AXI_DATA_WIDTH;
constant IPIF_SLV_DWIDTH : integer := C_S_AXI_DATA_WIDTH;
------------------------------------------
-- Index for CS/CE
------------------------------------------
constant USER_SLV_CS_INDEX : integer := 0;
constant USER_SLV_CE_INDEX : integer := calc_start_ce_index(IPIF_ARD_NUM_CE_ARRAY, USER_SLV_CS_INDEX);
constant USER_CE_INDEX : integer := USER_SLV_CE_INDEX;
------------------------------------------
-- IP Interconnect (IPIC) signal declarations
------------------------------------------
--function swap_endian (a : std_logic_vector) return std_logic_vector is
-- variable result : std_logic_vector(a'length-1 downto 0);
--begin
-- for i in result'RANGE loop
-- result(i) := a(a'length-1-i);
-- end loop;
-- return result;
--end;
signal le_ipif_Bus2IP_Addr : std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
signal le_ipif_Bus2IP_Data : std_logic_vector(IPIF_SLV_DWIDTH-1 downto 0);
signal le_ipif_Bus2IP_BE : std_logic_vector(IPIF_SLV_DWIDTH/8-1 downto 0);
signal le_ipif_Bus2IP_CS : std_logic_vector(((IPIF_ARD_ADDR_RANGE_ARRAY'length)/2)-1 downto 0);
signal le_ipif_Bus2IP_RdCE : std_logic_vector(calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1 downto 0);
signal le_ipif_Bus2IP_WrCE : std_logic_vector(calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1 downto 0);
signal le_ipif_IP2Bus_Data : std_logic_vector(IPIF_SLV_DWIDTH-1 downto 0);
signal ipif_Bus2IP_Resetn : std_logic;
signal ipif_Bus2IP_Clk : std_logic;
signal ipif_Bus2IP_Reset : std_logic;
signal ipif_IP2Bus_Data : std_logic_vector(0 to IPIF_SLV_DWIDTH-1);
signal ipif_IP2Bus_WrAck : std_logic;
signal ipif_IP2Bus_RdAck : std_logic;
signal ipif_IP2Bus_Error : std_logic;
signal ipif_Bus2IP_Addr : std_logic_vector(0 to C_S_AXI_ADDR_WIDTH-1);
signal ipif_Bus2IP_Data : std_logic_vector(0 to IPIF_SLV_DWIDTH-1);
signal ipif_Bus2IP_RNW : std_logic;
signal ipif_Bus2IP_BE : std_logic_vector(0 to IPIF_SLV_DWIDTH/8-1);
signal ipif_Bus2IP_CS : std_logic_vector(0 to ((IPIF_ARD_ADDR_RANGE_ARRAY'length)/2)-1);
signal ipif_Bus2IP_RdCE : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1);
signal ipif_Bus2IP_WrCE : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1);
signal user_Bus2IP_RdCE : std_logic_vector(0 to USER_NUM_REG-1);
signal user_Bus2IP_WrCE : std_logic_vector(0 to USER_NUM_REG-1);
signal user_IP2Bus_Data : std_logic_vector(0 to USER_SLV_DWIDTH-1);
signal user_IP2Bus_RdAck : std_logic;
signal user_IP2Bus_WrAck : std_logic;
signal user_IP2Bus_Error : std_logic;
signal t_vsync_out : std_logic;
signal t_video_data_out : std_logic_vector((C_NUM_CHANNELS*C_DATA_WIDTH)-1 downto 0);
signal t_active_video_out : std_logic;
signal red_out : std_logic_vector((C_DATA_WIDTH -1) downto 0);
signal green_out : std_logic_vector((C_DATA_WIDTH -1) downto 0);
signal blue_out : std_logic_vector((C_DATA_WIDTH -1) downto 0);
signal red_in : std_logic_vector((C_DATA_WIDTH -1) downto 0);
signal green_in : std_logic_vector((C_DATA_WIDTH -1) downto 0);
signal blue_in : std_logic_vector((C_DATA_WIDTH -1) downto 0);
begin
------------------------------------------
-- instantiate axi_lite_ipif
------------------------------------------
-------------------------------------------------------------------------
--REG_RESET_FROM_IPIF: convert active low to active high reset to rest of
-- the core.
-------------------------------------------------------------------------
REG_RESET_FROM_IPIF: process (S_AXI_ACLK) is
begin
if(S_AXI_ACLK'event and S_AXI_ACLK = '1') then
ipif_Bus2IP_Reset <= not(ipif_Bus2IP_Resetn);
end if;
end process REG_RESET_FROM_IPIF;
-- ipif_Bus2IP_Addr <= swap_endian(le_ipif_Bus2IP_Addr);
-- ipif_Bus2IP_Data <= swap_endian(le_ipif_Bus2IP_Data);
-- ipif_Bus2IP_BE <= swap_endian(le_ipif_Bus2IP_BE);
-- ipif_Bus2IP_CS <= swap_endian(le_ipif_Bus2IP_CS);
-- ipif_Bus2IP_RdCE <= swap_endian(le_ipif_Bus2IP_RdCE);
-- ipif_Bus2IP_WrCE <= swap_endian(le_ipif_Bus2IP_WrCE);
-- le_ipif_IP2Bus_Data <= swap_endian(ipif_IP2Bus_Data);
ipif_Bus2IP_Addr <= le_ipif_Bus2IP_Addr;
ipif_Bus2IP_Data <= le_ipif_Bus2IP_Data;
ipif_Bus2IP_BE <= le_ipif_Bus2IP_BE;
ipif_Bus2IP_CS <= le_ipif_Bus2IP_CS;
ipif_Bus2IP_RdCE <= le_ipif_Bus2IP_RdCE;
ipif_Bus2IP_WrCE <= le_ipif_Bus2IP_WrCE;
le_ipif_IP2Bus_Data <= ipif_IP2Bus_Data;
AXI_LITE_IPIF_I : entity axi_lite_ipif_v1_00_a.axi_lite_ipif
generic map
(
C_S_AXI_ADDR_WIDTH => C_S_AXI_ADDR_WIDTH,
C_S_AXI_DATA_WIDTH => C_S_AXI_DATA_WIDTH,
C_S_AXI_MIN_SIZE => X"000003FF",
C_USE_WSTRB => 0,
C_DPHASE_TIMEOUT => 8,
C_ARD_ADDR_RANGE_ARRAY => IPIF_ARD_ADDR_RANGE_ARRAY,
C_ARD_NUM_CE_ARRAY => IPIF_ARD_NUM_CE_ARRAY,
C_FAMILY => C_FAMILY
)
port map
(
S_AXI_ACLK => S_AXI_ACLK,
S_AXI_ARESETN => S_AXI_ARESETN,
S_AXI_AWADDR => S_AXI_AWADDR,
S_AXI_AWVALID => S_AXI_AWVALID,
S_AXI_AWREADY => S_AXI_AWREADY,
S_AXI_WDATA => S_AXI_WDATA,
S_AXI_WSTRB => S_AXI_WSTRB,
S_AXI_WVALID => S_AXI_WVALID,
S_AXI_WREADY => S_AXI_WREADY,
S_AXI_BRESP => S_AXI_BRESP,
S_AXI_BVALID => S_AXI_BVALID,
S_AXI_BREADY => S_AXI_BREADY,
S_AXI_ARADDR => S_AXI_ARADDR,
S_AXI_ARVALID => S_AXI_ARVALID,
S_AXI_ARREADY => S_AXI_ARREADY,
S_AXI_RDATA => S_AXI_RDATA,
S_AXI_RRESP => S_AXI_RRESP,
S_AXI_RVALID => S_AXI_RVALID,
S_AXI_RREADY => S_AXI_RREADY,
-- IP Interconnect (IPIC) port signals
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_Resetn => ipif_Bus2IP_Resetn,
IP2Bus_Data => le_ipif_IP2Bus_Data, --swap_endian(ipif_IP2Bus_Data),
IP2Bus_WrAck => ipif_IP2Bus_WrAck,
IP2Bus_RdAck => ipif_IP2Bus_RdAck,
IP2Bus_Error => ipif_IP2Bus_Error,
Bus2IP_Addr => le_ipif_Bus2IP_Addr,
Bus2IP_Data => le_ipif_Bus2IP_Data,
Bus2IP_RNW => ipif_Bus2IP_RNW,
Bus2IP_BE => le_ipif_Bus2IP_BE,
Bus2IP_CS => le_ipif_Bus2IP_CS,
Bus2IP_RdCE => le_ipif_Bus2IP_RdCE,
Bus2IP_WrCE => le_ipif_Bus2IP_WrCE
);
------------------------------------------
-- instantiate User Logic
------------------------------------------
USER_LOGIC_I : entity axi_tpg_v2_00_a.user_logic
generic map
(
-- MAP USER GENERICS BELOW THIS LINE ---------------
C_FAMILY => C_FAMILY,
C_Chroma_Format => C_CHROMA_FORMAT,
-- MAP USER GENERICS ABOVE THIS LINE ---------------
C_SLV_DWIDTH => USER_SLV_DWIDTH,
C_NUM_REG => USER_NUM_REG
)
port map
(
-- MAP USER PORTS BELOW THIS LINE ------------------
clk => clk,
rst => ipif_Bus2IP_Reset,
vsync_in => vsync_in,
hsync_in => hsync_in,
vblank_in => vblank_in,
hblank_in => hblank_in,
de_in => active_video_in,
blue_in => blue_in,
green_in => green_in,
red_in => red_in,
vsync_out => t_vsync_out,
hsync_out => hsync_out,
vblank_out => vblank_out,
hblank_out => hblank_out,
de_out => t_active_video_out,
blue_out => blue_out,
green_out => green_out,
red_out => red_out,
ZP_debug => ZP_debug,
TPG_debug => TPG_debug,
-- MAP USER PORTS ABOVE THIS LINE ------------------
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_Reset => ipif_Bus2IP_Reset,
Bus2IP_Data => ipif_Bus2IP_Data,
Bus2IP_BE => ipif_Bus2IP_BE,
Bus2IP_RdCE => user_Bus2IP_RdCE,
Bus2IP_WrCE => user_Bus2IP_WrCE,
IP2Bus_Data => user_IP2Bus_Data,
IP2Bus_RdAck => user_IP2Bus_RdAck,
IP2Bus_WrAck => user_IP2Bus_WrAck,
IP2Bus_Error => user_IP2Bus_Error
);
Select_2_Channels : if (C_NUM_CHANNELS = 2) generate
t_video_data_out((2*C_DATA_WIDTH)-1 downto C_DATA_WIDTH) <= green_out;
t_video_data_out(C_DATA_WIDTH-1 downto 0) <= red_out;
blue_in <= (others=> '0');
green_in <= video_data_in((2*C_DATA_WIDTH)-1 downto C_DATA_WIDTH);
red_in <= video_data_in(C_DATA_WIDTH-1 downto 0);
end generate;
Select_3_Channels : if (C_NUM_CHANNELS = 3) generate
t_video_data_out((3*C_DATA_WIDTH)-1 downto (2*C_DATA_WIDTH)) <= red_out;
t_video_data_out((2*C_DATA_WIDTH)-1 downto C_DATA_WIDTH) <= blue_out;
t_video_data_out(C_DATA_WIDTH-1 downto 0) <= green_out;
red_in <= video_data_in((3*C_DATA_WIDTH)-1 downto (2*C_DATA_WIDTH));
blue_in <= video_data_in((2*C_DATA_WIDTH)-1 downto C_DATA_WIDTH);
green_in <= video_data_in(C_DATA_WIDTH-1 downto 0);
end generate;
vsync_out <= t_vsync_out;
active_video_out <= t_active_video_out;
video_data_out <= t_video_data_out;
VDMA_wd_clk <= clk;
VDMA_wd_reset <= t_vsync_out;
VDMA_video_out_we <= t_active_video_out;
VDMA_video_data_out <= t_video_data_out;
------------------------------------------
-- connect internal signals
------------------------------------------
ipif_IP2Bus_Data <= user_IP2Bus_Data;
ipif_IP2Bus_WrAck <= user_IP2Bus_WrAck;
ipif_IP2Bus_RdAck <= user_IP2Bus_RdAck;
ipif_IP2Bus_Error <= user_IP2Bus_Error;
user_Bus2IP_RdCE <= ipif_Bus2IP_RdCE(USER_CE_INDEX to USER_CE_INDEX+USER_NUM_REG-1);
user_Bus2IP_WrCE <= ipif_Bus2IP_WrCE(USER_CE_INDEX to USER_CE_INDEX+USER_NUM_REG-1);
end IMP;
|
-- brdConst_pkg (for Maker Board)
----------------------------------------------------------------------
-- (c) 2019 by Anton Mause
--
-- board/kit dependency : LEDs & SW polarity
--
----------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
----------------------------------------------------------------------
entity brdLexSwx is
port ( o_lex, o_pbx : out std_logic );
end brdLexSwx;
----------------------------------------------------------------------
architecture rtl of brdLexSwx is
begin
-- polarity of LED driver output
-- '0' = low idle, high active
-- '1' = high idle, low active
o_lex <= '1';
-- polarity of push button switch
-- '0' = low idle, high active (pressed)
-- '1' = high idle, low active (pressed)
o_pbx <= '0';
end rtl; |
--
-- Redistribution and use in source and synthezised forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
--
-- * Redistributions in synthesized form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- * Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
-- specific prior written agreement from the author.
--
-- * License is granted for non-commercial use only. A fee may not be charged
-- for redistributions as source code or in synthesized/hardware form without
-- specific prior written agreement from the author.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity i2s_intf is
generic (
mclk_rate : positive := 12000000;
sample_rate : positive := 8000;
preamble : positive := 1; -- I2S
word_length : positive := 16
);
port (
-- 2x MCLK in (e.g. 24 MHz for WM8731 USB mode)
clock_i : in std_logic;
reset_i : in std_logic;
-- Parallel IO
pcm_inl_o : out std_logic_vector(word_length - 1 downto 0);
pcm_inr_o : out std_logic_vector(word_length - 1 downto 0);
pcm_outl_i : in std_logic_vector(word_length - 1 downto 0);
pcm_outr_i : in std_logic_vector(word_length - 1 downto 0);
-- Codec interface (right justified mode)
-- MCLK is generated at half of the CLK input
i2s_mclk_o : out std_logic;
-- LRCLK is equal to the sample rate and is synchronous to
-- MCLK. It must be related to MCLK by the oversampling ratio
-- given in the codec datasheet.
i2s_lrclk_o : out std_logic;
-- Data is shifted out on the falling edge of BCLK, sampled
-- on the rising edge. The bit rate is determined such that
-- it is fast enough to fit preamble + word_length bits into
-- each LRCLK half cycle. The last cycle of each word may be
-- stretched to fit to LRCLK. This is OK at least for the
-- WM8731 codec.
-- The first falling edge of each timeslot is always synchronised
-- with the LRCLK edge.
i2s_bclk_o : out std_logic;
-- Output bitstream
i2s_d_o : out std_logic;
-- Input bitstream
i2s_d_i : in std_logic
);
end entity;
architecture rtl of i2s_intf is
constant ratio_mclk_fs : positive := (mclk_rate / sample_rate);
constant lrdivider_top : positive := ratio_mclk_fs - 1;
constant bdivider_top : positive := (ratio_mclk_fs / 4 / (preamble + word_length) * 2) - 1;
constant nbits : positive := preamble + word_length;
subtype lrdivider_t is integer range 0 to lrdivider_top;
subtype bdivider_t is integer range 0 to bdivider_top;
subtype bitcount_t is integer range 0 to nbits;
signal lrdivider : lrdivider_t;
signal bdivider : bdivider_t;
signal bitcount : bitcount_t;
signal mclk_r : std_logic;
signal lrclk_r : std_logic;
signal bclk_r : std_logic;
-- Shift register is long enough for the number of data bits
-- plus the preamble, plus an extra bit on the right to register
-- the incoming data
signal shiftreg : std_logic_vector(nbits downto 0);
begin
i2s_mclk_o <= mclk_r;
i2s_lrclk_o <= lrclk_r;
i2s_bclk_o <= bclk_r;
i2s_d_o <= shiftreg(nbits); -- data goes out MSb first
process(reset_i, clock_i)
begin
if reset_i = '1' then
pcm_inl_o <= (others => '0');
pcm_inr_o <= (others => '0');
-- Preload down-counters for clock generation
lrdivider <= lrdivider_top;
bdivider <= bdivider_top;
bitcount <= nbits;
mclk_r <= '0';
lrclk_r <= '0';
bclk_r <= '0';
shiftreg <= (others => '0');
elsif rising_edge(clock_i) then
-- Generate MCLK at half input clock rate
mclk_r <= not mclk_r;
-- Generate LRCLK at rate specified by codec configuration
if lrdivider = 0 then
-- LRCLK divider has reached 0 - start again from the top
lrdivider <= lrdivider_top;
-- Generate LRCLK edge and sync the BCLK counter
lrclk_r <= not lrclk_r;
bclk_r <= '0';
bitcount <= nbits; -- 1 extra required for setup
bdivider <= bdivider_top;
-- Load shift register with output data padding preamble
-- with 0s. Load output buses with input word from the
-- previous timeslot.
shiftreg(nbits downto nbits - preamble + 1) <= (others => '0');
if lrclk_r = '0' then
-- Previous channel input is LEFT. This is available in the
-- shift register at the end of a cycle, right justified
pcm_inl_o <= shiftreg(word_length - 1 downto 0);
-- Next channel to output is RIGHT. Load this into the
-- shift register at the start of a cycle, left justified
shiftreg(word_length downto 1) <= pcm_outr_i;
else
-- Previous channel input is RIGHT
pcm_inr_o <= shiftreg(word_length - 1 downto 0);
-- Next channel is LEFT
shiftreg(word_length downto 1) <= pcm_outl_i;
end if;
else
-- Decrement the LRCLK counter
lrdivider <= lrdivider - 1;
-- Generate BCLK at a suitable rate to fit the required number
-- of bits into each timeslot. Data is changed on the falling edge,
-- sampled on the rising edge
if bdivider = 0 then
-- If all bits have been output for this phase then
-- stop and wait to sync back up with LRCLK
if bitcount > 0 then
-- Reset
bdivider <= bdivider_top;
-- Toggle BCLK
bclk_r <= not bclk_r;
if bclk_r = '0' then
-- Rising edge - shift in current bit and decrement bit counter
bitcount <= bitcount - 1;
shiftreg(0) <= i2s_d_i;
else
-- Falling edge - shift out next bit
shiftreg(nbits downto 1) <= shiftreg(nbits - 1 downto 0);
end if;
end if;
else
-- Decrement the BCLK counter
bdivider <= bdivider - 1;
end if;
end if;
end if;
end process;
end architecture;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity clkkey is
port
(
clkkey_port_clk: in std_logic;
clkkey_clk: out std_logic
);
end clkkey;
architecture Behavioral of clkkey is
begin
clkkey_clk <= clkkey_port_clk;
end Behavioral;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library std;
use std.textio.all;
entity lfsr_tb is
generic (
LFSR_LENGTH : positive := 8;
DUMP_FILE : string := string'("lfsr_dump.txt"));
end entity;
architecture sim of lfsr_tb is
file dump : text open write_mode is DUMP_FILE;
component lfsr is
generic (
INTERNAL_SIZE : positive;
SEED : natural);
port (
clk : in std_logic;
rst : in std_logic;
q : out std_logic_vector);
end component;
constant clk_p : time := 2 ns;
signal clk : std_logic := '0'; -- clock for uut
signal rst : std_logic := '0'; -- reset for uut
signal q : std_logic_vector((LFSR_LENGTH - 1) downto 0); -- LFSR out vector
-- Record all values output by LFSR. Set chosen(q) to '1' on each clock cycle
signal chosen : std_logic_vector(((2 ** q'length) -2) downto 0);
-- If LFSR is maximal length, then chosen will match this after
-- 2**LFSR_LENGTH - 1 clock cycles.
constant ALL_CHOSEN : std_logic_vector(chosen'range) := (others => '1');
begin
-- Instantiate the LFSR to test
uut : lfsr
generic map (
INTERNAL_SIZE => LFSR_LENGTH,
SEED => 1
) port map (
clk => clk,
rst => rst,
q => q);
-- Generate clock
clk_gen : process
begin
clk <= not clk;
wait for (clk_p / 2);
end process;
-- Generate Reset signal for 1 clock cycle
rst_proc : process
begin
rst <= '1';
wait for clk_p;
rst <= '0';
wait;
end process;
-- Record each output from LFSR into 'chosen' and file.
record_output : process
variable value : integer;
variable buf : line;
begin
wait for clk_p;
value := to_integer(unsigned(q));
write(buf, value);
writeline(dump, buf);
chosen(value) <= '1';
end process;
-- Check to see if LFSR has iterated over all values.
check_states : process
variable buf : line;
begin
wait for (clk_p * (2 ** LFSR_LENGTH)); -- Give 1 extra cycle for reset.
if chosen = ALL_CHOSEN then
write(buf, string'("Maximal LFSR"));
else
write(buf, string'("Non-maximal LFSR"));
end if;
writeline(output, buf);
wait;
end process;
end sim;
|
-- ----------------------------------------------------------------------
--LOGI-hard
--Copyright (c) 2013, Jonathan Piat, Michael Jones, All rights reserved.
--
--This library is free software; you can redistribute it and/or
--modify it under the terms of the GNU Lesser General Public
--License as published by the Free Software Foundation; either
--version 3.0 of the License, or (at your option) any later version.
--
--This library is distributed in the hope that it will be useful,
--but WITHOUT ANY WARRANTY; without even the implied warranty of
--MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
--Lesser General Public License for more details.
--
--You should have received a copy of the GNU Lesser General Public
--License along with this library.
-- ----------------------------------------------------------------------
----------------------------------------------------------------------------------
-- Company:LAAS-CNRS
-- Author:Jonathan Piat <piat.jonathan@gmail.com>
--
-- Create Date: 10:54:36 06/19/2012
-- Design Name:
-- Module Name: fifo_peripheral - Behavioral
-- Project Name:
-- Target Devices: Spartan 6 Spartan 6
-- Tool versions: ISE 14.1 ISE 14.1
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.ALL;
library work ;
use work.logi_utils_pack.all ;
--! peripheral with fifo interface to the logic
--! fifo B can be written from logic and read from bus
--! fifo A can be written from bus and read from logic
entity wishbone_to_xil_fifo is
generic( ADDR_WIDTH: positive := 16; --! width of the address bus
WIDTH : positive := 16; --! width of the data bus
WR_FIFO_SIZE : natural := 128;
RD_FIFO_SIZE : natural := 128
);
port(
-- Syscon signals
gls_reset : in std_logic ;
gls_clk : in std_logic ;
-- Wishbone signals
wbs_address : in std_logic_vector(ADDR_WIDTH-1 downto 0) ;
wbs_writedata : in std_logic_vector( WIDTH-1 downto 0);
wbs_readdata : out std_logic_vector( WIDTH-1 downto 0);
wbs_strobe : in std_logic ;
wbs_cycle : in std_logic ;
wbs_write : in std_logic ;
wbs_ack : out std_logic;
-- fifo signals
fifo_rst : out std_logic;
-- write xil_fifo signals
wr_clk : out std_logic ;
dout : out std_logic_vector(15 downto 0);
wr_en : out std_logic ;
full : in std_logic ;
wr_data_count : in std_logic_vector(15 downto 0);
overflow : in std_logic;
-- read xil_fifo signals
rd_clk : out std_logic ;
din : in std_logic_vector(15 downto 0);
rd_en : out std_logic ;
empty : in std_logic ;
rd_data_count : in std_logic_vector(15 downto 0);
underflow : in std_logic
);
end wishbone_to_xil_fifo;
architecture RTL of wishbone_to_xil_fifo is
constant address_space_nbit : integer := MAX(nbit(WR_FIFO_SIZE), nbit(RD_FIFO_SIZE));
signal write_ack, read_ack : std_logic ;
signal gls_resetn : std_logic ;
signal control_latched : std_logic_vector(15 downto 0) ;
signal control_data, fifo_status : std_logic_vector(15 downto 0) ;
signal fifo_data : std_logic_vector(15 downto 0) ;
signal data_access : std_logic ;
signal control_space_data_spacen : std_logic ;
begin
rd_clk <= gls_clk ;
wr_clk <= gls_clk ;
gls_resetn <= NOT gls_reset ;
write_bloc : process(gls_clk,gls_reset)
begin
if gls_reset = '1' then
write_ack <= '0';
elsif rising_edge(gls_clk) then
if ((wbs_strobe and wbs_write and wbs_cycle) = '1' ) then
write_ack <= '1';
else
write_ack <= '0';
end if;
end if;
end process write_bloc;
read_bloc : process(gls_clk, gls_reset)
begin
if gls_reset = '1' then
elsif rising_edge(gls_clk) then
control_latched <= control_data ;
if (wbs_strobe = '1' and wbs_write = '0' and wbs_cycle = '1' ) then
read_ack <= '1';
else
read_ack <= '0';
end if;
end if;
end process read_bloc;
wbs_ack <= read_ack or write_ack;
control_space_data_spacen <= wbs_address(address_space_nbit) ;
wbs_readdata <= control_latched when control_space_data_spacen = '1' else --data_access = '0' else
fifo_data ;
rd_en <= '1' when control_space_data_spacen = '0' and wbs_strobe = '1' and wbs_write = '0' and wbs_cycle = '1' and read_ack = '0' else
'0' ;
wr_en <= '1' when control_space_data_spacen = '0' and (wbs_strobe and wbs_write and wbs_cycle)= '1' and write_ack = '0' else
'0' ;
with conv_integer(wbs_address(address_space_nbit-1 downto 0)) select
control_data <= std_logic_vector(to_unsigned(RD_FIFO_SIZE, 16)) when 0,
std_logic_vector(to_unsigned(WR_FIFO_SIZE, 16)) when 1,
std_logic_vector(resize(unsigned(rd_data_count), 16)) when 2,
std_logic_vector(resize(unsigned(wr_data_count), 16)) when 3,
fifo_status when others;
fifo_status <= X"000" & empty & underflow & full & overflow ;
fifo_rst <= '1' when gls_reset = '1' else
'1' when control_space_data_spacen = '1' and (wbs_strobe and wbs_write and wbs_cycle)= '1' else
'0' ;
dout <= wbs_writedata ;
process(gls_clk, gls_reset)
begin
if gls_reset = '1' then
fifo_data <= (others => '0');
elsif rising_edge(gls_clk) then
if control_space_data_spacen = '0' and wbs_strobe = '1' and wbs_write = '0' and wbs_cycle = '1' and read_ack = '0' then
fifo_data <= din ;
end if ;
end if;
end process;
end RTL;
|
-- ----------------------------------------------------------------------
--LOGI-hard
--Copyright (c) 2013, Jonathan Piat, Michael Jones, All rights reserved.
--
--This library is free software; you can redistribute it and/or
--modify it under the terms of the GNU Lesser General Public
--License as published by the Free Software Foundation; either
--version 3.0 of the License, or (at your option) any later version.
--
--This library is distributed in the hope that it will be useful,
--but WITHOUT ANY WARRANTY; without even the implied warranty of
--MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
--Lesser General Public License for more details.
--
--You should have received a copy of the GNU Lesser General Public
--License along with this library.
-- ----------------------------------------------------------------------
----------------------------------------------------------------------------------
-- Company:LAAS-CNRS
-- Author:Jonathan Piat <piat.jonathan@gmail.com>
--
-- Create Date: 10:54:36 06/19/2012
-- Design Name:
-- Module Name: fifo_peripheral - Behavioral
-- Project Name:
-- Target Devices: Spartan 6 Spartan 6
-- Tool versions: ISE 14.1 ISE 14.1
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.NUMERIC_STD.ALL;
library work ;
use work.logi_utils_pack.all ;
--! peripheral with fifo interface to the logic
--! fifo B can be written from logic and read from bus
--! fifo A can be written from bus and read from logic
entity wishbone_to_xil_fifo is
generic( ADDR_WIDTH: positive := 16; --! width of the address bus
WIDTH : positive := 16; --! width of the data bus
WR_FIFO_SIZE : natural := 128;
RD_FIFO_SIZE : natural := 128
);
port(
-- Syscon signals
gls_reset : in std_logic ;
gls_clk : in std_logic ;
-- Wishbone signals
wbs_address : in std_logic_vector(ADDR_WIDTH-1 downto 0) ;
wbs_writedata : in std_logic_vector( WIDTH-1 downto 0);
wbs_readdata : out std_logic_vector( WIDTH-1 downto 0);
wbs_strobe : in std_logic ;
wbs_cycle : in std_logic ;
wbs_write : in std_logic ;
wbs_ack : out std_logic;
-- fifo signals
fifo_rst : out std_logic;
-- write xil_fifo signals
wr_clk : out std_logic ;
dout : out std_logic_vector(15 downto 0);
wr_en : out std_logic ;
full : in std_logic ;
wr_data_count : in std_logic_vector(15 downto 0);
overflow : in std_logic;
-- read xil_fifo signals
rd_clk : out std_logic ;
din : in std_logic_vector(15 downto 0);
rd_en : out std_logic ;
empty : in std_logic ;
rd_data_count : in std_logic_vector(15 downto 0);
underflow : in std_logic
);
end wishbone_to_xil_fifo;
architecture RTL of wishbone_to_xil_fifo is
constant address_space_nbit : integer := MAX(nbit(WR_FIFO_SIZE), nbit(RD_FIFO_SIZE));
signal write_ack, read_ack : std_logic ;
signal gls_resetn : std_logic ;
signal control_latched : std_logic_vector(15 downto 0) ;
signal control_data, fifo_status : std_logic_vector(15 downto 0) ;
signal fifo_data : std_logic_vector(15 downto 0) ;
signal data_access : std_logic ;
signal control_space_data_spacen : std_logic ;
begin
rd_clk <= gls_clk ;
wr_clk <= gls_clk ;
gls_resetn <= NOT gls_reset ;
write_bloc : process(gls_clk,gls_reset)
begin
if gls_reset = '1' then
write_ack <= '0';
elsif rising_edge(gls_clk) then
if ((wbs_strobe and wbs_write and wbs_cycle) = '1' ) then
write_ack <= '1';
else
write_ack <= '0';
end if;
end if;
end process write_bloc;
read_bloc : process(gls_clk, gls_reset)
begin
if gls_reset = '1' then
elsif rising_edge(gls_clk) then
control_latched <= control_data ;
if (wbs_strobe = '1' and wbs_write = '0' and wbs_cycle = '1' ) then
read_ack <= '1';
else
read_ack <= '0';
end if;
end if;
end process read_bloc;
wbs_ack <= read_ack or write_ack;
control_space_data_spacen <= wbs_address(address_space_nbit) ;
wbs_readdata <= control_latched when control_space_data_spacen = '1' else --data_access = '0' else
fifo_data ;
rd_en <= '1' when control_space_data_spacen = '0' and wbs_strobe = '1' and wbs_write = '0' and wbs_cycle = '1' and read_ack = '0' else
'0' ;
wr_en <= '1' when control_space_data_spacen = '0' and (wbs_strobe and wbs_write and wbs_cycle)= '1' and write_ack = '0' else
'0' ;
with conv_integer(wbs_address(address_space_nbit-1 downto 0)) select
control_data <= std_logic_vector(to_unsigned(RD_FIFO_SIZE, 16)) when 0,
std_logic_vector(to_unsigned(WR_FIFO_SIZE, 16)) when 1,
std_logic_vector(resize(unsigned(rd_data_count), 16)) when 2,
std_logic_vector(resize(unsigned(wr_data_count), 16)) when 3,
fifo_status when others;
fifo_status <= X"000" & empty & underflow & full & overflow ;
fifo_rst <= '1' when gls_reset = '1' else
'1' when control_space_data_spacen = '1' and (wbs_strobe and wbs_write and wbs_cycle)= '1' else
'0' ;
dout <= wbs_writedata ;
process(gls_clk, gls_reset)
begin
if gls_reset = '1' then
fifo_data <= (others => '0');
elsif rising_edge(gls_clk) then
if control_space_data_spacen = '0' and wbs_strobe = '1' and wbs_write = '0' and wbs_cycle = '1' and read_ack = '0' then
fifo_data <= din ;
end if ;
end if;
end process;
end RTL;
|
architecture RTL of FIFO is
begin
FOR_LABEL : for i in 0 to 7 generate
end generate FOR_LABEL;
IF_LABEL : if a = '1' generate
end generate IF_LABEL;
CASE_LABEL : case data generate
end generate CASE_LABEL;
-- Violations below
FOR_LABEL : for i in 0 to 7 generate
end generate FOR_LABEL;
IF_LABEL : if a = '1' generate
end generate IF_LABEL1;
CASE_LABEL : case data generate
end generate CASE_LABEL;
end;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
-- Copyright (C) 2015 - 2016, Cobham Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: tap_xilinx
-- File: tap_xilinx.vhd
-- Author: Edvin Catovic, Jiri Gaisler - Gaisler Research
-- Description: Xilinx TAP controllers wrappers
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
library unisim;
use unisim.all;
-- pragma translate_on
entity virtex_tap is
port (
tapi_tdo1 : in std_ulogic;
tapi_tdo2 : in std_ulogic;
tapo_tck : out std_ulogic;
tapo_tdi : out std_ulogic;
tapo_rst : out std_ulogic;
tapo_capt : out std_ulogic;
tapo_shft : out std_ulogic;
tapo_upd : out std_ulogic;
tapo_xsel1 : out std_ulogic;
tapo_xsel2 : out std_ulogic
);
end;
architecture rtl of virtex_tap is
component BSCAN_VIRTEX
port (CAPTURE : out STD_ULOGIC;
DRCK1 : out STD_ULOGIC;
DRCK2 : out STD_ULOGIC;
RESET : out STD_ULOGIC;
SEL1 : out STD_ULOGIC;
SEL2 : out STD_ULOGIC;
SHIFT : out STD_ULOGIC;
TDI : out STD_ULOGIC;
UPDATE : out STD_ULOGIC;
TDO1 : in STD_ULOGIC;
TDO2 : in STD_ULOGIC);
end component;
signal drck1, drck2, sel1, sel2 : std_ulogic;
attribute dont_touch : boolean;
attribute dont_touch of u0 : label is true;
begin
u0 : BSCAN_VIRTEX
port map (
DRCK1 => drck1,
DRCK2 => drck2,
RESET => tapo_rst,
SEL1 => sel1,
SEL2 => sel2,
SHIFT => tapo_shft,
TDI => tapo_tdi,
UPDATE => tapo_upd,
TDO1 => tapi_tdo1,
TDO2 => tapi_tdo2);
tapo_tck <= drck1 when sel1 = '1' else drck2;
tapo_xsel1 <= sel1; tapo_xsel2 <= sel2; tapo_capt <= '0';
end;
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
library unisim;
use unisim.all;
-- pragma translate_on
entity virtex2_tap is
port (
tapi_tdo1 : in std_ulogic;
tapi_tdo2 : in std_ulogic;
tapo_tck : out std_ulogic;
tapo_tdi : out std_ulogic;
tapo_rst : out std_ulogic;
tapo_capt : out std_ulogic;
tapo_shft : out std_ulogic;
tapo_upd : out std_ulogic;
tapo_xsel1 : out std_ulogic;
tapo_xsel2 : out std_ulogic
);
end;
architecture rtl of virtex2_tap is
component BSCAN_VIRTEX2
port (CAPTURE : out STD_ULOGIC;
DRCK1 : out STD_ULOGIC;
DRCK2 : out STD_ULOGIC;
RESET : out STD_ULOGIC;
SEL1 : out STD_ULOGIC;
SEL2 : out STD_ULOGIC;
SHIFT : out STD_ULOGIC;
TDI : out STD_ULOGIC;
UPDATE : out STD_ULOGIC;
TDO1 : in STD_ULOGIC;
TDO2 : in STD_ULOGIC);
end component;
signal drck1, drck2, sel1, sel2 : std_ulogic;
attribute dont_touch : boolean;
attribute dont_touch of u0 : label is true;
begin
u0 : BSCAN_VIRTEX2
port map (CAPTURE => tapo_capt,
DRCK1 => drck1,
DRCK2 => drck2,
RESET => tapo_rst,
SEL1 => sel1,
SEL2 => sel2,
SHIFT => tapo_shft,
TDI => tapo_tdi,
UPDATE => tapo_upd,
TDO1 => tapi_tdo1,
TDO2 => tapi_tdo2);
tapo_tck <= drck1 when sel1 = '1' else drck2;
tapo_xsel1 <= sel1; tapo_xsel2 <= sel2;
end;
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
library unisim;
use unisim.BSCAN_SPARTAN3;
-- pragma translate_on
entity spartan3_tap is
port (
tapi_tdo1 : in std_ulogic;
tapi_tdo2 : in std_ulogic;
tapo_tck : out std_ulogic;
tapo_tdi : out std_ulogic;
tapo_rst : out std_ulogic;
tapo_capt : out std_ulogic;
tapo_shft : out std_ulogic;
tapo_upd : out std_ulogic;
tapo_xsel1 : out std_ulogic;
tapo_xsel2 : out std_ulogic
);
end;
architecture rtl of spartan3_tap is
component BSCAN_SPARTAN3
port (CAPTURE : out STD_ULOGIC;
DRCK1 : out STD_ULOGIC;
DRCK2 : out STD_ULOGIC;
RESET : out STD_ULOGIC;
SEL1 : out STD_ULOGIC;
SEL2 : out STD_ULOGIC;
SHIFT : out STD_ULOGIC;
TDI : out STD_ULOGIC;
UPDATE : out STD_ULOGIC;
TDO1 : in STD_ULOGIC;
TDO2 : in STD_ULOGIC);
end component;
signal drck1, drck2, sel1, sel2 : std_ulogic;
attribute dont_touch : boolean;
attribute dont_touch of u0 : label is true;
begin
u0 : BSCAN_SPARTAN3
port map (CAPTURE => tapo_capt,
DRCK1 => drck1,
DRCK2 => drck2,
RESET => tapo_rst,
SEL1 => sel1,
SEL2 => sel2,
SHIFT => tapo_shft,
TDI => tapo_tdi,
UPDATE => tapo_upd,
TDO1 => tapi_tdo1,
TDO2 => tapi_tdo2);
tapo_tck <= drck1 when sel1 = '1' else drck2;
tapo_xsel1 <= sel1; tapo_xsel2 <= sel2;
end;
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
library unisim;
use unisim.BSCAN_VIRTEX4;
-- pragma translate_on
entity virtex4_tap is
port (
tapi_tdo1 : in std_ulogic;
tapi_tdo2 : in std_ulogic;
tapo_tck : out std_ulogic;
tapo_tdi : out std_ulogic;
tapo_rst : out std_ulogic;
tapo_capt : out std_ulogic;
tapo_shft : out std_ulogic;
tapo_upd : out std_ulogic;
tapo_xsel1 : out std_ulogic;
tapo_xsel2 : out std_ulogic
);
end;
architecture rtl of virtex4_tap is
component BSCAN_VIRTEX4 generic ( JTAG_CHAIN : integer := 1);
port ( CAPTURE : out std_ulogic;
DRCK : out std_ulogic;
RESET : out std_ulogic;
SEL : out std_ulogic;
SHIFT : out std_ulogic;
TDI : out std_ulogic;
UPDATE : out std_ulogic;
TDO : in std_ulogic);
end component;
signal drck1, drck2, sel1, sel2 : std_ulogic;
signal capt1, capt2, rst1, rst2 : std_ulogic;
signal shift1, shift2, tdi1, tdi2 : std_ulogic;
signal update1, update2 : std_ulogic;
attribute dont_touch : boolean;
attribute dont_touch of u0 : label is true;
attribute dont_touch of u1 : label is true;
begin
u0 : BSCAN_VIRTEX4
generic map (JTAG_CHAIN => 1)
port map (
CAPTURE => capt1,
DRCK => drck1,
RESET => rst1,
SEL => sel1,
SHIFT => shift1,
TDI => tdi1,
UPDATE => update1,
TDO => tapi_tdo1
);
u1 : BSCAN_VIRTEX4
generic map (JTAG_CHAIN => 2)
port map (
CAPTURE => capt2,
DRCK => drck2,
RESET => rst2,
SEL => sel2,
SHIFT => shift2,
TDI => tdi2,
UPDATE => update2,
TDO => tapi_tdo2
);
tapo_capt <= capt1 when sel1 = '1' else capt2;
tapo_tck <= drck1 when sel1 = '1' else drck2;
tapo_rst <= rst1 when sel1 = '1' else rst2;
tapo_shft <= shift1 when sel1 = '1' else shift2;
tapo_tdi <= tdi1 when sel1 = '1' else tdi2;
tapo_upd <= update1 when sel1 ='1' else update2;
tapo_xsel1 <= sel1; tapo_xsel2 <= sel2;
end;
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
library unisim;
use unisim.BSCAN_VIRTEX5;
-- pragma translate_on
entity virtex5_tap is
port (
tapi_tdo1 : in std_ulogic;
tapi_tdo2 : in std_ulogic;
tapo_tck : out std_ulogic;
tapo_tdi : out std_ulogic;
tapo_rst : out std_ulogic;
tapo_capt : out std_ulogic;
tapo_shft : out std_ulogic;
tapo_upd : out std_ulogic;
tapo_xsel1 : out std_ulogic;
tapo_xsel2 : out std_ulogic
);
end;
architecture rtl of virtex5_tap is
component BSCAN_VIRTEX5 generic ( JTAG_CHAIN : integer := 1);
port ( CAPTURE : out std_ulogic;
DRCK : out std_ulogic;
RESET : out std_ulogic;
SEL : out std_ulogic;
SHIFT : out std_ulogic;
TDI : out std_ulogic;
UPDATE : out std_ulogic;
TDO : in std_ulogic);
end component;
signal drck1, drck2, sel1, sel2 : std_ulogic;
signal capt1, capt2, rst1, rst2 : std_ulogic;
signal shift1, shift2, tdi1, tdi2 : std_ulogic;
signal update1, update2 : std_ulogic;
attribute dont_touch : boolean;
attribute dont_touch of u0 : label is true;
attribute dont_touch of u1 : label is true;
begin
u0 : BSCAN_VIRTEX5
generic map (JTAG_CHAIN => 1)
port map (
CAPTURE => capt1,
DRCK => drck1,
RESET => rst1,
SEL => sel1,
SHIFT => shift1,
TDI => tdi1,
UPDATE => update1,
TDO => tapi_tdo1
);
u1 : BSCAN_VIRTEX5
generic map (JTAG_CHAIN => 2)
port map (
CAPTURE => capt2,
DRCK => drck2,
RESET => rst2,
SEL => sel2,
SHIFT => shift2,
TDI => tdi2,
UPDATE => update2,
TDO => tapi_tdo2
);
tapo_capt <= capt1 when sel1 = '1' else capt2;
tapo_tck <= drck1 when sel1 = '1' else drck2;
tapo_rst <= rst1 when sel1 = '1' else rst2;
tapo_shft <= shift1 when sel1 = '1' else shift2;
tapo_tdi <= tdi1 when sel1 = '1' else tdi2;
tapo_upd <= update1 when sel1 ='1' else update2;
tapo_xsel1 <= sel1; tapo_xsel2 <= sel2;
end;
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
library unisim;
use unisim.all;
-- pragma translate_on
entity virtex6_tap is
port (
tapi_tdo1 : in std_ulogic;
tapi_tdo2 : in std_ulogic;
tapo_tck : out std_ulogic;
tapo_tdi : out std_ulogic;
tapo_rst : out std_ulogic;
tapo_capt : out std_ulogic;
tapo_shft : out std_ulogic;
tapo_upd : out std_ulogic;
tapo_xsel1 : out std_ulogic;
tapo_xsel2 : out std_ulogic
);
end;
architecture rtl of virtex6_tap is
component BSCAN_VIRTEX6
generic (
DISABLE_JTAG : boolean := FALSE;
JTAG_CHAIN : integer := 1
);
port (
CAPTURE : out std_ulogic := 'H';
DRCK : out std_ulogic := 'H';
RESET : out std_ulogic := 'H';
RUNTEST : out std_ulogic := 'L';
SEL : out std_ulogic := 'L';
SHIFT : out std_ulogic := 'L';
TCK : out std_ulogic := 'L';
TDI : out std_ulogic := 'L';
TMS : out std_ulogic := 'L';
UPDATE : out std_ulogic := 'L';
TDO : in std_ulogic := 'X'
);
end component;
signal drck1, drck2, sel1, sel2 : std_ulogic;
signal capt1, capt2, rst1, rst2 : std_ulogic;
signal shift1, shift2, tdi1, tdi2 : std_ulogic;
signal update1, update2 : std_ulogic;
attribute dont_touch : boolean;
attribute dont_touch of u0 : label is true;
attribute dont_touch of u1 : label is true;
begin
u0 : BSCAN_VIRTEX6
generic map (JTAG_CHAIN => 1)
port map (
CAPTURE => capt1,
DRCK => drck1,
RESET => rst1,
SEL => sel1,
SHIFT => shift1,
TDI => tdi1,
UPDATE => update1,
TDO => tapi_tdo1,
TCK => tapo_tck
);
u1 : BSCAN_VIRTEX6
generic map (JTAG_CHAIN => 2)
port map (
CAPTURE => capt2,
DRCK => drck2,
RESET => rst2,
SEL => sel2,
SHIFT => shift2,
TDI => tdi2,
UPDATE => update2,
TDO => tapi_tdo2
);
tapo_capt <= capt1 when sel1 = '1' else capt2;
tapo_rst <= rst1 when sel1 = '1' else rst2;
tapo_shft <= shift1 when sel1 = '1' else shift2;
tapo_tdi <= tdi1 when sel1 = '1' else tdi2;
tapo_upd <= update1 when sel1 ='1' else update2;
tapo_xsel1 <= sel1; tapo_xsel2 <= sel2;
end;
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
library unisim;
use unisim.all;
-- pragma translate_on
entity spartan6_tap is
port (
tapi_tdo1 : in std_ulogic;
tapi_tdo2 : in std_ulogic;
tapo_tck : out std_ulogic;
tapo_tdi : out std_ulogic;
tapo_rst : out std_ulogic;
tapo_capt : out std_ulogic;
tapo_shft : out std_ulogic;
tapo_upd : out std_ulogic;
tapo_xsel1 : out std_ulogic;
tapo_xsel2 : out std_ulogic
);
end;
architecture rtl of spartan6_tap is
component BSCAN_SPARTAN6
generic (
JTAG_CHAIN : integer := 1
);
port (
CAPTURE : out std_ulogic := 'H';
DRCK : out std_ulogic := 'H';
RESET : out std_ulogic := 'H';
RUNTEST : out std_ulogic := 'L';
SEL : out std_ulogic := 'L';
SHIFT : out std_ulogic := 'L';
TCK : out std_ulogic := 'L';
TDI : out std_ulogic := 'L';
TMS : out std_ulogic := 'L';
UPDATE : out std_ulogic := 'L';
TDO : in std_ulogic := 'X'
);
end component;
signal drck1, drck2, sel1, sel2 : std_ulogic;
signal capt1, capt2, rst1, rst2 : std_ulogic;
signal shift1, shift2, tdi1, tdi2 : std_ulogic;
signal update1, update2 : std_ulogic;
attribute dont_touch : boolean;
attribute dont_touch of u0 : label is true;
attribute dont_touch of u1 : label is true;
begin
u0 : BSCAN_SPARTAN6
generic map (JTAG_CHAIN => 1)
port map (
CAPTURE => capt1,
DRCK => drck1,
RESET => rst1,
SEL => sel1,
SHIFT => shift1,
TDI => tdi1,
UPDATE => update1,
TDO => tapi_tdo1,
TCK => tapo_tck
);
u1 : BSCAN_SPARTAN6
generic map (JTAG_CHAIN => 2)
port map (
CAPTURE => capt2,
DRCK => drck2,
RESET => rst2,
SEL => sel2,
SHIFT => shift2,
TDI => tdi2,
UPDATE => update2,
TDO => tapi_tdo2
);
tapo_capt <= capt1 when sel1 = '1' else capt2;
tapo_rst <= rst1 when sel1 = '1' else rst2;
tapo_shft <= shift1 when sel1 = '1' else shift2;
tapo_tdi <= tdi1 when sel1 = '1' else tdi2;
tapo_upd <= update1 when sel1 ='1' else update2;
tapo_xsel1 <= sel1; tapo_xsel2 <= sel2;
end;
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
library unisim;
use unisim.all;
-- pragma translate_on
entity virtex7_tap is
port (
tapi_tdo1 : in std_ulogic;
tapi_tdo2 : in std_ulogic;
tapo_tck : out std_ulogic;
tapo_tdi : out std_ulogic;
tapo_rst : out std_ulogic;
tapo_capt : out std_ulogic;
tapo_shft : out std_ulogic;
tapo_upd : out std_ulogic;
tapo_xsel1 : out std_ulogic;
tapo_xsel2 : out std_ulogic
);
end;
architecture rtl of virtex7_tap is
component BSCANE2
generic (
DISABLE_JTAG : string := "FALSE";
JTAG_CHAIN : integer := 1
);
port (
CAPTURE : out std_ulogic := 'H';
DRCK : out std_ulogic := 'H';
RESET : out std_ulogic := 'H';
RUNTEST : out std_ulogic := 'L';
SEL : out std_ulogic := 'L';
SHIFT : out std_ulogic := 'L';
TCK : out std_ulogic := 'L';
TDI : out std_ulogic := 'L';
TMS : out std_ulogic := 'L';
UPDATE : out std_ulogic := 'L';
TDO : in std_ulogic := 'X'
);
end component;
signal drck1, drck2, sel1, sel2 : std_ulogic;
signal capt1, capt2, rst1, rst2 : std_ulogic;
signal shift1, shift2, tdi1, tdi2 : std_ulogic;
signal update1, update2 : std_ulogic;
attribute dont_touch : boolean;
attribute dont_touch of u0 : label is true;
attribute dont_touch of u1 : label is true;
begin
u0 : BSCANE2
generic map (JTAG_CHAIN => 1)
port map (
CAPTURE => capt1,
DRCK => drck1,
RESET => rst1,
SEL => sel1,
SHIFT => shift1,
TDI => tdi1,
UPDATE => update1,
TDO => tapi_tdo1,
TCK => tapo_tck
);
u1 : BSCANE2
generic map (JTAG_CHAIN => 2)
port map (
CAPTURE => capt2,
DRCK => drck2,
RESET => rst2,
SEL => sel2,
SHIFT => shift2,
TDI => tdi2,
UPDATE => update2,
TDO => tapi_tdo2
);
tapo_capt <= capt1 when sel1 = '1' else capt2;
tapo_rst <= rst1 when sel1 = '1' else rst2;
tapo_shft <= shift1 when sel1 = '1' else shift2;
tapo_tdi <= tdi1 when sel1 = '1' else tdi2;
tapo_upd <= update1 when sel1 ='1' else update2;
tapo_xsel1 <= sel1; tapo_xsel2 <= sel2;
end;
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
library unisim;
use unisim.all;
-- pragma translate_on
entity kintex7_tap is
port (
tapi_tdo1 : in std_ulogic;
tapi_tdo2 : in std_ulogic;
tapo_tck : out std_ulogic;
tapo_tdi : out std_ulogic;
tapo_rst : out std_ulogic;
tapo_capt : out std_ulogic;
tapo_shft : out std_ulogic;
tapo_upd : out std_ulogic;
tapo_xsel1 : out std_ulogic;
tapo_xsel2 : out std_ulogic
);
end;
architecture rtl of kintex7_tap is
component BSCANE2
generic (
DISABLE_JTAG : string := "FALSE";
JTAG_CHAIN : integer := 1
);
port (
CAPTURE : out std_ulogic := 'H';
DRCK : out std_ulogic := 'H';
RESET : out std_ulogic := 'H';
RUNTEST : out std_ulogic := 'L';
SEL : out std_ulogic := 'L';
SHIFT : out std_ulogic := 'L';
TCK : out std_ulogic := 'L';
TDI : out std_ulogic := 'L';
TMS : out std_ulogic := 'L';
UPDATE : out std_ulogic := 'L';
TDO : in std_ulogic := 'X'
);
end component;
signal drck1, drck2, sel1, sel2 : std_ulogic;
signal capt1, capt2, rst1, rst2 : std_ulogic;
signal shift1, shift2, tdi1, tdi2 : std_ulogic;
signal update1, update2 : std_ulogic;
attribute dont_touch : boolean;
attribute dont_touch of u0 : label is true;
attribute dont_touch of u1 : label is true;
begin
u0 : BSCANE2
generic map (JTAG_CHAIN => 1)
port map (
CAPTURE => capt1,
DRCK => drck1,
RESET => rst1,
SEL => sel1,
SHIFT => shift1,
TDI => tdi1,
UPDATE => update1,
TDO => tapi_tdo1,
TCK => tapo_tck
);
u1 : BSCANE2
generic map (JTAG_CHAIN => 2)
port map (
CAPTURE => capt2,
DRCK => drck2,
RESET => rst2,
SEL => sel2,
SHIFT => shift2,
TDI => tdi2,
UPDATE => update2,
TDO => tapi_tdo2
);
tapo_capt <= capt1 when sel1 = '1' else capt2;
tapo_rst <= rst1 when sel1 = '1' else rst2;
tapo_shft <= shift1 when sel1 = '1' else shift2;
tapo_tdi <= tdi1 when sel1 = '1' else tdi2;
tapo_upd <= update1 when sel1 ='1' else update2;
tapo_xsel1 <= sel1; tapo_xsel2 <= sel2;
end;
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
library unisim;
use unisim.all;
-- pragma translate_on
entity artix7_tap is
port (
tapi_tdo1 : in std_ulogic;
tapi_tdo2 : in std_ulogic;
tapo_tck : out std_ulogic;
tapo_tdi : out std_ulogic;
tapo_rst : out std_ulogic;
tapo_capt : out std_ulogic;
tapo_shft : out std_ulogic;
tapo_upd : out std_ulogic;
tapo_xsel1 : out std_ulogic;
tapo_xsel2 : out std_ulogic
);
end;
architecture rtl of artix7_tap is
component BSCANE2
generic (
DISABLE_JTAG : string := "FALSE";
JTAG_CHAIN : integer := 1
);
port (
CAPTURE : out std_ulogic := 'H';
DRCK : out std_ulogic := 'H';
RESET : out std_ulogic := 'H';
RUNTEST : out std_ulogic := 'L';
SEL : out std_ulogic := 'L';
SHIFT : out std_ulogic := 'L';
TCK : out std_ulogic := 'L';
TDI : out std_ulogic := 'L';
TMS : out std_ulogic := 'L';
UPDATE : out std_ulogic := 'L';
TDO : in std_ulogic := 'X'
);
end component;
signal drck1, drck2, sel1, sel2 : std_ulogic;
signal capt1, capt2, rst1, rst2 : std_ulogic;
signal shift1, shift2, tdi1, tdi2 : std_ulogic;
signal update1, update2 : std_ulogic;
attribute dont_touch : boolean;
attribute dont_touch of u0 : label is true;
attribute dont_touch of u1 : label is true;
begin
u0 : BSCANE2
generic map (JTAG_CHAIN => 1)
port map (
CAPTURE => capt1,
DRCK => drck1,
RESET => rst1,
SEL => sel1,
SHIFT => shift1,
TDI => tdi1,
UPDATE => update1,
TDO => tapi_tdo1,
TCK => tapo_tck
);
u1 : BSCANE2
generic map (JTAG_CHAIN => 2)
port map (
CAPTURE => capt2,
DRCK => drck2,
RESET => rst2,
SEL => sel2,
SHIFT => shift2,
TDI => tdi2,
UPDATE => update2,
TDO => tapi_tdo2
);
tapo_capt <= capt1 when sel1 = '1' else capt2;
tapo_rst <= rst1 when sel1 = '1' else rst2;
tapo_shft <= shift1 when sel1 = '1' else shift2;
tapo_tdi <= tdi1 when sel1 = '1' else tdi2;
tapo_upd <= update1 when sel1 ='1' else update2;
tapo_xsel1 <= sel1; tapo_xsel2 <= sel2;
end;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1012.vhd,v 1.2 2001-10-26 16:29:38 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c06s03b00x00p10n01i01012ent IS
port (p,q: in bit);
END c06s03b00x00p10n01i01012ent;
ARCHITECTURE c06s03b00x00p10n01i01012arch OF c06s03b00x00p10n01i01012ent IS
BEGIN
TESTING: PROCESS(c06s03b00x00p10n01i01012ent.p, c06s03b00x00p10n01i01012ent.q)
BEGIN
assert FALSE
report "***PASSED TEST: c06s03b00x00p10n01i01012"
severity NOTE;
END PROCESS TESTING;
END c06s03b00x00p10n01i01012arch;
|
architecture RTL of FIFO is
begin
process
begin
for_label : for index in 4 to 23 loop
end loop FOR_LABEL;
FOR_LABEL : for index in 4 to 23 loop
end loop FOR_LABEL;
For_label : for index in 4 to 23 loop
end loop FOR_LABEL;
end process;
end;
|
-- file dummy.vhd
package COMPONENTS is
component DUMMY_MODULE
port (I : in bit; O : out bit);
end component;
end package;
entity DUMMY_MODULE is
port (I: in bit; O: out bit);
end entity;
architecture RTL of DUMMY_MODULE is
begin
O <= I;
end architecture;
-- file dummy_top.vhd
library DUMMY;
use DUMMY.COMPONENTS.DUMMY_MODULE;
entity DUMMY_TOP is
port (I : in bit; O : out bit);
end entity;
architecture RTL of DUMMY_TOP is
begin
U: DUMMY_MODULE port map(I=>I, O=>O);
end architecture;
|
-- file dummy.vhd
package COMPONENTS is
component DUMMY_MODULE
port (I : in bit; O : out bit);
end component;
end package;
entity DUMMY_MODULE is
port (I: in bit; O: out bit);
end entity;
architecture RTL of DUMMY_MODULE is
begin
O <= I;
end architecture;
-- file dummy_top.vhd
library DUMMY;
use DUMMY.COMPONENTS.DUMMY_MODULE;
entity DUMMY_TOP is
port (I : in bit; O : out bit);
end entity;
architecture RTL of DUMMY_TOP is
begin
U: DUMMY_MODULE port map(I=>I, O=>O);
end architecture;
|
-- file dummy.vhd
package COMPONENTS is
component DUMMY_MODULE
port (I : in bit; O : out bit);
end component;
end package;
entity DUMMY_MODULE is
port (I: in bit; O: out bit);
end entity;
architecture RTL of DUMMY_MODULE is
begin
O <= I;
end architecture;
-- file dummy_top.vhd
library DUMMY;
use DUMMY.COMPONENTS.DUMMY_MODULE;
entity DUMMY_TOP is
port (I : in bit; O : out bit);
end entity;
architecture RTL of DUMMY_TOP is
begin
U: DUMMY_MODULE port map(I=>I, O=>O);
end architecture;
|
-- file dummy.vhd
package COMPONENTS is
component DUMMY_MODULE
port (I : in bit; O : out bit);
end component;
end package;
entity DUMMY_MODULE is
port (I: in bit; O: out bit);
end entity;
architecture RTL of DUMMY_MODULE is
begin
O <= I;
end architecture;
-- file dummy_top.vhd
library DUMMY;
use DUMMY.COMPONENTS.DUMMY_MODULE;
entity DUMMY_TOP is
port (I : in bit; O : out bit);
end entity;
architecture RTL of DUMMY_TOP is
begin
U: DUMMY_MODULE port map(I=>I, O=>O);
end architecture;
|
-- file dummy.vhd
package COMPONENTS is
component DUMMY_MODULE
port (I : in bit; O : out bit);
end component;
end package;
entity DUMMY_MODULE is
port (I: in bit; O: out bit);
end entity;
architecture RTL of DUMMY_MODULE is
begin
O <= I;
end architecture;
-- file dummy_top.vhd
library DUMMY;
use DUMMY.COMPONENTS.DUMMY_MODULE;
entity DUMMY_TOP is
port (I : in bit; O : out bit);
end entity;
architecture RTL of DUMMY_TOP is
begin
U: DUMMY_MODULE port map(I=>I, O=>O);
end architecture;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity interpolate is
generic(
interpolation_factor : integer := 8192;
output_width : integer := 24;
width : integer := 8
);
port(
clk : in std_logic;
rst : in std_logic;
input : in std_logic_vector(width - 1 downto 0);
input_stb : in std_logic;
input_ack : out std_logic;
output_0 : out std_logic_vector(output_width - 1 downto 0);
output_1 : out std_logic_vector(output_width - 1 downto 0);
output_2 : out std_logic_vector(output_width - 1 downto 0);
output_3 : out std_logic_vector(output_width - 1 downto 0);
output_4 : out std_logic_vector(output_width - 1 downto 0);
output_5 : out std_logic_vector(output_width - 1 downto 0);
output_6 : out std_logic_vector(output_width - 1 downto 0);
output_7 : out std_logic_vector(output_width - 1 downto 0)
);
end entity interpolate;
architecture rtl of interpolate is
signal count : integer range 0 to interpolation_factor - 1 := 0;
signal last_input : signed(output_width - 1 downto 0) := (others => '0');
signal delta : signed(output_width - 1 downto 0) := (others => '0');
signal delta_d1 : signed(output_width - 1 downto 0) := (others => '0');
signal delta_d2 : signed(output_width - 1 downto 0) := (others => '0');
signal delta_d3 : signed(output_width - 1 downto 0) := (others => '0');
signal accum : signed(output_width - 1 downto 0) := (others => '0');
signal tree_0 : signed(output_width - 1 downto 0) := (others => '0');
signal tree_1 : signed(output_width - 1 downto 0) := (others => '0');
signal tree_00 : signed(output_width - 1 downto 0) := (others => '0');
signal tree_01 : signed(output_width - 1 downto 0) := (others => '0');
signal tree_10 : signed(output_width - 1 downto 0) := (others => '0');
signal tree_11 : signed(output_width - 1 downto 0) := (others => '0');
signal tree_000 : signed(output_width - 1 downto 0) := (others => '0');
signal tree_001 : signed(output_width - 1 downto 0) := (others => '0');
signal tree_010 : signed(output_width - 1 downto 0) := (others => '0');
signal tree_011 : signed(output_width - 1 downto 0) := (others => '0');
signal tree_100 : signed(output_width - 1 downto 0) := (others => '0');
signal tree_101 : signed(output_width - 1 downto 0) := (others => '0');
signal tree_110 : signed(output_width - 1 downto 0) := (others => '0');
signal tree_111 : signed(output_width - 1 downto 0) := (others => '0');
begin
process
begin
wait until rising_edge(clk);
if count = 0 then
count <= interpolation_factor-1;
last_input <= resize(signed(input), output_width);
delta <= resize(signed(input), output_width) - last_input;
input_ack <= '1';
else
count <= count - 1;
input_ack <= '0';
end if;
delta_d1 <= delta;
delta_d2 <= delta_d1;
delta_d3 <= delta_d2;
accum <= accum + (delta(output_width-4 downto 0) & "000");
tree_0 <= accum;
tree_1 <= accum + (delta_d1(output_width-3 downto 0) & "00");
tree_00 <= tree_0;
tree_01 <= tree_0 + (delta_d2(output_width-2 downto 0) & '0');
tree_10 <= tree_1;
tree_11 <= tree_1 + (delta_d2(output_width-2 downto 0) & '0');
tree_000 <= tree_00;
tree_001 <= tree_00 + delta_d3;
tree_010 <= tree_01;
tree_011 <= tree_01 + delta_d3;
tree_100 <= tree_10;
tree_101 <= tree_10 + delta_d3;
tree_110 <= tree_11;
tree_111 <= tree_11 + delta_d3;
output_0 <= std_logic_vector(tree_000);
output_1 <= std_logic_vector(tree_001);
output_2 <= std_logic_vector(tree_010);
output_3 <= std_logic_vector(tree_011);
output_4 <= std_logic_vector(tree_100);
output_5 <= std_logic_vector(tree_101);
output_6 <= std_logic_vector(tree_110);
output_7 <= std_logic_vector(tree_111);
end process;
end rtl;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity interpolate is
generic(
interpolation_factor : integer := 8192;
output_width : integer := 24;
width : integer := 8
);
port(
clk : in std_logic;
rst : in std_logic;
input : in std_logic_vector(width - 1 downto 0);
input_stb : in std_logic;
input_ack : out std_logic;
output_0 : out std_logic_vector(output_width - 1 downto 0);
output_1 : out std_logic_vector(output_width - 1 downto 0);
output_2 : out std_logic_vector(output_width - 1 downto 0);
output_3 : out std_logic_vector(output_width - 1 downto 0);
output_4 : out std_logic_vector(output_width - 1 downto 0);
output_5 : out std_logic_vector(output_width - 1 downto 0);
output_6 : out std_logic_vector(output_width - 1 downto 0);
output_7 : out std_logic_vector(output_width - 1 downto 0)
);
end entity interpolate;
architecture rtl of interpolate is
signal count : integer range 0 to interpolation_factor - 1 := 0;
signal last_input : signed(output_width - 1 downto 0) := (others => '0');
signal delta : signed(output_width - 1 downto 0) := (others => '0');
signal delta_d1 : signed(output_width - 1 downto 0) := (others => '0');
signal delta_d2 : signed(output_width - 1 downto 0) := (others => '0');
signal delta_d3 : signed(output_width - 1 downto 0) := (others => '0');
signal accum : signed(output_width - 1 downto 0) := (others => '0');
signal tree_0 : signed(output_width - 1 downto 0) := (others => '0');
signal tree_1 : signed(output_width - 1 downto 0) := (others => '0');
signal tree_00 : signed(output_width - 1 downto 0) := (others => '0');
signal tree_01 : signed(output_width - 1 downto 0) := (others => '0');
signal tree_10 : signed(output_width - 1 downto 0) := (others => '0');
signal tree_11 : signed(output_width - 1 downto 0) := (others => '0');
signal tree_000 : signed(output_width - 1 downto 0) := (others => '0');
signal tree_001 : signed(output_width - 1 downto 0) := (others => '0');
signal tree_010 : signed(output_width - 1 downto 0) := (others => '0');
signal tree_011 : signed(output_width - 1 downto 0) := (others => '0');
signal tree_100 : signed(output_width - 1 downto 0) := (others => '0');
signal tree_101 : signed(output_width - 1 downto 0) := (others => '0');
signal tree_110 : signed(output_width - 1 downto 0) := (others => '0');
signal tree_111 : signed(output_width - 1 downto 0) := (others => '0');
begin
process
begin
wait until rising_edge(clk);
if count = 0 then
count <= interpolation_factor-1;
last_input <= resize(signed(input), output_width);
delta <= resize(signed(input), output_width) - last_input;
input_ack <= '1';
else
count <= count - 1;
input_ack <= '0';
end if;
delta_d1 <= delta;
delta_d2 <= delta_d1;
delta_d3 <= delta_d2;
accum <= accum + (delta(output_width-4 downto 0) & "000");
tree_0 <= accum;
tree_1 <= accum + (delta_d1(output_width-3 downto 0) & "00");
tree_00 <= tree_0;
tree_01 <= tree_0 + (delta_d2(output_width-2 downto 0) & '0');
tree_10 <= tree_1;
tree_11 <= tree_1 + (delta_d2(output_width-2 downto 0) & '0');
tree_000 <= tree_00;
tree_001 <= tree_00 + delta_d3;
tree_010 <= tree_01;
tree_011 <= tree_01 + delta_d3;
tree_100 <= tree_10;
tree_101 <= tree_10 + delta_d3;
tree_110 <= tree_11;
tree_111 <= tree_11 + delta_d3;
output_0 <= std_logic_vector(tree_000);
output_1 <= std_logic_vector(tree_001);
output_2 <= std_logic_vector(tree_010);
output_3 <= std_logic_vector(tree_011);
output_4 <= std_logic_vector(tree_100);
output_5 <= std_logic_vector(tree_101);
output_6 <= std_logic_vector(tree_110);
output_7 <= std_logic_vector(tree_111);
end process;
end rtl;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity interpolate is
generic(
interpolation_factor : integer := 8192;
output_width : integer := 24;
width : integer := 8
);
port(
clk : in std_logic;
rst : in std_logic;
input : in std_logic_vector(width - 1 downto 0);
input_stb : in std_logic;
input_ack : out std_logic;
output_0 : out std_logic_vector(output_width - 1 downto 0);
output_1 : out std_logic_vector(output_width - 1 downto 0);
output_2 : out std_logic_vector(output_width - 1 downto 0);
output_3 : out std_logic_vector(output_width - 1 downto 0);
output_4 : out std_logic_vector(output_width - 1 downto 0);
output_5 : out std_logic_vector(output_width - 1 downto 0);
output_6 : out std_logic_vector(output_width - 1 downto 0);
output_7 : out std_logic_vector(output_width - 1 downto 0)
);
end entity interpolate;
architecture rtl of interpolate is
signal count : integer range 0 to interpolation_factor - 1 := 0;
signal last_input : signed(output_width - 1 downto 0) := (others => '0');
signal delta : signed(output_width - 1 downto 0) := (others => '0');
signal delta_d1 : signed(output_width - 1 downto 0) := (others => '0');
signal delta_d2 : signed(output_width - 1 downto 0) := (others => '0');
signal delta_d3 : signed(output_width - 1 downto 0) := (others => '0');
signal accum : signed(output_width - 1 downto 0) := (others => '0');
signal tree_0 : signed(output_width - 1 downto 0) := (others => '0');
signal tree_1 : signed(output_width - 1 downto 0) := (others => '0');
signal tree_00 : signed(output_width - 1 downto 0) := (others => '0');
signal tree_01 : signed(output_width - 1 downto 0) := (others => '0');
signal tree_10 : signed(output_width - 1 downto 0) := (others => '0');
signal tree_11 : signed(output_width - 1 downto 0) := (others => '0');
signal tree_000 : signed(output_width - 1 downto 0) := (others => '0');
signal tree_001 : signed(output_width - 1 downto 0) := (others => '0');
signal tree_010 : signed(output_width - 1 downto 0) := (others => '0');
signal tree_011 : signed(output_width - 1 downto 0) := (others => '0');
signal tree_100 : signed(output_width - 1 downto 0) := (others => '0');
signal tree_101 : signed(output_width - 1 downto 0) := (others => '0');
signal tree_110 : signed(output_width - 1 downto 0) := (others => '0');
signal tree_111 : signed(output_width - 1 downto 0) := (others => '0');
begin
process
begin
wait until rising_edge(clk);
if count = 0 then
count <= interpolation_factor-1;
last_input <= resize(signed(input), output_width);
delta <= resize(signed(input), output_width) - last_input;
input_ack <= '1';
else
count <= count - 1;
input_ack <= '0';
end if;
delta_d1 <= delta;
delta_d2 <= delta_d1;
delta_d3 <= delta_d2;
accum <= accum + (delta(output_width-4 downto 0) & "000");
tree_0 <= accum;
tree_1 <= accum + (delta_d1(output_width-3 downto 0) & "00");
tree_00 <= tree_0;
tree_01 <= tree_0 + (delta_d2(output_width-2 downto 0) & '0');
tree_10 <= tree_1;
tree_11 <= tree_1 + (delta_d2(output_width-2 downto 0) & '0');
tree_000 <= tree_00;
tree_001 <= tree_00 + delta_d3;
tree_010 <= tree_01;
tree_011 <= tree_01 + delta_d3;
tree_100 <= tree_10;
tree_101 <= tree_10 + delta_d3;
tree_110 <= tree_11;
tree_111 <= tree_11 + delta_d3;
output_0 <= std_logic_vector(tree_000);
output_1 <= std_logic_vector(tree_001);
output_2 <= std_logic_vector(tree_010);
output_3 <= std_logic_vector(tree_011);
output_4 <= std_logic_vector(tree_100);
output_5 <= std_logic_vector(tree_101);
output_6 <= std_logic_vector(tree_110);
output_7 <= std_logic_vector(tree_111);
end process;
end rtl;
|
--------------------------------------------------------------------------------
-- Author: Parham Alvani (parham.alvani@gmail.com)
--
-- Create Date: 31-05-2016
-- Module Name: main.vhd
--------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
entity main is
port (clk, a, b : in std_logic;
output : out std_logic_vector (3 downto 0));
end entity;
architecture rtl of main is
component datapath
port (clk, ent, ext : in std_logic;
output : out std_logic_vector (3 downto 0));
end component;
component control
port (ent, ext : out std_logic;
a, b : in std_logic;
clk : in std_logic);
end component;
for all:control use entity work.control(rtl);
for all:datapath use entity work.datapath;
signal ent, ext : std_logic;
begin
ctrl : control port map (ent, ext, a, b, clk);
dp : datapath port map (clk, ent, ext, output);
end architecture;
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_08_fg_08_10.vhd,v 1.1.1.1 2001-08-22 18:20:48 paw Exp $
-- $Revision: 1.1.1.1 $
--
-- ---------------------------------------------------------------------
entity fg_08_10 is
end entity fg_08_10;
architecture test of fg_08_10 is
-- code from book
function "<" ( a, b : bit_vector ) return boolean is
variable tmp1 : bit_vector(a'range) := a;
variable tmp2 : bit_vector(b'range) := b;
begin
tmp1(tmp1'left) := not tmp1(tmp1'left);
tmp2(tmp2'left) := not tmp2(tmp2'left);
return std.standard."<" ( tmp1, tmp2 );
end function "<";
-- end code from book
signal a, b : bit_vector(7 downto 0);
signal result : boolean;
begin
dut : result <= a < b;
stimulus : process is
begin
wait for 10 ns;
a <= X"02"; b <= X"04"; wait for 10 ns;
a <= X"02"; b <= X"02"; wait for 10 ns;
a <= X"02"; b <= X"01"; wait for 10 ns;
a <= X"02"; b <= X"FE"; wait for 10 ns;
a <= X"FE"; b <= X"02"; wait for 10 ns;
a <= X"FE"; b <= X"FE"; wait for 10 ns;
a <= X"FE"; b <= X"FC"; wait for 10 ns;
wait;
end process stimulus;
end architecture test;
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_08_fg_08_10.vhd,v 1.1.1.1 2001-08-22 18:20:48 paw Exp $
-- $Revision: 1.1.1.1 $
--
-- ---------------------------------------------------------------------
entity fg_08_10 is
end entity fg_08_10;
architecture test of fg_08_10 is
-- code from book
function "<" ( a, b : bit_vector ) return boolean is
variable tmp1 : bit_vector(a'range) := a;
variable tmp2 : bit_vector(b'range) := b;
begin
tmp1(tmp1'left) := not tmp1(tmp1'left);
tmp2(tmp2'left) := not tmp2(tmp2'left);
return std.standard."<" ( tmp1, tmp2 );
end function "<";
-- end code from book
signal a, b : bit_vector(7 downto 0);
signal result : boolean;
begin
dut : result <= a < b;
stimulus : process is
begin
wait for 10 ns;
a <= X"02"; b <= X"04"; wait for 10 ns;
a <= X"02"; b <= X"02"; wait for 10 ns;
a <= X"02"; b <= X"01"; wait for 10 ns;
a <= X"02"; b <= X"FE"; wait for 10 ns;
a <= X"FE"; b <= X"02"; wait for 10 ns;
a <= X"FE"; b <= X"FE"; wait for 10 ns;
a <= X"FE"; b <= X"FC"; wait for 10 ns;
wait;
end process stimulus;
end architecture test;
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_08_fg_08_10.vhd,v 1.1.1.1 2001-08-22 18:20:48 paw Exp $
-- $Revision: 1.1.1.1 $
--
-- ---------------------------------------------------------------------
entity fg_08_10 is
end entity fg_08_10;
architecture test of fg_08_10 is
-- code from book
function "<" ( a, b : bit_vector ) return boolean is
variable tmp1 : bit_vector(a'range) := a;
variable tmp2 : bit_vector(b'range) := b;
begin
tmp1(tmp1'left) := not tmp1(tmp1'left);
tmp2(tmp2'left) := not tmp2(tmp2'left);
return std.standard."<" ( tmp1, tmp2 );
end function "<";
-- end code from book
signal a, b : bit_vector(7 downto 0);
signal result : boolean;
begin
dut : result <= a < b;
stimulus : process is
begin
wait for 10 ns;
a <= X"02"; b <= X"04"; wait for 10 ns;
a <= X"02"; b <= X"02"; wait for 10 ns;
a <= X"02"; b <= X"01"; wait for 10 ns;
a <= X"02"; b <= X"FE"; wait for 10 ns;
a <= X"FE"; b <= X"02"; wait for 10 ns;
a <= X"FE"; b <= X"FE"; wait for 10 ns;
a <= X"FE"; b <= X"FC"; wait for 10 ns;
wait;
end process stimulus;
end architecture test;
|
-- $Id: sys_conf_sim.vhd 1181 2019-07-08 17:00:50Z mueller $
-- SPDX-License-Identifier: GPL-3.0-or-later
-- Copyright 2013-2019 by Walter F.J. Mueller <W.F.J.Mueller@gsi.de>
--
------------------------------------------------------------------------------
-- Package Name: sys_conf
-- Description: Definitions for sys_w11a_n4 (for simulation)
--
-- Dependencies: -
-- Tool versions: xst 14.5-14.7; viv 2016.1-2018.3; ghdl 0.29-0.35
-- Revision History:
-- Date Rev Version Comment
-- 2019-04-28 1142 1.6.1 add sys_conf_ibd_m9312
-- 2019-02-09 1110 1.6 use typ for DL,PC,LP; add dz11,ibtst
-- 2018-09-22 1050 1.5.6 add sys_conf_dmpcnt
-- 2018-09-09 1044 1.5.5 use _cache_twidth TW=7 (32 kByte), timing issues
-- 2018-09-08 1043 1.5.3 add sys_conf_ibd_kw11p
-- 2017-04-22 884 1.5.2 re-enable dmcmon
-- 2017-01-29 847 1.5.1 add sys_conf_ibd_deuna
-- 2016-07-16 788 1.5 use cram_*delay functions to determine delays
-- 2016-06-18 775 1.4.5 use PLL for clkser_gentype
-- 2016-06-04 772 1.4.4 go for 80 MHz and 64 kB cache, best compromise
-- 2016-05-28 771 1.4.3 set dmcmon_awidth=0, useless without dmscnt
-- 2016-05-28 770 1.4.2 sys_conf_mem_losize now type natural
-- 2016-05-26 768 1.4.1 set dmscnt=0 (vivado fsm issue); TW=8 (@90 MHz)
-- 2016-03-28 755 1.4 use serport_2clock2 -> define clkser
-- 2016-03-22 750 1.3 add sys_conf_cache_twidth
-- 2016-03-13 742 1.2.2 add sysmon_bus
-- 2015-06-26 695 1.2.1 add sys_conf_(dmscnt|dmhbpt*|dmcmon*)
-- 2015-03-14 658 1.2 add sys_conf_ibd_* definitions
-- 2015-02-07 643 1.1 drop bram and minisys options
-- 2013-09-34 534 1.0 Initial version (cloned from _n3)
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.slvtypes.all;
use work.nxcramlib.all;
package sys_conf is
-- configure clocks --------------------------------------------------------
constant sys_conf_clksys_vcodivide : positive := 1;
constant sys_conf_clksys_vcomultiply : positive := 8; -- vco 800 MHz
constant sys_conf_clksys_outdivide : positive := 10; -- sys 80 MHz
constant sys_conf_clksys_gentype : string := "MMCM";
-- dual clock design, clkser = 120 MHz
constant sys_conf_clkser_vcodivide : positive := 1;
constant sys_conf_clkser_vcomultiply : positive := 12; -- vco 1200 MHz
constant sys_conf_clkser_outdivide : positive := 10; -- sys 120 MHz
constant sys_conf_clkser_gentype : string := "PLL";
-- configure rlink and hio interfaces --------------------------------------
constant sys_conf_ser2rri_cdinit : integer := 1-1; -- 1 cycle/bit in sim
constant sys_conf_hio_debounce : boolean := false; -- no debouncers
-- configure memory controller ---------------------------------------------
-- now under derived constants
-- configure debug and monitoring units ------------------------------------
constant sys_conf_rbmon_awidth : integer := 9; -- use 0 to disable
constant sys_conf_ibmon_awidth : integer := 9; -- use 0 to disable
constant sys_conf_ibtst : boolean := true;
constant sys_conf_dmscnt : boolean := false;
constant sys_conf_dmpcnt : boolean := true;
constant sys_conf_dmhbpt_nunit : integer := 2; -- use 0 to disable
constant sys_conf_dmcmon_awidth : integer := 8; -- use 0 to disable, 8 to use
constant sys_conf_rbd_sysmon : boolean := true; -- SYSMON(XADC)
-- configure w11 cpu core --------------------------------------------------
constant sys_conf_mem_losize : natural := 8#167777#; -- 4 MByte
constant sys_conf_cache_fmiss : slbit := '0'; -- cache enabled
constant sys_conf_cache_twidth : integer := 7; -- 32kB cache
-- configure w11 system devices --------------------------------------------
-- configure character and communication devices
-- typ for DL,DZ,PC,LP: -1->none; 0->unbuffered; 4-7 buffered (typ=AWIDTH)
constant sys_conf_ibd_dl11_0 : integer := 6; -- 1st DL11
constant sys_conf_ibd_dl11_1 : integer := 6; -- 2nd DL11
constant sys_conf_ibd_dz11 : integer := 6; -- DZ11
constant sys_conf_ibd_pc11 : integer := 6; -- PC11
constant sys_conf_ibd_lp11 : integer := 7; -- LP11
constant sys_conf_ibd_deuna : boolean := true; -- DEUNA
-- configure mass storage devices
constant sys_conf_ibd_rk11 : boolean := true; -- RK11
constant sys_conf_ibd_rl11 : boolean := true; -- RL11
constant sys_conf_ibd_rhrp : boolean := true; -- RHRP
constant sys_conf_ibd_tm11 : boolean := true; -- TM11
-- configure other devices
constant sys_conf_ibd_iist : boolean := true; -- IIST
constant sys_conf_ibd_kw11p : boolean := true; -- KW11P
constant sys_conf_ibd_m9312 : boolean := true; -- M9312
-- derived constants =======================================================
constant sys_conf_clksys : integer :=
((100000000/sys_conf_clksys_vcodivide)*sys_conf_clksys_vcomultiply) /
sys_conf_clksys_outdivide;
constant sys_conf_clksys_mhz : integer := sys_conf_clksys/1000000;
constant sys_conf_clkser : integer :=
((100000000/sys_conf_clkser_vcodivide)*sys_conf_clkser_vcomultiply) /
sys_conf_clkser_outdivide;
constant sys_conf_clkser_mhz : integer := sys_conf_clkser/1000000;
-- configure memory controller ---------------------------------------------
constant sys_conf_memctl_read0delay : positive :=
cram_read0delay(sys_conf_clksys_mhz);
constant sys_conf_memctl_read1delay : positive :=
cram_read1delay(sys_conf_clksys_mhz);
constant sys_conf_memctl_writedelay : positive :=
cram_writedelay(sys_conf_clksys_mhz);
end package sys_conf;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc285.vhd,v 1.2 2001-10-26 16:29:49 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c03s01b03x00p12n01i00285ent IS
END c03s01b03x00p12n01i00285ent;
ARCHITECTURE c03s01b03x00p12n01i00285arch OF c03s01b03x00p12n01i00285ent IS
type time is range 0 to 1E8 units
fs;
ps = 10 fs;
end units;
BEGIN
TESTING: PROCESS
variable i : integer;
BEGIN
i:=time'pos(ps);
assert NOT(i=10)
report "***PASSED TEST: c03s01b03x00p12n01i00285"
severity NOTE;
assert (i=10)
report "***FAILED TEST: c03s01b03x00p12n01i00285 - The position number of the value corresponding to a unit name is the number of the base units represented by that unit name."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s01b03x00p12n01i00285arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc285.vhd,v 1.2 2001-10-26 16:29:49 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c03s01b03x00p12n01i00285ent IS
END c03s01b03x00p12n01i00285ent;
ARCHITECTURE c03s01b03x00p12n01i00285arch OF c03s01b03x00p12n01i00285ent IS
type time is range 0 to 1E8 units
fs;
ps = 10 fs;
end units;
BEGIN
TESTING: PROCESS
variable i : integer;
BEGIN
i:=time'pos(ps);
assert NOT(i=10)
report "***PASSED TEST: c03s01b03x00p12n01i00285"
severity NOTE;
assert (i=10)
report "***FAILED TEST: c03s01b03x00p12n01i00285 - The position number of the value corresponding to a unit name is the number of the base units represented by that unit name."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s01b03x00p12n01i00285arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc285.vhd,v 1.2 2001-10-26 16:29:49 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c03s01b03x00p12n01i00285ent IS
END c03s01b03x00p12n01i00285ent;
ARCHITECTURE c03s01b03x00p12n01i00285arch OF c03s01b03x00p12n01i00285ent IS
type time is range 0 to 1E8 units
fs;
ps = 10 fs;
end units;
BEGIN
TESTING: PROCESS
variable i : integer;
BEGIN
i:=time'pos(ps);
assert NOT(i=10)
report "***PASSED TEST: c03s01b03x00p12n01i00285"
severity NOTE;
assert (i=10)
report "***FAILED TEST: c03s01b03x00p12n01i00285 - The position number of the value corresponding to a unit name is the number of the base units represented by that unit name."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s01b03x00p12n01i00285arch;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity cpld_bridge_tb is
end;
architecture bench of cpld_bridge_tb is
signal cfg_act : std_logic := '0';
signal cfg_clk : std_logic := '0';
signal cfg_err : std_logic := '0';
signal cfg_rdy : std_logic := '0';
signal cfgd : std_logic_vector ( 15 downto 0 ) := (others => '0');
signal clk : std_logic := '0';
signal rx_tdata : std_logic_vector ( 31 downto 0 ) := (others => '0');
signal rx_tlast : std_logic := '0';
signal rx_tready : std_logic := '0';
signal rx_tvalid : std_logic := '0';
signal tx_tdata : std_logic_vector ( 31 downto 0 ) := (others => '0');
signal tx_tlast : std_logic := '0';
signal tx_tready : std_logic := '1';
signal tx_tvalid : std_logic := '0';
signal fpga_cmdl : std_logic := '0';
signal fpga_rdyl : std_logic := '0';
signal rst : std_logic := '0';
signal stat_oel : std_logic := '0';
constant clock_period : time := 10 ns;
constant cfg_clock_period : time := 10 ns;
signal stop_the_clocks : boolean := false;
type TestBenchState_t is (RESET, STATUS_REQUEST, STATUS_REQUEST2, FINISHED);
signal testBench_state : TestBenchState_t;
type APSCommand_t is record
ack : std_logic;
seq : std_logic;
sel : std_logic;
rw : std_logic;
cmd : std_logic_vector(3 downto 0);
mode : std_logic_vector(7 downto 0);
cnt : std_logic_vector(15 downto 0);
end record;
begin
uut : entity work.cpld_bridge
port map (
clk => clk,
rst => rst,
rx_tdata => rx_tdata,
rx_tlast => rx_tlast,
rx_tready => rx_tready,
rx_tvalid => rx_tvalid,
tx_tdata => tx_tdata,
tx_tlast => tx_tlast,
tx_tready => tx_tready,
tx_tvalid => tx_tvalid,
cfg_clk => cfg_clk,
cfg_act => cfg_act,
cfg_err => cfg_err,
cfg_rdy => cfg_rdy,
cfgd => cfgd,
fpga_cmdl => fpga_cmdl,
fpga_rdyl => fpga_rdyl,
stat_oel => stat_oel
);
clk <= not clk after clock_period / 2 when not stop_the_clocks;
cfg_clk <= not cfg_clk after cfg_clock_period / 2 when not stop_the_clocks;
stimulus : process
variable command_word : APSCommand_t := (ack => '0', seq => '0', sel => '0', rw => '0', cmd => (others => '0'), mode => (others => '0'), cnt => x"0000");
begin
wait until rising_edge(clk);
testBench_state <= RESET;
rst <= '1';
wait for 100ns;
rst <= '0';
wait for 100ns;
--Clock in a status request
wait until rising_edge(clk);
testBench_state <= STATUS_REQUEST;
command_word.rw := '1';
command_word.cmd := x"7";
command_word.sel := '1';
rx_tdata <= command_word.ack & command_word.seq & command_word.sel & command_word.rw & command_word.cmd & x"000010";
rx_tvalid <= '1';
rx_tlast <= '1';
wait until rising_edge(clk) and rx_tready = '1';
rx_tvalid <= '0';
rx_tlast <= '0';
wait until tx_tlast = '1';
--Clock in a 2nd status request
wait until rising_edge(clk);
testBench_state <= STATUS_REQUEST2;
rx_tdata <= command_word.ack & command_word.seq & command_word.sel & command_word.rw & command_word.cmd & x"000010";
rx_tvalid <= '1';
rx_tlast <= '1';
wait until rising_edge(clk) and rx_tready = '1';
rx_tvalid <= '0';
rx_tlast <= '0';
wait until tx_tlast = '1';
wait for 10ns;
stop_the_clocks <= true;
end process;
checking : process
begin
--First thing back in the status registers
--command word
wait until rising_edge(clk) and tx_tvalid = '1';
assert tx_tdata = x"97000010" report "Incorrect STATUS_REQUEST command word response";
--host firmware version
wait until rising_edge(clk) and tx_tvalid = '1';
assert tx_tdata = x"00000a01" report "Incorrect STATUS_REQUEST host firmware version";
--user firmware version
wait until rising_edge(clk) and tx_tvalid = '1';
assert tx_tdata = x"badda555" report "Incorrect STATUS_REQUEST user firmware version";
--config source
wait until rising_edge(clk) and tx_tvalid = '1';
assert tx_tdata = x"bbbbbbbb" report "Incorrect STATUS_REQUEST config source";
--user status
wait until rising_edge(clk) and tx_tvalid = '1';
assert tx_tdata = x"0ddba111" report "Incorrect STATUS_REQUEST user status";
--dac0 status, dac1 status, pll status, temperature
for ct in 1 to 4 loop
wait until rising_edge(clk) and tx_tvalid = '1';
assert tx_tdata = x"00000000" report "Incorrect STATUS_REQUEST dac0/dac1/pll status";
end loop;
--send pkt count
wait until rising_edge(clk) and tx_tvalid = '1';
assert tx_tdata = x"00000000" report "Incorrect STATUS_REQUEST send packet count";
--receive pkt count
wait until rising_edge(clk) and tx_tvalid = '1';
assert tx_tdata = x"00000001" report "Incorrect STATUS_REQUEST receive packet count";
--skip pkt count, dup pkt count, fcs error pkt count, overrun count
for ct in 1 to 4 loop
wait until rising_edge(clk) and tx_tvalid = '1';
assert tx_tdata = x"00000000" report "Incorrect STATUS_REQUEST skip/dup/fcs errors/overrun pkt count";
end loop;
--uptime
wait until rising_edge(clk) and tx_tvalid = '1';
assert tx_tdata = x"00000000" report "Incorrect STATUS_REQUEST uptime seconds";
wait until rising_edge(clk) and tx_tvalid = '1';
assert tx_tdata = x"000002b8" report "Incorrect STATUS_REQUEST updtime nanoseconds";
assert tx_tlast = '1' report "tlast did not go high when expected";
end process;
end;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2564.vhd,v 1.2 2001-10-26 16:29:48 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s03b06x00p08n01i02564ent IS
END c07s03b06x00p08n01i02564ent;
ARCHITECTURE c07s03b06x00p08n01i02564arch OF c07s03b06x00p08n01i02564ent IS
BEGIN
TESTING: PROCESS
type LINK is access BIT_VECTOR;
variable HEAD : LINK := new BIT_VECTOR'("00110110") ;
variable TAIL : LINK;
BEGIN
TAIL := HEAD;
wait for 1 ns;
assert NOT( TAIL(3) = '1' )
report "***PASSED TEST: c07s03b06x00p08n01i02564"
severity NOTE;
assert ( TAIL(3) = '1' )
report "***FAILED TEST: c07s03b06x00p08n01i02564 - "
severity ERROR;
wait;
END PROCESS TESTING;
END c07s03b06x00p08n01i02564arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2564.vhd,v 1.2 2001-10-26 16:29:48 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s03b06x00p08n01i02564ent IS
END c07s03b06x00p08n01i02564ent;
ARCHITECTURE c07s03b06x00p08n01i02564arch OF c07s03b06x00p08n01i02564ent IS
BEGIN
TESTING: PROCESS
type LINK is access BIT_VECTOR;
variable HEAD : LINK := new BIT_VECTOR'("00110110") ;
variable TAIL : LINK;
BEGIN
TAIL := HEAD;
wait for 1 ns;
assert NOT( TAIL(3) = '1' )
report "***PASSED TEST: c07s03b06x00p08n01i02564"
severity NOTE;
assert ( TAIL(3) = '1' )
report "***FAILED TEST: c07s03b06x00p08n01i02564 - "
severity ERROR;
wait;
END PROCESS TESTING;
END c07s03b06x00p08n01i02564arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2564.vhd,v 1.2 2001-10-26 16:29:48 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c07s03b06x00p08n01i02564ent IS
END c07s03b06x00p08n01i02564ent;
ARCHITECTURE c07s03b06x00p08n01i02564arch OF c07s03b06x00p08n01i02564ent IS
BEGIN
TESTING: PROCESS
type LINK is access BIT_VECTOR;
variable HEAD : LINK := new BIT_VECTOR'("00110110") ;
variable TAIL : LINK;
BEGIN
TAIL := HEAD;
wait for 1 ns;
assert NOT( TAIL(3) = '1' )
report "***PASSED TEST: c07s03b06x00p08n01i02564"
severity NOTE;
assert ( TAIL(3) = '1' )
report "***FAILED TEST: c07s03b06x00p08n01i02564 - "
severity ERROR;
wait;
END PROCESS TESTING;
END c07s03b06x00p08n01i02564arch;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity h264_deblock_filter_core is
port(
clk : in std_logic;
rst : in std_logic;
is_chroma : in std_logic;
boundary_strength : in signed(8 downto 0);
p0 : in signed(8 downto 0);
p1 : in signed(8 downto 0);
p2 : in signed(8 downto 0);
p3 : in signed(8 downto 0);
q0 : in signed(8 downto 0);
q1 : in signed(8 downto 0);
q2 : in signed(8 downto 0);
q3 : in signed(8 downto 0);
alpha : in signed(8 downto 0);
beta : in signed(8 downto 0);
tc0 : in signed(8 downto 0);
p0_out : out signed(8 downto 0);
p1_out : out signed(8 downto 0);
p2_out : out signed(8 downto 0);
q0_out : out signed(8 downto 0);
q1_out : out signed(8 downto 0);
q2_out : out signed(8 downto 0)
);
end entity h264_deblock_filter_core;
architecture rtl of h264_deblock_filter_core is
signal normal_filter : boolean;
signal strong_filter : boolean;
signal ap, aq : boolean;
signal strong_filter_test : boolean;
signal basic_checks : boolean; -- and of three test always needed
signal extra_filter_normal_p : boolean;
signal extra_filter_normal_q : boolean;
signal p0_if_normal_filtd : signed(15 downto 0);
signal p1_if_normal_filtd : signed(15 downto 0);
signal q0_if_normal_filtd : signed(15 downto 0);
signal q1_if_normal_filtd : signed(15 downto 0);
signal p0_if_strong_filtd_0 : signed(15 downto 0);
signal p1_if_strong_filtd_0 : signed(15 downto 0);
signal p2_if_strong_filtd_0 : signed(15 downto 0);
signal q0_if_strong_filtd_0 : signed(15 downto 0);
signal q1_if_strong_filtd_0 : signed(15 downto 0);
signal q2_if_strong_filtd_0 : signed(15 downto 0);
signal p0_if_strong_filtd_1 : signed(15 downto 0);
signal p1_if_strong_filtd_1 : signed(15 downto 0);
signal p2_if_strong_filtd_1 : signed(15 downto 0);
signal q0_if_strong_filtd_1 : signed(15 downto 0);
signal q1_if_strong_filtd_1 : signed(15 downto 0);
signal q2_if_strong_filtd_1 : signed(15 downto 0);
signal p0_if_strong_filtd : signed(15 downto 0);
signal p1_if_strong_filtd : signed(15 downto 0);
signal p2_if_strong_filtd : signed(15 downto 0);
signal q0_if_strong_filtd : signed(15 downto 0);
signal q1_if_strong_filtd : signed(15 downto 0);
signal q2_if_strong_filtd : signed(15 downto 0);
signal delta_pre_clip : signed(15 downto 0);
signal delta : signed(15 downto 0);
signal p1_pre_clip_component : signed(15 downto 0);
signal q1_pre_clip_component : signed(15 downto 0);
signal p1_post_clip_component : signed(15 downto 0);
signal q1_post_clip_component : signed(15 downto 0);
signal tc0_prime : signed(8 downto 0);
begin
-- normal filtering
basic_checks <= (abs(p0-q0) < alpha) and
(abs(p1-p0) < beta ) and
(abs(q1-q0) < beta );
extra_filter_normal_p <= abs(p2-p0) < beta;
extra_filter_normal_q <= abs(q2-q0) < beta;
tc0_prime <= tc0 when not (extra_filter_normal_p or extra_filter_normal_q) else
tc0 + to_signed(1, 9) when extra_filter_normal_p xor extra_filter_normal_q else
tc0 + to_signed(2, 9);
delta_pre_clip <= shift_right((shift_left((("0000000"&q0) - ("0000000"&p0)) , 2) +
(("0000000"&p1) - ("0000000"&q1)) + (to_signed(4, 16))) , 3);
delta <= delta_pre_clip when delta_pre_clip > -tc0_prime and delta_pre_clip < tc0_prime else
"1111111"&(-tc0_prime) when delta_pre_clip < -tc0_prime else
"0000000"&tc0_prime;
p1_pre_clip_component <= shift_right((("0000000"&p2) + shift_right((("0000000"&p0) + ("0000000"&q0) + to_signed(1, 16)) , 1) - shift_left(("0000000"&p1) , 1)) , 1);
p1_post_clip_component <= p1_pre_clip_component when p1_pre_clip_component > -tc0 and p1_pre_clip_component < tc0 else
"1111111"&(-tc0) when p1_pre_clip_component < -tc0 else
"0000000"&tc0;
q1_pre_clip_component <= shift_right((("0000000"&q2) + shift_right((("0000000"&p0) + ("0000000"&q0) + to_signed(1, 16)) , 1) - shift_left(("0000000"&q1) , 1)) , 1);
q1_post_clip_component <= q1_pre_clip_component when q1_pre_clip_component > -tc0 and q1_pre_clip_component < tc0 else
"1111111"&(-tc0) when q1_pre_clip_component < -tc0 else
"0000000"&tc0;
p0_if_normal_filtd <= ("0000000"&p0) + delta;
p1_if_normal_filtd <= ("0000000"&p1) + p1_post_clip_component;
q0_if_normal_filtd <= ("0000000"&q0) - delta;
q1_if_normal_filtd <= ("0000000"&q1) + q1_post_clip_component;
normal_filter <= boundary_strength < to_signed(4, 9) and boundary_strength > to_signed(0, 9);
--strong filtering
ap <= extra_filter_normal_p;
aq <= extra_filter_normal_q;
strong_filter <= boundary_strength = to_signed(4, 9);
strong_filter_test <= (abs((X"0"&p0) - (X"0"&q0)) < (shift_right(X"0"&alpha, 2) + to_signed(2, 13))) and (is_chroma = '0');
p0_if_strong_filtd_0 <= shift_right(( shift_left("0000000"&p1, 1) + ("0000000"&p0) + ("0000000"&q1) + to_signed(2, 16) ) , 2);
p1_if_strong_filtd_0 <= "0000000"&p1;
p2_if_strong_filtd_0 <= "0000000"&p2;
q0_if_strong_filtd_0 <= shift_right(( shift_left("0000000"&q1, 1) + ("0000000"&q0) + ("0000000"&p1) + to_signed(2, 16) ) , 2);
q1_if_strong_filtd_0 <= "0000000"&q1;
q2_if_strong_filtd_0 <= "0000000"&q2;
p0_if_strong_filtd_1 <= shift_right((("0000000"&p2) + shift_left("0000000"&p1, 1) + shift_left("0000000"&p0,1) + shift_left("0000000"&q0,1) + ("0000000"&q1) + to_signed(4, 16) ), 3);
p1_if_strong_filtd_1 <= shift_right(( ("0000000"&p2) + ("0000000"&p1) + ("0000000"&p0) + ("0000000"&q0) + to_signed(2, 16) ), 2);
p2_if_strong_filtd_1 <= shift_right((shift_left("0000000"&p3, 1) + (to_signed(3, 7)*p2) + ("0000000"&p1) + ("0000000"&p0) + ("0000000"&q0) + to_signed(4, 16) ) , 3);
q0_if_strong_filtd_1 <= shift_right((("0000000"&q2) + shift_left("0000000"&q1, 1) + shift_left("0000000"&q0,1) + shift_left("0000000"&p0,1) + ("0000000"&p1) + to_signed(4, 16) ), 3);
q1_if_strong_filtd_1 <= shift_right(( ("0000000"&q2) + ("0000000"&q1) + ("0000000"&q0) + ("0000000"&p0) + to_signed(2, 16) ), 2);
q2_if_strong_filtd_1 <= shift_right((shift_left("0000000"&q3, 1) + (to_signed(3, 7)*q2) + ("0000000"&q1) + ("0000000"&q0) + ("0000000"&p0) + to_signed(4, 16) ) , 3);
p0_if_strong_filtd <= p0_if_strong_filtd_1 when strong_filter_test else p0_if_strong_filtd_0;
p1_if_strong_filtd <= p1_if_strong_filtd_1 when strong_filter_test else p1_if_strong_filtd_0;
p2_if_strong_filtd <= p2_if_strong_filtd_1 when strong_filter_test else p2_if_strong_filtd_0;
q0_if_strong_filtd <= q0_if_strong_filtd_1 when strong_filter_test else q0_if_strong_filtd_0;
q1_if_strong_filtd <= q1_if_strong_filtd_1 when strong_filter_test else q1_if_strong_filtd_0;
q2_if_strong_filtd <= q2_if_strong_filtd_1 when strong_filter_test else q2_if_strong_filtd_0;
-- output (will need modifing once strong filtering is built)
p0_out <= p0_if_normal_filtd(8 downto 0) when normal_filter and basic_checks else
p0_if_strong_filtd(8 downto 0) when strong_filter and basic_checks else
p0;
p1_out <= p1_if_normal_filtd(8 downto 0) when normal_filter and basic_checks and extra_filter_normal_p else
p1_if_strong_filtd(8 downto 0) when strong_filter and basic_checks else
p1;
p2_out <= p2_if_strong_filtd(8 downto 0) when strong_filter and basic_checks else p2;
q0_out <= q0_if_normal_filtd(8 downto 0) when normal_filter and basic_checks else
q0_if_strong_filtd(8 downto 0) when strong_filter and basic_checks else
q0;
q1_out <= q1_if_normal_filtd(8 downto 0) when normal_filter and basic_checks and extra_filter_normal_q else
q1_if_strong_filtd(8 downto 0) when strong_filter and basic_checks else
q1;
q2_out <= q2_if_strong_filtd(8 downto 0) when strong_filter and basic_checks else q2;
end architecture rtl; |
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity h264_deblock_filter_core is
port(
clk : in std_logic;
rst : in std_logic;
is_chroma : in std_logic;
boundary_strength : in signed(8 downto 0);
p0 : in signed(8 downto 0);
p1 : in signed(8 downto 0);
p2 : in signed(8 downto 0);
p3 : in signed(8 downto 0);
q0 : in signed(8 downto 0);
q1 : in signed(8 downto 0);
q2 : in signed(8 downto 0);
q3 : in signed(8 downto 0);
alpha : in signed(8 downto 0);
beta : in signed(8 downto 0);
tc0 : in signed(8 downto 0);
p0_out : out signed(8 downto 0);
p1_out : out signed(8 downto 0);
p2_out : out signed(8 downto 0);
q0_out : out signed(8 downto 0);
q1_out : out signed(8 downto 0);
q2_out : out signed(8 downto 0)
);
end entity h264_deblock_filter_core;
architecture rtl of h264_deblock_filter_core is
signal normal_filter : boolean;
signal strong_filter : boolean;
signal ap, aq : boolean;
signal strong_filter_test : boolean;
signal basic_checks : boolean; -- and of three test always needed
signal extra_filter_normal_p : boolean;
signal extra_filter_normal_q : boolean;
signal p0_if_normal_filtd : signed(15 downto 0);
signal p1_if_normal_filtd : signed(15 downto 0);
signal q0_if_normal_filtd : signed(15 downto 0);
signal q1_if_normal_filtd : signed(15 downto 0);
signal p0_if_strong_filtd_0 : signed(15 downto 0);
signal p1_if_strong_filtd_0 : signed(15 downto 0);
signal p2_if_strong_filtd_0 : signed(15 downto 0);
signal q0_if_strong_filtd_0 : signed(15 downto 0);
signal q1_if_strong_filtd_0 : signed(15 downto 0);
signal q2_if_strong_filtd_0 : signed(15 downto 0);
signal p0_if_strong_filtd_1 : signed(15 downto 0);
signal p1_if_strong_filtd_1 : signed(15 downto 0);
signal p2_if_strong_filtd_1 : signed(15 downto 0);
signal q0_if_strong_filtd_1 : signed(15 downto 0);
signal q1_if_strong_filtd_1 : signed(15 downto 0);
signal q2_if_strong_filtd_1 : signed(15 downto 0);
signal p0_if_strong_filtd : signed(15 downto 0);
signal p1_if_strong_filtd : signed(15 downto 0);
signal p2_if_strong_filtd : signed(15 downto 0);
signal q0_if_strong_filtd : signed(15 downto 0);
signal q1_if_strong_filtd : signed(15 downto 0);
signal q2_if_strong_filtd : signed(15 downto 0);
signal delta_pre_clip : signed(15 downto 0);
signal delta : signed(15 downto 0);
signal p1_pre_clip_component : signed(15 downto 0);
signal q1_pre_clip_component : signed(15 downto 0);
signal p1_post_clip_component : signed(15 downto 0);
signal q1_post_clip_component : signed(15 downto 0);
signal tc0_prime : signed(8 downto 0);
begin
-- normal filtering
basic_checks <= (abs(p0-q0) < alpha) and
(abs(p1-p0) < beta ) and
(abs(q1-q0) < beta );
extra_filter_normal_p <= abs(p2-p0) < beta;
extra_filter_normal_q <= abs(q2-q0) < beta;
tc0_prime <= tc0 when not (extra_filter_normal_p or extra_filter_normal_q) else
tc0 + to_signed(1, 9) when extra_filter_normal_p xor extra_filter_normal_q else
tc0 + to_signed(2, 9);
delta_pre_clip <= shift_right((shift_left((("0000000"&q0) - ("0000000"&p0)) , 2) +
(("0000000"&p1) - ("0000000"&q1)) + (to_signed(4, 16))) , 3);
delta <= delta_pre_clip when delta_pre_clip > -tc0_prime and delta_pre_clip < tc0_prime else
"1111111"&(-tc0_prime) when delta_pre_clip < -tc0_prime else
"0000000"&tc0_prime;
p1_pre_clip_component <= shift_right((("0000000"&p2) + shift_right((("0000000"&p0) + ("0000000"&q0) + to_signed(1, 16)) , 1) - shift_left(("0000000"&p1) , 1)) , 1);
p1_post_clip_component <= p1_pre_clip_component when p1_pre_clip_component > -tc0 and p1_pre_clip_component < tc0 else
"1111111"&(-tc0) when p1_pre_clip_component < -tc0 else
"0000000"&tc0;
q1_pre_clip_component <= shift_right((("0000000"&q2) + shift_right((("0000000"&p0) + ("0000000"&q0) + to_signed(1, 16)) , 1) - shift_left(("0000000"&q1) , 1)) , 1);
q1_post_clip_component <= q1_pre_clip_component when q1_pre_clip_component > -tc0 and q1_pre_clip_component < tc0 else
"1111111"&(-tc0) when q1_pre_clip_component < -tc0 else
"0000000"&tc0;
p0_if_normal_filtd <= ("0000000"&p0) + delta;
p1_if_normal_filtd <= ("0000000"&p1) + p1_post_clip_component;
q0_if_normal_filtd <= ("0000000"&q0) - delta;
q1_if_normal_filtd <= ("0000000"&q1) + q1_post_clip_component;
normal_filter <= boundary_strength < to_signed(4, 9) and boundary_strength > to_signed(0, 9);
--strong filtering
ap <= extra_filter_normal_p;
aq <= extra_filter_normal_q;
strong_filter <= boundary_strength = to_signed(4, 9);
strong_filter_test <= (abs((X"0"&p0) - (X"0"&q0)) < (shift_right(X"0"&alpha, 2) + to_signed(2, 13))) and (is_chroma = '0');
p0_if_strong_filtd_0 <= shift_right(( shift_left("0000000"&p1, 1) + ("0000000"&p0) + ("0000000"&q1) + to_signed(2, 16) ) , 2);
p1_if_strong_filtd_0 <= "0000000"&p1;
p2_if_strong_filtd_0 <= "0000000"&p2;
q0_if_strong_filtd_0 <= shift_right(( shift_left("0000000"&q1, 1) + ("0000000"&q0) + ("0000000"&p1) + to_signed(2, 16) ) , 2);
q1_if_strong_filtd_0 <= "0000000"&q1;
q2_if_strong_filtd_0 <= "0000000"&q2;
p0_if_strong_filtd_1 <= shift_right((("0000000"&p2) + shift_left("0000000"&p1, 1) + shift_left("0000000"&p0,1) + shift_left("0000000"&q0,1) + ("0000000"&q1) + to_signed(4, 16) ), 3);
p1_if_strong_filtd_1 <= shift_right(( ("0000000"&p2) + ("0000000"&p1) + ("0000000"&p0) + ("0000000"&q0) + to_signed(2, 16) ), 2);
p2_if_strong_filtd_1 <= shift_right((shift_left("0000000"&p3, 1) + (to_signed(3, 7)*p2) + ("0000000"&p1) + ("0000000"&p0) + ("0000000"&q0) + to_signed(4, 16) ) , 3);
q0_if_strong_filtd_1 <= shift_right((("0000000"&q2) + shift_left("0000000"&q1, 1) + shift_left("0000000"&q0,1) + shift_left("0000000"&p0,1) + ("0000000"&p1) + to_signed(4, 16) ), 3);
q1_if_strong_filtd_1 <= shift_right(( ("0000000"&q2) + ("0000000"&q1) + ("0000000"&q0) + ("0000000"&p0) + to_signed(2, 16) ), 2);
q2_if_strong_filtd_1 <= shift_right((shift_left("0000000"&q3, 1) + (to_signed(3, 7)*q2) + ("0000000"&q1) + ("0000000"&q0) + ("0000000"&p0) + to_signed(4, 16) ) , 3);
p0_if_strong_filtd <= p0_if_strong_filtd_1 when strong_filter_test else p0_if_strong_filtd_0;
p1_if_strong_filtd <= p1_if_strong_filtd_1 when strong_filter_test else p1_if_strong_filtd_0;
p2_if_strong_filtd <= p2_if_strong_filtd_1 when strong_filter_test else p2_if_strong_filtd_0;
q0_if_strong_filtd <= q0_if_strong_filtd_1 when strong_filter_test else q0_if_strong_filtd_0;
q1_if_strong_filtd <= q1_if_strong_filtd_1 when strong_filter_test else q1_if_strong_filtd_0;
q2_if_strong_filtd <= q2_if_strong_filtd_1 when strong_filter_test else q2_if_strong_filtd_0;
-- output (will need modifing once strong filtering is built)
p0_out <= p0_if_normal_filtd(8 downto 0) when normal_filter and basic_checks else
p0_if_strong_filtd(8 downto 0) when strong_filter and basic_checks else
p0;
p1_out <= p1_if_normal_filtd(8 downto 0) when normal_filter and basic_checks and extra_filter_normal_p else
p1_if_strong_filtd(8 downto 0) when strong_filter and basic_checks else
p1;
p2_out <= p2_if_strong_filtd(8 downto 0) when strong_filter and basic_checks else p2;
q0_out <= q0_if_normal_filtd(8 downto 0) when normal_filter and basic_checks else
q0_if_strong_filtd(8 downto 0) when strong_filter and basic_checks else
q0;
q1_out <= q1_if_normal_filtd(8 downto 0) when normal_filter and basic_checks and extra_filter_normal_q else
q1_if_strong_filtd(8 downto 0) when strong_filter and basic_checks else
q1;
q2_out <= q2_if_strong_filtd(8 downto 0) when strong_filter and basic_checks else q2;
end architecture rtl; |
-- File name: bus_test.vhd
-- Created: 2009-02-25
-- Author: Jevin Sweval
-- Lab Section: 337-02
-- Version: 1.0 Initial Design Entry
-- Description: block for testing bus stuff
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity bus_test is
port (
clk : in std_logic;
nrst : in std_logic;
b : out unsigned(7 downto 0)
);
end bus_test;
architecture behavioral of bus_test is
signal n : unsigned(2 downto 0);
begin
process(clk, nrst)
begin
if (nrst='0') then
n <= (others => '0');
elsif (rising_edge(clk)) then
n <= n + 1;
end if;
end process;
process(n)
begin
case n is
when "001" => b <= to_unsigned(2, 8);
when others => b <= (others => 'Z');
end case;
end process;
process(n)
begin
case n is
when "011" => b <= to_unsigned(4, 8);
when others => b <= (others => 'Z');
end case;
end process;
process(n)
begin
case n is
when "001" => b <= (others => 'Z');
when "011" => b <= (others => 'Z');
when others => b <= to_unsigned(7, 8);
end case;
end process;
end behavioral;
|
-- megafunction wizard: %LPM_ROM%
-- GENERATION: STANDARD
-- VERSION: WM1.0
-- MODULE: altsyncram
-- ============================================================
-- File Name: lpm_rom0.vhd
-- Megafunction Name(s):
-- altsyncram
--
-- Simulation Library Files(s):
-- altera_mf
-- ============================================================
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
--
-- 9.1 Build 350 03/24/2010 SP 2 SJ Web Edition
-- ************************************************************
--Copyright (C) 1991-2010 Altera Corporation
--Your use of Altera Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--functions, and any output files from any of the foregoing
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--to the terms and conditions of the Altera Program License
--Subscription Agreement, Altera MegaCore Function License
--Agreement, or other applicable license agreement, including,
--without limitation, that your use is for the sole purpose of
--programming logic devices manufactured by Altera and sold by
--Altera or its authorized distributors. Please refer to the
--applicable agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY altera_mf;
USE altera_mf.all;
ENTITY lpm_rom0 IS
PORT
(
address : IN STD_LOGIC_VECTOR (5 DOWNTO 0);
inclock : IN STD_LOGIC := '1';
outclock : IN STD_LOGIC ;
q : OUT STD_LOGIC_VECTOR (9 DOWNTO 0)
);
END lpm_rom0;
ARCHITECTURE SYN OF lpm_rom0 IS
SIGNAL sub_wire0 : STD_LOGIC_VECTOR (9 DOWNTO 0);
COMPONENT altsyncram
GENERIC (
address_aclr_a : STRING;
clock_enable_input_a : STRING;
clock_enable_output_a : STRING;
init_file : STRING;
intended_device_family : STRING;
lpm_type : STRING;
numwords_a : NATURAL;
operation_mode : STRING;
outdata_aclr_a : STRING;
outdata_reg_a : STRING;
widthad_a : NATURAL;
width_a : NATURAL;
width_byteena_a : NATURAL
);
PORT (
clock0 : IN STD_LOGIC ;
clock1 : IN STD_LOGIC ;
address_a : IN STD_LOGIC_VECTOR (5 DOWNTO 0);
q_a : OUT STD_LOGIC_VECTOR (9 DOWNTO 0)
);
END COMPONENT;
BEGIN
q <= sub_wire0(9 DOWNTO 0);
altsyncram_component : altsyncram
GENERIC MAP (
address_aclr_a => "NONE",
clock_enable_input_a => "BYPASS",
clock_enable_output_a => "BYPASS",
init_file => "lab10_1.mif",
intended_device_family => "Cyclone III",
lpm_type => "altsyncram",
numwords_a => 64,
operation_mode => "ROM",
outdata_aclr_a => "NONE",
outdata_reg_a => "CLOCK1",
widthad_a => 6,
width_a => 10,
width_byteena_a => 1
)
PORT MAP (
clock0 => inclock,
clock1 => outclock,
address_a => address,
q_a => sub_wire0
);
END SYN;
-- ============================================================
-- CNX file retrieval info
-- ============================================================
-- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
-- Retrieval info: PRIVATE: AclrAddr NUMERIC "0"
-- Retrieval info: PRIVATE: AclrByte NUMERIC "0"
-- Retrieval info: PRIVATE: AclrOutput NUMERIC "0"
-- Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "0"
-- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
-- Retrieval info: PRIVATE: BlankMemory NUMERIC "0"
-- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0"
-- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0"
-- Retrieval info: PRIVATE: Clken NUMERIC "0"
-- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0"
-- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A"
-- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0"
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
-- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0"
-- Retrieval info: PRIVATE: JTAG_ID STRING "NONE"
-- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
-- Retrieval info: PRIVATE: MIFfilename STRING "lab10_1.mif"
-- Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "64"
-- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
-- Retrieval info: PRIVATE: RegAddr NUMERIC "1"
-- Retrieval info: PRIVATE: RegOutput NUMERIC "1"
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
-- Retrieval info: PRIVATE: SingleClock NUMERIC "0"
-- Retrieval info: PRIVATE: UseDQRAM NUMERIC "0"
-- Retrieval info: PRIVATE: WidthAddr NUMERIC "6"
-- Retrieval info: PRIVATE: WidthData NUMERIC "10"
-- Retrieval info: PRIVATE: rden NUMERIC "0"
-- Retrieval info: CONSTANT: ADDRESS_ACLR_A STRING "NONE"
-- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS"
-- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS"
-- Retrieval info: CONSTANT: INIT_FILE STRING "lab10_1.mif"
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
-- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
-- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "64"
-- Retrieval info: CONSTANT: OPERATION_MODE STRING "ROM"
-- Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE"
-- Retrieval info: CONSTANT: OUTDATA_REG_A STRING "CLOCK1"
-- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "6"
-- Retrieval info: CONSTANT: WIDTH_A NUMERIC "10"
-- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1"
-- Retrieval info: USED_PORT: address 0 0 6 0 INPUT NODEFVAL address[5..0]
-- Retrieval info: USED_PORT: inclock 0 0 0 0 INPUT VCC inclock
-- Retrieval info: USED_PORT: outclock 0 0 0 0 INPUT NODEFVAL outclock
-- Retrieval info: USED_PORT: q 0 0 10 0 OUTPUT NODEFVAL q[9..0]
-- Retrieval info: CONNECT: @address_a 0 0 6 0 address 0 0 6 0
-- Retrieval info: CONNECT: q 0 0 10 0 @q_a 0 0 10 0
-- Retrieval info: CONNECT: @clock0 0 0 0 0 inclock 0 0 0 0
-- Retrieval info: CONNECT: @clock1 0 0 0 0 outclock 0 0 0 0
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_rom0.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_rom0.inc FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_rom0.cmp TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_rom0.bsf TRUE FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_rom0_inst.vhd FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_rom0_waveforms.html TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_rom0_wave*.jpg FALSE
-- Retrieval info: LIB_FILE: altera_mf
|
-------------------------------------------------------------------------------
-- $Id: pf_adder.vhd,v 1.1.2.1 2009/10/06 21:15:01 gburch Exp $
-------------------------------------------------------------------------------
-- pf_adder - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2003,2009 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: pf_adder.vhd
--
-- Description: Parameterized adder/subtractor for Mauna Loa Packet FIFO
-- vacancy calculation. This design has a combinational
-- output. The carry out is not used by the PFIFO so it has
-- been removed.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- pf_adder.vhd
--
-------------------------------------------------------------------------------
-- Author: D. Thorpe
-- Revision: $Revision: 1.1.2.1 $
-- Date: $Date: 2009/10/06 21:15:01 $
--
-- History:
-- DET 2001-08-30 First Version
-- - adapted from B Tise MicroBlaze timer counters
--
-- DET 2001-09-11
-- - Added the Rst input to the pf_adder_bit component
--
--
-- GAB 10/05/09
-- ^^^^^^
-- Moved all helper libraries proc_common_v2_00_a, opb_ipif_v3_01_a, and
-- opb_arbiter_v1_02_e locally into opb_v20_v1_10_d
--
-- Updated legal header
-- ~~~~~~
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
library unisim;
use unisim.all;
library opb_v20_v1_10_d;
use opb_v20_v1_10_d.all;
-----------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
entity pf_adder is
generic (
C_REGISTERED_RESULT : Boolean := false;
C_COUNT_WIDTH : integer := 10
);
port (
Clk : in std_logic;
Rst : in std_logic;
--Carry_Out : out std_logic;
Ain : in std_logic_vector(0 to C_COUNT_WIDTH-1);
Bin : in std_logic_vector(0 to C_COUNT_WIDTH-1);
Add_sub_n : in std_logic;
result_out : out std_logic_vector(0 to C_COUNT_WIDTH-1)
);
end entity pf_adder;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture implementation of pf_adder is
constant CY_START : integer := 1;
signal alu_cy : std_logic_vector(0 to C_COUNT_WIDTH);
signal iresult_out : std_logic_vector(0 to C_COUNT_WIDTH-1);
signal count_clock_en : std_logic;
--signal carry_active_high : std_logic;
begin -- VHDL_RTL
-----------------------------------------------------------------------------
-- Generate the Counter bits
-----------------------------------------------------------------------------
alu_cy(C_COUNT_WIDTH) <= not(Add_sub_n); -- initial carry-in to adder LSB
count_clock_en <= '1';
I_ADDSUB_GEN : for i in 0 to C_COUNT_WIDTH-1 generate
begin
Counter_Bit_I : entity opb_v20_v1_10_d.pf_adder_bit
Generic map(
C_REGISTERED_RESULT => C_REGISTERED_RESULT
)
port map (
Clk => Clk, -- [in]
Rst => Rst, -- [in]
Ain => Ain(i), -- [in]
Bin => Bin(i), -- [in]
Add_sub_n => Add_sub_n, -- [in]
Carry_In => alu_cy(i+CY_Start), -- [in]
Clock_Enable => count_clock_en, -- [in]
Result => iresult_out(i), -- [out]
Carry_Out => alu_cy(i+(1-CY_Start))); -- [out]
end generate I_ADDSUB_GEN;
result_out <= iresult_out;
end architecture implementation;
|
-------------------------------------------------------------------------------
-- $Id: pf_adder.vhd,v 1.1.2.1 2009/10/06 21:15:01 gburch Exp $
-------------------------------------------------------------------------------
-- pf_adder - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2003,2009 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: pf_adder.vhd
--
-- Description: Parameterized adder/subtractor for Mauna Loa Packet FIFO
-- vacancy calculation. This design has a combinational
-- output. The carry out is not used by the PFIFO so it has
-- been removed.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- pf_adder.vhd
--
-------------------------------------------------------------------------------
-- Author: D. Thorpe
-- Revision: $Revision: 1.1.2.1 $
-- Date: $Date: 2009/10/06 21:15:01 $
--
-- History:
-- DET 2001-08-30 First Version
-- - adapted from B Tise MicroBlaze timer counters
--
-- DET 2001-09-11
-- - Added the Rst input to the pf_adder_bit component
--
--
-- GAB 10/05/09
-- ^^^^^^
-- Moved all helper libraries proc_common_v2_00_a, opb_ipif_v3_01_a, and
-- opb_arbiter_v1_02_e locally into opb_v20_v1_10_d
--
-- Updated legal header
-- ~~~~~~
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
library unisim;
use unisim.all;
library opb_v20_v1_10_d;
use opb_v20_v1_10_d.all;
-----------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
entity pf_adder is
generic (
C_REGISTERED_RESULT : Boolean := false;
C_COUNT_WIDTH : integer := 10
);
port (
Clk : in std_logic;
Rst : in std_logic;
--Carry_Out : out std_logic;
Ain : in std_logic_vector(0 to C_COUNT_WIDTH-1);
Bin : in std_logic_vector(0 to C_COUNT_WIDTH-1);
Add_sub_n : in std_logic;
result_out : out std_logic_vector(0 to C_COUNT_WIDTH-1)
);
end entity pf_adder;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture implementation of pf_adder is
constant CY_START : integer := 1;
signal alu_cy : std_logic_vector(0 to C_COUNT_WIDTH);
signal iresult_out : std_logic_vector(0 to C_COUNT_WIDTH-1);
signal count_clock_en : std_logic;
--signal carry_active_high : std_logic;
begin -- VHDL_RTL
-----------------------------------------------------------------------------
-- Generate the Counter bits
-----------------------------------------------------------------------------
alu_cy(C_COUNT_WIDTH) <= not(Add_sub_n); -- initial carry-in to adder LSB
count_clock_en <= '1';
I_ADDSUB_GEN : for i in 0 to C_COUNT_WIDTH-1 generate
begin
Counter_Bit_I : entity opb_v20_v1_10_d.pf_adder_bit
Generic map(
C_REGISTERED_RESULT => C_REGISTERED_RESULT
)
port map (
Clk => Clk, -- [in]
Rst => Rst, -- [in]
Ain => Ain(i), -- [in]
Bin => Bin(i), -- [in]
Add_sub_n => Add_sub_n, -- [in]
Carry_In => alu_cy(i+CY_Start), -- [in]
Clock_Enable => count_clock_en, -- [in]
Result => iresult_out(i), -- [out]
Carry_Out => alu_cy(i+(1-CY_Start))); -- [out]
end generate I_ADDSUB_GEN;
result_out <= iresult_out;
end architecture implementation;
|
-- Copyright (c) 2016 Federico Madotto and Coline Doebelin
-- federico.madotto (at) gmail.com
-- coline.doebelin (at) gmail.com
-- https://github.com/fmadotto/DS_bitcoin_miner
-- nbits_register.vhd is part of DS_bitcoin_miner.
-- DS_bitcoin_miner is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
-- DS_bitcoin_miner is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
library ieee;
use ieee.std_logic_1164.all;
entity nbits_register is
generic (
n : natural := 32 -- input size (default is 32 bits)
);
port (
clk : in std_ulogic; -- clock
rstn : in std_ulogic; -- asynchronous active low reset
en : in std_ulogic; -- enable
d : in std_ulogic_vector(n-1 downto 0); -- data in
q : out std_ulogic_vector(n-1 downto 0) -- data out
);
end entity nbits_register;
architecture behav of nbits_register is
begin
process (clk, rstn) -- asynchronous reset
begin
if rstn = '0' then
q <= (others => '0'); -- clear output on reset
elsif clk'event and clk = '1' then
if en = '1' then -- data in is sampled on positive edge of clk if enabled
q <= d;
end if;
end if;
end process;
end architecture behav;
|
entity record2 is
end entity;
architecture test of record2 is
type rec is record
x, y : integer;
end record;
procedure set_to(variable r : inout rec;
constant n : in integer) is
begin
r.x := n;
r.y := r.x;
end procedure;
begin
process is
variable r : rec;
begin
set_to(r, 5);
assert r.x = 5;
assert r.y = 5;
wait;
end process;
end architecture;
|
entity record2 is
end entity;
architecture test of record2 is
type rec is record
x, y : integer;
end record;
procedure set_to(variable r : inout rec;
constant n : in integer) is
begin
r.x := n;
r.y := r.x;
end procedure;
begin
process is
variable r : rec;
begin
set_to(r, 5);
assert r.x = 5;
assert r.y = 5;
wait;
end process;
end architecture;
|
entity record2 is
end entity;
architecture test of record2 is
type rec is record
x, y : integer;
end record;
procedure set_to(variable r : inout rec;
constant n : in integer) is
begin
r.x := n;
r.y := r.x;
end procedure;
begin
process is
variable r : rec;
begin
set_to(r, 5);
assert r.x = 5;
assert r.y = 5;
wait;
end process;
end architecture;
|
entity record2 is
end entity;
architecture test of record2 is
type rec is record
x, y : integer;
end record;
procedure set_to(variable r : inout rec;
constant n : in integer) is
begin
r.x := n;
r.y := r.x;
end procedure;
begin
process is
variable r : rec;
begin
set_to(r, 5);
assert r.x = 5;
assert r.y = 5;
wait;
end process;
end architecture;
|
entity record2 is
end entity;
architecture test of record2 is
type rec is record
x, y : integer;
end record;
procedure set_to(variable r : inout rec;
constant n : in integer) is
begin
r.x := n;
r.y := r.x;
end procedure;
begin
process is
variable r : rec;
begin
set_to(r, 5);
assert r.x = 5;
assert r.y = 5;
wait;
end process;
end architecture;
|
library ieee;
library work;
library altera;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.altera_pll_top_pkg.all;
use altera.altera_syn_attributes.all;
entity hardheat_top is
generic
(
-- Number of bits in time-to-digital converter
TDC_N : positive := 12;
-- Number of bitshifts to left for the filter proportional coefficient
FILT_P_SHIFT_N : integer := 0;
-- Number of bitshifts to right for the filter integral coefficient
FILT_I_SHIFT_N : integer := -5;
-- Initial output value from the filter
FILT_INIT_OUT_VAL : positive := 2**11 - 1;
-- Filter output offset
FILT_OUT_OFFSET : natural := 2**21;
-- Filter output value clamping limit
FILT_OUT_LIM : positive := 2**22;
-- Number of bits in the phase accumulator
ACCUM_BITS_N : positive := 32;
-- Number of bits in the tuning word for the phase accumulator
ACCUM_WORD_N : positive := 23;
-- Number of bits in the deadtime counter
DT_N : positive := 16;
-- Amount of deadtime in clock cycles
DT_VAL : natural := 100;
-- Number of bits in the lock detector "locked" counter
LD_LOCK_N : positive := 20;
-- Number of bits in the lock detector "unlocked" counter
LD_ULOCK_N : positive := 16;
-- Phase difference value under which we are considered to be locked
LD_LOCK_LIMIT : natural := 100;
-- Temperature conversion interval in clock cycles
TEMP_CONV_D : natural := 100000000;
-- Delay between conversion command and reading in clock cycles
TEMP_CONV_CMD_D : natural := 75000000;
-- Number of clock cycles for 1us delay for the 1-wire module
TEMP_OW_US_D : positive := 100;
-- Number of bits in the temperature PWM controller
TEMP_PWM_N : positive := 12;
-- Minimum PWM level (duty cycle)
TEMP_PWM_MIN_LVL : natural := 2**12 / 5;
-- Output maximum duty cycle on enable, measured in PWM cycles!
TEMP_PWM_EN_ON_D : natural := 100000;
-- Number of bitshifts to left for the PID-filter proportional coeff
TEMP_P_SHIFT_N : integer := 4;
-- Number of bitshifts to right for the PID-filter integral coeff
TEMP_I_SHIFT_N : integer := -11;
-- PID input offset applied to the temperature sensor output
TEMP_SETPOINT : integer := 320;
DEBOUNCE_D : natural := 1000000;
DEBOUNCE_FF_N : natural := 5
);
port
(
clk_in : in std_logic;
reset_in : in std_logic;
ref_in : in std_logic;
sig_in : in std_logic;
ow_in : in std_logic;
mod_lvl_in : in std_logic_vector(2 downto 0);
ow_out : out std_logic;
ow_pullup_out : out std_logic;
sig_lh_out : out std_logic;
sig_ll_out : out std_logic;
sig_rh_out : out std_logic;
sig_rl_out : out std_logic;
lock_out : out std_logic;
pwm_out : out std_logic;
temp_err_out : out std_logic
);
end entity;
architecture rtl_top of hardheat_top is
attribute noprune : boolean;
attribute preserve : boolean;
attribute keep : boolean;
signal clk : std_logic;
attribute noprune of clk : signal is true;
attribute keep of clk : signal is true;
signal temp : signed(16 - 1 downto 0);
signal temp_f : std_logic;
attribute keep of temp : signal is true;
attribute keep of temp_f : signal is true;
attribute noprune of temp : signal is true;
attribute noprune of temp_f : signal is true;
attribute preserve of temp : signal is true;
signal pll_clk : std_logic;
signal pll_locked : std_logic;
signal reset : std_logic;
signal mod_lvl : std_logic_vector(mod_lvl_in'range);
signal mod_lvl_f : std_logic;
signal debounced_sws : std_logic_vector(mod_lvl_in'range);
begin
-- Main clock from PLL on the SoCkit board
pll_p: altera_pll_top
port map
(
refclk => clk_in,
rst => not reset_in,
outclk_0 => pll_clk,
locked => pll_locked
);
clk <= pll_clk;
reset <= not pll_locked;
-- Read modulation level state from switches, debounce
debouncing_p: for i in 0 to mod_lvl_in'high generate
debouncer_p: entity work.debounce(rtl)
generic map
(
DEBOUNCE_D => DEBOUNCE_D,
FLIPFLOPS_N => DEBOUNCE_FF_N
)
port map
(
clk => clk,
reset => reset,
sig_in => mod_lvl_in(i),
sig_out => debounced_sws(i)
);
end generate;
-- Change modulation level when debounced modulation level changes
mod_lvl_p: process(clk, reset)
variable state : std_logic_vector(mod_lvl_in'high downto 0);
begin
if reset = '1' then
state := (others => '1');
mod_lvl <= state;
mod_lvl_f <= '0';
elsif rising_edge(clk) then
mod_lvl_f <= '0';
if not debounced_sws = state then
state := debounced_sws;
mod_lvl <= state;
mod_lvl_f <= '1';
end if;
end if;
end process;
-- TODO: Sig is internally connected!
hardheat_p: entity work.hardheat(rtl)
generic map
(
TDC_N => TDC_N,
FILT_P_SHIFT_N => FILT_P_SHIFT_N,
FILT_I_SHIFT_N => FILT_I_SHIFT_N,
FILT_INIT_OUT_VAL => FILT_INIT_OUT_VAL,
FILT_OUT_OFFSET => FILT_OUT_OFFSET,
FILT_OUT_LIM => FILT_OUT_LIM,
ACCUM_BITS_N => ACCUM_BITS_N,
ACCUM_WORD_N => ACCUM_WORD_N,
LD_LOCK_N => LD_LOCK_N,
LD_ULOCK_N => LD_ULOCK_N,
LD_LOCK_LIMIT => LD_LOCK_LIMIT,
DT_N => DT_N,
DT_VAL => DT_VAL,
TEMP_CONV_D => TEMP_CONV_D,
TEMP_CONV_CMD_D => TEMP_CONV_CMD_D,
TEMP_OW_US_D => TEMP_OW_US_D,
TEMP_PWM_N => TEMP_PWM_N,
TEMP_PWM_MIN_LVL => TEMP_PWM_MIN_LVL,
TEMP_PWM_EN_ON_D => TEMP_PWM_EN_ON_D,
TEMP_P_SHIFT_N => TEMP_P_SHIFT_N,
TEMP_I_SHIFT_N => TEMP_I_SHIFT_N,
TEMP_SETPOINT => TEMP_SETPOINT
)
port map
(
clk => clk,
reset => reset,
ref_in => ref_in,
sig_in => sig_in,
mod_lvl_in => unsigned(mod_lvl),
mod_lvl_in_f => mod_lvl_f,
sig_lh_out => sig_lh_out,
sig_ll_out => sig_ll_out,
sig_rh_out => sig_rh_out,
sig_rl_out => sig_rl_out,
lock_out => lock_out,
ow_in => ow_in,
ow_out => ow_out,
ow_pullup_out => ow_pullup_out,
temp_out => temp,
temp_out_f => temp_f,
temp_err_out => temp_err_out,
pwm_out => pwm_out
);
end;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity prime is
port(
-- 32-bit input signal to test.
-- Should be registered in the circuit.
number: in std_logic_vector(31 downto 0);
-- Asynchronous reset when equal to '1'.
reset: in std_logic;
-- Is '1' when the data on 'input' is valid.
start: in std_logic;
-- Clock signal for the circuit.
clock: in std_logic;
-- Is '1' when the circuit completes a test for an input.
done: out std_logic;
-- Is '1' iff number is prime and
-- the signal is valid only when done='1'.
prime: out std_logicPas de NoSQL. Après plusieurs expériences avec MongoDB et CouchDB, je n’ai pas été convaincu que les bénéfices dépassaient le coût. Il faudrait un article complet là dessus (qu’on m’a d’ailleurs demandé).
);
component divi_combiner is
port (
dividend : in std_logic_vector(31 downto 0);
divisor : in std_logic_vector(31 downto 0);
quotient : out std_logic_vector(31 downto 0);
remainder : out std_logic_vector(31 downto 0)
);
end component;
component multi_combiner is
port (
operand1 : in std_logic_vector(31 downto 0);
operand2 : in std_logic_vector(31 downto 0);
product : out std_logic_vector(63 downto 0);
);
end component;
end entity;
architecture synth of prime is
type state is (s0, s1, s2, s3);
signal future_state, current_state : state;
signal counter : std_logic_vector(31 downto 0);
multi : multi_combiner port map (
operand1 => counter,
operand2 => counter,
product => product
);
divi : divi_combiner port map (
dividend => num,
divisor => counter,
remainder => remainder,
quotient => open
);
begin
state_switcher : process(clk, future_state, reset)
begin
if reset = '1' then
future_state <= s0;
num <= (others => '0');
elsif rising_edge(clk) then
current_state <= future_state;
end if;
end process;
state_handler : process(remainder, product)
begin
future_state <= current_state;
case current_state is
when s0 => -- Waiting for start = '1'
if start = '1' then
future_state <= s1;
num <= number;
counter <= conv_std_logic_vector(2, 32);
end if;
when s1 => -- Testing if prime
counter <= counter + 1;
if product > num then -- If we exceeded the square root
if remainder = 0 then
future_state <= s2;
else
future_state <= s3;
end if;
else -- If we have not reached the square root yet
if remainder = 0 then
future_state <= s2;
end if;
end if;
when s2 => -- Not prime
done <= '1';
prime <= '0';
when s3 => -- Prime
done <= '1';
prime <= '1';
when others => -- Default case
future_state <= s0;
end case;
end process;
end architecture ; -- synth |
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
h5SXfAcCaCFAHj5VCeJlYSTnTiCyHdIc0EfmfWeKgUsXaLVt5kv7HqosmR5r+YhwYMehA+IY/HTv
k5qBMJe4LQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
dsC+c4ibPdJ03UW35RnO0DSsHC4YcJyg/3fAz5cAHolzq8KOO+B8XU5K2eDOqzwQ7+4Q+imA/Vo+
a45ekR/j924T/6VjQvWeU9SNaKuwls2pRB3K42A8it3vrvj1/CZx+VMznriwXpGD3BVY8VbDp6IW
2/v5xWaF+sjQdKRQ3TU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
CwUPZ5gRaS2O1RNaIMHnENkDZV/ZnrSvUidgi7Uoh2f5g7DeSGSSdjmD75moS3QN5RLFRn3838Es
/hXtw92H8n7anFx5iIIr1FBR8DC7R8J7FYqb7IA6j5u1mkre3CfNBj8REuGNuigki9n1GjcXuaBk
of9JMkJFIyqwGYdWZ0El51UJFc+dHUeyaIehpR3vUJycNkxM+o+xSiLgC/xoU+p0O0DbyZhAqQHV
hsBWi9/3Yr/0SeDDosUVw9A22DTi0/EsIKd9FvWSe+hOWNavpw7M+WCDmkXw4heN2aChMxTgvRtE
NVIbAzfjrW3jB8sU9AlgUdPGGXqP0YVKF8JOFw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
hK2tOSYh6gh1RUcv3//DcLzoQinTbN+iZTokp/i649ZOM2LgW4Yecyz9fAAkOIey/m5PqEhivMoX
ZLHSx8KgCitBhlyfuzKnZi0+JismE/HXplwHMb06VNUA0Ik994CEzK8T558shLKb/Gr5RMR+KYZQ
2FMx18sn2Wvd25q9Zwk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
EiVDPIxuZQNql7u8bycybX6jcMm7/MiRZc9TTtXvzcUqCuhAmyihozKQRWdquk5AmuxOzgda8zVj
JOo0JH7gvITfb6/tWSZE/eXsPmtRBuMFnmopYf1zzSc5mpVQyb89xqEPtmOgj4PSXFUt3aTBc3Em
oaIbV9mFDtE9oOjNZwo/3dKYrH2IPQdlTs0VZzK/P6EoTWOQqFaMhtniS3mBzzO5Sy3TvNli/IOW
bu3PgeAvGYLeC0GsMM+MfU7DLemSiToDKV8WsgkBMpXBwPFvLAFtG9N67sd/mHNP6xP2CDQTcPZX
Vax5hxYCSJJHwsKNdfgEiqDg5wJXtm85qriuCw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 214048)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
h5SXfAcCaCFAHj5VCeJlYSTnTiCyHdIc0EfmfWeKgUsXaLVt5kv7HqosmR5r+YhwYMehA+IY/HTv
k5qBMJe4LQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
dsC+c4ibPdJ03UW35RnO0DSsHC4YcJyg/3fAz5cAHolzq8KOO+B8XU5K2eDOqzwQ7+4Q+imA/Vo+
a45ekR/j924T/6VjQvWeU9SNaKuwls2pRB3K42A8it3vrvj1/CZx+VMznriwXpGD3BVY8VbDp6IW
2/v5xWaF+sjQdKRQ3TU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
CwUPZ5gRaS2O1RNaIMHnENkDZV/ZnrSvUidgi7Uoh2f5g7DeSGSSdjmD75moS3QN5RLFRn3838Es
/hXtw92H8n7anFx5iIIr1FBR8DC7R8J7FYqb7IA6j5u1mkre3CfNBj8REuGNuigki9n1GjcXuaBk
of9JMkJFIyqwGYdWZ0El51UJFc+dHUeyaIehpR3vUJycNkxM+o+xSiLgC/xoU+p0O0DbyZhAqQHV
hsBWi9/3Yr/0SeDDosUVw9A22DTi0/EsIKd9FvWSe+hOWNavpw7M+WCDmkXw4heN2aChMxTgvRtE
NVIbAzfjrW3jB8sU9AlgUdPGGXqP0YVKF8JOFw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
hK2tOSYh6gh1RUcv3//DcLzoQinTbN+iZTokp/i649ZOM2LgW4Yecyz9fAAkOIey/m5PqEhivMoX
ZLHSx8KgCitBhlyfuzKnZi0+JismE/HXplwHMb06VNUA0Ik994CEzK8T558shLKb/Gr5RMR+KYZQ
2FMx18sn2Wvd25q9Zwk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
EiVDPIxuZQNql7u8bycybX6jcMm7/MiRZc9TTtXvzcUqCuhAmyihozKQRWdquk5AmuxOzgda8zVj
JOo0JH7gvITfb6/tWSZE/eXsPmtRBuMFnmopYf1zzSc5mpVQyb89xqEPtmOgj4PSXFUt3aTBc3Em
oaIbV9mFDtE9oOjNZwo/3dKYrH2IPQdlTs0VZzK/P6EoTWOQqFaMhtniS3mBzzO5Sy3TvNli/IOW
bu3PgeAvGYLeC0GsMM+MfU7DLemSiToDKV8WsgkBMpXBwPFvLAFtG9N67sd/mHNP6xP2CDQTcPZX
Vax5hxYCSJJHwsKNdfgEiqDg5wJXtm85qriuCw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 214048)
`protect data_block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`protect end_protected
|
library verilog;
use verilog.vl_types.all;
entity lab50_vlg_check_tst is
port(
led1 : in vl_logic_vector(7 downto 0);
led2 : in vl_logic_vector(7 downto 0);
lose : in vl_logic;
win : in vl_logic;
sampler_rx : in vl_logic
);
end lab50_vlg_check_tst;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 14:07:57 10/06/2010
-- Design Name:
-- Module Name: Cont0a23 - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity Cont0a23 is
port (
Load : in STD_LOGIC;
Enable : in STD_LOGIC;
Rst : in STD_LOGIC;
Clk : in STD_LOGIC;
ValorDec : in STD_LOGIC_VECTOR (3 downto 0);
ValorUni : in STD_LOGIC_VECTOR (3 downto 0);
Cuenta : out STD_LOGIC_VECTOR (7 downto 0));
end Cont0a23;
architecture Behavioral of Cont0a23 is
signal ContUni : STD_LOGIC_VECTOR (3 downto 0);
signal ContDec : STD_LOGIC_VECTOR (3 downto 0);
begin
--Contador de Unidades de Hora
process (Rst,Clk)
begin
if (Rst = '1') then
ContUni <= (others => '0');
elsif (rising_edge(Clk)) then
if (Load = '1') then
ContUni <= ValorUni;
elsif (Enable = '1') then
--El Contador de Unidades de Hora se reinicia a Cero cuando el
--Contador de Unidades de Hora vale 9 o cuando las hora vale 23
if ((ContUni = "1001") or (ContDec = "0010" and ContUni = "0011")) then
ContUni <= (others => '0');
else
ContUni <= ContUni + 1;
end if;
end if;
end if;
end process;
--Contador de Decenas de Hora
process (Rst,Clk)
begin
if (Rst = '1') then
ContDec <= (others => '0');
elsif (rising_edge(Clk)) then
if (Load = '1') then
ContDec <= ValorDec;
elsif (Enable = '1') then
--Las decenas de hora se reinician a Cero cuando la hora es 23 (formato militar)
if (ContDec = "0010" and ContUni = "0011") then
ContDec <= (others => '0');
--Solo incrementear la decenas de hora cuando las unidades de hora sean 9
elsif (ContUni = "1001") then
ContDec <= ContDec + 1;
end if;
end if;
end if;
end process;
--Agrupar en un solo bus
Cuenta <= ContDec & ContUni;
end Behavioral;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 14:07:57 10/06/2010
-- Design Name:
-- Module Name: Cont0a23 - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity Cont0a23 is
port (
Load : in STD_LOGIC;
Enable : in STD_LOGIC;
Rst : in STD_LOGIC;
Clk : in STD_LOGIC;
ValorDec : in STD_LOGIC_VECTOR (3 downto 0);
ValorUni : in STD_LOGIC_VECTOR (3 downto 0);
Cuenta : out STD_LOGIC_VECTOR (7 downto 0));
end Cont0a23;
architecture Behavioral of Cont0a23 is
signal ContUni : STD_LOGIC_VECTOR (3 downto 0);
signal ContDec : STD_LOGIC_VECTOR (3 downto 0);
begin
--Contador de Unidades de Hora
process (Rst,Clk)
begin
if (Rst = '1') then
ContUni <= (others => '0');
elsif (rising_edge(Clk)) then
if (Load = '1') then
ContUni <= ValorUni;
elsif (Enable = '1') then
--El Contador de Unidades de Hora se reinicia a Cero cuando el
--Contador de Unidades de Hora vale 9 o cuando las hora vale 23
if ((ContUni = "1001") or (ContDec = "0010" and ContUni = "0011")) then
ContUni <= (others => '0');
else
ContUni <= ContUni + 1;
end if;
end if;
end if;
end process;
--Contador de Decenas de Hora
process (Rst,Clk)
begin
if (Rst = '1') then
ContDec <= (others => '0');
elsif (rising_edge(Clk)) then
if (Load = '1') then
ContDec <= ValorDec;
elsif (Enable = '1') then
--Las decenas de hora se reinician a Cero cuando la hora es 23 (formato militar)
if (ContDec = "0010" and ContUni = "0011") then
ContDec <= (others => '0');
--Solo incrementear la decenas de hora cuando las unidades de hora sean 9
elsif (ContUni = "1001") then
ContDec <= ContDec + 1;
end if;
end if;
end if;
end process;
--Agrupar en un solo bus
Cuenta <= ContDec & ContUni;
end Behavioral;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 14:07:57 10/06/2010
-- Design Name:
-- Module Name: Cont0a23 - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity Cont0a23 is
port (
Load : in STD_LOGIC;
Enable : in STD_LOGIC;
Rst : in STD_LOGIC;
Clk : in STD_LOGIC;
ValorDec : in STD_LOGIC_VECTOR (3 downto 0);
ValorUni : in STD_LOGIC_VECTOR (3 downto 0);
Cuenta : out STD_LOGIC_VECTOR (7 downto 0));
end Cont0a23;
architecture Behavioral of Cont0a23 is
signal ContUni : STD_LOGIC_VECTOR (3 downto 0);
signal ContDec : STD_LOGIC_VECTOR (3 downto 0);
begin
--Contador de Unidades de Hora
process (Rst,Clk)
begin
if (Rst = '1') then
ContUni <= (others => '0');
elsif (rising_edge(Clk)) then
if (Load = '1') then
ContUni <= ValorUni;
elsif (Enable = '1') then
--El Contador de Unidades de Hora se reinicia a Cero cuando el
--Contador de Unidades de Hora vale 9 o cuando las hora vale 23
if ((ContUni = "1001") or (ContDec = "0010" and ContUni = "0011")) then
ContUni <= (others => '0');
else
ContUni <= ContUni + 1;
end if;
end if;
end if;
end process;
--Contador de Decenas de Hora
process (Rst,Clk)
begin
if (Rst = '1') then
ContDec <= (others => '0');
elsif (rising_edge(Clk)) then
if (Load = '1') then
ContDec <= ValorDec;
elsif (Enable = '1') then
--Las decenas de hora se reinician a Cero cuando la hora es 23 (formato militar)
if (ContDec = "0010" and ContUni = "0011") then
ContDec <= (others => '0');
--Solo incrementear la decenas de hora cuando las unidades de hora sean 9
elsif (ContUni = "1001") then
ContDec <= ContDec + 1;
end if;
end if;
end if;
end process;
--Agrupar en un solo bus
Cuenta <= ContDec & ContUni;
end Behavioral;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 14:07:57 10/06/2010
-- Design Name:
-- Module Name: Cont0a23 - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity Cont0a23 is
port (
Load : in STD_LOGIC;
Enable : in STD_LOGIC;
Rst : in STD_LOGIC;
Clk : in STD_LOGIC;
ValorDec : in STD_LOGIC_VECTOR (3 downto 0);
ValorUni : in STD_LOGIC_VECTOR (3 downto 0);
Cuenta : out STD_LOGIC_VECTOR (7 downto 0));
end Cont0a23;
architecture Behavioral of Cont0a23 is
signal ContUni : STD_LOGIC_VECTOR (3 downto 0);
signal ContDec : STD_LOGIC_VECTOR (3 downto 0);
begin
--Contador de Unidades de Hora
process (Rst,Clk)
begin
if (Rst = '1') then
ContUni <= (others => '0');
elsif (rising_edge(Clk)) then
if (Load = '1') then
ContUni <= ValorUni;
elsif (Enable = '1') then
--El Contador de Unidades de Hora se reinicia a Cero cuando el
--Contador de Unidades de Hora vale 9 o cuando las hora vale 23
if ((ContUni = "1001") or (ContDec = "0010" and ContUni = "0011")) then
ContUni <= (others => '0');
else
ContUni <= ContUni + 1;
end if;
end if;
end if;
end process;
--Contador de Decenas de Hora
process (Rst,Clk)
begin
if (Rst = '1') then
ContDec <= (others => '0');
elsif (rising_edge(Clk)) then
if (Load = '1') then
ContDec <= ValorDec;
elsif (Enable = '1') then
--Las decenas de hora se reinician a Cero cuando la hora es 23 (formato militar)
if (ContDec = "0010" and ContUni = "0011") then
ContDec <= (others => '0');
--Solo incrementear la decenas de hora cuando las unidades de hora sean 9
elsif (ContUni = "1001") then
ContDec <= ContDec + 1;
end if;
end if;
end if;
end process;
--Agrupar en un solo bus
Cuenta <= ContDec & ContUni;
end Behavioral;
|
architecture RTL of FIFO is
procedure proc_name (
constant a : in integer;
signal b : in std_logic;
variable c : in std_logic_vector(3 downto 0);
signal d : out std_logic) is
begin
end procedure proc_name;
procedure proc_name (
constant a : in integer;
signal b : in std_logic;
variable c : in std_logic_vector(3 downto 0);
signal d : out std_logic) is
begin
end procedure proc_name;
procedure proc_name (
constant a : in integer;
signal b : in std_logic;
variable c : in std_logic_vector(3 downto 0);
signal d : out std_logic) is
begin
end procedure proc_name;
begin
end architecture RTL;
|
-- NEED RESULT: ARCH00331: Component instantiated with no port or generic clause passed
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00331
--
-- AUTHOR:
--
-- G. Tominovich
--
-- TEST OBJECTIVES:
--
-- 9.6 (1)
--
-- DESIGN UNIT ORDERING:
--
-- ENT00331(ARCH00331)
-- ENT00331_Test_Bench(ARCH00331_Test_Bench)
--
-- REVISION HISTORY:
--
-- 30-JUL-1987 - initial revision
--
-- NOTES:
--
-- self-checking
--
--
use WORK.STANDARD_TYPES.all ;
entity ENT00331 is
generic ( G : in Integer := 1987 ) ;
port ( P : in Time := 100 ns ) ;
end ENT00331 ;
architecture ARCH00331 of ENT00331 is
begin
process
begin
test_report ( "ARCH00331" ,
"Component instantiated with no port or generic clause" ,
(G = 1987) and (P = 100 ns) ) ;
wait ;
end process ;
end ARCH00331 ;
entity ENT00331_Test_Bench is
end ENT00331_Test_Bench ;
architecture ARCH00331_Test_Bench of ENT00331_Test_Bench is
begin
L1:
block
component UUT
generic ( G : in Integer := 1987 ) ;
port ( P : in Time := 100 ns ) ;
end component ;
for CIS1 : UUT use entity WORK.ENT00331 ( ARCH00331 ) ;
begin
CIS1 : UUT
generic map ( open )
port map ( open ) ;
end block L1 ;
end ARCH00331_Test_Bench ;
|
--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 09:02:12 09/08/2015
-- Design Name:
-- Module Name: D:/ProySisDigAva/Temp/P07_BinaryGray_Converter_Loops/BinaryGray_Converter_tb.vhd
-- Project Name: P07_BinaryGray_Converter_Loops
-- Target Device:
-- Tool versions:
-- Description: Testbench for Binary to Gray Converter
--
-- VHDL Test Bench Created by ISE for module: BinaryGray_Converter
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY BinaryGray_Converter_tb IS
END BinaryGray_Converter_tb;
ARCHITECTURE behavior OF BinaryGray_Converter_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT BinaryGray_Converter
PORT(
Bin : IN std_logic_vector(3 downto 0);
Gray : OUT std_logic_vector(3 downto 0)
);
END COMPONENT;
--Inputs
signal Bin : std_logic_vector(3 downto 0) := (others => '0');
--Outputs
signal Gray : std_logic_vector(3 downto 0);
-- No clocks detected in port list. Replace <clock> below with
-- appropriate port name
-- constant <clock>_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: BinaryGray_Converter PORT MAP (
Bin => Bin,
Gray => Gray
);
-- Clock process definitions
-- <clock>_process :process
-- begin
-- <clock> <= '0';
-- wait for <clock>_period/2;
-- <clock> <= '1';
-- wait for <clock>_period/2;
-- end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
-- wait for <clock>_period*10;
-- insert stimulus here
Bin <= x"0"; wait for 100 ns;
Bin <= x"1"; wait for 100 ns;
Bin <= x"2"; wait for 100 ns;
Bin <= x"3"; wait for 100 ns;
Bin <= x"4"; wait for 100 ns;
Bin <= x"5"; wait for 100 ns;
Bin <= x"6"; wait for 100 ns;
Bin <= x"7"; wait for 100 ns;
Bin <= x"8"; wait for 100 ns;
Bin <= x"9"; wait for 100 ns;
Bin <= x"A"; wait for 100 ns;
Bin <= x"B"; wait for 100 ns;
Bin <= x"C"; wait for 100 ns;
Bin <= x"D"; wait for 100 ns;
Bin <= x"E"; wait for 100 ns;
Bin <= x"F"; wait for 100 ns;
wait;
end process;
END;
|
library verilog;
use verilog.vl_types.all;
entity alt_cal is
generic(
number_of_channels: integer := 1;
channel_address_width: integer := 1;
sim_model_mode : string := "TRUE";
lpm_type : string := "alt_cal";
lpm_hint : string := "UNUSED"
);
port(
busy : out vl_logic;
cal_error : out vl_logic_vector;
clock : in vl_logic;
dprio_addr : out vl_logic_vector(15 downto 0);
dprio_busy : in vl_logic;
dprio_datain : in vl_logic_vector(15 downto 0);
dprio_dataout : out vl_logic_vector(15 downto 0);
dprio_rden : out vl_logic;
dprio_wren : out vl_logic;
quad_addr : out vl_logic_vector(8 downto 0);
remap_addr : in vl_logic_vector(11 downto 0);
reset : in vl_logic;
retain_addr : out vl_logic_vector(0 downto 0);
start : in vl_logic;
transceiver_init: in vl_logic;
testbuses : in vl_logic_vector
);
attribute mti_svvh_generic_type : integer;
attribute mti_svvh_generic_type of number_of_channels : constant is 1;
attribute mti_svvh_generic_type of channel_address_width : constant is 1;
attribute mti_svvh_generic_type of sim_model_mode : constant is 1;
attribute mti_svvh_generic_type of lpm_type : constant is 1;
attribute mti_svvh_generic_type of lpm_hint : constant is 1;
end alt_cal;
|
---------------------------------------------------------------------
-- Divider
--
-- Part of the LXP32 CPU
--
-- Copyright (c) 2016 by Alex I. Kuznetsov
--
-- Based on the NRD (Non Restoring Division) algorithm. Takes
-- 36 cycles to calculate quotient (37 for remainder).
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity lxp32_divider is
port(
clk_i: in std_logic;
rst_i: in std_logic;
ce_i: in std_logic;
op1_i: in std_logic_vector(31 downto 0);
op2_i: in std_logic_vector(31 downto 0);
signed_i: in std_logic;
rem_i: in std_logic;
ce_o: out std_logic;
result_o: out std_logic_vector(31 downto 0)
);
end entity;
architecture rtl of lxp32_divider is
-- Complementor signals
signal compl_inv: std_logic;
signal compl_mux: std_logic_vector(31 downto 0);
signal compl_out: std_logic_vector(31 downto 0);
signal inv_res: std_logic;
-- Divider FSM signals
signal fsm_ce: std_logic:='0';
signal dividend: unsigned(31 downto 0);
signal divisor: unsigned(32 downto 0);
signal want_remainder: std_logic;
signal partial_remainder: unsigned(32 downto 0);
signal addend: unsigned(32 downto 0);
signal sum: unsigned(32 downto 0);
signal sum_positive: std_logic;
signal sum_subtract: std_logic;
signal cnt: integer range 0 to 34:=0;
signal ceo: std_logic:='0';
-- Output restoration signals
signal remainder_corrector: unsigned(31 downto 0);
signal remainder_corrector_1: std_logic;
signal remainder_pos: unsigned(31 downto 0);
signal result_pos: unsigned(31 downto 0);
begin
compl_inv<=op1_i(31) and signed_i when ce_i='1' else inv_res;
compl_mux<=op1_i when ce_i='1' else std_logic_vector(result_pos);
compl_op1_inst: entity work.lxp32_compl(rtl)
port map(
clk_i=>clk_i,
compl_i=>compl_inv,
d_i=>compl_mux,
d_o=>compl_out
);
process (clk_i) is
begin
if rising_edge(clk_i) then
if rst_i='1' then
fsm_ce<='0';
want_remainder<='-';
inv_res<='-';
else
fsm_ce<=ce_i;
if ce_i='1' then
want_remainder<=rem_i;
if rem_i='1' then
inv_res<=op1_i(31) and signed_i;
else
inv_res<=(op1_i(31) xor op2_i(31)) and signed_i;
end if;
end if;
end if;
end if;
end process;
-- Main adder/subtractor
addend_gen: for i in addend'range generate
addend(i)<=divisor(i) xor sum_subtract;
end generate;
sum<=partial_remainder+addend+(to_unsigned(0,32)&sum_subtract);
sum_positive<=not sum(32);
-- Divider state machine
process (clk_i) is
begin
if rising_edge(clk_i) then
if rst_i='1' then
cnt<=0;
ceo<='0';
divisor<=(others=>'-');
dividend<=(others=>'-');
partial_remainder<=(others=>'-');
sum_subtract<='-';
else
if cnt=1 then
ceo<='1';
else
ceo<='0';
end if;
if ce_i='1' then
divisor(31 downto 0)<=unsigned(op2_i);
divisor(32)<=op2_i(31) and signed_i;
end if;
if fsm_ce='1' then
dividend<=unsigned(compl_out(30 downto 0)&"0");
partial_remainder<=to_unsigned(0,32)&compl_out(31);
sum_subtract<=not divisor(32);
if want_remainder='1' then
cnt<=34;
else
cnt<=33;
end if;
else
partial_remainder<=sum(31 downto 0)÷nd(31);
sum_subtract<=sum_positive xor divisor(32);
dividend<=dividend(30 downto 0)&sum_positive;
if cnt>0 then
cnt<=cnt-1;
end if;
end if;
end if;
end if;
end process;
-- Output restoration circuit
process (clk_i) is
begin
if rising_edge(clk_i) then
for i in remainder_corrector'range loop
remainder_corrector(i)<=(divisor(i) xor divisor(32)) and not sum_positive;
end loop;
remainder_corrector_1<=divisor(32) and not sum_positive;
remainder_pos<=partial_remainder(32 downto 1)+remainder_corrector+
(to_unsigned(0,31)&remainder_corrector_1);
end if;
end process;
result_pos<=remainder_pos when want_remainder='1' else dividend;
result_o<=compl_out;
ce_o<=ceo;
end architecture;
|
library verilog;
use verilog.vl_types.all;
entity bus_slave_mux is
port(
s0_cs_n : in vl_logic;
s0_rd_data : in vl_logic_vector(31 downto 0);
s0_rdy_n : in vl_logic;
s1_cs_n : in vl_logic;
s1_rd_data : in vl_logic_vector(31 downto 0);
s1_rdy_n : in vl_logic;
s2_cs_n : in vl_logic;
s2_rd_data : in vl_logic_vector(31 downto 0);
s2_rdy_n : in vl_logic;
s3_cs_n : in vl_logic;
s3_rd_data : in vl_logic_vector(31 downto 0);
s3_rdy_n : in vl_logic;
s4_cs_n : in vl_logic;
s4_rd_data : in vl_logic_vector(31 downto 0);
s4_rdy_n : in vl_logic;
s5_cs_n : in vl_logic;
s5_rd_data : in vl_logic_vector(31 downto 0);
s5_rdy_n : in vl_logic;
s6_cs_n : in vl_logic;
s6_rd_data : in vl_logic_vector(31 downto 0);
s6_rdy_n : in vl_logic;
s7_cs_n : in vl_logic;
s7_rd_data : in vl_logic_vector(31 downto 0);
s7_rdy_n : in vl_logic;
m_rd_data : out vl_logic_vector(31 downto 0);
m_rdy_n : out vl_logic
);
end bus_slave_mux;
|
-------------------------------------------------------------------------------
--! @file PreProcessor.vhd
--! @brief Pre-processing unit for an authenticated encryption module.
--! @project CAESAR Candidate Evaluation
--! @author Ekawat (ice) Homsirikamol
--! @copyright Copyright (c) 2015 Cryptographic Engineering Research Group
--! ECE Department, George Mason University Fairfax, VA, U.S.A.
--! All rights Reserved.
--! @license This project is released under the GNU Public License.
--! The license and distribution terms for this file may be
--! found in the file LICENSE in this distribution or at
--! http://www.gnu.org/licenses/gpl-3.0.txt
--! @note This is publicly available encryption source code that falls
--! under the License Exception TSU (Technology and software-
--! —unrestricted)
--! SIPO used within this unit follows the following convention:
--! > Order in the test vector file (left to right): A(0) A(1) A(2) … A(N-1)
--! > Order at the SIPO input (time 0 to time N-1) : A(0) A(1) A(2) … A(N-1)
--! > Order at the SIPO output (left to right) : A(0) A(1) A(2) … A(N-1)
--! where A is a single I/O word.
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.AEAD_pkg.all;
entity PreProcessor is
generic (
--! I/O size (bits)
G_W : integer := 32; --! Public data input
G_SW : integer := 32; --! Secret data input
--! Reset behavior
G_ASYNC_RSTN : boolean := False; --! Async active low reset
--! Special features activation
G_ENABLE_PAD : boolean := False; --! Enable padding
G_CIPH_EXP : boolean := False; --! Ciphertext expansion
G_REVERSE_CIPH : boolean := False; --! Reversed ciphertext
G_MERGE_TAG : boolean := False; --! Merge tag with data segment
--! Block size (bits)
G_ABLK_SIZE : integer := 128; --! Associated data
G_DBLK_SIZE : integer := 128; --! Data
G_KEY_SIZE : integer := 128; --! Key
--! The number of bits required to hold block size expressed in
--! bytes = log2_ceil(G_DBLK_SIZE/8)
G_LBS_BYTES : integer := 4;
--! Padding options
G_PAD_STYLE : integer := 0; --! Pad style
G_PAD_AD : integer := 1; --! Padding behavior for AD
G_PAD_D : integer := 1 --! Padding behavior for Data
);
port (
--! Global ports
clk : in std_logic;
rst : in std_logic;
--! Publica data ports
pdi_data : in std_logic_vector(G_W -1 downto 0);
pdi_valid : in std_logic;
pdi_ready : out std_logic;
--! Secret data ports
sdi_data : in std_logic_vector(G_SW -1 downto 0);
sdi_valid : in std_logic;
sdi_ready : out std_logic;
--! CipherCore
--! Key
key : out std_logic_vector(G_KEY_SIZE -1 downto 0);
key_ready : in std_logic;
key_valid : out std_logic;
key_update : out std_logic;
--! BDI
bdi : out std_logic_vector(G_DBLK_SIZE -1 downto 0);
decrypt : out std_logic;
bdi_ready : in std_logic;
bdi_valid : out std_logic;
bdi_type : out std_logic_vector(3 -1 downto 0);
bdi_partial : out std_logic;
bdi_eot : out std_logic;
bdi_eoi : out std_logic;
bdi_size : out std_logic_vector(G_LBS_BYTES+1-1 downto 0);
bdi_valid_bytes : out std_logic_vector(G_DBLK_SIZE/8-1 downto 0);
bdi_pad_loc : out std_logic_vector(G_DBLK_SIZE/8-1 downto 0);
--! CMD FIFO
cmd : out std_logic_vector(24 -1 downto 0);
cmd_ready : in std_logic;
cmd_valid : out std_logic
);
end entity PreProcessor;
architecture structure of PreProcessor is
constant DSIZE : integer := G_DBLK_SIZE;
constant ASIZE : integer := G_ABLK_SIZE;
constant WB : integer := G_W/8; --! Word bytes
constant LOG2_WB : integer := log2_ceil(WB);
constant LOG2_KEYBYTES : integer := log2_ceil(512/8);
constant CNT_AWORDS : integer := (G_ABLK_SIZE+(G_W-1))/G_W;
constant CNT_DWORDS : integer := (G_DBLK_SIZE+(G_W-1))/G_W;
constant CNT_KWORDS : integer := (G_KEY_SIZE+(G_SW-1))/G_SW;
constant A_EQ_D : boolean := (DSIZE = ASIZE);
constant P_IS_BUFFER : boolean := not (G_W = DSIZE);
constant S_IS_BUFFER : boolean := not (G_SW = G_KEY_SIZE);
--! =======================================================================
type t_lookup is array (0 to (WB-1))
of std_logic_vector(WB-1 downto 0);
function getVbytesLookup(size: integer) return t_lookup is
variable ret : t_lookup;
begin
for i in 0 to ((size/8)-1) loop
if (i = 0) then
ret(i) := (others => '0');
else
ret(i)(size/8-1 downto size/8-i) := (others => '1');
ret(i)(size/8-i-1 downto 0) := (others => '0');
end if;
end loop;
return ret;
end function getVbytesLookup;
function getPlocLookup(size: integer) return t_lookup is
variable ret : t_lookup;
begin
for i in 0 to ((size/8)-1) loop
ret(i) := (others => '0');
ret(i)((size/8-i)-1) := '1';
--ret(i) := (((size/8-i)-1) => '1', others => '0');
end loop;
return ret;
end function getPlocLookup;
constant VBYTES_LOOKUP : t_lookup := getVbytesLookup(G_W);
constant PLOC_LOOKUP : t_lookup := getPlocLookup(G_W);
--! =======================================================================
--! Control status registers
--! Public
signal sgmt_type : std_logic_vector(4 -1 downto 0);
signal sgmt_pt : std_logic;
signal sgmt_eoi : std_logic;
signal sgmt_eot : std_logic;
signal sgmt_lst : std_logic;
signal sgmt_len : std_logic_vector(16 -1 downto 0);
signal is_decrypt : std_logic;
--! Secret
signal reg_key_update : std_logic;
signal reg_key_valid : std_logic;
--! =======================================================================
--! Control signals
--! Pad
signal set_extra : std_logic;
signal set_req_pad : std_logic;
signal req_pad : std_logic;
signal is_extra : std_logic;
signal sel_pad : std_logic;
signal is_pad : std_logic;
signal en_len : std_logic;
signal en_zero : std_logic;
signal reg_sel_zero : std_logic;
--! Public
signal pdi_rdy : std_logic;
signal bdi_vld : std_logic;
signal set_key_upd : std_logic;
signal ld_sgmt_info : std_logic;
signal ld_ctr : std_logic;
signal en_ctr : std_logic;
signal en_ps : std_logic;
signal en_data : std_logic;
signal ctr : std_logic_vector
(log2_ceil(CNT_DWORDS)-1 downto 0);
signal sel_end : std_logic;
signal ld_end : std_logic;
--! (unused)
signal en_last_word : std_logic;
--! Secret
signal sdi_rdy : std_logic;
signal ld_ctr2 : std_logic;
signal ld_slen : std_logic;
signal en_ctr2 : std_logic;
signal en_slen : std_logic;
signal en_ss : std_logic;
signal en_key : std_logic;
signal slen : std_logic_vector(LOG2_KEYBYTES+1 -1 downto 0);
signal ctr2 : std_logic_vector
(log2_ceil(CNT_KWORDS)-1 downto 0);
--! Cmd
signal wr_cmd : std_logic;
--! =======================================================================
--! State
type t_ps is (S_WAIT_INSTR, S_WAIT_HDR, S_PREP, S_DATA, S_WAIT_READY);
type t_ss is (S_WAIT_INSTR, S_WAIT_HDR, S_DATA, S_WAIT_READY);
signal ps : t_ps; --! Public State
signal nps : t_ps; --! Next Public State
signal ss : t_ss; --! Next Secret State
signal nss : t_ss; --! Next Secret State
--! =======================================================================
--! Data padding
signal word_size : std_logic_vector(LOG2_WB -1 downto 0);
signal data : std_logic_vector(G_W -1 downto 0);
--! Incoming data word
signal pdata : std_logic_vector(G_W -1 downto 0);
signal vbytes : std_logic_vector(WB -1 downto 0);
signal ploc : std_logic_vector(WB -1 downto 0);
--! Additional padding selection when ASIZE /= DSIZE
signal pdata2 : std_logic_vector(G_W -1 downto 0);
signal vbytes2 : std_logic_vector(WB -1 downto 0);
signal ploc2 : std_logic_vector(WB -1 downto 0);
--! Output regs
--! Prep status
signal mux_vbytes : std_logic_vector(WB -1 downto 0);
signal mux_ploc : std_logic_vector(WB -1 downto 0);
signal mux_size : std_logic_vector(LOG2_WB+1 -1 downto 0);
signal size : std_logic_vector(LOG2_WB+1 -1 downto 0);
--! Status
signal reg_bdi_valid : std_logic;
signal reg_size : std_logic_vector(G_LBS_BYTES+1 -1 downto 0);
signal reg_vbytes : std_logic_vector(G_DBLK_SIZE/8 -1 downto 0);
signal reg_ploc : std_logic_vector(G_DBLK_SIZE/8 -1 downto 0);
--! Data / info
signal reg_key : std_logic_vector(G_KEY_SIZE -1 downto 0);
signal reg_data : std_logic_vector(G_DBLK_SIZE -1 downto 0);
--! =======================================================================
--! Signal aliases
signal p_instr_opcode : std_logic_vector(4 -1 downto 0);
signal p_sgmt_type : std_logic_vector(4 -1 downto 0);
signal p_sgmt_pt : std_logic;
signal p_sgmt_eoi : std_logic;
signal p_sgmt_eot : std_logic;
signal p_sgmt_lst : std_logic;
signal p_sgmt_len : std_logic_vector(16 -1 downto 0);
signal s_instr_opcode : std_logic_vector(4 -1 downto 0);
signal s_sgmt_type : std_logic_vector(4 -1 downto 0);
signal s_sgmt_eot : std_logic;
signal s_sgmt_lst : std_logic;
signal s_sgmt_len : std_logic_vector(LOG2_KEYBYTES+1 -1 downto 0);
begin
--! =======================================================================
--! Datapath (Core)
--! =======================================================================
data <= pdi_data when reg_sel_zero = '0' else (others => '0');
gPad0: if (not G_ENABLE_PAD) generate
pdata <= data;
end generate;
gPad1: if (G_ENABLE_PAD) generate
begin
gPadMode0: if (G_PAD_STYLE = 0) generate
pdata <= data;
end generate;
gPadMode1: if (G_PAD_STYLE = 1) generate
gLoop: for i in WB-1 downto 0 generate
pdata(i*8+7)
<= ploc(i) or data(i*8+7);
pdata(i*8+6 downto i*8)
<= data(i*8+6 downto i*8);
end generate;
end generate;
end generate;
mux_vbytes <= VBYTES_LOOKUP(to_integer(unsigned(word_size)))
when sel_pad = '1'
else (others => '1');
mux_ploc <= PLOC_LOOKUP(to_integer(unsigned(word_size)))
when (sel_pad = '1' and req_pad = '1')
else (others => '0');
mux_size <= '0' & word_size
when sel_pad = '1'
else (LOG2_WB => '1', others => '0');
process(clk)
begin
if rising_edge(clk) then
if (en_len = '1') then
vbytes <= mux_vbytes;
ploc <= mux_ploc;
size <= mux_size;
end if;
if (en_data = '1') then
if ((DSIZE > G_W) and (DSIZE MOD G_W) = 0) then
reg_data <= reg_data(DSIZE-G_W-1 downto 0) & pdata;
reg_vbytes<= reg_vbytes(DSIZE/8-WB-1 downto 0) & vbytes;
reg_ploc <= reg_ploc (DSIZE/8-WB-1 downto 0) & ploc;
elsif ((DSIZE MOD G_W) /= 0) then
if (en_last_word = '0') then
reg_data (DSIZE-1 downto (DSIZE MOD G_W )) <=
reg_data(DSIZE-G_W-1 downto (DSIZE MOD G_W))
& pdata2;
reg_vbytes(DSIZE/8-1 downto ((DSIZE/8) MOD WB)) <=
reg_vbytes(DSIZE/8-WB-1 downto ((DSIZE/8) MOD WB))
& vbytes2;
reg_ploc(DSIZE/8-1 downto ((DSIZE/8) MOD WB)) <=
reg_ploc(DSIZE/8-WB-1 downto ((DSIZE/8) MOD WB))
& ploc2;
else
reg_data ((DSIZE mod G_W)-1 downto 0) <=
pdata2(G_W -1 downto G_W /2);
reg_vbytes(((DSIZE/8) mod WB)-1 downto 0) <=
vbytes(WB-1 downto WB/2);
reg_ploc(((DSIZE/8) mod WB)-1 downto 0) <=
ploc2(WB-1 downto WB/2);
end if;
end if;
end if;
if (en_key = '1') then
if (G_SW < G_KEY_SIZE) then
reg_key <= reg_key(G_KEY_SIZE-G_SW-1 downto 0) & sdi_data;
end if;
end if;
end if;
end process;
--! =======================================================================
--! Registers with rst for controller and datapath
--! =======================================================================
gSyncRst:
if (not G_ASYNC_RSTN) generate
process(clk)
begin
if rising_edge(clk) then
if (rst = '1') then
--! Datapath
reg_size <= (others => '0');
reg_bdi_valid <= '0';
reg_key_update <= '0';
reg_key_valid <= '0';
--! Control
req_pad <= '0';
ps <= S_WAIT_INSTR;
ss <= S_WAIT_INSTR;
else
--! Datapath
if (en_data = '1') then
reg_size <= std_logic_vector(
unsigned(reg_size) + unsigned(size));
elsif (bdi_ready = '1') then
reg_size <= (others => '0');
end if;
--! BDI valid register
if (en_ps = '1' and nps = S_WAIT_READY) then
reg_bdi_valid <= '1';
elsif (reg_bdi_valid = '1' and bdi_ready = '1') then
reg_bdi_valid <= '0';
end if;
--! Key update register
if (set_key_upd = '1') then
reg_key_update <= '1';
elsif (key_ready = '1'
and ((S_IS_BUFFER and reg_key_valid = '1')
or (not S_IS_BUFFER and sdi_valid = '1')))
then
reg_key_update <= '0';
end if;
--! Key valid register
if (en_ss = '1' and nss = S_WAIT_READY) then
reg_key_valid <= '1';
elsif (key_ready = '1' and reg_key_valid = '1') then
reg_key_valid <= '0';
end if;
--! Control
if (set_req_pad = '1') then
req_pad <= '1';
elsif (en_len = '1' and sel_pad = '1')
or ps = S_WAIT_INSTR
then
req_pad <= '0';
end if;
if (en_ps = '1') then
ps <= nps;
end if;
if (en_ss = '1') then
ss <= nss;
end if;
end if;
end if;
end process;
end generate;
gAsyncRstn:
if (G_ASYNC_RSTN) generate
process(clk, rst)
begin
if (rst = '0') then
--! Datapath
reg_size <= (others => '0');
reg_bdi_valid <= '0';
reg_key_update <= '0';
reg_key_valid <= '0';
--! Control
req_pad <= '0';
ps <= S_WAIT_INSTR;
ss <= S_WAIT_INSTR;
elsif rising_edge(clk) then
--! Datapath
if (en_data = '1') then
reg_size <= std_logic_vector(
unsigned(reg_size) + unsigned(size));
elsif (bdi_ready = '1') then
reg_size <= (others => '0');
end if;
--! BDI valid register
if (en_ps = '1' and nps = S_WAIT_READY) then
reg_bdi_valid <= '1';
elsif (reg_bdi_valid = '1' and bdi_ready = '1') then
reg_bdi_valid <= '0';
end if;
--! Key update register
if (set_key_upd = '1') then
reg_key_update <= '1';
elsif (key_ready = '1'
and ((S_IS_BUFFER and reg_key_valid = '1')
or (not S_IS_BUFFER and sdi_valid = '1')))
then
reg_key_update <= '0';
end if;
--! Key valid register
if (en_ss = '1' and nss = S_WAIT_READY) then
reg_key_valid <= '1';
elsif (key_ready = '1' and reg_key_valid = '1') then
reg_key_valid <= '0';
end if;
--! Control
if (set_req_pad = '1') then
req_pad <= '1';
elsif (en_len = '1' and sel_pad = '1')
or ps = S_WAIT_INSTR
then
req_pad <= '0';
end if;
if (en_ps = '1') then
ps <= nps;
end if;
if (en_ss = '1') then
ss <= nss;
end if;
end if;
end process;
end generate;
--! =======================================================================
--! Datapath (Output)
--! =======================================================================
pdi_ready <= pdi_rdy;
sdi_ready <= sdi_rdy;
--! Public
decrypt <= is_decrypt;
gDsizeEq:
if (not P_IS_BUFFER) generate
bdi <= pdata;
bdi_vld <= pdi_valid when (ps = S_DATA) else '0';
bdi_type <= sgmt_type(3 downto 1);
gNotCiph:
if (not G_CIPH_EXP) generate
bdi_eot <= sgmt_eot
when (ps = S_DATA and unsigned(sgmt_len) = 0)
else '0';
bdi_eoi <= sgmt_eoi
when (ps = S_DATA and unsigned(sgmt_len) = 0)
else '0';
end generate;
gCiph:
if (G_CIPH_EXP) generate
bdi_eot <= sgmt_eot or sgmt_eoi
when (ps = S_DATA and unsigned(sgmt_len) = 0)
else '0';
bdi_eoi <= sgmt_lst
when (ps = S_DATA and unsigned(sgmt_len) = 0)
else '0';
bdi_partial <= sgmt_pt;
end generate;
bdi_size <= size;
bdi_valid_bytes <= vbytes;
bdi_pad_loc <= ploc;
end generate;
gDsizeNeq:
if (P_IS_BUFFER) generate
signal en_eoi_last : std_logic;
signal en_eot_last : std_logic;
begin
bdi <= reg_data;
bdi_vld <= reg_bdi_valid;
bdi_type <= sgmt_type(3 downto 1);
pEnd:
process(clk)
begin
if rising_edge(clk) then
if (ld_end = '1') then
if (not G_CIPH_EXP) then
bdi_eot <= sgmt_eot and sel_end;
bdi_eoi <= sgmt_eoi and sel_end;
else
bdi_eot <= (sgmt_eot or en_eot_last) and sel_end;
bdi_eoi <= (sgmt_eoi or en_eoi_last) and sel_end;
end if;
end if;
end if;
end process;
gCiph:
if (G_CIPH_EXP) generate
en_eot_last <= '1' when
((sgmt_eoi = '1' and sgmt_type = ST_NPUB)
or (sgmt_eoi = '1' and G_ENABLE_PAD
and sgmt_type(3 downto 2) = ST_A
and G_PAD_AD /= 2 and G_PAD_AD /= 4)
or (sgmt_eoi = '1' and G_ENABLE_PAD
and sgmt_type(3 downto 2) = ST_D
and G_PAD_D /= 2 and G_PAD_D /= 4))
else '0';
en_eoi_last <= '1' when en_eot_last = '1' and is_decrypt = '0'
else '0';
bdi_partial <= sgmt_pt;
end generate;
bdi_size <= reg_size;
bdi_valid_bytes <= reg_vbytes;
bdi_pad_loc <= reg_ploc;
end generate;
bdi_valid <= bdi_vld;
--! Secret
gTsizeEq:
if (S_IS_BUFFER) generate
key_valid <= reg_key_valid;
key <= reg_key;
end generate;
gTsizeNeq:
if (not S_IS_BUFFER) generate
key_valid <= sdi_valid when (ss = S_DATA) else '0';
key <= sdi_data;
end generate;
key_update <= reg_key_update;
--! CMD FIFO
cmd <= pdi_data(G_W-1 downto G_W-5) & '0'
& pdi_data(G_W-7 downto G_W-8)
& pdi_data(G_W-17 downto G_W-32);
cmd_valid <= wr_cmd;
--! =======================================================================
--! Control
--! =======================================================================
process(clk)
begin
if rising_edge(clk) then
--! Operation register
if (ps = S_WAIT_INSTR) then
is_decrypt <= p_instr_opcode(0);
end if;
--! Length register
if (ld_sgmt_info = '1') then
sgmt_type <= p_sgmt_type;
if (G_CIPH_EXP) then
sgmt_pt <= p_sgmt_pt;
end if;
sgmt_eoi <= p_sgmt_eoi;
sgmt_eot <= p_sgmt_eot;
sgmt_lst <= p_sgmt_lst;
sgmt_len <= p_sgmt_len;
else
if (en_len = '1') then
if (sel_pad = '1') then
sgmt_len <= (others => '0');
else
sgmt_len <= std_logic_vector(unsigned(sgmt_len)-WB);
end if;
end if;
end if;
--! Padding activation register
if (en_len = '1') then
is_pad <= sel_pad;
end if;
--! Select zero register
if (ld_sgmt_info = '1')
or (P_IS_BUFFER and not A_EQ_D
and bdi_ready = '1' and unsigned(sgmt_len) > 0)
then
reg_sel_zero <= '0';
elsif (unsigned(sgmt_len) = 0 and en_len = '1')
or (not A_EQ_D and en_zero = '1')
then
reg_sel_zero <= '1';
end if;
--! Secret length register
if (ld_slen = '1') then
slen <= s_sgmt_len;
elsif (en_slen = '1') then
slen <= std_logic_vector(unsigned(slen)-G_KEY_SIZE/8);
end if;
--! Extra block register
if (ld_sgmt_info = '1' or (bdi_ready = '1' and bdi_vld = '1')) then
is_extra <= '0';
elsif (set_extra = '1') then
is_extra <= '1';
end if;
--! Public data input counter register
if (ld_ctr = '1') then
ctr <= (others => '0');
elsif (en_ctr = '1') then
ctr <= std_logic_vector(unsigned(ctr) + 1);
end if;
--! Secret data input counter register
if (ld_ctr2 = '1') then
ctr2 <= (others => '0');
elsif (en_ctr2 = '1') then
ctr2 <= std_logic_vector(unsigned(ctr2) + 1);
end if;
end if;
end process;
sel_pad <= '1' when (unsigned(sgmt_len) < WB) else '0';
word_size <= sgmt_len(LOG2_WB-1 downto 0);
--! HDR Dissection
p_instr_opcode <= pdi_data(G_W-1 downto G_W-4);
p_sgmt_type <= pdi_data(G_W-1 downto G_W-4);
p_sgmt_pt <= pdi_data(G_W-5);
p_sgmt_eoi <= pdi_data(G_W-6);
p_sgmt_eot <= pdi_data(G_W-7);
p_sgmt_lst <= pdi_data(G_W-8);
p_sgmt_len <= pdi_data(G_W-17 downto G_W-32);
s_instr_opcode <= sdi_data(G_SW-1 downto G_SW-4);
s_sgmt_type <= sdi_data(G_SW-1 downto G_SW-4);
s_sgmt_eot <= sdi_data(G_SW-7);
s_sgmt_lst <= sdi_data(G_SW-8);
s_sgmt_len <= sdi_data(G_SW-32+LOG2_KEYBYTES downto G_SW-32);
gPdiComb:
process(ps, p_instr_opcode, pdi_valid,
sgmt_len, sgmt_type, sgmt_eot, sgmt_lst,
p_sgmt_eot, p_sgmt_type,
bdi_ready, cmd_ready, reg_sel_zero,
is_extra, ctr)
begin
nps <= ps;
pdi_rdy <= '1';
set_key_upd <= '0';
set_req_pad <= '0';
ld_sgmt_info <= '0';
if (P_IS_BUFFER) then
ld_end <= '0';
set_extra <= '0';
end if;
ld_ctr <= '0';
en_data <= '0';
en_ps <= '0';
en_len <= '0';
en_ctr <= '0';
en_zero <= '0';
wr_cmd <= '0';
case ps is
when S_WAIT_INSTR =>
ld_ctr <= '1';
if (p_instr_opcode(3 downto 1) = OP_ENCDEC) then
nps <= S_WAIT_HDR;
end if;
if (p_instr_opcode = OP_ACTKEY) then
set_key_upd <= '1';
end if;
if (cmd_ready = '0') then
pdi_rdy <= '0';
end if;
if (pdi_valid = '1') then
en_ps <= '1';
wr_cmd <= '1';
end if;
when S_WAIT_HDR =>
ld_sgmt_info <= '1';
nps <= S_PREP;
if (cmd_ready = '0') then
pdi_rdy <= '0';
end if;
if (pdi_valid = '1' and cmd_ready = '1') then
en_ps <= '1';
if (p_sgmt_type(3 downto 2) = ST_D
or p_sgmt_type(3 downto 1) = ST_NSEC)
then
wr_cmd <= '1';
end if;
end if;
if (G_ENABLE_PAD) then
if (p_sgmt_eot = '1') then
if (p_sgmt_type(3 downto 2) = ST_A and G_PAD_AD > 0)
or (p_sgmt_type(3 downto 2) = ST_D and G_PAD_D > 0)
then
set_req_pad <= '1';
end if;
end if;
end if;
when S_PREP =>
pdi_rdy <= '0';
--! state transition
if (unsigned(sgmt_len) = 0) then
if (G_ENABLE_PAD) and
--! Add a new block based on padding behavior
((sgmt_type(3 downto 2) = ST_A
and (G_PAD_AD = 2 or G_PAD_AD = 4))
or (sgmt_type(3 downto 2) = ST_D
and (G_PAD_D = 2 or G_PAD_D = 4)))
then
nps <= S_DATA;
else
if (sgmt_lst = '1') then
nps <= S_WAIT_INSTR;
else
nps <= S_WAIT_HDR;
end if;
end if;
else
nps <= S_DATA;
end if;
en_len <= '1';
en_ps <= '1';
when S_DATA =>
if (not P_IS_BUFFER) then
--! Without buffer
if (reg_sel_zero = '1'
or (not P_IS_BUFFER
and (pdi_valid = '0' or bdi_ready = '0')))
then
pdi_rdy <= '0';
end if;
if (unsigned(sgmt_len) = 0)
and not (req_pad = '1' and G_ENABLE_PAD
and ((sgmt_type(3 downto 2) = ST_A and G_PAD_AD > 2)
or (sgmt_type(3 downto 2) = ST_D and G_PAD_D > 2)))
then
if (sgmt_lst = '1') then
nps <= S_WAIT_INSTR;
else
nps <= S_WAIT_HDR;
end if;
end if;
else
--! With buffer
if (reg_sel_zero = '1') then
pdi_rdy <= '0';
end if;
if (unsigned(ctr) = CNT_DWORDS-1) then
nps <= S_WAIT_READY;
end if;
if (unsigned(ctr) = CNT_DWORDS-2) then
ld_end <= '1';
end if;
if (unsigned(sgmt_len) = WB and G_ENABLE_PAD
and sgmt_eot = '1'
and ((sgmt_type(3 downto 2) = ST_A and G_PAD_AD > 2)
or (sgmt_type(3 downto 2) = ST_D
and G_PAD_D > 2
and (not G_CIPH_EXP
or (G_CIPH_EXP and is_decrypt = '0')))))
then
if (A_EQ_D) then
if unsigned(ctr) = CNT_DWORDS-2 then
set_extra <= '1';
end if;
else
if ((sgmt_type(3 downto 2) = ST_A
and unsigned(ctr) = CNT_AWORDS-2)
or (sgmt_type(3 downto 2) = ST_D
and unsigned(ctr) = CNT_DWORDS-2))
then
set_extra <= '1';
end if;
end if;
end if;
--! if ASIZE < DSIZE
if (not A_EQ_D) then
if (sgmt_type(3 downto 2) = ST_A
and unsigned(ctr) >= CNT_AWORDS-1)
then
en_zero <= '1';
end if;
end if;
end if;
if (reg_sel_zero = '1'
or (pdi_valid = '1'
and (P_IS_BUFFER
or (not P_IS_BUFFER and bdi_ready = '1'))))
then
if (sgmt_type(3 downto 2) /= ST_A
and sgmt_type(3 downto 2) /= ST_D)
then
--! Not AD or D segment
if (P_IS_BUFFER) then
if (unsigned(ctr) /= CNT_DWORDS-1) then
en_len <= '1';
end if;
else
en_len <= '1';
end if;
else
--! AD or D segment
if (P_IS_BUFFER) then
if (A_EQ_D) then
if (unsigned(ctr) /= CNT_DWORDS-1) then
en_len <= '1';
end if;
else
if ((sgmt_type(3 downto 2) = ST_A
and unsigned(ctr) < CNT_AWORDS-1)
or (sgmt_type(3 downto 2) /= ST_A
and unsigned(ctr) /= CNT_DWORDS-1))
then
en_len <= '1';
end if;
end if;
else
en_len <= '1';
end if;
end if;
if (P_IS_BUFFER) then
en_ctr <= '1';
en_data <= '1';
end if;
en_ps <= '1';
end if;
when S_WAIT_READY =>
pdi_rdy <= '0';
ld_ctr <= '1';
if (unsigned(sgmt_len) = 0) then
if ((G_ENABLE_PAD and (G_PAD_AD > 2 or G_PAD_D > 2))
and is_extra = '1')
then
nps <= S_DATA;
else
if (sgmt_lst = '1') then
nps <= S_WAIT_INSTR;
else
nps <= S_WAIT_HDR;
end if;
end if;
else
nps <= S_DATA;
end if;
if (bdi_ready = '1') then
en_len <= '1';
en_ps <= '1';
end if;
end case;
end process;
sel_end <= '1' when (unsigned(sgmt_len) <= WB
and (is_extra = '0' and set_extra = '0'))
else '0';
gSdiComb:
process(ss, s_instr_opcode, sdi_valid, ctr2, key_ready, slen)
begin
nss <= ss;
sdi_rdy <= '0';
en_key <= '0';
ld_ctr2 <= '0';
ld_slen <= '0';
en_ctr2 <= '0';
en_slen <= '0';
en_ss <= '0';
case ss is
when S_WAIT_INSTR =>
ld_ctr2 <= '1';
sdi_rdy <= '1';
if (s_instr_opcode = OP_LDKEY) then
nss <= S_WAIT_HDR;
end if;
if (sdi_valid = '1') then
en_ss <= '1';
end if;
when S_WAIT_HDR =>
nss <= S_DATA;
ld_slen <= '1';
sdi_rdy <= '1';
if (sdi_valid = '1') then
en_ss <= '1';
end if;
when S_DATA =>
if (not S_IS_BUFFER) then
nss <= S_WAIT_INSTR;
if (sdi_valid = '1' and key_ready = '1') then
en_slen <= '1';
sdi_rdy <= '1';
if (unsigned(slen) = G_KEY_SIZE/8) then
en_ss <= '1';
end if;
end if;
else
sdi_rdy <= '1';
nss <= S_WAIT_READY;
if (sdi_valid = '1') then
en_ctr2 <= '1';
en_key <= '1';
if (unsigned(ctr2) = CNT_KWORDS-1) then
en_ss <= '1';
end if;
end if;
end if;
when S_WAIT_READY =>
if (unsigned(slen) = G_KEY_SIZE/8) then
nss <= S_WAIT_INSTR;
else
nss <= S_DATA;
end if;
ld_ctr2 <= '1';
if (key_ready = '1') then
en_ss <= '1';
en_slen <= '1';
end if;
end case;
end process;
end architecture structure;
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.