neel-alex's picture
Adding more flexible row ordering in csvs, updating datasets.
dceab29
Paper title,Organization name,Label,ID
3Gb/s AC-coupled chip-to-chip communication using a low-swing pulse receiver,"North Carolina State Univ.,Raleigh,NC,USA",university,0
Sub-Micron CMOS / MOS-Bipolar Hybrid TFTs for System Displays,"Advanced LCD Technology Development Center Company Limited, Yokohama, Kanagawa, Japan",company,1
24.4 A 680nA fully integrated implantable ECG-acquisition IC with analog feature extraction,"imec,Heverlee,Belgium",research institute,2
A write-back cache memory using bit-line steal technique,"Corp. Semicond. Dev. Div.,Matsushita Electr. Ind. Co. Ltd.,Kyoto,Japan",company,3
High performance 0.25 /spl mu/m gate-length doped-channel AlGaN/GaN heterostructure field effect transistors grown on p-type SiC substrates,"APA Optics, Inc., Blaine, MN, USA",company,4
3-terminal nanoelectromechanical switching device in insulating liquid media for low voltage operation and reliability improvement,"National NanoFab Center, Daejeon, South Korea",research institute,5
Full metal gate with borderless contact for 14 nm and beyond,"Toshiba at Albany NanoTech,NY,USA",company,6
A novel self-aligned shallow trench isolation cell for 90 nm 4 Gbit NAND flash EEPROMs,"SoC R&D Center,Semiconductor Company,Toshiba Corp.,Isogo-ku,Yokohama,Japan",company,7
A 0.13/spl mu/m CMOS EDGE/UMTS/WLAN Tri-Mode /spl Delta//spl Sigma/ ADC with -92dB THD,"ETH,Zurich,Switzerland; Advanced Circuit Pursuit,Zollikon,Switzerland",university,8
On the gate oxide scaling of high performance CMOS transistors,"Semiconductor R&D Center, Samsung Electronics Co., Ltd, Yongin-City, Gyeonggi-Do, Korea (ROK)",company,9
A 0.13/spl mu/m CMOS EDGE/UMTS/WLAN Tri-Mode /spl Delta//spl Sigma/ ADC with -92dB THD,"Advanced Circuit Pursuit,Zollikon,Switzerland; ETH,Zurich,Switzerland",company,10
A 3Gb/s 8b single-ended transceiver for 4-drop DRAM interface with digital calibration of equalization skew and offset coefficients,"Pohang Univ. of Sci. & Technol.,South Korea",university,11
25.5 A Self-Calibrated 1.2-to-3.8GHz 0.0052mm2 Synthesized Fractional-N MDLL Using a 2b Time-Period Comparator in 22nm FinFET CMOS,"Intel,Hillsboro,OR",company,12
Accurate performance evaluation for the horizontal nanosheet standard-cell design space beyond 7nm technology,"GLOBALFOUNDRIES Inc., Albany, NY, USA",company,13
"Front-end-of-line (FEOL) optimization for high-performance, high-reliable strained-Si MOSFETs; from virtual substrate to gate oxidation","Memory Division, Samsung Electronics Co, Yongin-City, Gyeonggi-Do, Korea",company,14
A 14 b 100 Msample/s CMOS DAC designed for spectral performance,"Illinois Univ.,Urbana,IL,USA",university,15
Design of the Power6 Microprocessor,"IBM Systems Group,Austin,TX",company,16
Collective-effect state variables for post-CMOS logic applications,"Strategic Technology Group,Advanced Micro Devices,Sunnyvale,CA,USA",company,17
Single-chip IF transceiver IC with wide dynamic range variable gain amplifiers for wideband CDMA applications,"Syst. LSI Dev. Center,Mitsubishi Electr. Corp.,Hyogo,Japan",company,18
Formation of Si-on-Insulator Structure by Lateral Solid Phase Epitaxial Growth with Local P-Doping,"Central Research Laboratory,Hitachi Ltd. Kokubunji. Tokyo,Japan",company,19
1D thickness scaling study of phase change material (Ge2Sb2Te5) using a pseudo 3-terminal device,"Samsung Electronics Company Limited, Yongin si, Gyeonggi, South Korea",company,20
A 500MHz multi-banked compilable DRAM macro with direct write and programmable pipelining,"IBM Microelectron.,Burlington,VT,USA",company,21
Dislocation engineering for a silicon-based light emitter at 1.5 /spl mu/,"MPI für Mikrostrukturphysik, Halle, Germany",research institute,22
An enhanced 130 nm generation logic technology featuring 60 nm transistors optimized for high performance and low power at 0.7 - 1.4 V,"QRE, Hillsboro, OR, USA",company,23
Physical understanding of Vth and Idsat variations in (110) CMOSFETs,"Center for Semiconductor Research & Development,Toshiba Corporation,Japan",company,24
Destructive-read random access memory system buffered with destructive-read memory cache for SoC applications,"IBM Microelectron.,Hopewell Junction,NY,USA",company,25
Benchmarking of monolithic 3D integrated MX2 FETs with Si FinFETs,"KUL, Leuven, Belgium",university,26
A 48-mW 18-Gb/s fully integrated CMOS optical receiver with photodetector and adaptive equalizer,"Applied Science and Technology Research Institute,Hong Kong",research institute,27
Role of non-radiative recombination in the degradation of InGaN-based laser diodes,"Matsushita Electric Industrial Limited, Takatsuki, Osaka, Japan",company,28
Highly area efficient and cost effective double stacked S/sup 3/ (stacked single-crystal Si) peripheral CMOS SSTFT and SRAM cell technology for 512M bit density SRAM,"R & D Center, Samsung Electronics Kiheung-Eup, Yongin-City, Kyungki-do, Korea",company,29
"Strained SOI technology for high-performance, low-power CMOS applications","MIRAI-ASET,Kawasaki,Japan",university,30
Damascene integration of copper and ultra-low-k xerogel for high performance interconnects,"Texas Instruments Inc, Dallas, TX, US",company,31
A crossing charge recycle refresh scheme with a separated driver sense-amplifier for Gb DRAMs,"ULSI Device Dev. Labs.,NEC Corp.,Kanagawa,Japan",company,32
Large-signal performance of high-BV/sub CEO/ graded epi-base SiGe HBTs at wireless frequencies,"IBM Microelectronics, Burlington, VT, USA",company,33
"A 65 nm CMOS technology with a high-performance and low-leakage transistor, a 0.55 /spl mu/m/sup 2/ 6T-SRAM cell and robust hybrid-ULK/Cu interconnects for mobile multimedia applications","Fujitsu Laboratories Ltd., Atsugi, Kanagawa, Japan",company,34
Low-power embedded ReRAM technology for IoT applications,"Incubation Center,Renesas Electronics Corp.,Shimokuzawa,Chuou-ku,Sagamihara,Japan",company,35
A DSL customer-premise equipment modem SoC with extended reach/rate for broadband bridging and routing,"Texas Instruments Bangalore and Texas Instruments,Dallas,TX",company,36
"An Artificial Iris ASIC with High Voltage Liquid Crystal Driver, 10 nA Light Range Detector and 40 nA Blink Detector for LCD Flicker Removal","Imec,Leuven,Belgium",research institute,37
First Demonstration of Low Temperature (≤500°C) CMOS Devices Featuring Functional RO and SRAM Bitcells toward 3D VLSI Integration,"imec from Samsung Electronics,Korea",company,38
Competitive and cost effective high-k based 28nm CMOS technology for low power applications,"IBM Semiconductor Research and Development Center (SRDC), Samsung Electronics Company Limited, Hopewell Junction, NY, USA",company,39
"Scalable 3D-FPGA using wafer-to-wafer TSV interconnect of 15 Tbps/W, 3.3 Tbps/mm2","Technology Research Department,Association of Super-Advanced Electronics Technologies (ASET),,Higashi-koigakubo,Kokubunji,Tokyo,,Japan",research institute,40
"21.8 An all-in-one (Qi, PMA and A4WP) 2.5W fully integrated wireless battery charger IC for wearable applications","MAPS,Yongin,Korea",company,41
High performance and low leakage current InGaAs-on-silicon FinFETs with 20 nm gate length,"Samsung Advanced Logic Lab,Austin,TX",company,42
Interconnect Scaling Scenario Using A Chip Level Interconnect Model,"Semiconductor Research Center,Matsushita Electric Industrial Co.,Ltd.,Yagumo-nakamachi,Moriguchi,Osaka,Japan",company,43
A 12 b 50 M sample/s cascaded folding and interpolating ADC,"Philips Composants et Semiconducteurs,Caen,France",company,44
Development of sub 10-µm ultra-thinning technology using device wafers for 3D manufacturing of terabit memory,"Fujitsu Laboratories Ltd.,Japan",company,45
A 3.1 to 5 GHz CMOS DSSS UWB transceiver for WPANs,"Sony,Tokyo,Japan",company,46
30.1 8b Thin-film microprocessor using a hybrid oxide-organic complementary technology with inkjet-printed P2ROM memory,"Panasonic,Osaka,Japan",company,47
Characterizing Electromigration Effects in a 16nm FinFET Process Using a Circuit Based Test Vehicle,"Cisco Systems, Hong Kong, China",company,48
"A 180MS/s, 162Mb/s wideband three-channel baseband and MAC processor for 802.11 a/b/g","Engim,Acton,MA,USA",company,49