domain
stringclasses
614 values
subdomain
stringclasses
646 values
style
stringclasses
5 values
target
stringclasses
1 value
source
stringclasses
1 value
target_text
stringlengths
0
8.02k
source_text
stringlengths
0
7.25k
len
int64
0
6.53k
20111
석유화학계 기초 화학 물질 제조업
특허
ko
en
감마-하이드록시부티르산의 전구체 및 이의 조성물 및 용도감마-하이드록시부티르산의 전구약물뿐만 아니라 이의 조성물 및 용도가 제공된다.하기 화학식 (I)의 화합물 또는 이의 약학적으로 허용가능한 염, 에스터, 수화물 또는 용매화물: (I)상기 식에서,B는 , -(O)R1, -R2(OCO)R3, 치환되거나 비치환된 C5-10 아릴, C1-12 알킬, C5-12 아르알킬, C2-12 알켄일, C6-12 아르알켄일, C2-12 알킨일, C3-8 사이클로알킬, 3원 내지 10원 헤테로환형 알킬, 또는 5원 내지 10원 헤테로환형 아릴이고, 이때 하나 이상의 치환기는, C1-12 알킬, 아미노, 치환된 아미노, 아미노 보호기, -R4-S-R5, 할로겐, 하이드록실, 시아노, 모노-, 다이- 또는 트라이-할로-C1-6 알킬, C2-12 알켄일, C2-12 알킨일, C1-12 알콕시, C5-10 아릴, C5-10 알킬아릴, C3-8 사이클로알킬, C1-12 알킬설폰일, 3원 내지 8원 헤테로환형 알킬, 3원 내지 10원 헤테로환형 아릴, C5-10 아릴옥실, C5-10 아릴카보닐, C1-6 알킬카보닐옥실 및 C1-4 알킬옥시카보닐로 이루어진 군으로부터 선택되고; R1 및 R3은 독립적으로 C1-12 알킬, C2-12 알켄일, C5-12 아르알킬, C6-12 아르알켄일, C2-12 알킨일, C5-10 아릴, C3-8 사이클로알킬, 3원 내지 10원 헤테로환형 알킬, 5원 내지 10원 헤테로환형 아릴, 또는 이고, 이들 중 임의의 것은 임의적으로 -R4-S-R5, 할로겐, 하이드록실, 시아노, 아미노, 치환된 아미노, C1-12 알킬, C2-12 알켄일, C2-12 알킨일, C5-10 아릴, C1-12 알콕시, C3-8 사이클로알킬, 3원 내지 8원 헤테로환형 알킬 또는 3원 내지 10원 헤테로환형 아릴, C1-4 알킬설폰일, C5-10 아릴옥실, C5-10 아릴카보닐, C1-4 알킬옥시카보닐 또는 C1-12 알킬카보닐아미노로 일치환되거나 독립적으로 다중치환될 수 있고; R2는 C1-6 알킬렌 또는 C1-6 알킬렌옥실이고, 이들 중 임의의 것은 임의적으로 C1-6 알킬로 추가로 치환되고; R4는 결합, C1-6 알킬렌, C5-10 아릴렌 또는 C5-12 아릴렌알킬렌이고, 이들 중 임의의 것은 임의적으로 C1-3 알킬로 추가로 치환되고,R5는 수소 또는 C1-12 알킬이고,Rg는 수소, C1-6 알킬, 페닐 또는 페닐메틸이고, 이들 중 임의의 것은 임의적으로 할로겐, 하이드록실, 메틸티오, C1-4 알킬 또는 C5-8 아릴로 일치환되거나 독립적으로 다중치환되고; Rh 및 Rf는 독립적으로 수소, C1-6 알킬, C1-6 알킬카보닐, C1-6 알콕실카보닐, C3-6 사이클로알콕실카보닐, 또는 아미노 보호기이거나; Rf 및 Rg는, C, O, N 또는 S 원자와 함께, 4원 내지 8원 헤테로환형 알킬 또는 를 형성하고, 이들 중 임의의 것은 임의적으로 할로겐, 하이드록실, C1-4 알킬 또는 아미노 보호기로 일치환되거나 독립적으로 다중치환되고; Rh는 수소, C1-6 알킬 또는 아미노 보호기이다.
PRODRUGS OF GAMMA-HYDROXYBUTYRIC ACID, COMPOSITIONS AND USES THEREOFProvided are prodrugs of gamma-hydroxybutyric acid as well as compositions and uses thereof.A compound of Formula I:or a pharmaceutically acceptable salt, ester, hydrate, or solvate thereof, wherein,- (O) R1, -R2 (OCO) R3, substituted or unsubstituted C5-10 aryl, C1-12 alkyl, C5-12 aralkyl, C2-12 alkenyl, C6-12 aralkenyl, C2-12 alkynyl, C3-8 cycloalkyl, 3-10 membered heterocyclic alkyl, or 5-10 membered heterocyclic aryl, wherein the one or more substituents are selected from the group consisting of C1-12 alkyl, amino, substituted amino, amino protecting group, -R4-S-R5, halogen, hydroxyl, cyano, mono-, di-or tri-halo-C1-6 alkyl, C2-12 alkenyl, C2-12 alkynyl, C1-12 alkoxy, C5-10 aryl, C5-10 alkylaryl, C3-8 cycloalkyl, C1-12 alkylsulfonyl, 3-8 membered heterocyclic alkyl, 3-10 membered heterocyclic aryl, C5-10 aryloxyl, C5-10 arylcarbonyl, C1-6 alkylcarbonyloxyl or C1-4 alkyloxycarbonyl;whereinR1 and R3 are independently C1-12 alkyl, C2-12 alkenyl, C5-12 aralkyl, C6-12 aralkenyl, C2-12 alkynyl, C5-10 aryl, C3-8 cycloalkyl, 3-10 membered heterocyclic alkyl, 5-10 membered heterocyclic aryl, orany of which can be optionally mono-or independently multi-substituted by -R4-S-R5, halogen, hydroxyl, cyano, amino, substituted amino, C1-12 alkyl, C2-12 alkenyl, C2-12 alkynyl, C5-10 aryl, C1-12 alkoxy, C3-8 cycloalkyl, 3-8 membered heterocyclic alkyl, or 3-10 membered heterocyclic aryl, C1-4 alkylsulfonyl, C5-10 aryloxyl, C5-10 arylcarbonyl, C1-4 alkyloxycarbonyl, or C1-12 alkylcarbonylamino;R2 is C1-6 alkylene, or C1-6 alkyleneoxyl, any of which is optionally further substituted with C1-6 alkyl;R4 is bond, C1-6 alkylene, C5-10 arylene, or C5-12 arylenealkylene, any of which is optionally further substituted with C1-3 alkyl, and R5 is hydrogen or C1-12 alkyl,Rg is hydrogen, C1-6 alkyl, phenyl, or phenylmethyl any of which is optionally mono-or independently multi-substituted by halogen, hydroxyl, methylthio, C1-4 alkyl, or C5-8 aryl; and Rh and Rf are independently hydrogen, C1-6 alkyl, C1-6 alkylcarbonyl, C1-6 alkoxylcarbonyl, C3-6 cycloalkoxylcarbonyl, or an amino protecting group;orRf and Rg together with C, O, N or S atom form a 4-8 membered heterocyclic alkyl orany of which is optionally mono-or independently multi-substituted by halogen, hydroxyl, C1-4 alkyl or an amino protecting group, and Rh is hydrogen, C1-6 alkyl or an amino protecting group.
1,120
33202
전자 악기 제조업
특허
ko
en
Cellular Phone을 이용한 기타 이펙터 루프 제어 장치제공된 장치는 기타 이펙터 루프를 제어하기 위해 휴대 전화 (700)에 설치된 장치이다. 상기 장치는 상기 복수의 발 스위치 (600)의 조합 및 각 발 스위치 (600)로 설정된 컴포넌트 (500)를 패치 스크린 (710)상에서 구성하는 패치를 디스플레이하는 패치 스크린 디스플레이 유닛을 포함한다. 휴대 전화 (700)의 휴대 전화 (700)의 뱅크 스크린 (700)상의 복수의 패치 (720)에 의해 구성된 뱅크를 표시하는 뱅크 스크린 디스플레이 유닛 및 컨트롤을 수행하여 패치 스크린을 제어한다. (710)은 선택된 패치에 대응하는 패치가 백 스크린 상에 디스플레이 된 복수의 패치로부터 선택된 패치로부터 선택 될 때 패치 화면 디스플레이 유닛에 의해 디스플레이된다 (720).다수의 기타 이펙터 모듈 (100)이 설치되는 다중 타입 기타 이펙터를 제어하기 위해 휴대 전화 (700)에 설치되어있는 장치가 설치되어 있으며, 기타 이펙터 모듈 (100)은 구성 요소 회로 기판 (110 ) 아날로그 형 기타 이펙터의 회로가 배치되는 경우; 회로에 의한 사운드를 조정하기위한 가변 저항 구동부 (131)가 장착되고, 컴포넌트 회로 기판 (110)이 설치되는 모듈 케이스 (130); 회로 부 (121), 회로 출력 부 (122) 및 회로에 대한 회로 출력 부 (123)가 배치되고 구성 요소에 전기적으로 연결되는 회로 연결부 (120)가 배치되고, 회로 기판 (110)은 모듈 케이스 외부에 설치되며, 복수의 기타 이펙터 모듈 (100)을 장착하기위한 모듈 장착 공간 (211)을 갖는 몸체; 상기 복수의 모듈 케이스 (130)가 설치되도록 하나 또는 복수의 모듈 케이스 (130)에 대응하는 형상을 갖는 몸체의 상부 표면에 형성된 복수의 개방 된 부분 (212); 본체 입력 부 (221), 신체 출력 부 (222) 및 체내 전원 부품 (223)이 회로 입력 부 (121)에 연결되도록 배치 된 복수의 신체 연결부 (220)가 회로 출력 복수의 회로 연결 유닛 (120)의 부품 (122) 및 회로 전원 공급부 (123); 상기 몸체 연결부 (220)에 연결된 신체 회로 기판 (230) 및 몸체 회로가 배치 된 본체의 몸체 회로 장착 공간 (213) 상에 장착되고; 본체 (210)에 배치되어 기타 (A)의 입력 신호를 수신하고 본체 회로에 연결된 입력 단자 (210); 본체 (210)에 배치 된 출력 단자 (202)는 출력 신호를 증폭기 (B)로 송신하여 몸체 회로에 연결하는 단계; 본체 (210) 상에 설치된 복수의 풋 스위치 (600) 또는 몸체에 연결된 별도의 장치 (210)를 통해 기타 이펙터 모듈 (110)의 다양한 조합을 켜고 켜거나 끌 수있다. 본체 회로에 연결되고 본체 회로에 연결되는 복수의 기타 이펙터 모듈 (100)을 통해 입력 단자 (201)를 통해 수신 된 입력 신호가 순차적으로 통과함으로써 왜곡된다. 복수의 컴포넌트 회로를 통해 출력 단자 (202)를 통해 왜곡 된 신호를 출력하는 단계를 더 포함하고, 상기 장치는 상기 복수의 발 스위치 (600)의 조합으로 구성되는 패치를 디스플레이하는 패치 스크린 디스플레이 유닛을 더 포함하고 셀룰러 폰 (700)의 패치 스크린 (710)상에서 각각의 발 스위치 (600)로 설정된 압축기 (500); 셀룰러 폰 (700)의 뱅크 스크린 (720) 상에 복수의 패치로 구성된 뱅크를 디스플레이하는 은행 스크린 디스플레이 유닛; 및 선택된 패치에 대응하는 패치 화면 (710)이 배면 화면 상에 디스플레이 된 복수의 패치로부터 선택된 패치로부터 선택 될 때 선택된 패치에 대응하는 패치 스크린 (710)이 패치 화면 표시부에 의해 디스플레이되도록 제어 유닛을 포함하는 것을 특징으로하는 장치.
Control apparatus for guitar effector loop using cellular phoneProvided is an apparatus installed in a cellular phone (700) to control a guitar effector loop. The apparatus includes a patch screen display unit for displaying a patch, which is constituted by combinations of the plurality of foot switches (600) and the compactors (500) set to the respective foot switches (600), on a patch screen (710) of the cellular phone (700), a bank screen display unit for display a bank constituted by the plurality of patches on a bank screen (720) of the cellular phone (700), and a control unit performing a control so that the patch screen (710) corresponding to a selected patch is displayed by the patch screen display unit when one patch is selected from the plurality of patches displayed on the back screen (720).An apparatus installed in a cellular phone (700) to control a multi-type guitar effector, in which a plurality of guitar effector modules (100) are installed, wherein each of the guitar effector modules (100) comprises a component circuit board (110) on which a circuit for an analogue type guitar effector is disposed; a module case (130) on which a variable resistor driving unit (131) for adjusting a sound by the circuit is mounted and in which the component circuit board (110) is installed; and a circuit connection unit (120) in which a circuit unit part (121), a circuit output part (122), and a circuit power supply part (123) with respect to the circuit are disposed and which is electrically connected to the component circuit board (110) and is installed outside the module case (130), the apparatus comprising: a body having a module mounting space (211) for mounting the plurality of guitar effector modules (100) therein; a plurality of opened parts (212) defined in a top surface of the body (210) having a shape corresponding to one or a plurality of module cases (130) so that the plurality of module cases (130) are installed; a plurality of body connection units (220) in which body input parts (221), body output parts (222), and body power supply parts (223) are disposed to be connected to the circuit input parts (121), the circuit output parts (122), and circuit power supply parts (123) of the plurality of circuit connection units (120), respectively; a body circuit board (230) which is connected to the plurality of body connection parts (220) and mounted on a body circuit mounting space (213) of the body (210) and in which a body circuit is disposed; an input terminal (201) disposed in the body (210) to receive an input signal of a guitar (a) and connected to the body circuit; an output terminal (202) disposed in the body (210) to transmit an output signal to an amplifier (b) and connected to the body circuit; and a plurality of foot switches (600) which is installed on the body (210) or a separate device connected to the body (210) so that various combinations of the guitar effector modules (110) are obtained by turning on/off one or the plurality of guitar effector modules (100) according to setting about the body circuit and which is connected to the body circuit, wherein the body circuit performs a control so that the input signal received through the input terminal (201) is distorted by sequentially passing through the plurality of component circuits to output the distorted signal through the output terminal (202), wherein the apparatus further comprises: a patch screen display unit for displaying a patch, which is constituted by combinations of the plurality of foot switches (600) and the compactors (500) set to the respective foot switches (600), on a patch screen (710) of the cellular phone (700); a bank screen display unit for display a bank constituted by the plurality of patches on a bank screen (720) of the cellular phone (700); and a control unit performing a control so that the patch screen (710) corresponding to a selected patch is displayed by the patch screen display unit when one patch is selected from the plurality of patches displayed on the back screen (720).
1,120
26429
기타 무선 통신장비 제조업
특허
ko
en
디지털 컴포넌트들을 포맷하기 위한 확률적 기법디지털 컴포넌트의 재 포맷된 버전들을 제공하기 위한 확률적 기법들을 위한 방법들, 장치들 및 장치를 포함하는 시스템이 제공된다. 일 양상에서, 디지털 컴포넌트 확장 세트를 사용하여 재 포맷할 수 있는 주어진 재 포맷 가능한 디지털 컴포넌트에 대한 배포 파라미터 한계를 지정하는 데이터를 획득하는 과정이 포함된다. 여러 디지털 컴포넌트 요청 각각에 대하여, 주어진 재 포맷 가능한 디지털 컴포넌트에 대한 배포 파라미터 한계를 초과할 것이라는 주어진 디지털 컴포넌트에 대한 기본 선택 요구 사항과 결합되는 경우, 주어진 디지털 컴포넌트 확장이 배포 파라미터 한계를 초과할 것이라는 추가 선택 요구 사항을 갖는다는 결정이 이루어진다. 확률적 기법을 사용하여, 주어진 디지털 컴포넌트 확장이 주어진 재구성 가능한 디지털 컴포넌트의 재구성된 버전을 생성하는 데 사용하기 위해 선택될 확률에 대한 결정이 이루어져, 시간 경과에 따른 요청들에 응답하여 주어진 재 포맷 가능한 디지털 컴포넌트를 배포하기 위한 누계(aggregate) 선택 요구 사항이 배포 파라미터 한계 내에 있도록 한다.시스템에 있어서,디지털 컴포넌트에 대한 요청을 수신하고 요청에 대한 응답으로 디지털 컴포넌트를 제공하도록 구성된 하나 이상의 프론트 엔드 서버; 및 하나 이상의 프론트 엔드 서버와 데이터 통신하는 하나 이상의 백 엔드 서버를 포함하고, 하나 이상의 백 엔드 서버는,디지털 컴포넌트 확장 세트를 사용하여 재 포맷할 수 있는 주어진 재 포맷 가능한 디지털 컴포넌트에 대한 배포 파라미터 한계(distribution parameter limit)를 지정하는 데이터를 획득하는 동작 - 각 디지털 컴포넌트 확장은 선택 시 주어진 재 포맷 가능 디지털의 재 포맷된 버전을 생성하는 데 사용되는 추가 콘텐츠를 포함함 - 과;디지털 컴포넌트 요청에 대한 응답으로 클라이언트 장치에 배포하기 위해 주어진 재 포맷 가능한 디지털 컴포넌트가 선택된 각 여러 디지털 컴포넌트 요청에 대하여:디지털 컴포넌트 확장 세트에서 주어진 디지털 컴포넌트 확장이 주어진 재 포맷화 가능 디지털 컴포넌트에 대한 기본 선택 요구 사항과 결합될 때 주어진 재 포맷화 가능 디지털 컴포넌트에 대한 배포 파라미터 한계를 초과하는 추가 선택 요구 사항을 가지고 있다고 결정하는 동작 - 기본 선택 요구 사항은 확장없이 디지털 컴포넌트의 기본 버전을 제공하기 위해 디지털 컴포넌트 배포자에게 제출해야 하는 금액(amount)임 - 과;확률적 기법을 사용하고 적어도 배포 파라미터 한계 및 기본 선택 요구 사항에 기초하여 주어진 디지털 컴포넌트 확장이 주어진 재구성 가능한 디지털 컴포넌트의 재구성된 버전을 생성하는 데 사용하기 위해 선택될 확률을 결정하는 동작 - 시간 경과에 따른 복수의 요청에 응답하여 주어진 재 포맷 가능한 디지털 컴포넌트를 배포하기 위한 누계(aggregate) 선택 요구 사항이 배포 파라미터 한계 내에 있음 - 과;주어진 재 포맷 가능한 디지털 컴포넌트에 대한 디지털 컴포넌트 확장을 선택하는 동작 - 기본 선택 요구 사항과 추가 선택 요구 사항의 조합이 배포 파라미터 한계를 초과하는 주어진 디지털 컴포넌트 확장은 결정된 확률에 따라 디지털 컴포넌트 요청의 일부에 대해 선택됨 - 과;선택된 디지털 컴포넌트 확장을 사용하여 주어진 재 포맷 가능한 디지털 컴포넌트의 재 포맷된 버전을 생성하는 동작과; 그리고주어진 재 포맷된 디지털 컴포넌트의 재 포맷된 버전을 하나 이상의 프론트 엔드 서버에 제공하는 동작 - 하나 이상의 프론트 엔드 서버는 주어진 디지털 컴포넌트의 재 포맷된 버전을 디지털 컴포넌트 요청이 수신된 클라이언트 장치로 전송함 - 을 포함하는 동작들을 수행하도록 구성되는, 시스템.
PROBABILISTIC TECHNIQUES FOR FORMATTING DIGITAL COMPONENTSMethods, systems, and apparatus, including an apparatus for using probabilistic techniques to provide reformatted versions of digital components. In one aspect, a process includes obtaining data specifying a distribution parameter limit for a given reformattable digital component that is eligible for reformatting using a set of digital component extensions. For each of multiple digital component requests, a determination is made that a given digital component extension has an additional selection requirement that, when combined with a base selection requirement for the given reformattable digital component, would exceed the distribution parameter limit. A determination is made, using a probabilistic technique, a probability at which the given digital component extension will be selected for use in generating a reformatted version of the given reformattable digital component such that an aggregate selection requirement for distributing the given reformattable digital component in response to requests over time is within the distribution parameter limit.A system, comprising:one or more front-end servers configured to receive requests for digital components and to provide digital components in response to the requests; andone or more back-end servers in data communication with the one or more front-end servers, the one or more back-end servers configured to:obtaining data specifying distribution parameter limits for a given reformable digital component that is eligible for reformatting using a set of digital component extensions, each digital component extension including additional content that, when selected, is used to generate a reformatted version of the given reformable digital component;for each of a plurality of digital component requests, a given reformable digital component is selected for distribution to a client device in response to the digital component request:determining that a given digital component extension of the set of digital component extensions has an additional selection requirement that, when combined with a basic selection requirement for the given reformable digital component, would exceed a distribution parameter limit for the given reformable digital component, the basic selection requirement being an amount required to submit to the digital component distributor to provide the basic version of the digital component without the extension;determining, using a probabilistic technique and based at least on the distribution parameter limit and the base selection requirement, a probability that a given digital component extension will be selected for generating a reformatted version of the given reformattable digital component such that an aggregate selection requirement for distributing the given reformattable digital component over a period of time in response to the plurality of requests is within the distribution parameter limit;selecting a digital component extension for a given reformable digital component, wherein the given digital component extension whose combination of the basic selection requirement and the additional selection requirement exceeds the distribution parameter limit is selected for a portion of the digital component requests according to the determined probability;generating a reformatted version of the given reformable digital component using the selected digital component extension; andproviding the reformatted version of the given reformattable digital component to one or more front-end servers, wherein the one or more front-end servers transmit the reformatted version of the given digital component to the client device that received the request for the digital component.
1,121
21101
의약용 화합물 및 항생물질 제조업
특허
ko
en
티에노트리아졸로디아제핀 화합물을 사용한 삼중 음성 유방암의 치료 방법 본 발명은, mTOR 억제제 및 유사분열 억제제로 이루어진 군으로부터 선택된 1 이상의 화학요법제와 조합하여, 하기 화학식 (1)의 티에노트리아졸로디아제핀 화합물(식에서, R1은 탄소수가 1-4인 알킬이고, R2는 수소 원자; 할로겐 원자; 또는 할로겐 원자 또는 히드록실 기로 임의로 치환된 탄소수가 1-4인 알킬이고, R3은 할로겐 원자; 할로겐 원자, 탄소수가 1-4인 알킬, 탄소수가 1-4인 알콕시 또는 시아노로 임의로 치환된 페닐; -NR5-(CH2)m-R6(여기서, R5는 수소 원자 또는 탄소수가 1-4인 알킬이고, m은 0-4의 정수이고, R6은 할로겐 원자로 임의로 치환된 페닐 또는 피리딜임); 또는 -NR7-CO-(CH2)n-R8(여기서, R7은 수소 원자 또는 탄소수가 1-4인 알킬이고, n은 0-2의 정수이고, R8은 할로겐 원자로 임의로 치환된 페닐 또는 피리딜임)이고, R4는 -(CH2)a-CO-NH-R9(여기서, a는 1-4의 정수이고, R9는 탄소수가 1-4인 알킬; 탄소수가 1-4인 히드록시알킬; 탄소수가 1-4인 알콕시; 또는 탄소수가 1-4인 알킬, 탄소수가 1-4인 알콕시, 아미노 또는 히드록실 기로 임의로 치환된 페닐 또는 피리딜임) 또는 -(CH2)b-COOR10(여기서, b는 1-4의 정수이고, R10은 탄소수가 1-4인 알킬임)임), 또는 이의 약학적으로 허용되는 염 또는 이의 수화물 또는 용매화물인 화합물의 약학적으로 허용되는 양을 환자에게 투여하는 단계를 포함하는 포유동물에서 삼중 음성 유방암의 치료 방법을 제공한다. 포유동물의 삼중 음성 유방암의 치료 방법으로서, 약학적으로 허용되는 양의 화합물을 환자에게 투여하는 단계를 포함하며, 상기 화합물은 하기 화학식 (1)의 티에노트리아졸로디아제핀 화합물 또는 이의 약학적으로 허용되는 염 또는 이의 수화물 또는 용매화물인 치료 방법:상기 식에서, R1은 탄소수가 1-4인 알킬이고, R2는 수소 원자; 할로겐 원자; 또는 할로겐 원자 또는 히드록실 기로 임의로 치환된 탄소수가 1-4인 알킬이고, R3은 할로겐 원자; 할로겐 원자, 탄소수가 1-4인 알킬, 탄소수가 1-4인 알콕시 또는 시아노로 임의로 치환된 페닐; -NR5-(CH2)m-R6(여기서, R5는 수소 원자 또는 탄소수가 1-4인 알킬이고, m은 0-4의 정수이고, R6은 할로겐 원자로 임의로 치환된 페닐 또는 피리딜임); 또는 -NR7-CO-(CH2)n-R8(여기서, R7은 수소 원자 또는 탄소수가 1-4인 알킬이고, n은 0-2의 정수이고, R8은 할로겐 원자로 임의로 치환된 페닐 또는 피리딜임)이고, R4는 -(CH2)a-CO-NH-R9(여기서, a는 1-4의 정수이고, R9는 탄소수가 1-4인 알킬; 탄소수가 1-4인 히드록시알킬; 탄소수가 1-4인 알콕시; 또는 탄소수가 1-4인 알킬, 탄소수가 1-4인 알콕시, 아미노 또는 히드록실 기로 임의로 치환된 페닐 또는 피리딜임) 또는 -(CH2)b-COOR10(여기서, b는 1-4의 정수이고, R10은 탄소수가 1-4인 알킬임)이다.
METHOD OF TREATING TRIPLE-NEGATIVE BREAST CANCER USING THIENOTRIAZOLODIAZEPINE COMPOUNDSA method of treating triple-negative breast cancer in a mammal comprising the step of: administering to a patient a pharmaceutical acceptable amount of a compound being a thienotriazolodiazepine compound of the Formula (1) wherein R1 is alkyl having a carbon number of 1-4, R2 is a hydrogen atom; a halogen atom; or alkyl having a carbon number of 1-4 optionally substituted by a halogen atom or a hydroxyl group, R3 is a halogen atom; phenyl optionally substituted by a halogen atom, alkyl having a carbon number of 1-4, alkoxy having a carbon number of 1-4 or cyano;—NR5—(CH2)m— R6 wherein R5 is a hydrogen atom or alkyl having a carbon number of 1-4, m is an integer of 0-4, and R6 is phenyl or pyridyl optionally substituted by a halogen atom; or -NR7—CO—(CH2)n—R8 wherein R7 is a hydrogen atom or alkyl having a carbon number of 1-4, n is an integer of 0-2, and R8 is phenyl or pyridyl optionally substituted by a halogen atom, and R4 is— (CH2)a—CO—NH—R9 wherein a is an integer of 1-4, and R9 is alkyl having a carbon number of 1-4; hydroxyalkyl having a carbon number of 1-4; alkoxy having a carbon number of 1-4; or phenyl or pyridyl optionally substituted by alkyl having a carbon number of 1-4, alkoxy having a carbon number of 1-4, amino or a hydroxyl group or—(CH2)b—COOR10 wherein b is an integer of 1-4, and R10 is alkyl having a carbon number of 1-4, or a pharmaceutically acceptable salt thereof or a hydrate or solvate thereof, in combination with one or more chemotherapy drugs selected from the group consisting of m-TOR inhibitors and mitotic inhibitors.A method of treating triple-negative breast cancer in a mammal comprising the step of: administering to a patient a pharmaceutical acceptable amount of a compound being a thienotriazolodiazepine compound of the Formula (1)whereinR1 is alkyl having a carbon number of 1-4,R is a hydrogen atom; a halogen atom; or alkyl having a carbon number of 1-4 optionally substituted by a halogen atom or a hydroxyl group,R3 is a halogen atom; phenyl optionally substituted by a halogen atom, alkyl having a carbon number of 1-4, alkoxy having a carbon number of 1-4 or cyano;— NR5— (CH2)m— R6 wherein R5 is a hydrogen atom or alkyl having a carbon number of 1-4, m is an integer of 0-4, and R6 is phenyl or pyridyl optionally substituted by a halogen atom; or -NR7— CO— (CH2)n— R8 wherein R7 is a hydrogen atom or alkyl having a carbon number of 1-4, n is an integer of 0-2, and R is phenyl or pyridyl optionally substituted by a halogen atom, andR4 is— (CH2)a— CO— H— R9 wherein a is an integer of 1-4, and R9 is alkyl having a carbon number of 1-4; hydroxyalkyl having a carbon number of 1-4; alkoxy having a carbon number of 1-4; or phenyl or pyridyl optionally substituted by alkyl having a carbon number of 1-4, alkoxy having a carbon number of 1-4, amino or a hydroxyl group or— (CH2)b— COOR10 wherein b is an integer of 1-4, and R10 is alkyl having a carbon number of 1-4,or a pharmaceutically acceptable salt thereof or a hydrate or solvate thereof.
1,122
20119
석탄화학계 화합물 및 기타 기초 유기화학 물질 제조업
특허
ko
en
방향족 카보네이트의 공업적 제조법본 발명이 해결하고자 하는 과제는, 특정량의 알코올류 및 방향족 카보네이트를 함유하는 디알킬카보네이트와 방향족 모노히드록시 화합물로부터 연속 다단 증류탑을 이용하여 방향족 카보네이트를, 1 시간당 1톤 이상의 공업적 규모로, 고선택율ㆍ고생산성이며 장기간 안정적으로 제조할 수 있는 구체적인 방법을 제공하는 것에 있다. 반응 증류법에 따른 방향족 카보네이트류의 제조 방법에 관한 많은 제안이 있지만, 이들은 모두 소규모, 단기간의 실험실적 레벨의 것이며, 공업적 규모의 대량 생산을 가능하게 하는 구체적인 방법이나 장치의 개시는 전혀 없었다. 또, 평형적으로 불리하다고 생각되었던 알코올류 및 방향족 카보네이트를 함유하는 원료를 공업적으로 이용하기 위해서 필요한 그들의 함유량이나, 방법 및 장치의 개시도 전혀 없었다. 본 발명에서는 특정한 연속 다단 증류탑이 제공되고, 알코올류 및 방향족 카보네이트를 함유하는 디알킬카보네이트와 방향족 모노히드록시 화합물로부터, 1 시간당 1톤 이상의 공업적 규모로, 고선택율ㆍ고생산성이며 방향족 카보네이트를 장기간 안정적으로 제조할 수 있는 구체적인 방법이 제공된다. 원료로서의 디알킬카보네이트와 방향족 모노히드록시 화합물로부터의 방향족 카보네이트의 제조법으로서, (i) 그 원료를, 촉매가 존재하는 연속 다단 증류탑 내에 연속적으로 공급하는 공정과, (ii) 알코올류와 방향족 카보네이트류를 생성하도록, 그 원료를 반응시키는 공정과, (iii) 생성하는 알코올류를 함유하는 저비점 반응 혼합물을 탑상부로부터 가스 형상으로 연속적으로 뽑아냄과 함께, 생성하는 방향족 카보네이트류를 함유하는 고비점 반응 혼합물을 탑하부로부터 액상으로 연속적으로 뽑아내는 공정을 포함하고, (a) 디알킬카보네이트가 방향족 모노히드록시 화합물에 대하여, 몰비로 0.4∼4 로서, 그 원료의 질량에 대하여 0.01∼1 질량% 의 그 알코올류와, 0.01∼5 질량% 의 그 카보네이트류를 포함하고, (b) 그 연속 다단 증류탑은 길이 L(㎝), 내경 D(㎝) 의 원통형 동체부의 상하에 경판부 (鏡板部) 를 갖고, 내부에 단수 n 을 갖는 인터널을 갖는 구조를 하고 있으며, 탑정부 또는 그곳에 가까운 탑의 상부에 내경 d1(㎝) 의 가스 발출구와, 탑저부 또는 그곳에 가까운 탑의 하부에 내경 d2(㎝) 의 액 발출구와, 그 가스 발출구보다 하부로서 탑의 상부 및/또는 중간부에 적어도 1 개의 제 1 도입구와, 그 액 발출구보다 상부로서 탑의 하부에 적어도 1 개의 제 2 도입구를 갖는 것으로서, (1) 길이 L(㎝) 이 식 (1) 을 만족하는 것이고, 1500≤L≤8000 식 (1) (2) 탑의 내경 D(㎝) 가 식 (2) 를 만족하는 것이고, 100≤D≤ 2000 식 (2) (3) 길이 L(㎝) 과 탑의 내경 D(㎝) 의 비가, 식 (3) 을 만족하는 것이고, 2≤L/D≤40 식 (3) (4) 단수 n 이 식 (4) 를 만족하는 것이고, 20≤n≤ 120 식 (4) (5) 탑의 내경 D(㎝) 와 가스 발출구의 내경 d1(㎝) 의 비가, 식 (5) 를 만족하는 것이고, 5≤D/d1≤30 식 (5) (6) 탑의 내경 D(㎝) 와 액 발출구의 내경 d2(㎝) 의 비가, 식 (6) 을 만족하는 것인, 3≤D/d2≤20 식 (6) 인 것을 특징으로 하는 방법.
Industrial Process for Production of Aromatic CarbonateIt is an object of the present invention to provide a specific process that enables an aromatic carbonate to be produced with high selectivity and high productivity stably for a prolonged period of time on an industrial scale of no less than 1 ton per hour using a continuous multi-stage distillation column from a dialkyl carbonate and an aromatic monohydroxy compound containing specified amounts of an alcohol and an aromatic carbonate. Although there have been many proposals regarding the process of producing the aromatic carbonate using a reactive distillation method, these have all been on a small scale and a short operating time laboratory level. There have also been no disclosures whatsoever of a starting material containing necessary amounts of the alcohol and the aromatic carbonate for use in an industrial production, which have been considered to be disadvantageous in terms of the equilibrium, let alone the process and apparatus for the industrial production. According to the present invention, there is provided a specified continuous multi-stage distillation column, and there is also provided a specific process that enables the aromatic carbonate to be produced with high selectivity and high productivity stably for a prolonged period of time on an industrial scale of not less than 1 ton per hour from the dialkyl carbonate and the aromatic monohydroxy compound containing the alcohol and the aromatic carbonate.A process for the production of an aromatic carbonate from a dialkyl carbonate and an aromatic monohydroxy compound as a starting material, which comprises the steps of: (i) continuously feeding said starting material into a continuous multi-stage distillation column in which a catalyst is present; (ii) carrying out reaction in the column to produce an alcohol and at least one aromatic carbonate; and (iii) continuously withdrawing a low boiling point reaction mixture containing said produced alcohol from an upper portion of the column in a gaseous form and continuously withdrawing a high boiling point reaction mixture containing said at least one aromatic carbonate from a lower portion of the column in a liquid form, wherein (a) said starting material (1) has a molar ratio of the dialkyl carbonate to the aromatic monohydroxy compound in a range of from 0.4 to 4, and (2) comprises 0.01 to 1% by weight of the alcohol, and 0.01 to 5% by weight of the aromatic carbonate, based on the total weight of said starting material; (b) said continuous multi-stage distillation column comprises a structure having a pair of end plates above and below a cylindrical trunk portion having a length L (cm) and an inside diameter D (cm) and having an internal with a number of stages n thereinside, and comprises a gas outlet having an inside diameter d1 (cm) at the top of the column or in an upper portion of the column near thereto, a liquid outlet having an inside diameter d2 (cm) at the bottom of the column or in a lower portion of the column near thereto, at least one inlet provided in the upper portion and/or a central portion of the column below the gas outlet, and at least one inlet provided in the lower portion of the column above the liquid outlet, wherein (1) said length L (cm) satisfies following formula (1), 1500≦L≦8000   (1), (2) said inside diameter D (cm) of the column satisfies the following formula (2), 100≦D≦2000   (2), (3) a ratio of said length L (cm) to said inside diameter D (cm) of the column satisfies the following formula (3), 2≦L/D≦40   (3), (4) said number of stages n satisfies the following formula (4), 20≦n≦120   (4), (5) a ratio of said inside diameter D (cm) of the column to said inside diameter d1 (cm) of the gas outlet satisfies the following formula (5), 5≦D/d1≦30   (5), and (6) a ration of said inside diameter D (cm) of the column to said inside diameter d2 (cm) of the liquid outlet satisfies the following formula (6), 3≦D/d2≦20   (6).
1,123
29133
탭, 밸브 및 유사 장치 제조업
특허
ko
en
용융 금속 용기로 유체를 불어넣는 유량 전환 밸브본 명세서에는 상이한 유체를 위한 적어도 3개의 입구 포트 및 출구 포트가 제공된 하우징을 포함하는 용융 금속 용기 내로 유체의 블로잉을 위한 흐름 전환 밸브가 개시되며, 하나의 유체 사이에 선택적으로 연통하는 메인 통로가 있는 밸브 본체 입구 포트와 출구 포트 중 하나이며 입구 통로의 전환을 수행하기 위해 하우징에서 회전 가능하게 지지된다. 이들 유입구 중 하우징 내부에는 퍼지 유체를 위한 유입구가 개방되어 있으며, 하우징의 내주연과 밸브체 외주연 사이에 밸브 몸체를 둘러싸는 슈라우드형 간극이 형성되어 하우징에 배치된 퍼지 유체의 입구 포트와 연통하고 밸브 본체의 회전을 통한 전환 동안 주 통로의 입구 포트의 개구와 항상 연통되어야 한다.내부 몸체 지지 공간, 출구 포트 및 상이한 유체를 위한 적어도 3개의 입구 포트가 제공되는 하우징을 포함하는 용융 금속 용기로 유체를 블로잉하기 위한 흐름 전환 밸브로서, 상기 적어도 3개의 입구 포트는 제1, 제2 및 제3 입구 포트, 구형 밸브 본체가 상기 지지 공간에 기밀하게 끼워지고, 상기 밸브 본체는 상기 출구 포트에 대해 축방향으로 배치된 상부 및 하부 연장 부분을 갖고, 상기 밸브 본체는 상기 하우징의 전환을 수행하기 위해 하우징에서 회전 가능하게 지지됨 입구 통로 및 내부에 상기 출구 포트와 연통하고 상기 제1, 제2 또는 제3 입구 포트 중 각각의 입구 포트 사이에서 선택적으로 연통하는 주 통로가 제공되고, 상기 주 통로는 출구 포트와 연통하기 위해 밸브 본체의 상부 연장 부분을 통해 연장됨 , 상기 메인 통로는 상기 제1, 제1 밸브 중 각각의 밸브와 연통하기 위해 상기 밸브 본체의 입구 개구를 추가로 정의한다. 제 2 또는 제 3 입구 포트, 및 출구 포트를 정의하는 출구 개구 - 상기 제 3 입구 포트는 상기 입구 개구와 연통하도록 배치되어 퍼지 유체 흐름을 상기 주 통로를 통해 출구 포트로 제공하도록 배열된 퍼지 유체 입구임 상기 제1 입구 포트에서 상기 제2 입구 포트로 또는 그 반대로 전환될 때 밸브 본체의 회전, 상기 제1 및 제2 입구 포트 각각과 상기 밸브의 입구 개구 사이에 각각 밀봉된 유체 통로를 제공하는 기밀 밀봉 수단 본체 및 누출을 방지하기 위해 밸브 본체에 대해 상기 기밀 밀봉 수단을 밀어내는 수단을 포함하고, 상기 하우징에는 밸브 본체를 둘러싸는 덮개형 간극이 제공되고, 상기 간극은 하우징의 내주와 연통하고, 상기 간극은 밸브 본체가 상기 제1 입구로부터 전환될 때 퍼지 유체 흐름을 제공하기 위해 퍼지 유체를 위한 상기 제3 입구 포트 및 상기 메인 통로의 입구 개구와 연통하는 것 상기 주 통로를 통해 퍼지 유체 흐름을 제공하도록 상기 제2 입구 포트에 포트를, 그리고 그 반대의 경우도 마찬가지이며, 상기 제1 및 제2 입구 포트를 통해 흐르는 상이한 유체의 임의의 혼합을 방지하고, 밸브 본체의 하부 연장 부분이 제공됨 제 2 퍼지 유체 입구와 연통하기 위한 퍼지 유체 통로를 갖고, 상기 퍼지 유체 통로 내에서 연장되어 상기 하부 연장부 내에서 상기 구형 밸브 본체로 연장되고, 상기 통로는 상기 구형 밸브 본체의 내부에서 상기 메인 통로와 연통하여, 퍼지 유체를 상기 출구 포트 쪽으로 향하게 하기 위해, 상기 하우징은 퍼지 유체 연결부를 포함하고, 상기 퍼지 유체 입구 및 상기 제2 퍼지 유체 입구 모두는 상기 퍼지 유체 연결부와 연통하는 것을 특징으로 하는 퍼지 유체 연결부.
Flow change-over valve for the blowing of fluids into molten metal vesselDisclosed herein is a flow change-over valve for the blowing of fluids into a molten metal vessel, which includes a housing provided with at least three inlet ports for different fluids and an outlet port, a valve body with a main passage communicating selectively between one of the inlet ports and the outlet port and rotatably supported in the housing to perform the changing-over of inlet passages. Among these inlet ports, an inlet port for purging fluid is opened in the housing, and a shroud-like gap surrounding the valve body is formed between the inner periphery of the housing and the outer periphery of the valve body in such a manner that it communicates with the inlet port for the purging fluid disposed in the housing and is always to be communicated with an opening of an inlet port of the main passage during the changing-over through the rotation of the valve body.A flow change-over valve for the blowing of fluids into a molten metal vessel comprising a housing provided with an inner body supporting space, an outlet port and at least three inlet ports for different fluids, said at least three inlet ports comprise first, second and third inlet ports, a spherical valve body hermetically fitted into said supporting space, said valve body having upper and lower extension portions disposed axially with respect to said outlet port, said valve body rotatably supported in the housing to perform a changing-over of said inlet passages and provided therein with a main passage communicating with said outlet port and selectively communicating between a respective one of said first, second or third inlet ports, said main passage extending through the upper extension portion of the valve body for communication with the outlet port, said main passage further defining an inlet opening in said valve body for communication with a respective one of said first, second or third inlet ports, and an outlet opening which defines the outlet port, said third inlet port being a purging fluid inlet arranged to communicate with said inlet opening so as to provide a purge fluid flow through said main passage to the outlet port during each rotation of the valve body when changing-over from said first inlet port to said second inlet port and vice versa, hermetic sealing means providing a sealed fluid passage, respectively, between each of said first and second inlet ports and the inlet opening of said valve body, and means for pushing said hermetic sealing means against the valve body so as to prevent leakage, said housing being provided with a shroud-like gap surrounding the valve body, said gap being in communication with the inner periphery of the housing, said gap being in communication with said third inlet port for purging fluid and the inlet opening of said main passage to provide a purge fluid flow when the valve body changes-over from said first inlet port to said second inlet port so as to provide a purge fluid flow through said main passage, and vice versa, to prevent any mixing of different fluids flowing through said first and second inlet ports, the lower extension portion of the valve body being provided with a purge fluid passage for communicating with a second purge fluid inlet, said purge fluid passage extending within said purge fluid passage extending within said lower extension portion to said spherical valve body, said passage communication with said main passage interiorly of said spherical valve body so as to direct purging fluid toward said outlet port, said housing including a purge fluid connection wherein both said purge fluid inlet and said second purge fluid inlet communicate with said purge fluid connection.
1,123
20111
석유화학계 기초 화학 물질 제조업
특허
ko
en
바이페닐아세트아미드 유도체본 발명은 식(I)의 화합물 또는 그것의 염을 제공하고, 여기서 R1, R2 및 R3는 수소 원자, 불소 원자, 염소 원자, 브롬 원자, C1-6 알킬, 불소 원자로 치환된 C1-6 알콕시 및 기타로 이루어진 군으로부터 독립적으로 선택되고; R4 및 R5는 수소 원자, 불소 원자, 염소 원자, C1-6 알킬, 불소 원자로 치환된 C1-6 알콕시 및 기타로 이루어진 군으로부터 독립적으로 선택되고; R6 및 R7은 수소 원자, 불소 원자, 메틸, 에틸, 히드록시기 및 기타로 이루어진 군으로부터 독립적으로 선택되고; R8 및 R9 은 수소 원자, C1-6 알킬 및 기타로 이루어진 군으로부터 독립적으로 선택되고, 이것은 부분 발작 및/또는 전신 발작을 포함해 여러 유형의 간질을 치료하거나 또는 예방하기 위한 약제로 유용하다. 하기 화학식(A)의 화합물 또는 그것의 수화물 또는 용매화물:[이미지]여기서,R1, R2, R3, Ra 및 Rb 는 이들이 부착된 벤젠 고리에서 대체가능한 수소 원자를 갖는 서로 다른 탄소 원자에 각각 결합되어 있고, 수소 원자, 불소 원자, 염소 원자, 브롬 원자, C1-6 알킬, 불소 원자 1~3개로 치환된 C1-6 알콕시, C1-6 알킬-S(O)n- 및 시안기로 이루어진 군으로부터 독립적으로 선택되고, 여기서 상기 알킬과 알킬-S(O)n-는 불소 원자 1~5개로 치환될 수 있는데, 단 R1, R2, R3, Ra 및 Rb 중 어느 두 개가 각각 2´-메틸 및 3´-메틸일 때, 나머지 치환체들 중 하나 이상은 수소 원자 이외의 것임을 조건으로 하고, R4, R5, Rc 및 Rd 는 이들이 부착된 벤젠 고리에서 대체가능한 수소 원자를 갖는 서로 다른 탄소 원자에 각각 결합되어 있고, 수소 원자, 불소 원자, 염소 원자, C1-6 알킬, 불소 원자 1~3개로 치환된 C1-6 알콕시, C1-6 알킬-S(O)n-, 시안기 및 니트로기로 이루어진 군으로부터 독립적으로 선택되고, 여기서 상기 알킬 및 알킬 -S(O)n-는, 불소 원자 1~5개로 치환될 수 있고, R6 및 R7은 수소 원자, 불소 원자, 메틸, 에틸, 히드록시기 및 C1-6 알콕시로 이루어진 군으로부터 독립적으로 선택되는데, 단, R6 및 R7 중 하나가 히드록시기일 때, 다른 하나는 불소 원자, 히드록시기 또는 C1-6 알콕시가 아닌 것을 조건으로 하고, 또는 R6 및 R7은 그들이 부착된 탄소 원자와 결합되어 C3-6 시클로알킬을 형성할 수 있고, 여기서 상기 메틸, 에틸, 알콕시 및 시클로알킬은 불소 원자 1~5개로 치환될 수 있고, R8 및 R9 는 수소 원자, C1-6 알킬, C3-7 시클로알킬-C1-3 알킬 및 C3-6 시클로알킬로 이루어진 군으로부터 독립적으로 선택되고, 여기서 상기 알킬, 시클로알킬-알킬 및 시클로알킬은 불소 원자 1~5개로 치환될 수 있고, n은 0~2의 정수이고, 단, R1, R2, R3, R4, R5, Ra, Rb, Rc 및 Rd 가 모두 수소 원자이고, R6 및 R7이 둘 다 수소 원자 일 때, R8 및 R9 중 하나 이상은 수소 원자임을 조건으로 하고, 그리고 R1, R2, R3, R4, R5, Ra, Rb, Rc 및 Rd가 모두 수소 원자이고, R6 및 R7 중 하나 이상이 알콕시일 때, R8 및 R9는 수소 원자, C1-3 알킬, C3-7 시클로알킬-C1-3 알킬 및 C3-6 시클로알킬로 이루어진 군으로부터 독립적으로 선택됨을 조건으로 한다.
Biphenylacetamide derivativeThe present invention provides a compound of formula (I) or a salt thereof, wherein R1, R2 and R3 are independently selected from the group consisting of hydrogen atom, fluorine atom, chlorine atom, bromine atom, C1-6 alkyl, C1-6 alkoxy substituted with fluorine atom, and others; R4 and R5 are independently selected from the group consisting of hydrogen atom, fluorine atom, chlorine atom, C1-6 alkyl, C1-6 alkoxy substituted with fluorine atom, and others; R6 and R7 are independently selected from the group consisting of hydrogen atom, fluorine atom, methyl, ethyl, hydroxy group, and others; and R8 and R9 are independently selected from the group consisting of hydrogen atom, C1-6 alkyl, and others, which is useful as an agent for treating or preventing various types of epilepsy including partial seizures and/or generalized seizures.The compound of following formula (a):or its hydrate or solvate, whereinr 1, r 2, r 3, r aand r bbe bonded to any one different carbon atom in the phenyl ring that is connected at them separately, and be independently selected from hydrogen atom, fluorine atom, chlorine atom, bromine atoms, c with replaceable hydrogen atom 1-6alkyl is by the c of 1-3 fluorine atom replacement 1-6alkoxyl group, c 1-6alkyl-s (o) n-and cyano group, wherein said alkyl and alkyl-s (o) n-can be replaced by 1-5 fluorine atom, condition is to work as r 1, r 2, r 3, r aand r bin any two each naturally when 2'-methyl and 3'-methyl, at least one in other substituting group is not hydrogen atom, r 4, r 5, r cand r dbe bonded to any one different carbon atom in the phenyl ring that is connected at them separately, and be independently selected from hydrogen atom, fluorine atom, chlorine atom, c with replaceable hydrogen atom 1-6alkyl is by the c of 1-3 fluorine atom replacement 1-6alkoxyl group, c 1-6alkyl-s (o) n-, cyano group and nitro, wherein said alkyl and alkyl-s (o) n-can be replaced by 1-5 fluorine atom, r 6and r 7be independently selected from hydrogen atom, fluorine atom, methyl, ethyl, hydroxyl and c 1-6alkoxyl group, condition are to work as r 6and r 7one of when being hydroxyl, another is not a fluorine atom, hydroxyl or c 1-6alkoxyl group, or r 6and r 7can in conjunction with and the carbon atom that is connected to them forms c 3-6cycloalkyl, wherein said methyl, ethyl, alkoxyl group and cycloalkyl can be replaced by 1-5 fluorine atom, r 8and r 9be independently selected from hydrogen atom, c 1-6alkyl, c 3-7cycloalkyl-c 1-3alkyl and c 3-6cycloalkyl, wherein said alkyl, cycloalkyl-alkyl and cycloalkyl can be replaced by 1-5 fluorine atom, n is the integer of 0-2,condition is to work as r 1, r 2, r 3, r 4, r 5, r a, r b, r cand r dall be hydrogen atom, and r 6and r 7when all being hydrogen atom, r 8and r 9in at least one be hydrogen atom, and work as r 1, r 2, r 3, r 4, r 5, r a, r b, r cand r dall be hydrogen atom, and r 6and r 7in at least one when being alkoxyl group, r 8and r 9be independently selected from hydrogen atom, c 1-3alkyl, c 3-7cycloalkyl-c 1-3alkyl and c 3-6cycloalkyl.
1,124
21210
완제 의약품 제조업
특허
ko
en
화합물 및 조성물 및 이들의 용도화학식 I의 화합물이 개시되며, 이러한 화합물을 함유하는 약제학적 조성물도 개시된다. 신경과 또는 정신과 질환 및 장애의 치료를 요하는 대상의 상기 질환 또는 장애를 치료하는 방법도 개시된다. [화학식 I]신경과 또는 정신과 질환 또는 장애의 치료, 또는 신경과 또는 정신과 질환 또는 장애 중 신경정신 또는 행동에 관한 증상의 치료를 요하는 대상의 신경과 또는 정신과 질환 또는 장애의 치료, 또는 신경과 또는 정신과 질환 또는 장애 중 신경정신 또는 행동에 관한 증상의 치료를 위한 방법으로서, 상기 대상에게 유효량의 화학식 I의 화합물 또는 이의 약제학적으로 허용되는 염을 투여하는 단계를 포함하는, 방법.[화학식 I]상기 화학식 I에서,q1은 0 또는 1이고,q2는 0 또는 1이고,q1과 q2의 합은 0 또는 1이고;R1, R2 및 R3은 독립적으로, H, 및 할로겐, -C≡C-, 하이드록실, (C1-C6)알콕시, 아미노, (C1-C6)알킬아미노 및 디(C1-C6)알킬아미노로부터 독립적으로 선택되는 하나 이상의 그룹으로 임의로 치환되는 지방족 (C1-C8)하이드로카빌로부터 선택되고;R4a, R4b, R7a, 및 R7b는 독립적으로, H, 할로겐, (C1-C6)알킬, (C1-C6)할로알킬, 및 (C1-C6)알콕시로부터 선택되고;R5a, R5b, R6a 및 R6b는 독립적으로, H, 할로겐, (C1-C6)알킬 및 (C1-C6)할로알킬로부터 선택되고;R8, R9, R10 및 R11은 독립적으로, H, 할로겐, (C1-C8)하이드로카빌, 시아노, -CF3, (C1-C6)할로알킬, 하이드록실, (C1-C6)알콕시, 아미노카보닐, (C1-C6)알킬아미노카보닐, 디(C1-C6)알킬아미노카보닐, (C1-C6)아실, (C1-C6)할로알콕시, 하이드록시(C1-C6)알킬, 카복시, (C1-C6)알콕시카보닐, 아세톡시, 니트로, 아미노, (C1-C6)알킬아미노, 디(C1-C6)알킬아미노, 아미노설포닐, 벤질, 아릴, 헤테로아릴, 페녹시, -OC(O)N(알킬)2 또는 벤질옥시로부터 선택되며;상기 벤질, 아릴, 헤테로아릴, 페녹시 또는 벤질옥시는, 할로겐, (C1-C6)알킬, 시아노, (C1-C6)할로알킬, 하이드록실, (C1-C6)알콕시, 아미노카보닐, (C1-C6)알킬아미노카보닐, 디(C1-C6)알킬아미노카보닐, (C1-C6)아실, (C1-C6)할로알콕시, 하이드록시(C1-C6)알킬, 카복시, (C1-C6)알콕시카보닐, 아세톡시, 니트로, 아미노, (C1-C6)알킬아미노, 및 디(C1-C6)알킬아미노로부터 독립적으로 선택되는 하나 이상의 치환체로 임의로 치환되며; 또한,인접한 탄소 원자들에 부착된 R8, R9, R10 및 R11 중 어느 두 개는, 이들이 부착된 탄소 원자들과 함께, 할로겐, 하이드록실, 아미노, (C1-C6)알킬아미노, 디(C1-C6)알킬아미노, (C1-C6)알킬, (C1-C6)할로알킬, (C1-C6)알콕시, 및 (C1-C6)할로알콕시로부터 독립적으로 선택되는 하나 이상의 치환체로 임의로 치환되는 5원 내지 8원 카보사이클 또는 헤테로사이클을 형성할 수 있다.
COMPOUNDS AND COMPOSITIONS AND USES THEREOFCompounds of formula (I) are disclosed, as are pharmaceutical compositions containing such compounds. Methods of treating neurological or psychiatric diseases and disorders in a subject in need thereof are also disclosed.A method for treating a neurological or psychiatric disease or disorder, orneuropsychiatric or behavior symptoms in a neurological disease or disorder, in a subject in need thereof, comprisingadministering to said sub ect an effective amount of a compound of formula I: or a pharmaceutically acceptable salt thereof,wherein:ql is 0 or 1,q2 is 0 or 1, andthe sum of ql plus q2 is 0 or 1;R1, R2 and R3 are chosen independently from H and an aliphatic (Ci-C8)hydrocarbyl optionally substituted with one or more groups independently selected from halogen, -C≡C-, hydroxyl, (Ci-C6)alkoxy, amino, (Ci-C6)alkylamino and di(Ci-C6)alkylamino;R4a, R4b, R7a, and R7b are chosen independently from H, halogen, (Ci-C6)alkyl, (C C6)haloalkyl, and (Ci-C6)alkoxy;R5a, R5b, R6a and R6b are chosen independently from H, halogen, (Ci-C6)alkyl and (Ci- C6)haloalkyl;R8, R9, R10 and R11 are chosen independently from H, halogen, (Ci-C8)hydrocarbyl, cyano, -CF3, (Ci-C6)haloalkyl, hydroxyl, (Ci-C6)alkoxy, aminocarbonyl, (Ci- C6)alkylaminocarbonyl, di(Ci-C6)alkylaminocarbonyl, (Ci-C6)acyl, (Ci-C6)haloalkoxy, hydroxy(Ci-C6)alkyl, carboxy, (Ci-C6)alkoxycarbonyl, acetoxy, nitro, amino, (Ci- C6)alkylamino, di(Ci-C6)alkylamino, aminosulfonyl, benzyl, aryl, heteroaryl, phenoxy, -OC(0)N(alkyl)2 or benzyloxy; wherein said benzyl, aryl, heteroaryl, phenoxy or benzyloxy are optionally substituted with one or more substituents independently selected from halogen, (Ci-C6)alkyl, cyano, (Ci- C6)haloalkyl, hydroxyl, (Ci-C6)alkoxy, aminocarbonyl, (Ci-C6)alkylaminocarbonyl, di(Ci- C6)alkylaminocarbonyl, (Ci-C6)acyl, (Ci-C6)haloalkoxy, hydroxy(Ci-C6)alkyl, carboxy, (Ci- C6)alkoxycarbonyl, acetoxy, nitro, amino, (Ci-C6)alkylamino, and di(Ci-C6)alkylamino; and further wherein:any two of R8, R9, R10 and R11 attached to adjacent carbon atoms, together with the carbon atoms to which they are attached, may form a 5 to 8-membered carbocycle or heterocycle which is optionally substituted with one or more substituents independently selected from halogen, hydroxyl, amino, (Ci-C6)alkylamino, di(Ci-C6)alkylamino, (Ci- C6)alkyl, (Ci-C6)haloalkyl, (Ci-C6)alkoxy, and (Ci-C6)haloalkoxy.
1,125
42138
지붕, 내ㆍ외벽 축조 관련 전문공사업
특허
ko
en
스웨이징 부하가 낮은 패스너 및 패스닝 시스템패스닝 시스템은 핀(12)과 칼라(14)를 포함하는 투피스 스웨이지형 패스너(10)를 포함하는데, 핀(12)은 상대적으로 넓은 체결 홈(26)을 가지며, 체결 홈(26)은 대체로 매끄러운 뿌리 윤곽을 가지며, 칼라(14)는 대면하는 체결 홈(26)의 체적보다 큰 스웨이징 전 과잉 체적의 재료를 이루는 벽두께를 갖는 섕크부(69)를 구비하며, 칼라 섕크부(69)의 내경(ID)과 체결 홈(26)의 크레스트(71)의 직경(Du) 사이의 간극은 칼라 섕크(69)를 체결 홈(26) 속에 스웨이징시켜 들어가게 하는 데 요구되는 압축력을 감소시키도록 최소 값으로 되어 있으며, 칼라 섕크(69) 재료의 과잉 체적은 스웨이징시 체결 홈(26)의 부분적 충전만을 제공한다.복수의 공작물을 체결하기 위한 투피스 패스너와, 이 패스너를 설치하기 위한 설치 공구를 포함하는 패스닝 시스템에 있어서, 상기 패스너는 핀 부재와 튜브형 칼라를 포함하며, 상기 칼라는 설치 공구에 의해 핀 부재와 칼라 사이에 가해지는 상대적인 축방향 힘 또는 스웨이징 부하에 응답하여 핀 부재 상의 체결 홈 속으로 스웨이징되어 들어가도록 되어 있는 대체로 직선형인 칼라 섕크를 구비하며, 예정된 크기의 스웨이징 부하에 응답하여 공작물에 원하는 크기의 클램프 부하를 제공하며, 상기 핀 부재는 공작물의 정렬되어 있는 개구 내에 배치되도록 되어 있는 긴 핀 섕크를 구비하며, 공작물의 어느 한쪽 표면에 맞물리도록 되어 있는 확대된 헤드에서 한쪽 단부가 종단되고, 공작물의 반대쪽 표면을 지나 연장되게 되어 있는 홈이 형성된 부분에서 반대쪽 단부가 종단되는 것이며, 상기 홈이 형성된 부분은, 원주방향으로 연장되어 있는 핀 홈에 의해 형성되는 복수의 체결 홈과, 핀 크레스트에서 종단되는 관련된 핀 숄더를 구비하는 체결부를 포함하며, 상기 핀 홈은 소정의 폭을 가지며, 핀 홈의 유효 폭을 Wg라 하고 크레스트의 유효 폭을 Wc라 할 때, Wc/Wg의 비가 약 0.30 이하이고, 상기 핀 홈은 대체로 매끄러운 윤곽을 가지는 긴 뿌리를 구비하며, 상기 칼라 섕크는 체결 홈 속에 스웨이징되어 들어가서 공작물이 서로 체결되어 체결 접합부를 형성하게 하도록 되어 있으며, 상기 칼라는 스웨이징 될 때, 상기 핀 홈 및 핀 숄더와 상호 체결되는 칼라 홈 및 칼라 숄더를 구비하게 되며, 상기 설치 공구는 유효 입구 스웨이징 부분을 가지는 스웨이징 동공이 있는 앤빌 부재를 구비하며, 유효 입구 스웨이징 부분은 상기 칼라 섕크에 과도하게 맞물려서 칼라 섕크를 반경방향 내향으로 스웨이징시켜 상기 체결 홈 속으로 들어가게 하는 것이며, 상기 칼라 섕크는 상기 유효 입구 스웨이징 부분과 상기 체결 홈의 대면 부분에 의해 형성되는 가용 체적보다 대체로 큰 예정된 체적의 재료로 되어 있고, 상기 칼라 섕크는 상기 체결 홈 속에 스웨이징되어 들어가는데, 상기 칼라 섕크의 재료는 스웨이징 동안에 상기 뿌리에 완전히 맞물리지 아니하며, 따라서 스웨이징 후에 상기 체결 홈을 완전히 채우지 않고, 상기 칼라 섕크는 예정된 개수의 상기 칼라 숄더가 대응하는 개수의 상기 핀 크레스트와 맞물리도록 하기에 충분한 길이를 갖는 것이며, 상기 칼라 섕크는 스웨이징 전에 상기 핀 크레스트의 크레스트 직경에 대해 최소의 간극을 제공하는 구경(口徑)을 갖는 관통공을 구비하며, 이에 의해 스웨이징을 위한 상대적인 축방향 힘이 최소화되는 것인 패스닝 시스템.
Low swage load fastener and fastening systemA fastening system including a two piece swage type fastener (10) including a pin (12) and a collar (14) in which the pin (12) has relatively wide lock grooves (26) and in which the lock grooves (26) have a generally smooth root contour with the collar (14) having a shank portion (69) with a wall thickness defining an excess volume of material prior to swage greater than the volume of the confronting lock grooves (26) and with the clearance between the inside diameter (ID) of the collar shank portion (69) and the diameter (Du) of crests (71) of the lock grooves (26) being a minimum to reduce the compressive force required to swage the collar shank (69) into the lock grooves (26) and with the excess volume of collar shank (69) material providing only a partial fill of the lock grooves (26) upon swage.Fastening system, wherein, this fastening system comprises a two-piece type fastening piece, be used for a plurality of workpiece are fixed together, wherein, this fastening system comprises a pin spare and a collar, and this collar is used for being extruded to locking slot on the pin according to the relative shaft orientation force that applies by setting tool or swage load between this pin and the collar, and provide the clamping load of the suitable size that acts on the workpiece according to the swage load of pre-sizing, described fastening piece comprises:a pin spare, this pin spare has the pin bar of elongation, this pin bar is used for being arranged in the aligned openings of workpiece, and an end of this pin bar ends at an enlarged head portion, this head be used for in the surface engagement of workpiece one side, the other end of this pin bar ends at a part that groove is arranged, this has the part of groove to be used for extending through the apparent surface of workpiece opposite side, described have the part of groove to comprise a lock section, there are a plurality of locking slot this lock section, this locking slot is determined by the cotter slot and the corresponding pin shoulder of extending circumferentially, this pin shoulder ends at the pin ridge, and described cotter slot is very wide, and its effective face width wg is not more than about 0.30 with respect to the ratio wc/wg of the effective face width wc of ridge, described cotter slot has the root of an elongation, and this root has roughly smooth profile; a tubular collar, this tubular collar has a roughly straight bar, is used for being extruded to described locking slot, therefore, this workpiece is secured together, thereby has determined fastening, the described collar has cover annular groove and the shoulder with described cotter slot and shoulder interlocking after extrudingdescribed assembler has an anvil spare, this anvil spare has extrusion chamber, and this extrusion chamber has effective throat crimping section, and this effective throat crimping section is used to be enclosed within the described straight-bar of the described collar, thereby the straight-bar of the described collar radially inwardly is expressed in the described locking slotthe described straight-bar of the described collar has the material of predetermined; the predetermined of this material is usually than larger by the determined volume available of relative part of described effective throat crimping section and described lock slots; described collar bar is expressed in this lock slots; the material of described collar bar does not fully mesh with described root in extrusion process; therefore; be full of afterwards and not exclusively described lock slots in extruding; described collar bar has enough length; so that provide predetermined number, with the described collar shoulder of the described pin ridge engagement of respective numberdescribed collar bar has a through hole, and before extruding, the bore dia of this through hole can provide the gap of a minimum with respect to the ridge diameter of described pin ridge, therefore, can be used in the relative shaft orientation force minimum of extruding.
1,125
21102
생물학적 제제 제조업
특허
ko
en
항암제로서의 시클릭 디뉴클레오티드본 발명은 화학식 (I)의 화합물 뿐만 아니라 본 발명의 화합물을 포함하는 제약상 허용되는 조성물, 및 다양한 장애의 치료에서의 상기 조성물의 사용 방법에 관한 것이다: 여기서 모든 치환기는 본원에 정의된다.하기 화학식의 화합물 또는 그의 제약상 허용되는 염, 호변이성질체 또는 입체이성질체:여기서각각의 X는 독립적으로 O 또는 S이고; X1, X2, X3 및 X4는 각각 독립적으로 O 또는 NH이고; R1 및 R2는 독립적으로이고;단 R1 및 R2 중 1개는 이어야만 하고; Z1은 N 또는 CRa이고; Z2는 NRb이고; Ra는 H, 할로겐, 0-6개의 R5로 치환된 C1-6 알킬, 0-6개의 R5로 치환된 C3-6 시클로알킬, CN, NO2, OH, ORa1, SRa1, -C(O)NRa1Ra1, -COORa1, -OC(O)Ra1, -OC(O)NRa1Ra1, -NRa1Ra1, -NRa1 C(O)Ra1, -NRa1COORa1, -NRa1C(O)NRa1Ra1, -NRa1S(O)2Ra1, -NRa1S(O)2NRa1Ra1, -S(O)Ra1, -S(O)NRa1Ra1, -S(O)2Ra1 또는 S(O)2NRa1Ra1이고; Rb는 H, 0-6개의 R5로 치환된 C1-6 알킬, 0-6개의 R5로 치환된 C3-6 시클로알킬, -C(O)Ra1, -C(O)NRa1Ra1, -S(O)2Ra1 또는 S(O)2NRa1Ra1이고;Ra1은 H 또는 C1-3 알킬이고;R3 및 R4는 독립적으로 H, CH3, 할로겐, NH2 또는 OH이고; R3a 및 R4a는 독립적으로 H, CH3, 할로겐, NH2 또는 OH이거나; 또는R3 및 R3a 또는 R4 및 R4a는 독립적으로 함께 3-4원 카르보사이클을 형성할 수 있거나; 또는 R3 및 R3a 또는 R4 및 R4a는 독립적으로 함께 C=CH2의 치환기를 형성할 수 있고; R5는 H, 할로겐, C1-3 알킬, CN, NO2, OH, ORa1, SRa1, -C(O)NRa1Ra1, -COORa1, -OC(O)Ra1, -OC(O)NRa1Ra1, -NRa1Ra1, -NRa1 C(O)Ra1, -NRa1COORa1, -NRa1C(O)NRa1Ra1, -NRa1S(O)2Ra1, -NRa1S(O)2NRa1Ra1, -S(O)Ra1, -S(O)NRa1Ra1, -S(O)2Ra1 또는 S(O)2NRa1Ra1이고; R5a는 H 또는 C1-3 알킬이고; R6은 H, 할로겐, C1-3 알킬, CN, NO2, OH, ORa1, SRa1, -C(O)NRa1Ra1, -COORa1, -OC(O)Ra1, -OC(O)NRa1Ra1, -NRa1Ra1, -NRa1 C(O)Ra1, -NRa1COORa1, -NRa1C(O)NRa1Ra1, -NRa1S(O)2Ra1, -NRa1S(O)2NRa1Ra1, -S(O)Ra1, -S(O)NRa1Ra1, -S(O)2Ra1 또는 S(O)2NRa1Ra1이고; R8은 H, 할로겐, C1-3 알킬, CN, NO2, OH, ORa1, SRa1, -C(O)NRa1Ra1, -COORa1, -OC(O)Ra1, -OC(O)NRa1Ra1, -NRa1Ra1, -NRa1 C(O)Ra1, -NRa1COORa1, -NRa1C(O)NRa1Ra1, -NRa1S(O)2Ra1, -NRa1S(O)2NRa1Ra1, -S(O)Ra1, -S(O)NRa1Ra1, -S(O)2Ra1 또는 S(O)2NRa1Ra1이고; Y는 CR5 또는 N이다.
CYCLIC DINUCLEOTIDES AS ANTICANCER AGENTSThe present invention is directed to compounds of the formula (I) wherein all substituents are defined herein, as well as pharmaceutically acceptable compositions comprising compounds of the invention and methods of using said compositions in the treatment of various disorders.A compound of the formula(I)whereineach X is independently O or S;X1, X2, X3 and X4 are each independently O or NH;R1 and R2 are independentlywith the proviso that one of R1 and R2 must be R aHN ;Z1 is N or CRa;Z2 is NRb;Ra is H, halogen, Ci-6 alkyl substituted with 0-6 R5, C3-6 cycloalkyl substituted with 0-6 R5, CN, NO2, OH, ORal, SRal, -C(0)NRalRal, -COORal, -OC(0)Ral, - OC(0)NRalRal, -NRalRal, -NRal C(0)Ral, - NRalCOORal, -NRalC(0)NRalRal, - NRalS(0)2Ral, -NRalS(0)2NRalRal, -S(0)Ral, - S(0)NRalRal, -S(0)2Ral or S(0)2NRalRal; Rb is H, Ci-6 alkyl substituted with 0-6 R5, C3-6 cycloalkyl substituted with 0-6 R5, -C(0)Ral, -C(0)NRalRal, -S(0)2Ral or S(0)2NRalRal;Ral is H or C 1-3 alkyl;R3 and R4 are independently H, CH3, halogen, NH2 or OH;R a and R4a are independently H, CH3, halogen, NH2 or OH; orR3 and R a or R4 and R4a may independently be taken together to form a 3-4 membered carbocycle; orR3 and R a or R4 and R4a may independently be taken together to form a C=CH2 substituent;R5 is H, halogen, C1-3 alkyl, CN, NO2, OH, ORal, SRal, -C(0)NRalRal, -COORal, -OC(0)Ral, -OC(0)NRalRal, -NRalRal, -NRal C(0)Ral, - NRalCOORal, - NRalC(0)NRalRal, -NRalS(0)2Ral, -NRalS(0)2NRalRal, -S(0)Ral, - S(0)NRalRal, - S(0)2Ral or S(0)2NRalRal;R5a is H or C 1-3 alkyl;R6 is H, halogen, C1-3 alkyl, CN, NO2, OH, ORal, SRal, -C(0)NRalRal, -COORal, -OC(0)Ral, -OC(0)NRalRal, -NRalRal, -NRal C(0)Ral, - NRalCOORal, - NRalC(0)NRalRal, -NRalS(0)2Ral, -NRalS(0)2NRalRal, -S(0)Ral, - S(0)NRalRal, - S(0)2Ral or S(0)2NRalRal;R8 is H, halogen, C1-3 alkyl, CN, NO2, OH, ORal, SRal, -C(0)NRalRal, -COORal, - OC(0)Ral, -OC(0)NRalRal, -NRalRal, -NRal C(0)Ral, - NRalCOORal, -NRalC(0)NRalRal, -NRalS(0)2Ral, -NRalS(0)2NRalRal, -S(0)Ral, - S(0)NRalRal, - S(0)2Ral or S(0)2NRalRal;Y is CR5 or N;or a pharmaceutically acceptable salt, tautomer or stereoisomer thereof.The compound according to claim 1 of the formula(I)wherein X is S;X1, X2, X3 and X4 are each independently O or NH;R1 and R2 are inde endentlywith the proviso that one of R1 and R2 must be ;Z1 is N or CRa;Z2 is NRb;Ra is H, halogen, Ci-6 alkyl substituted with 0-6 R5, C3-6 cycloalkyl substituted with 0-6 R5, CN, NO2, OH, ORal, SRal, -C(0)NRalRal, -COORal, -OC(0)Ral, - OC(0)NRalRal, -NRalRal, -NRal C(0)Ral, - NRalCOORal, -NRalC(0)NRalRal, -NRalS(0)2Ral, -NRalS(0)2NRalRal, -S(0)Ral, - S(0)NRalRal, -S(0)2Ral or S(0)2NRalRal;Rb is H, Ci-6 alkyl substituted with 0-6 R5, C3-6 cycloalkyl substituted with 0-6 R5, -C(0)Ral, -C(0)NRalRal, -S(0)2Ral or S(0)2NRalRal;Ral is H or C 1-3 alkyl;R3 is H, CH3, and halogen, NH2 or OH;R a is H, CH3, halogen, NH2 or OH; orR3 and R a may be taken together to form a 3-4 membered carbocycle; orR3 and R a may be taken together to form a C=CH2 substituent;R5 is H, halogen, C1-3 alkyl, CN, N02, OH, ORal, SRal, -C(0)NRalRal, -COORal, - OC(0)Ral, -OC(0)NRalRal, -NRalRal, -NRal C(0)Ral, - NRalCOORal, -NRalC(0)NRalRal, -NRalS(0)2Ral, -NRalS(0)2NRalRal, -S(0)Ral, - S(0)NRalRal, - S(0)2Ral or S(0)2NRalRal;R5a is H or C 1-3 alkyl; R6 is H, halogen, C1-3 alkyl, CN, NO2, OH, ORal, SRal, -C(0)NRalRal, -COOR OC(0)Ral, -OC(0)NRalRal, -NRalRal, -NRal C(0)Ral, - NRalCOORal, - NRalC(0)NRalRal, -NRalS(0)2Ral, -NRalS(0)2NRalRal, -S(0)Ral, - S(0)NRalRal, - S(0)2Ral or S(0)2NRalRal;R8 is H, halogen, C1-3 alkyl, CN, N02, OH, ORal, SRal, -C(0)NRalRal, -COOR OC(0)Ral, -OC(0)NRalRal, -NRalRal, -NRal C(0)Ral, - NRalCOORal, - NRalC(0)NRalRal, -NRalS(0)2Ral, -NRalS(0)2NRalRal, -S(0)Ral, - S(0)NRalRal, - S(0)2Ral or S(0)2NRalRal;Y is CR5 or N;or a pharmaceutically acceptable salt, tautomer or stereoisomer thereof.
1,126
21101
의약용 화합물 및 항생물질 제조업
특허
ko
en
증식이상 장애를 치료하기 위한 물질 및 방법암 및 기타 증식이상 질환을 치료하는데 유용한, R1, R2, R3, R4, R5, R6, R7, R8, R9, R10, R11, R12 및 n이 본원에 정의된 바와 같이 정의되는 일반식 (I)의 화합물을 개시한다.[이미지]식 (I)으로 표시되는 화합물 또는 이의 약제학적으로 허용가능한 염:[이미지]상기 식에서,R1, R3 및 R5는 각각 독립적으로 H, 알킬, -P(O)(OH)(OH), -CH2-P(O)(OH)(OH), -P(O)(OH)(O-알킬), -CH2-P(O)(OH)(O-알킬), -P(O)(O-알킬)(O-알킬), -CH2-P(O)(O-알킬)(O-알킬) 또는 (CO)-알킬이거나, 또는 -P(O)(OH)(OH), -CH2-P(O)(OH)(OH), -P(O)(OH)(O-알킬) 또는 -CH2-P(O)(OH)(O-알킬)의 약제학적으로 허용가능한 염이되, R1, R3 및 R5 중 하나 이상은 H, -P(O)(OH)(OH), -CH2-P(O)(OH)(OH), -P(O)(OH)(O-알킬), -CH2-P(O)(OH)(O-알킬), -P(O)(O-알킬)(O-알킬), -CH2-P(O)(O-알킬)(O-알킬)이거나, 또는 -P(O)(OH)(OH), -CH2-P(O)(OH)(OH), -P(O)(OH)(O-알킬) 또는 -CH2-P(O)(OH)(O-알킬)의 약제학적으로 허용가능한 염이고;R2 및 R4는 각각 독립적으로 H, 알킬, 할로, 니트로, OH, O-알킬, SH, S-알킬, CN, 할로알킬, O-할로알킬, NRaRb, (CO)-알킬, (CO)OH, (CO)O-알킬, SO2NRaRb, (CO)NRaRb, NH(CO)-알킬, 사이클로알킬, 헤테로사이클로알킬, 아릴 또는 헤테로아릴이되, 아릴 및 헤테로아릴은 알킬, 할로, 니트로, OH, O-알킬, SH, S-알킬, CN, 할로알킬, O-할로알킬 및 nRaRb로 선택적으로 치환되며;R6는 알킬, 할로, 니트로, OH, O-알킬, SH, S-알킬, CN, 할로알킬, O-할로알킬, NRaRb, (CO)-알킬, (CO)OH, (CO)O-알킬, SO2NRaRb, (CO)NRaRb, NH(CO)-알킬, 사이클로알킬, 헤테로사이클로알킬, 아릴 또는 헤테로아릴이되, 아릴 및 헤테로아릴은 알킬, 할로, 니트로, OH, O-알킬, SH, S-알킬, CN, 할로알킬, O-할로알킬 및 nRaRb로 선택적으로 치환되며;R7은 아릴 또는 헤테로아릴이되, 아릴 및 헤테로아릴은 알킬, 할로, 니트로, OH, O-알킬, SH, S-알킬, CN, 할로알킬, O-할로알킬 및 nRaRb로 선택적으로 치환되며;R8 및 R9은 각각 독립적으로 H, OH, 알킬, 할로, O-알킬, SH, S-알킬, CN, 할로알킬, O-할로알킬, NRaRb, (CO)OH, (CO)O-알킬, SO2NRaRb, (CO)NRaRb 또는 NH(CO)-알킬이고;R10은 H, 알킬, (CO)-알킬 또는 (CO)NRaRb이고;R11 및 R12은 각각 독립적으로 H, OH, 알킬옥시, 사이클로알킬옥시, 헤테로사이클로알킬옥시, 사이클로알킬알킬옥시, 헤테로사이클로알킬알킬옥시, 아릴알킬옥시, 헤테로아릴알킬옥시, 아릴옥시 또는 헤테로아릴옥시이고;Ra 및 Rb는 각각 H 또는 알킬이거나, 또는 Ra 및 Rb는 이들이 부착된 질소 원자와 함께 헤테로사이클로알킬 기를 형성하고; 및n은 0-4의 정수임.
AGENTS AND METHODS FOR TREATING DYSPROLIFERATIVE DISEASESCompounds are described with the general formula (I) wherein R1, R2, R3, R4, R5, R6, R7, R8, R9, R10, R11, R12, and n are defined as anywhere herein, which are useful for the treatment of cancer and other dysproliferative diseases.A compound represented by the formula (i) or a pharmaceutically acceptable salt thereofwhereinr1、r3and r5each is independentindependently h, alkyl, -p (o) (oh), (oh) and-ch2-p (o), (oh), (p (o) (oh)), (o-alkyl), -ch2-p (o) (oh) (o-alkyl), -p (o) (o-alkyl), -ch2-p (o) -alkyl) (o-alkyl) or (co) -alkyl, or-p (o) (oh), -ch2-p (o), (oh), (p), (o), (oh), (o-alkyl), or-ch2-p (o) (oh) (o-alkyl) wherein r is1、r3and r5at least one of h, -p (o) (oh), -ch2-p (o), (oh), (p (o) (oh)), (o-alkyl), -ch2-p (o) (oh) (o-alkyl), -p (o) (o-alkyl), -ch2-p (o) alkyl (o-alkyl), or-p (o) (oh), -ch2-p (o), (oh), (p), (o), (oh), (o-alkyl), or-ch2-p (o) (oh) a pharmaceutically acceptable salt of (o-alkyl);r2and r4each independently of the others being h, alkyl, halo, nitro, oh, o-alkyl, sh, s-alkyl, cn, haloalkyl, o-haloalkyl, nrarbalkyl (co), oh (co), alkyl (so)2nrarb、(co)nrarbnh (co) -alkyl, cycloalkyl, heterocycloalkyl, aryl or heteroaryl, wherein aryl and heteroaryl are optionally substituted by alkyl, halo, nitro, oh, o-alkyl, sh, s-alkyl, cn, haloalkyl, o-haloalkyl and nrarbsubstitution;r6is alkyl, halo, nitro, oh, o-alkyl, sh, s-alkyl, cn, haloalkyl, o-haloalkyl, nrarbalkyl (co), oh (co), alkyl (so)2nrarb、(co)nrarbnh (co) -alkyl, cycloalkyl, heterocycloalkyl, aryl or heteroaryl, wherein aryl and heteroaryl are optionally substituted by alkyl, halo, nitro, oh, o-alkyl, sh, s-alkyl, cn, haloalkyl, o-haloalkyl and nrarbsubstitution;r7is aryl or heteroaryl, wherein aryl and heteroaryl are optionally substituted by alkyl, halo, nitro, oh, o-alkyl, sh, s-alkyl, cn, haloalkyl, o-haloalkyl and nrarbsubstitution;r8and r9each independently h, oh, alkyl, halo, o-alkyl, sh, s-alkyl, cn, haloalkyl, o-haloalkyl, nrarb(co) oh, (co) o-alkyl, so2nrarb、(co)nrarbor nh (co) -alkyl;r10is h, alkyl, (co) -alkyl or (co) -nrarb;r11and r12each independently h, oh, alkoxy, cycloalkoxy, heterocycloalkoxy, cycloalkylalkoxy, heterocycloalkylalkoxy, arylalkoxy, heteroarylalkoxy, aryloxy, or heteroaryloxy;raand rbeach is h or alkyl, or raand rbtogether with the nitrogen atom to which they are attached form a heterocycloalkyl group; and isn is an integer from 0 to 4.
1,127
10620
전분제품 및 당류 제조업
특허
ko
en
입체이성질체가 증대된 아민의 제조 방법 본 발명은 R6가 C1-C10 알킬, C2-C10 알케닐, C2-C10 알키닐, -(CR7R8)t(C6-C14 아릴) 및 -(CR7R8)t(4 내지 10원 헤테로사이클릭)으로부터 선택되고 상기 C6-C14 아릴 및 4 내지 10원 헤테로사이클릭이 할로, C1-C10 알킬, -OR7 및 -N(R7R8)에서 선택된 하나 이상의 치환체로 치환되거나 치환되지 않는 화학식 I의 화합물을 수용액, 유기 용매, 또는 유기 및 수성 용매의 혼합물 중에서 생체-촉매로 처리함을 포함하고, 이때 하나 이상의 입체이성질체가 선택적으로 가수분해되는, R6가 수소인 하기 화학식 I의 입체이성질체가 증대된 화합물을 제조하는 방법에 관한 것이다: 화학식 I R1, R2, R3, R4 및 R5가 하기에 정의하는 바와 같고, R6이 C1-C10 알킬, C2-C10 알케닐, C2-C10 알키닐, -(CR7R8)t(C6-C14 아릴) 및 -(CR7R8)t(4 내지 10원 헤테로사이클릭)으로부터 선택되고, 상기 C6-C14 아릴 및 4 내지 10원 헤테로사이클릭이 할로, C1-C10 알킬, -OR7 및 -N(R7R8)에서 선택된 하나 이상의 치환체로 치환되거나 치환되지 않는 화학식 I의 화합물을, 수용액, 유기 용매, 또는 유기 및 수성 용매의 혼합물중에서 생체촉매로 처리함을 포함하고, 하나 이상의 입체이성질체가 선택적으로 가수분해되는, 하기 화학식 I의 입체이성질체가 증대된 화합물을 제조하는 방법: 화학식 I [이미지] 상기 식에서, Z는 O, S, C=O, C=CH2 또는 -(CR7R8)-이고; R1은 수소, -(CR7R8)t(C6-C14 아릴), -CH2CH=CH2, -C(O)R7, -C(O)OR7, -C(O)C(O)OR7, 또는 -Si(R7)3이고, 이때 상기 C6-C14 아릴은 할로, C1-C10 알킬, -OR7 및 -N(R7R8)로부터 선택된 하나 이상의 치환체로 치환되거나 치환되지 않고; R2 및 R3는 독립적으로 수소, C1-C10 알킬, C2-C10 알케닐, C2-C10 알키닐, -(CR7R8)t(C6-C14 아릴) 및 -(CR7R8)t(4 내지 10원 헤테로사이클릭)으로부터 선택되고, 이때 상기 C6-C14 아릴 및 4 내지 10원 헤테로사이클릭은 할로, C1-C10 알킬, -OR7 및 -N(R7R8)에서 선택된 하나 이상의 치환체로 치환되거나 치환되지 않고; R4 및 R5는 독립적으로 수소, 할로, C1-C10 알킬, C2-C10 알케닐, C2-C10 알키닐, -(CR7R8)t(C6-C14 아릴) 및 -(CR7R8)t(4 내지 10원 헤테로사이클릭)으로부터 선택되고, 이때 상기 C6-C14 아릴 및 4 내지 10원 헤테로사이클릭은 할로, C1-C10 알킬, -OR7 및 -N(R7R8)에서 선택된 하나 이상의 치환체로 치환되거나 치환되지 않고; R6은 수소이고; R7 및 R8은 각각 독립적으로 수소, 할로, C1-C10 알킬, C1-C10 알콕시, C2-C10 알케닐, C2-C10 알키닐, -(CR9R9)t(C6-C14 아릴) 및 -(CR9R9)t(4 내지 10원 헤테로사이클릭)으로부터 선택되고, 이때 상기 C6-C14 아릴 및 4 내지 10원 헤테로사이클릭은 할로, C1-C10 알킬, -OR9 및 -N(R9R9)에서 선택된 하나 이상의 치환체로 치환되거나 치환되지 않고; R9는 각각 독립적으로 수소 및 C1-C10 알킬로부터 선택되고; t는 0 내지 5의 정수이다.
Methods for the preparation of stereoisomerically enriched aminesThe present invention relates to methods of preparing a stereoisomerically enriched compound of formula (I), wherein R is hydrogen, comprising treating a compound of formula (I), wherein R is chosen from C1-C10 alkyl, C2-C10 alkenyl, C2-C10 alkenyl,-(CRR)t(C6-C14 aryl), and -(CRR)t(4-10 membered heterocyclic), and wherein said C6-C14 aryl and 4-10 membered heterocyclic are optionally substituted with at least one substituent chosen from halo, C1-C10 alkyl, -OR, and -N(RR), with a biocatalyst in an aqueous solution, an organic solvent, or a mixture of organic and aqueous solvents wherein at least one stereoisomer is selectively hydrolyzed.The method for preparing stereoisomerically enriched formula (i) compound:wherein:z is o, s, c=o, c=ch 2or-(cr 7r 8)-; r 1for hydrogen ,-(cr 7r 8) t(c 6-c 14aryl) ,-ch 2ch=ch 2,-c (o) r 7,-c (o) or 7,-c (o) c (o) or 7or-si (r 7) 3, wherein said c 6-c 14aryl is selected from halogen, c by at least one alternatively 1-c 10alkyl ,-or 7with-n (r 7r 8) substituting group replace; r 2and r 3be independently selected from hydrogen, c 1-c 10alkyl, c 2-c 10alkenyl, c 2-c 10alkynyl ,-(cr 7r 8) t(c 6-c 14aryl) and-(cr 7r 8) t(4-10 unit heterocycle), wherein said c 6-c 14aryl and 4-10 unit heterocycle are selected from halogen, c by at least one alternatively 1-c 10alkyl ,-or 7with-n (r 7r 8) substituting group replace; r 4and r 5be independently selected from hydrogen, halogen, c 1-c 10alkyl, c 2-c 10alkenyl, c 2-c 10alkynyl ,-(cr 7r 8) t(c 6-c 14aryl) and-(cr 7r 8) t(4-10 unit heterocycle), wherein said c 6-c 14aryl and 4-10 unit heterocycle are selected from halogen, c by at least one alternatively 1-c 10alkyl ,-or 7with-n (r 7r 8) substituting group replace; r 6be hydrogen; r 7and r 8be selected from hydrogen, halogen, c independently of one another 1-c 10alkyl, c 1-c 10alkoxyl group, c 2-c 10alkenyl, c 2-c 10alkynyl ,-(cr 9r 9) t(c 6-c 14aryl) and-(cr 9r 9) t(4-10 unit heterocycle), wherein said c 6-c 14aryl and 4-10 unit heterocycle are selected from halogen, c by at least one alternatively 1-c 10alkyl ,-or 9with-n (r 9r 9) substituting group replace; r 9be selected from hydrogen and c independently of one another 1-c 10alkyl; and t is the integer of 0-5;described method comprises:with the compound of biological catalyst processing formula (i) in the mixture of the aqueous solution, organic solvent or organic solvent and water-containing solvent, wherein r 1, r 2, r 3, r 4and r 5as above-mentioned definition and r 6be selected from c 1-c 10alkyl, c 2-c 10alkenyl, c 2-c 10alkynyl ,-(cr 7r 8) t(c 6-c 14aryl) and-(cr 7r 8) t(4-10 unit heterocycle), and wherein said c 6-c 14aryl and 4-10 unit heterocycle are selected from halogen, c by at least one alternatively 1-c 10alkyl ,-or 7with-n (r 7r 8) substituting group replace, wherein at least a steric isomer is by the selectivity hydrolysis.
1,128
30331
자동차용 신품 동력 전달장치 제조업
특허
ko
en
하이브리드 추진 자동차 용 변속기의 기어 박스 액튜에이터 제어 방법 및 시스템연소 엔진 및 적어도 하나의 전기 기계를 포함하는 하이브리드 추진 차동차용 변속기(20)의 선택 메커니즘(10)의 적어도 하나의 기어 박스 액튜에이터(14)를 제어하는 방법으로서, 상기 변속기(20)는, 상기 연소 엔진 및/또는 전기 기계에 간접적으로 또는 직접적으로 연결되는 구동 샤프트, 상기 자동차의 구동 휠에 연결되는 구동하고 있는 샤프트, 및 한편으로는 구동하고 있는 샤프트 상에서 자유롭게 회전할 수 있는 아이들 기어에 고정되는 도르부와, 다른 한편으로는 상기 구동 샤프트에 회전하도록 연결된 포크(22, 24)에 고정된 도그부를 포함하는 적어도 하나의 커플링 시스템(26)을 구비하되, 상기 포크(22, 24)는 상기 도그부를 연결 또는 분리하기 위하여, 상기 기어 박스 액튜에이터(14)에 의해 상기 구동하는 샤프트의 축을 따라 종방향으로 이동하도록 되며, 상기 선택 메커니즘(10)은 감소비를 연결 또는 분리하기 위하여 상기 기어 박스 액튜에이터(14)에 의해 구동하는 샤프트의 축을 따라 이동할 수 있는 맞물림 핑거부(12) 및 상기 맞물림 핑커부(12)의 위치를 체결할 수 있는 상호 체결 메커니즘(16)을 포함하며, 상기 선택 메커니즘(10)은 포크를 안정화하기 위한 기계 시스템(18)을 포함한다. 상기 연결비(engaged ratio)는 위치 센서로부터 얻어진 액튜에이터(14)의 위치에 따라 분리되며; 상기 포크(22, 24)의 위치는 상기 포크(22, 24)에 따라 액튜에이터(124)의 위치를 반복적으로 결정함으로써 포크를 안정화시키도록 상기 시스템(18)의 어트랙션 영역으로 복귀하게 되며, 상기 액튜에이터(14)의 위치는 맞물림 핑거부(12)에 상기 상호 체결 메커니즘(16)을 재정렬하도록 중앙 위치로 조절된다.연소 엔진 및 적어도 하나의 전기 기계를 포함하는 하이브리드 추진 자동차용 변속기(20)의 선택 메커니즘(10)의 적어도 하나의 기어 박스 액튜에이터(14)를 제어하는 방법으로서, 상기 변속기(20)는, 상기 연소 엔진 및/또는 전기 기계에 간접 또는 직접적으로 연결되는 구동 샤프트, 상기 자동차의 구동 휠에 연결되는 구동하고 있는 샤프트, 및 한편으로는 구동하고 있는 샤프트 상에서 자유롭게 회전할 수 있는 아이들 기어에 고정되는 도그부(dog)와, 다른 한편으로는 상기 구동 샤프트에 회전하도록 연결된 포크(22, 24)에 고정된 도그부를 포함하는 적어도 하나의 커플링 시스템(26)을 구비하되, 상기 포크(22, 24)는 상기 도그부를 연결 또는 분리하기 위하여, 상기 기어 박스 액튜에이터(14)에 의해 상기 구동하는 샤프트의 축을 따라 종방향으로 이동하도록 되며, 상기 선택 메커니즘(10)은 감소비(reduction ratios)를 연결 또는 분리하기 위하여 상기 기어 박스 액튜에이터(14)에 의해 구동하는 샤프트의 축을 따라 이동할 수 있는 맞물림 핑거부(12) 및 상기 맞물림 핑커부(12)의 위치를 체결할 수 있는 상호 체결 메커니즘(16)을 포함하며, 상기 선택 메커니즘(10)은 포크를 안정화하기 위한 기계 시스템(18)을 포함하며, 연결비(engaged ratio)는 위치 센서로부터 얻어진 액튜에이터(14)의 위치에 따라 분리되며;상기 포크(22, 24)의 위치는 상기 포크(22, 24)에 따라 액튜에이터(124)의 위치를 반복적으로 결정함으로써 포크를 안정화시키는 상기 시스템(18)의 어트랙션 영역으로 복귀하게 되며;상기 액튜에이터(14)의 위치는 맞물림 핑거부(12)에 상기 상호 체결 메커니즘(16)을 재정렬하도록 중앙 위치로 조절되는 것을 특징으로 하는 기어 박스 액튜에이터를 제어하는 방법.
METHOD AND SYSTEM FOR CONTROLLING A GEARBOX ACTUATOR OF A TRANSMISSION FOR A HYBRID-PROPULSION MOTOR VEHICLEDisclosed is a method for controlling at least one gearbox actuator (14) of a selection mechanism (10) of a transmission (20) for a hybrid-propulsion motor vehicle comprising a heat engine and at least one electric machine, said transmission (20) comprising at least one dog clutch system (26) comprising dogs secured to a fork (22, 24) which is configured to be moved longitudinally along the axis of the drive shaft by the gearbox actuator (14), the selection mechanism (10) comprising engagement fingers (12), an inter-locking mechanism (16) and a mechanical system (18) for stabilising the forks.The engaged ratio is disengaged depending on the position of the actuator (14) obtained from a position sensor, the position of the fork (22, 24) is returned to the attraction zone of the system (18)for stabilising the forks by iteratively determining the position of the actuator (14) as a function of the fork (22, 24); and the position of the actuator (14) is adjusted to its central position inorder to realign the inter-locking mechanism (16) with the engagement finger (12).A method for controlling at least one gearbox actuator (14) of a selection mechanism (10) of a transmission (20) of a hybrid-propelled motor vehicle comprising a combustion engine and at least one electric machine, said transmission (20) comprising a drive shaft directly or indirectly connected to the combustion engine and/or the electric machine, and a drive shaft connected to the drive wheels of the motor vehicle, and at least one coupling system (26) comprising pawls fixed on the one hand to an idle gear freely rotating on the drive shaft and on the other hand to a fork (22, 24) rotationally coupled with the drive shaft; the fork (22, 24) is configured to be moved longitudinally along the axis of the drive shaft by the gearbox actuator (14) to engage or disengage said jaws; the selection mechanism (10) comprises: -engagement fingers (12) movable along the axis of the transmission shaft by the gearbox actuator (14) to engage or disengage the reduction ratio; and an interlocking mechanism (16) capable of locking the position of the engagement finger (12); the selection mechanism (10) comprises a mechanical system (18) for stabilizing the fork, characterized in that:-disengaging the engaged reduction ratio according to the position of the actuator (14) obtained from a position sensor;-returning the position of the fork (22, 24) to the attraction zone of the system for stabilizing the fork (18) by iteratively determining the position of the actuator (14) according to the fork (22, 24); and-adjusting the position of the actuator (14) to its central position to realign the interlocking mechanism (16) with the engagement finger (12).
1,128
29222
디지털 적층 성형기계 제조업
특허
ko
en
3D 인쇄 된 보석 및 그 운영 방법을 판매하는 장치3D 인쇄 된 쥬얼리를 판매하기위한 장치 및 방법이 개시되어있다. 3D 인쇄 된 보석을 판매하는 장치에는 3D 쥬얼리 헤드 오피스 서버에서 디자이너가 제조 한 보석 디자인, 보석 주요 재료 및 보석 구성 요소, 고객에게 수신하도록 구성된 고객 단말기, 3D로 전송하도록 구성된 디자이너 단말기가 포함되어 있다. 쥬얼리 헤드 오피스 서버 보석 디자인, 보석 주요 재료 및 고객이 구매하고자하는 보석 구성 요소, 보석 디자인, 보석 주요 재료를 검색하기 위해 보석 디자인 검색 창을 전송하도록 구성된 3D 쥬얼리 헤드 오피스 서버 보석 구성 요소는 3D 쥬얼리 프론트 데이터에 따라 3D 인쇄를 수행하여 3D 보석을 생성하여 3D 보석을 생성하는 3D 쥬얼리 프랜차이즈 서버를 고객 단말기에 전송하고 3D 쥬얼리 프랜차이즈 서버를 수행하는 데 사용되는 3D 쥬얼리 프랜차이즈 서버이다.3D 인쇄를 사용하여 인쇄 된 3 차원 (3D) 쥬얼리를 판매하는 장치로, 3D 쥬얼리 헤드 사무실 서버에서 디자이너가 제조 한 보석 디자인, 보석 주요 재료 및 보석 구성 요소를 등록하도록 구성된 설계자 단말기; 고객, 보석 디자인, 보석 주요 재료 및 고객이 보석 디자인, 보석 주요 재료 및 3D 쥬얼리 헤드에 등록 된 보석 구성 요소를 고객에게 수신하도록 구성된 고객 단말기 Office Server 및 보석 디자인, 보석 주요 재료 및 고객이 선택한 보석 구성 요소를 3D 쥬얼리 헤드 오피스 서버로 전송합니다. 보석 디자인, 보석 주요 재료 및 디자이너가 고객 터미널에 등록 된 보석 구성 요소를 검색하고 3D 인쇄를 수행하는 데 사용되는 3D 쥬얼리 프린터 데이터를 검색하기 위해 보석 디자인 검색 창을 전송하기 위해 보석 디자인 검색 창을 전송하도록 구성된 3D 쥬얼리 헤드 사무실 서버 보석 디자인, 보석 주요 재료 및 고객이 선택한 보석 구성 요소는 프랜차이즈 서버의 서버에 해당하는 3D 쥬얼리 프랜차이즈 서버로 선택합니다. 3D 쥬얼리 헤드 오피스 서버에서 수신 한 3D 보석 프린터 데이터에 따라 3D 인쇄를 수행하여 3D 보석을 생성하도록 구성된 3D 쥬얼리 프랜차이즈 서버가 보석을 등록하도록 구성된 보석 디자인 데이터베이스 (DB)가 포함되어 있다. 쥬얼리 디자인의 3D 인쇄 중에 사용되는 보석 주요 재료 및 보석 구성 요소를 등록하도록 구성된 쥬얼리 재료 및 보석 디자인 검색 창을 생성하도록 구성된 쥬얼리 주요 재료 및 보석 구성 요소를 등록하도록 구성된 디자인, 보석 디자인 검색 창, 보석 주요 재료, 그리고 각 카테고리에 따른 보석 구성 요소는 보석 디자인 검색 창을 고객 단말기에 제공하고 보석 디자인, 보석 주요 재료 및 고객 터미널을 통해 선택한 보석 구성 요소가 선택한 예상 보석 디자인을 표시합니다. 보석 디자인 검색 창; 3D 쥬얼리 프린터 데이터를 3D 인쇄에 저장하도록 구성된 3D 쥬얼리 프린터 데이터 저장소는 보석 디자인, 보석 주요 재료 및 고객 단말기를 통해 선택한 보석 구성 요소가 적용되는 것으로 예상 쥬얼리 디자인을 적용합니다. 3D 쥬얼리 프린터 데이터를 고객이 선택한 3D 보석 프린터 데이터와 보석 디자인이 고객 터미널에 변경할 수있는 디자인 편집기 도구를 제공하도록 구성된 디자인 편집기 도구 제공 업체 및 서로 다른 보석 설계에 의해 선택된 구역을 고객 단말기로 결합하여 얻은 편집 된 보석 디자인을 제공하고, 검색 창 생성기는 보석 디자인을 선택하기위한 보석 디자인 선택 필드, 보석 주재물을 선택하기위한 보석 주요 재료 선택 장, 쥬얼리 구성 요소를 선택하기위한 보석 구성 요소 선택 필드와 쥬얼리 디자인 검색 창에 제공된 보석 구성 요소가 보석 구성 요소 당 표준화 된 크기로 할당된다.
APPARATUS FOR SELLING 3D PRINTED JEWELRY AND OPERATING METHOD THEREFORDisclosed are an apparatus and method for selling 3D printed jewelry. The apparatus for selling 3D printed jewelry includes a designer terminal configured to register jewelry designs, jewelry main materials, and jewelry components manufactured by a designer in a 3D jewelry head office server, a customer terminal configured to receive from a customer and transmit to the 3D jewelry head office server a jewelry design, a jewelry main material, and a jewelry component that the customer desires to purchase, the 3D jewelry head office server configured to transmit a jewelry design search window for searching for the jewelry designs, the jewelry main materials, and the jewelry components to the customer terminal and transmit 3D jewelry printer data used to perform 3D printing to a 3D jewelry franchisee server, and the 3D jewelry franchisee server configured to produce 3D jewelry by performing 3D printing according to the 3D jewelry printer data.An apparatus for selling three-dimensional (3D) jewelry printed using 3D printing, comprising: a designer terminal configured to register jewelry designs, jewelry main materials, and jewelry components manufactured by a designer in a 3D jewelry head office server; a customer terminal configured to receive, from a customer, a jewelry design, a jewelry main material, and a jewelry component that the customer desires to purchase among the jewelry designs, the jewelry main materials, and the jewelry components registered in the 3D jewelry head office server and transmit the jewelry design, the jewelry main material, and the jewelry component selected by the customer to the 3D jewelry head office server; the 3D jewelry head office server configured to transmit a jewelry design search window for searching for the jewelry designs, the jewelry main materials, and the jewelry components registered by the designer to the customer terminal and transmit 3D jewelry printer data used to perform 3D printing using the jewelry design, the jewelry main material, and the jewelry component selected by the customer to a 3D jewelry franchisee server corresponding to a server of a franchisee; and the 3D jewelry franchisee server configured to produce 3D jewelry by performing 3D printing according to the 3D jewelry printer data received from the 3D jewelry head office server, wherein the 3D jewelry head office server includes a jewelry design database (DB) configured to register jewelry designs manufactured by designers, a jewelry material DB configured to register jewelry main materials and jewelry components used during 3D printing of jewelry designs, a search window generator configured to generate a jewelry design search window for searching for the jewelry designs, the jewelry main materials, and the jewelry components according to each category, provide the jewelry design search window to the customer terminal, and display an estimated jewelry design to which a jewelry design, a jewelry main material, and a jewelry component selected through the customer terminal are applied in the jewelry design search window; a 3D jewelry printer data storage configured to store 3D jewelry printer data used to 3D-print the estimated jewelry design to which the jewelry design, the jewelry main material, and the jewelry component selected through the customer terminal are applied; a 3D jewelry printer data transmitter configured to transmit the 3D jewelry printer data to the 3D jewelry franchisee server selected by the customer, and a design editor tool provider configured to provide a design editor tool through which a jewelry design is changeable to the customer terminal and provide an edited jewelry design obtained by combining zones selected by different jewelry designs to the customer terminal, and wherein the search window generator includes a jewelry design selection field for selecting a jewelry design, a jewelry main material selection field for selecting a jewelry main material, and a jewelry component selection field for selecting a jewelry component, through a search operation, and the jewelry components provided in the jewelry design search window are allocated a standardized size per jewelry component.
1,129
26211
액정 표시장치 제조업
특허
ko
en
고속 액정 편광 변조기시간-다중화 입체 3D 애플리케이션들을 위한 편광 변조기(20)는 빠른 속도로 대체 서브프레임들로 두 편광 상태들 사이를 스위칭한다. 편광 변조기는 제 1 디바이스가 대체 서브프레임들 동안 입사광의 입력 편광 상태로 되게 하는 변화를 제 2 디바이스가 보상하도록 광학 시리즈로 배열되어 구동(52)되는 두 개의 액정 디바이스(26, 28)를 사용한다. 보상 액정 디바이스들은, 이들 둘 다에 동일한 전압이 인가될 때, 인가되는 전압 레벨에 관계없이, 제 2 디바이스는 제 1 디바이스가 입력 편광 상태로 되게 하는 변화를 제 2 디바이스가 보상한다. 인가되는 전압이 한 레벨에서 다른 레벨로 변화되어 액정 디바이스들의 액정 물질(34c, 34n)이 새로운 전압 레벨로 완화된다면, 편광 상태 보상은 완화의 기간에 걸쳐 발생하여, 편광 상태의 변화로서 느리고, 비전력화 되는 천이는 나타나지 않는다.관찰자가 시간-다중화 입체 3차원 이미지를 보기 위한 광학 편광 상태 변조기로서, 상기 변조기는 입력 편광 상태의 광을 교호 시퀀스로 수신하고 업데이트된 이미지 부분들을 포함하는 제 1 및 제 2 서브프레임들 중 다른 서브프레임에서 한 장면의 제 1 및 제 2 투시 이미지들을 전달하고, 상기 광학 편광 상태 변조기는,광학 시리즈로 결합된 제 1 및 제 2 액정 디바이스들로서, 이들을 통해 전파되는 편광된 광은 제 1 및 제 2 액정 디바이스들에 인가되는 전압들에 응답하여 편광 상태가 변경될 수 있고,제 1 및 제 2 액정 디바이스들은 각각의 제 1 및 제 2 세트들의 디렉터들을 갖고, 인가되는 동일한 전압의 제거에 응답하여, 제 1 및 제 2 세트들의 디렉터들이 협력하여 편광 상태 변화들을 완화시키고 이에 의해 동적으로 상쇄하도록 구성 및 배향되어, 제 1 및 제 2 액정 디바이스들의 결합을 통해 전파되고 나가는 입사광의 여러 파장들이 입력 편광 상태로 되는, 제 1 및 제 2 액정 디바이스들; 각각의 제 1 및 제 2 액정 디바이스들에 제 1 및 제 2 구동 신호들을 전달하는 구동 회로로서, 상기 제 1 및 제 2 구동 신호들은 제 1 및 제 2 액정 디바이스들에 대한 낮은 크기의 디렉터 필드 상태들을 확립하는 낮은 크기의 레벨들을 포함하고, 제 1 및 제 2 구동 신호들은 제 1 및 제 2 액정 디바이스들에 대해 높은 크기의 디렉터 필드 상태들을 확립하는 낮은 크기의 레벨에서 높은 크기의 레벨로의 전력화된 천이들을 갖는 펄스들을 포함하는, 구동 회로를 포함하고, 상기 제 1 및 제 2 구동 신호들은 제 1 및 제 2 서브프레임들 중 하나의 서브프레임 동안 협력하여, 제 1 및 제 2 액정 디바이스들에서, 하나의 서브프레임의 업데이트된 이미지 부분 동안 디렉터들이 완화시키는 높은 크기의 디렉터 필드 상태들의 형성을 생성하여, 제 1 및 제 2 세트들의 디렉터들은 편광 상태 변화들을 상쇄하고, 이에 의해, 제 1 및 제 2 액정 디바이스들의 결합을 통해 전파되는 이미지 전달 편광된 광에 입력 편광 상태인 제 1 출력 편광 상태를 제공하고,상기 제 1 및 제 2 구동 신호들은 제 1 및 제 2 서브프레임들 중 다른 서브프레임 동안 협력하여, 제 1 및 제 2 액정 디바이스들 중 다른 액정 디바이스에서 다른 서브프레임의 업데이트된 이미지 부분 동안 낮고 높은 크기의 디렉터 필드 상태들의 형성을 생성하여, 제 1 및 제 2 세트들의 디렉터들은 편광 상태 변화들을 상쇄하지 않고, 이에 의해, 제 1 및 제 2 액정 디바이스들의 결합을 통해 전파되는 이미지 전달 편광된 광에 제 1 출력 편광 상태와 다른 제 2 출력 편광 상태를 제공하는, 광학 편광 상태 변조기.
HIGH-SPEED LIQUID CRYSTAL POLARIZATION MODULATORA polarization modulator (20) for time-multiplexed stereoscopic 3D applications rapidly switches between two polarization states in alternate subframes. The polarization modulator uses two liquid crystal devices (26, 28) arranged in optical series and driven (52) such that the second device compensates a change the first device makes to an input polarization state of incident light during alternate subframes. The compensating liquid crystal devices are characterized in that, if the same voltage is applied to both of them, the second device compensates the change that the first device makes to the input polarization state, regardless of the applied voltage level. If the applied voltage is changed from one level to another and the liquid crystal material (34c, 34n) in the liquid crystal devices relaxes to the new voltage level, polarization state compensation will take place throughout the duration of the relaxation so that the slow, unpowered transition does not manifest itself as a change in polarization state.An optical polarization state modulator for time-multiplexed stereoscopic three-dimensional image viewing by an observer, the modulator receiving in alternating sequence light in an input polarization state and carrying first and second perspective view images of a scene in different ones of first and second subframes that include updated image portions, comprising:first and second liquid crystal devices combined in optical series so that polarized light propagating through them can undergo a change in polarization state in response to voltages applied to the first and second liquid crystal devices;the first and second liquid crystal devices having respective first and second sets of directors and constructed and oriented to cause, in response to removal of applied equal voltages, the directors in the first and second sets to cooperatively relax and thereby dynamically offset the polarization state changes so that multiple wavelengths of the incident light propagating through and exiting the combination of first and second liquid crystal devices are in the input polarization state;drive circuitry delivering first and second drive signals to the respective first and second liquid crystal devices, the first and second drive signals including lower magnitude levels that establish lower magnitude director field states for the first and second liquid crystal devices, and the first and second drive signals including pulses having lower-to-higher magnitude level powered transitions that establish higher magnitude director field states for the first and second liquid crystal devices;the first and second drive signals cooperating during one of the first and second subframes to cause, in the first and second liquid crystal devices, formation of the higher magnitude director field states from which the directors relax during the updated image portion of the one subframe such that the directors in the first and second sets offset the polarization state changes and thereby impart, to the image- carrying polarized light propagating through the combination of first and second liquid crystal devices, a first output polarization state that is the input polarization state; andthe first and second drive signals cooperating during the other of the first and second subframes to cause, in different ones of the first and second liquid crystal devices, formation of the lower and higher magnitude director field states during the updated image portion of the other subframe such that the directors in the first and second sets do not offset the polarization state changes and thereby impart, to the image-carrying polarized light propagating through the combination of first and second liquid crystal devices, a second output polarization state that is different from the first output polarization state.
1,130
20111
석유화학계 기초 화학 물질 제조업
특허
ko
en
베타-아미노 알콜의 제조법(Process for producing bata-amino alcohol)3(S)-[저급 알콕시 카보닐 아미노, 페녹시 카보닐 아미노 또는 벤빌 옥시카보닐 아미노]-2-하이드록시-4-페닐 부티르산을 거쳐 2-[3-(S)-아미노-2-(R)-하이드록실-4-페닐 부틸]-N-3급 부틸 테카하이드로-(4aS, 8aS)-이소퀴놀린-e(S)-카복스아미드를 제조하는 방법 및 그 산을 제조하는 방법.하기 화학식(2)의 L-페닐알라닌을 프탈산 무수물과 반응시키는 단계 a), 생성된 다음 화학식(3)의 3-페닐-2(S)-프탈이미도프로피온산을 상응하는 산클로라이드로 전환시키는 단계, b) 생성된 다음 화학식(4)의 3-페닐-2(S)-프탈이미도프로피온산 클로라이드를 환원시키는 단계, c) 생성된 다음 화학식(5)의 3-페닐-2(S)-프탈이미도프로판-1-알을 화학식(6)의 1-시아노-3-페닐-2(S)-프탈이미도프로판-1-올로 전환시키는 단계, d) 생성된 다음 화학식(6)의 니트릴을 가수분해하는 단계, e) 형성된 다음 화학식(7) 의 3(S)-아미노-2-하이드록시-4-페닐부티르산을 클로로 포름산의 저급 알킬 에스테르 또는 페닐 또는 벤질 에스테르와 반응시키는 단계, f) 생성 다음 화학식 (8)의 3(S)-[저급 알콕시카보닐아미노-, 페닐옥시카보닐아미노- 또는 벤질옥시카보닐아미노]-2-하이드록시-4-페닐부티르산을 폐환시키는 단계, g) 생성된 다음 화학식(9)의 (4S, 5S)-4-벤질-2-옥소-옥사졸리딘-5-카복실산을 저급 알칸올을 사용하여 에스테르화시키는 단계, h) 생성된 다음 화학식(10)의 (4S,5S)-4-벤질-2-옥소-옥사졸리딘-5-카복실산 저급 알킬 에스테르를 환원시키는 단계, i) 생성된 다음 화학식(11)의 (4S,5S)-4-벤질-5-하이드록시메틸옥사졸리딘-2-온을 염기의 존재하에 화학식 R2-SO2C1 의 설폰산 클로라이드(여기서, R2 는 저급 알킬, 페닐 또는 할로겐, 저급 알킬 또는 니트로에 의해 일치환되거나 이치환된 페닐이다)와 반응시키는 단계, j) 생성된 다음 화학식(12)의 설폰산 에스테르를 염기의 존재하에 다음 화학식(13)의 N-3급-부틸-데카하이드로-(4aS, 8aS)-이소퀴놀린-3(S)-카복스아미드와 반응시키는 단계, k)및 생성된 화학식(14)의 2-[(4S,5R)-4-벤질-2-옥소-옥사졸리딘-5-일 메틸]-N-3급-부틸-테카하이드로-(4aS,8aS)-이소퀴놀린-3(S)-카복스아미드를 염기로 처리하는 단계, i)을 포함하여, 다음 화학식(1)의 2-[3(S)-아미노-2(R)-하이드록시-4-페닐부틸]-N-3급-부틸-데카하이드로-(4aS,8aS)-이소퀴놀린-3(S)-카복스아미드를 제조하는 방법. [이미지] [이미지] [이미지] 상기 화학식(8), (10) 및 (12)에서, R은 저급 알킬, 페닐 또는 벤질이고, R1 은 저급 알킬이며, R2 는 저급 알킬, 페닐 또는 할로겐, 저급 알킬 또는 니트로에 의해 일치환되거나 이치환된 페닐이다.
Method for producing beta-amino alcoholA process for producing 2-[3(S)-amino-2-(R)-hydroxyl-4-phenyl butyl]-N-tert.butyl decahydro-(4aS, 8aS)-isoquinoline-e(S)-carboxamide of the formula (I) via 3(S)-[lower alkoxy carbonyl amino, phenoxy carbonyl amino or benzyl oxycarbonyl amino]-2-hydroxy-4-phenyl butyric acid and process for producing said acid.Produce following formula 2-[3 (s)-amino-2 (r)-hydroxy-4-phenyl butyl]-the n-tertiary butyl-decahydroization-(4as, 8as)-method of isoquinoline 99.9-3 (s)-methane amide: it is characterized in that:a) make following formula l-phenylalanine with phthalic anhydride,b) following formula 3-phenyl-2 (the s)-phthaloyl imino propionic acid product that is generated is changeedchange into corresponding acyl chlorides, c) reduction resulting following formula 3-phenyl-2 (s)-phthaloyl imino propionyl chloride product: d) with resulting following formula 3-phenyl-2 (s)-phthaloyl imino third-1-aldehyde product change into following formula 1-cyano group-3-phenyl-2 (s)-phthaloyl imino third-1-alcohol e) hydrolyzing type vi nitrile product, f) with formed following formula 3 (s)-amino-2-hydroxy-4-phenyl butyric acid product: with the lower alkyl esters of chloroformic acid or phenyl or benzyl ester reaction, the g) following formula 3 (s) of cyclisation gained-[elementary alkoxy carbonyl amino-, carbobenzoxy amino or benzyloxycarbonyl amino]-2-hydroxy-4-phenyl butyric acid product: wherein r represents low alkyl group, phenyl or benzyl, h) with low-level chain triacontanol esterification resulting following formula (4s, 5s)-4-benzyl-2-oxo-oxazolidines-5-carboxylic acid product: i) following formula of reduction gained (4s, 5s)-4-benzyl-2-oxo-oxazolidine-5-carboxylic acid low alkyl group ester products: r wherein 1represent low alkyl group, j) in the presence of alkali, with resulting following formula (4s, 5s)-4-benzyl-5-methylol-oxazolidines-2-ketone product: with general formula r 2-so 2the reaction of cl sulphuryl chloride, wherein r 2represent low alkyl group, phenyl or by halogen, the phenyl of low alkyl group or nitro list-or two-replace, k) with the following general formula sulphonate product that is generated: r wherein 2definition the same, in the presence of alkali with the following formula n-tertiary butyl-decahydroization-(4as, 8as) isoquinoline 99.9-3 (s)-formamide: and l) with alkaline purification following formula 2-[(4s, 5r)-4-benzyl-2-oxo-oxazolidines-5-ylmethyl]-the n-tertiary butyl-decahydroization-(4as, 8as)-isoquinoline 99.9-3 (s)-methane amide product:
1,131
27302
사진기, 영사기 및 관련 장비 제조업
특허
ko
en
내부 루미너스 사인을 가지는 차량의 적층형 방풍창본 발명은 차량용 내부 루미너스 사인을 가지는 적층형 방풍창에 관한 것으로서, 제1 주 표면(11)(F1) 및 제2 대향 주 표면(12)(F2)를 가지는 제1 볼록 유리 패널(1), 및 제3 주 표면(13)(F3) 및 제4 대향 주 표면(14)(F4)를 가지는 제2 볼록 유리 패널(1')을 포함하고, 2개의 유리 패널 중 하나는 광물 유리로 제조되고, 제1 및 제2 유리 패널은 열성형성, 열가소성 중합체 재료로 제조된 적층 삽입체(3, 33, 34, 35)에 의해서 표면(F2 및 F3)을 통해서 서로 연결되며, 삽입체는: 표면(F2)(31) 측면 상의 제1 주 접착 표면 및 표면(F3)(32) 측면 상의 제2 주 접착 표면; 제2 부착 표면(32)과 표면(F3)(13) 사이에 있거나 표면(F4)(14) 상에 있는, 불투명 재료로 제조되고, 불연속부를 가지는, 제1 주변, 내부 마스킹 층(4); 사인(5)을 형성하는 불연속부(51); 내부 마스킹 층(4) 및 불연속부(51)에 대향되는, 제1 부착 표면(31)과 표면(F2)(12) 사이의, 불투명 재료로 제조된, 제2 주변, 외부 마스킹 층(6); 및 표면(F2 및 F3) 사이의, 곡선형 유기 발광 다이오드(OLED, 2) 장치를 포함하고 사인(5)을 조사하기 위해서 가시광선 스펙트럼을 방출하는 공급원을 포함한다.하나 이상의 내부 루미너스 사인을 포함하는 적층형 차량 방풍창(100 내지 700)으로서:- 특히 차량의 외측 측면이 되도록 의도된 F1으로 지칭되는 제1 주 면(11), 및 F2로 지칭되는 대향된 제2 주 면(12)을 가지는, 바람직하게 2.5 mm 이하의 두께(E1)의, 제1 곡선형 글레이징(1);- F3로 지칭되는 제3 주 면(13) 및, 특히 차량의 내측 측면이 되도록 의도된, F4로 지칭되는 대향된 제4 주 면(14)을 가지는, 바람직하게 2.2 mm 이하의 두께(E'1)의, 제2 곡선형 글레이징(1')으로서, 제1 및 제2 글레이징 중 적어도 하나가 광물 유리로 제조되며,상기 제1 및 제2 글레이징은 열성형성 및 열가소성 중합체 재료로 제조된 적층 중간층(3, 33, 34, 35)에 의해서 면(F2 및 F3)을 통해서 함께 연결되고, 상기 중간층은 제1 결합 주 면(31), 면(F2) 측면 및 제2 결합 주면(32), 면(F3) 측면을 포함하는, 제2 곡선형 글레이징(1');- 제2 결합 면(32)과 면(F3)(13) 사이에 있거나 또는 면(F4)(14) 상에 있는, 불투명 재료로 제조된 내측부 마스킹 층(4)으로 지칭되는 제1 주변 층으로서, 상기 내측부 마스킹 층은 불연속적이고 그에 따라 하나 이상의 제1 불연속부(51)를 포함하고, 하나 이상의 불연속부는, 하나 이상의 심볼 및/또는 문자로 구성된 제1 사인(5)을 형성하는, 제1 주변 층; - 내측부 마스킹 층(4) 및 하나 이상의 제1 불연속부에 대면되는, 제1 결합 면(31)과 면(F2)(12) 사이의, 불투명 재료로 제조된 외측부 마스킹 층(6)으로 지칭되는 제2 주변 층; 및 - 제1 사인을 위한 제1 복사선 공급원을 포함하는, 적층형 차량 방풍창(100 내지 700)에 있어서,제1 광원은, 가시광선 스펙트럼 내의 제1 방출을 방출하여 제1 사인을 조사할 수 있는, 면(F2 및 F3) 사이에서 OLED 장치(2)로 지칭되는 제1 곡선형 유기-발광-다이오드 장치를 포함하는 것을 특징으로 하는 적층형 차량 방풍창(100 내지 700).
LAMINATED WINDSCREEN OF VEHICLE WITH INTERNAL LUMINOUS SIGNAGEThe invention relates to a laminated windscreen with internal luminous signage for a vehicle, including a first convex glass panel (1), with a first main surface (11) F1 and a second opposing main surface (12) F2, and a second convex glass panel (1'), with a third main surface (13) F3 and a fourth opposing main surface (14) F4, one of the two glass panels being made of mineral glass, said first and second glass panels being connected to one another via the surfaces F2 and F3 by a laminating insert (3, 33, 34, 35) made of a thermoformable, thermoplastic polymer material, said insert comprising: a first main adherence surface on the surface F2 (31) side and a second main adherence surface on the surface F3 (32) side; a first peripheral, inner masking layer (4) made of opaque material, which is between the second adherence surface (32) and the surface F3 (13) or which is on the surface F4 (14), said inner masking layer being discontinuous; a discontinuity (51) forming a signage (5); a second peripheral, outer masking layer (6) made of opaque material, between the first adherence surface (31) and the surface F2 (12), opposite the inner masking layer (4) and said discontinuity (51); and a source comprising a curved organic light-emitting diode (OLED, 2) device, between the surfaces F2 and F3, and emitting in the visible spectrum to illuminate the signage (5).One kind lamination vehicle windscreen(100 to 700), it includes one or more internal illumination marks, and it is included:- first surface glassing (1), it has the first interarea (11) referred to as f1, and the face is intended in particular to composition vehicle outside, and opposite the second interarea (12) referred to as f2, it preferably has up to 2.5 millimeters of thickness e 1;(1'), it has the 3rd interarea (13) referred to as f3 and opposite the 4th referred to as f4 to-the second curved surface glassing interarea (14), the face is intended in particular to composition vehicle interior side, and it preferably has up to 2.2 millimeters of thickness e ' 1, first and second at least one of glassing is made up of unorganic glass,first and second glassing is via face f2 and f3 by being made up of thermoformable and thermoplastic polymeric material laminated sandwich (3,33,34,35) is bonded together, and the interlayer includes the first bonding interarea (31), and face f2 sides and second are glued close interarea (32), face f3 sides;- the first outer perisphere referred to as interior masking layer (4) being made up of opaque material, it is in the second adhesive surface (32) and face between f3 (13) or on face f4 (14), the interior masking layer is discontinuous and therefore includes one or more first not continuity(51), the formation of one or more of first discontinuities be made up of one or more symbols and/or letter first symbol(5);- the second outer perisphere referred to as outer masking layer (6) being made up of opaque material, it is in the first adhesive surface (31) and face between f2 (12), inward-facing masking layer (4) and one or more first discontinuities;with- it is used for the first radiation source of the first mark,it is characterized in that the first light source is included in the first surface organic light emission referred to as oled (2) between face f2 and f3 diode component, can launch the first transmitting in the visible spectrum, illuminate the first mark.
1,131
20119
석탄화학계 화합물 및 기타 기초 유기화학 물질 제조업
특허
ko
en
방향족 니트릴 화합물의 제조 방법본 발명은, 안전하고 또한 저렴하게, 고효율로, 고순도의 방향족 니트릴 화합물 및 방향족 카르복실산 화합물을 제조하는 방법을 제공한다. 화합물 (2) 를, 필요에 따라 첨가제의 존재 하, 빌게로트 반응시켜, 수득한 아미드 화합물 (3) 을 가수분해한 후, 중화하여, 카르복실산 화합물 (4) 를 수득한다. 카르복실산 화합물 (4) 를, 필요에 따라 촉매의 존재 하, 유기 용매 중, 할로겐화제와 반응시키고, 추가로 아미드화제와 반응시켜, 수득한 아미드 화합물 (5) 또는 (6) 을, 탈수제와 반응시켜, 니트릴 화합물 (1) 을 수득한다. 대안적으로, 카르복실산 화합물 (4) 를, 필요에 따라 촉매의 존재 하, 유기 용매 중, 할로겐화제 및 식 R6SO2R7 로 나타내는 화합물과 반응시켜, 니트릴 화합물 (1) 을 수득한다. 기호 Np 는 치환기를 가질 수 있는 나프틸기이고, R5 는 C1-3 알킬렌기를 나타내고, 그 밖의 기호는 명세서에 기재된 바와 같다.하기 단계 1 및 단계 2 를 포함하는, 일반식 (1) Np-R5-CN (1) (일반식 (1) 중, Np 는 임의로 치환기(들) 를 갖는 나프틸기이고, R5 는 탄소수 1 ~ 3 의 알킬렌기임)로 나타내는 니트릴 화합물의 제조 방법: 단계 1: 일반식 (2) Np-CO-R1 (2) (일반식 (2) 중, Np 는 상기 정의된 바와 같고, R1 은 탄소수 1 ~ 3 의 알킬기임) 로 나타내는 화합물을, 필요에 따라 첨가제의 존재 하, 빌게로트 (Willgerode) 반응시켜 일반식 (3) Np-R5-C(=X)-NR3R4 (3) (일반식 (1) 중, Np 및 R5 는 상기 정의된 바와 같고, X 는 산소 원자 또는 황 원자이고, R3 및 R4 는, 각각 독립적으로, 임의로 질소 원자, 산소 원자 또는 황 원자를 갖는 탄소수 1 ~ 3 의 알킬기 또는 수소 원자이고, R3 및 R4 는 임의로 결합하여 고리를 형성함) 으로 나타내는 화합물을 수득하고, 수득한 화합물을 가수분해한 후, 중화하여 일반식 (4) Np-R5-COOH (4) (일반식 (4) 중, Np 및 R5 는 상기 정의된 바와 같음)로 나타내는 카르복실산 화합물을 수득하는 단계; 단계 2: 단계 2A 또는 단계 2B 단계 2A: 상기 단계 1 에서 수득한 상기 일반식 (4) 로 나타내는 카르복실산 화합물을, 필요에 따라 촉매의 존재 하, 유기 용매 중, 할로겐화제와 반응시키고, 수득한 화합물을 추가로 아미드화제와 반응시켜 일반식 (5) Np-R5-CONH2 (5) (일반식 (5) 중, Np 및 R5 는 상기 정의된 바와 같음)또는 일반식 (6) Np-R5-CONHOH (6) (일반식 (6) 중, Np 및 R5 는 상기 정의된 바와 같음)으로 나타내는 화합물을 수득하고, 수득한 화합물을 탈수제와 반응시켜, 상기 일반식 (1) 로 나타내는 니트릴 화합물을 수득하는 단계; 단계 2B: 상기 단계 1 에서 수득한 상기 일반식 (4) 로 나타내는 카르복실산 화합물을, 필요에 따라 촉매의 존재 하, 유기 용매 중, 할로겐화제 및 일반식 (7) R6SO2R7 (7) (일반식 (7) 중, R6 및 R7 은 각각 독립적으로, 염소 원자, 히드록실기, 아미노기, 이소시아네이트기 또는 p-톨릴기임) 로 나타내는 화합물과 반응시켜, 상기 일반식 (1) 로 나타내는 니트릴 화합물을 수득하는 단계.
METHOD FOR MANUFACTURING AROMATIC NITRILE COMPOUNDThe present invention provides a method for industrially producing a highly pure aromatic nitrile compound and a highly pure aromatic carboxylic acid compound safely and highly efficiently at low costs. Compound (2) is subjected to Willgerodt reaction in the presence of an additive as necessary, and the obtained amide compound (3) is hydrolyzed and neutralized to give carboxylic acid compound (4). Carboxylic acid compound (4) is reacted with a halogenating agent in the presence of a catalyst as necessary in an organic solvent, and further reacted with an amidating agent, and the obtained amide compound (5) or (6) is reacted with a dehydrating agent to give nitrile compound (1). Alternatively, carboxylic acid compound (4) is reacted with a halogenating agent and a compound represented by the formula R6SO2R7 in the presence of a catalyst as necessary in an organic solvent to give nitrile compound (1). Np is a naphthyl group optionally having substituent(s), R5 is an alkylene group having 1-3 carbon atoms, and other symbols are as described in the DESCRIPTION. A method for producing a nitrile compound represented by the formula (1)         Np-R5-CN     (1) wherein, in the formula (1), Np is a naphthyl group optionally having substituent(s), and R5 is an alkylene group having 1-3 carbon atoms, comprising the following step 1 and step 2: step 1: a step of subjecting a compound represented by the formula (2)         Np-CO-R1     (2) wherein, in the formula (2), Np is as defined above, and R1 is an alkyl group having 1-3 carbon atoms, to Willgerodt reaction in the presence of an additive as necessary to give a compound represented by the formula (3)         Np-R5-C (=X) -NR3R4     (3) wherein, in the formula (1), Np and R5 are as defined above, X is an oxygen atom or a sulfur atom, R3 and R4 are each independently an alkyl group having 1-3 carbon atoms and optionally having a nitrogen atom, an oxygen atom or a sulfur atom, or a hydrogen atom, and R3 and R4 are optionally bonded to form a ring, and hydrolyzing and thereafter neutralizing the obtained compound to give a carboxylic acid compound represented by the formula (4)         Np-R5-COOH     (4) wherein, in the formula (4), Np and R5 are as defined above; step 2: step 2A or step 2B step 2A: a step of reacting the carboxylic acid compound represented by the aforementioned formula (4), obtained in the aforementioned step 1, with a halogenating agent in the presence of a catalyst as necessary in an organic solvent, further reacting the obtained compound with an amidating agent to give a compound represented by the formula (5)        Np-R5-CONH2     (5) wherein, in the formula (5), Np and R5 are as defined above, or the formula (6)         Np-R5-CONHOH     (6) wherein, in the formula (6), Np and R5 are as defined above, and reacting the obtained compound with a dehydrating agent to give a nitrile compound represented by the aforementioned formula (1); step 2B: a step of reacting the carboxylic acid compound represented by the aforementioned formula (4), obtained in the aforementioned step 1, with a halogenating agent and a compound represented by the formula (7)         R6SO2R7     (7) wherein, in the formula (7), R6 and R7 are each independently a chlorine atom, a hydroxyl group, an amino group, an isocyanate group or a p-tolyl group, in the presence of a catalyst as necessary in an organic solvent to give a nitrile compound represented by the aforementioned formula (1).
1,132
26519
비디오 및 기타 영상 기기 제조업
특허
ko
en
자기 테이프 상에 기록된 텔레비전 프로그램의 재생을실재 개시할 수 있는 재생 장치정상-플레이 재생 속도(V1), 적어도 하나의 트릭-플레이 재생 속도(V2-V9) 및 감는 속도(VS, VR)를 갖는 자기 테이프(15)로서, 상기 적어도 하나의 트릭-플레이 재생 속도(V2-V9) 및 감는 속도(VS-VR)가 정상-플레이 재생 속도(V1) 보다 빠른 상기 자기 테이프(15)를 이송하기 위한 자기 테이프 이송 수단(8)을 포함하고, 정상-플레이 재생 속도(V1)로 자기 테이프 이송 수단(8)에 의해 이송된 자기 테이프(15)의 정상-플레이 재생 영역들로부터, 트릭-플레이 재생 속도(V2-V9)로 자기 테이프 이송 수단(8)에 의해 이송된 자기 테이프(15)로부터의 트릭-플레이 재생 영역으로부터, 디지털 신호 데이터(SD)를 재생하기 위한 재생 수단(7, 10)을 포함하고, 소정의 자기 테이프 위치(P3, P4, P7, P10, P11)에 정상-플레이 재생 속도(V1) 및 계속해서 정상-플레이 재생 속도(V1)를 갖는 자기 테이프(15)의 이송보다 빠른 속도로 도달될 때까지 자기 테이프(15)의 이송을 달성하기 위한 플레이백(playback) 탐색 수단(31)을 포함하는 재생 장치에 있어서, 할당 수단(30)은 적어도 하나의 트릭-플레이 재생 속도(V2-V9)로 적어도 하나의 자기 테이프 위치(P3, P4, P7, P10, P11)에 할당하기 위해 배열되고, 제어 수단(31)은 소정의 자기 테이프 위치(P3, P4, P7, P10, P11)가 소정의 자기 테이프 위치(P3, P4, P7, P10, P11)에 할당된 트릭-플레이 재생 속도(V2-V9)로 도달될 때까지 자기 테이프(15)를 이송하기 위한 자기 테이프 이송 수단(8)을 제어하기 위해 소정의 자기 테이프 위치(P3, P4, P7, P10, P11)에 도달하기 위해 제공된다.정상-플레이 재생 속도(V1), 정상-재생 속도(V1) 보다 빠른 적어도 하나의 트릭-플레이 재생 속도(V2-V9) 및 감는 속도(VS, VR)로 자기 테이프(15)를 이송하기 위한 자기 테이프 이송 수단(8)을 포함하고, 상기 자기 테이프 이송 수단(8)에 의해 정상-플레이 재생 속도(V1)로 이송된 상기 자기 테이프(15)의 정상-플레이 재생 영역들로부터 또는 자기 이송 수단(8)에 의한 트릭-플레이 이송 속도(V2-V9)로 이송된 상기 자기 테이프(15)의 트릭-플레이 재생 영역들로부터 디지털 신호 데이터(SD)를 재생하기 위한 재생 수단들(7, 10)을 포함하고, 소정의 자기 테이프 위치(P3, P4, P7, P10, P11)가 정상-플레이 재생 속도(V1)보다 빠른 속도로 도달될 때까지 상기 자기 테이프(15)의 이송을 얻기 위해, 계속해서 상기 정상-플레이 재생 속도(V1)로 상기 자기 테이프(15)의 이송을 얻기 위해 플레이백 탐색 모드에서 상기 자기 테이프 이송 수단(8)을 제어하기 위한 제어 수단을 포함하는, 재생 장치로서, 할당 수단(30)은 적어도 하나의 트릭-플레이 재생 속도(V2-V9)로 적어도 하나의 소정 자기 테이프 위치(P3, P4, P7, P10, P11)를 할당하기 위해 배열되고, 제어 수단(31)은 소정의 자기 테이프 위치(P3, P4, P7, P10, P11)가 상기 소정의 자기 테이프 위치(P3, P4, P7, P10, P11)에 할당된 트릭-플레이 재생 속도(V2-V9)로 도달될 때까지 상기 자기 테이프(15)의 이송을 실현하기 위해 상기 자기 테이프 이송 수단(8)을 제어하기 위한 상기 소정의 자기 테이프 위치(P3, P4, P7, P10, P11)에 도달하기 위해 제공되는 것을 특징으로 하는, 재생 장치.
Reproducing apparatus enabling the actual beginning of the reproduction of a television program recorded on a magnetic tapeIn a reproducing apparatus (1) comprising magnetic tape transport means (8) for transporting a magnetic tape (15) with a normal-play reproduction speed (V1), at least one trick-play reproduction speed (V2-V9) and a winding speed (VS, VR), where the at least one trick-play reproduction speed (V2-V9) and the winding speed (VS-VR) are higher than the normal-play reproduction speed (V1), and comprising reproducing means (7, 10) for reproducing digital signal data (SD) from normal-play reproduction areas of a magnetic tape (15) transported by the magnetic tape transport means (8) with the normal-play reproduction speed (V1) or from trick-play reproduction areas from a magnetic tape (15) transported by the magnetic tape transport means (8) with a trick-play reproduction speed (V2-V9), and comprising control means (31) for controlling the magnetic tape transport means (8) in a playback search mode to achieve a transportation of the magnetic tape (15) until a predefined magnetic tape position (P3, P4, P7, P10, P11) is reached with a higher speed than the normal-play reproduction speed (V1) and, subsequently, a transportation of the magnetic tape (15) with the normal-play reproduction speed (V1), assigning means (30) are arranged for assigning at least one predefined magnetic tape position (P3, P4, P7, P10, P11) with an at least one trick-play reproduction speed (V2-V9) and the control means (31) are provided for reaching a predefined magnetic tape position (P3, P4, P7, P10, P11) for controlling the magnetic tape transport means (8) to transport the magnetic tape (15) until the predefined magnetic tape position (P3, P4, P7, P10, P11) is reached with the trick-play reproduction speed (V2-V9) assigned to the predefined magnetic tape position (P3, P4, P7, P10, P11).A reproducing device (1) comprising:magnetic tape transport device (8), be used for normal play playback speed (v1), a kind of speical play playback speed (v2-v9) and a kind of strip winding speed (vs, vr) at least a speed in transmits tape (15), wherein a kind of speical play playback speed (v2-v9) and a kind of strip winding speed (vs, vr) at least a speed in is higher than normal play playback speed (v1), and this equipment comprises replay device (7,10), be used for distinguishing the digital signal data (sd) of resetting with the normal play playback of the tape (15) of normal play playback speed (v1) transmission from magnetic tape transport device (8), the perhaps speical play of the tape (15) that transmits with a kind of speical play playback speed (v2-v9) from magnetic tape transport device (8) digital signal data (sd) of resetting the district of resetting, and this equipment comprisescontrol device (31), be used at playback search pattern control magnetic tape transport device (8), so that transmit tape (15) with the speed that is higher than normal play playback speed (v1), until arriving predetermined tape location (p3, p4, p7, p10, p11), transmit tape (15) with normal play playback speed (v1) subsequentlyit is characterized in thatdistributor (30) is used at least a speical play playback speed (v2-v9) is distributed at least one predetermined tape location (p3, p4, p7, p10, p11), and it is characterized in that in order to arrive predetermined tape location (p3, p4, p7, p10, p11) control device that provides (31) is used to control magnetic tape transport device (8) to distribute to predetermined tape location (p3, p4, p7, p10, p11) speical play playback speed (v2-v9) transmits tape (15), until arriving predetermined tape location (p3, p4, p7, p10, p11) till.
1,132
73902
번역 및 통역 서비스업
특허
ko
en
복수 개의 데이터 표현들 사이의 유사성 레벨을 식별하는 방법 및 시스템 이진 벡터들 사이의 유사성 레벨을 식별하는 방법은, 컴퓨팅 디바이스에 있는 프로세서에 의하여, 컴퓨팅 디바이스에 있는 복수 개의 메모리 셀 각각 내에, 복수 개의 이진 벡터 중 하나를 저장하는 단계를 포함하고, 복수 개의 메모리 셀 각각은 비트단위 비교 회로를 포함한다. 프로세서는 복수 개의 메모리 셀 각각에, 수신된 이진 벡터를 제공한다. 비트단위 비교 회로 각각은 수신된 이진 벡터와 비트단위 비교 회로와 연관된 메모리 셀 내에 저장된 이진 벡터 사이의 중첩 레벨을 결정한다. 중첩 레벨이 임계를 만족한다고 결정하는 비교 회로 각각은, 프로세서에, 만족스러운 중첩 레벨을 가지는 저장된 이진 벡터의 식별을 제공한다. 프로세서는 임계를 만족시키는 각각의 저장된 이진 벡터의 식별을 제공한다. 제 1 데이터 아이템과 데이터 문서들의 세트 내의 데이터 아이템 사이의 유사성 레벨을 식별하는 컴퓨터-구현 방법으로서, 제 1 컴퓨팅 디바이스 상에서 실행되는 레퍼런스 맵 생성기에 의하여, 2-차원 메트릭 공간 내에서, 적어도 하나의 기준에 따라서 선택된 데이터 문서들의 세트를 클러스터링하여 의미 맵(semantic map)을 생성하는 단계; 상기 의미 맵에 의하여, 좌표쌍을 상기 데이터 문서들의 세트의 각각과 연관시키는 단계; 상기 제 1 컴퓨팅 디바이스 상에서 실행되는 파서에 의하여, 상기 데이터 문서들의 세트 내에서 출현하는 데이터 아이템의 나열(enumeration)을 생성하는 단계; 상기 제 1 컴퓨팅 디바이스 상에서 실행되는 표현 생성기에 의하여, 상기 나열 내의 각각의 데이터 아이템에 대하여 출현 정보를 결정하는 단계로서, 상기 출현 정보는, (i) 상기 데이터 아이템 출현하는 데이터 문서의 개수, (ii) 각각의 데이터 문서 내의 상기 데이터 아이템의 출현의 횟수, 및 (iii) 상기 데이터 아이템이 출현하는 데이터 문서와 연관된 좌표쌍을 포함하는, 단계;상기 표현 생성기에 의하여, 상기 나열 내의 각각의 데이터 아이템에 대하여, 상기 출현 정보를 사용하여 성긴 분산 표현(sparse distributed representation; SDR)을 생성하여, 복수 개의 생성된 SDR을 얻는 단계;제 2 컴퓨팅 디바이스에 있는 프로세서에 의하여, 상기 제 2 컴퓨팅 디바이스에 있는 복수 개의 메모리 셀 각각 내에 복수 개의 생성된 SDR 중 하나를 저장하는 단계 - 상기 복수 개의 메모리 셀 각각은 비트단위 비교 회로를 포함함 -; 상기 제 2 컴퓨팅 디바이스에 의하여, 제 3 컴퓨팅 디바이스로부터 제 1 데이터 아이템을 수신하는 단계;상기 프로세서에 의하여, 데이터 버스를 통하여 상기 제 1 데이터 아이템의 SDR을 상기 복수 개의 메모리 셀 각각에 제공하는 단계; 복수 개의 비트단위 비교 회로 각각에 의하여, 상기 제 1 데이터 아이템의 SDR과 상기 비트단위 비교 회로와 연관된 메모리 셀 내에 저장된 상기 생성된 SDR 사이의 중첩 레벨을 결정하는 단계;상기 복수 개의 비트단위 비교 회로 각각에 의하여, 상기 중첩 레벨이 상기 프로세서에 의해 제공되는 임계를 만족하는지 여부를 결정하는 단계; 상기 프로세서에, 상기 중첩 레벨이 상기 임계를 만족한다고 결정한 비교 회로들 각각에 의하여, 상기 연관된 메모리 셀 내에 저장된 문서 참조 번호를 제공하는 단계 - 상기 문서 참조 번호는 상기 메모리 셀 내에 저장된 SDR이 그로부터 생성되었던 상기 데이터 아이템을 포함하는 문서를 식별함 -; 및상기 제 2 컴퓨팅 디바이스에 의하여, 상기 제 3 컴퓨팅 디바이스에, 각각의 상기 메모리 셀 내에 저장되고 상기 임계를 만족시키는 SDR이 그로부터 생성되었던 각각의 데이터 아이템의 식별 및 상기 저장된 SDR이 그로부터 생성되었던 상기 데이터 아이템과 수신된 데이터 아이템 사이의 유사성 레벨을 제공하는 단계를 포함하는, 컴퓨터-구현 방법.
METHODS AND SYSTEMS FOR IDENTIFYING A LEVEL OF SIMILARITY BETWEEN A PLURALITY OF DATA REPRESENTATIONSA method for identifying a level of similarity between binary vectors includes storing, by a processor on a computing device, in each of a plurality of memory cells on the computing device, one of a plurality of binary vectors, each of the plurality of memory cells including a bitwise comparison circuit. The processor provides, to each of the plurality of memory cells, a received binary vector. Each of the bitwise comparison circuits determines a level of overlap between the received binary vector and the binary vector stored in the memory cell associated with the bitwise comparison circuit. Each of the comparison circuits that deter- mines that the level of overlap satisfies a threshold provides, to the processor, an identification of the stored binary vector with the satisfactory level of overlap. The processor provides an identification of each stored binary vector satisfying the threshold.A computer-implemented method for identifying a level of similarity between a first data item and a data item within a set of data documents, the method comprising: clustering, by a reference map generator executing on a first computing device, in a two-dimensional metric space, a set of data documents selected according to at least one criterion, generating a semantic map;associating, by the semantic map, a coordinate pair with each of the set of data documents;generating, by a parser executing on the first computing device, an enumeration of data items occurring in the set of data documents;determining, by a representation generator executing on the first computing device, for each data item in the enumeration, occurrence information including: (i) a number of data documents in which the data item occurs, (ii) a number of occurrences of the data item in each data document, and (iii) the coordinate pair associated with each data document in which the data item occurs;generating, by the representation generator, for each data item in the enumeration, a sparse distributed representation (SDR) using the occurrence information, resulting in a plurality of generated SDRs;storing, by a processor on a second computing device, in each of a plurality of memory cells on the second computing device, one of the plurality of generated SDRs, each of the plurality of memory cells including a bitwise comparison circuit;receiving, by the second computing device, from a third computing device, a first data item;providing, by the processor, via a data bus, to each of the plurality of memory cells, anSDR of the first data item;determining, by each of the plurality of bitwise comparison circuits, a level of overlap between the SDR of the first data item and the generated SDR stored in the memory cell associated with the bitwise comparison circuit;determining, by each of the plurality of bitwise comparison circuits, whether the level of overlap satisfies a threshold provided by the processor;providing, to the processor, by each of the comparison circuits that determined the level of overlap did satisfy the threshold, a document reference number stored in the associ- ated memory cell, the document reference number identifying a document including the data item from which the SDR stored in the memory cell was generated; andproviding, by the second computing device, to the third computing device, an identification of each data item from which the SDRs stored in the memory cells satisfying the threshold were generated and a level of similarity between the data item from which the stored SDR was generated and the received data item.
1,132
26129
기타 반도체 소자 제조업
특허
ko
en
플렉서블 웨이퍼 온도 제어부를 갖는 정전 척 (electrostatic chuck)기판을 프로세싱하기 위한 장치가 제공된다. 제 1 냉각제 가스 압력 시스템, 제 2 냉각제 가스 압력 시스템, 제 3 냉각제 가스 압력 시스템, 및 제 4 냉각제 가스 압력 시스템이 독립된 가스 압력들을 제공하기 위해 제공된다. 정전 척은 중심점 및 반경을 갖는 척 표면을 가지며, 중심점으로부터 제 1 반경보다 멀리 있는 제 1 복수의 냉각제 가스 포트들, 중심점으로부터 제 1 반경과 중심점으로부터 제 2 반경 사이에서 이격된 제 2 복수의 냉각제 가스 포트들, 중심점으로부터 제 2 반경과 중심점으로부터 제 3 반경 사이에서 이격된 제 3 복수의 냉각제 가스 포트들, 및 중심점으로부터 제 3 반경 내 이격된 제 4 복수의 냉각제 가스 포트들을 포함한다. 외측 실링 밴드는 척 표면 주위로 연장한다. 플라즈마 프로세싱 챔버 내에서 기판을 프로세싱하기 위한 장치에 있어서, 제 1 압력으로 제 1 냉각제 가스를 제공하도록 구성된 제 1 냉각제 가스 압력 시스템; 상기 제 1 냉각제 가스 압력 시스템과 독립되어, 제 2 압력으로 제 2 냉각제 가스를 제공하도록 구성된 제 2 냉각제 가스 압력 시스템; 상기 제 1 냉각제 가스 압력 시스템 및 상기 제 2 가스 압력 시스템과 독립되어, 제 3 압력으로 제 3 냉각제 가스를 제공하도록 구성된 제 3 냉각제 가스 압력 시스템; 상기 제 1 냉각제 가스 압력 시스템, 상기 제 2 냉각제 가스 압력 시스템, 및 상기 제 3 냉각제 가스 압력 시스템과 독립되어, 제 4 압력으로 제 4 냉각제 가스를 제공하도록 구성된 제 4 냉각제 가스 압력 시스템; 및 중심점 및 둘레를 갖는 척 표면을 갖는 정전 척을 포함하고, 상기 정전 척은, 상기 제 1 냉각제 가스 압력 시스템에 연결된 제 1 복수의 냉각제 가스 포트들로서, 상기 제 1 복수의 냉각제 가스 포트들의 냉각제 가스 포트 각각은 상기 중심점으로부터 제 1 반경보다 멀리 있는, 상기 제 1 복수의 냉각제 가스 포트들; 상기 제 2 냉각제 가스 압력 시스템에 연결된 제 2 복수의 냉각제 가스 포트들로서, 상기 제 2 복수의 냉각제 가스 포트들의 냉각제 가스 포트 각각은 상기 중심점으로부터 상기 제 1 반경과 상기 중심점으로부터 제 2 반경 사이에서 이격되고, 상기 제 2 반경은 상기 제 1 반경보다 작은, 상기 제 2 복수의 냉각제 가스 포트들; 상기 제 3 냉각제 가스 압력 시스템에 연결된 제 3 복수의 냉각제 가스 포트들로서, 상기 제 3 복수의 냉각제 가스 포트들의 냉각제 가스 포트 각각은 상기 중심점으로부터 상기 제 2 반경과 상기 중심점으로부터 제 3 반경 사이에서 이격되고, 상기 제 3 반경은 상기 제 2 반경보다 작은, 상기 제 3 복수의 냉각제 가스 포트들; 상기 제 4 냉각제 가스 압력 시스템에 연결된 제 4 복수의 냉각제 가스 포트들로서, 상기 제 4 복수의 냉각제 가스 포트들의 냉각제 가스 포트 각각은 상기 중심점으로부터 상기 제 3 반경 내 거리에 이격된, 상기 제 4 복수의 냉각제 가스 포트들; 및 상기 척 표면의 상기 둘레 주위로 연장하는 외측 실링 밴드로서, 상기 제 1 복수의 냉각제 가스 포트들, 상기 제 2 복수의 냉각제 가스 포트들, 상기 제 3 복수의 냉각제 가스 포트들, 및 상기 제 4 복수의 냉각제 가스 포트들은 상기 외측 실링 밴드 내에 위치하는, 상기 외측 실링 밴드를 더 포함하는, 장치.
ELECTROSTATIC CHUCK WITH FLEXIBLE WAFER TEMPERATURE CONTROLAn apparatus for processing a substrate is provided. A first coolant gas pressure system, a second coolant gas pressure system, a third coolant gas pressure system, and a fourth coolant gas pressure system are provided to provide independent gas pressures. An electrostatic chuck has a chuck surface with a center point and a radius and comprises a first plurality of coolant gas ports further than afirst radius from a center point, a second plurality of coolant gas ports spaced between the first radius from the center point and a second radius from the center point, a third plurality of coolantgas ports spaced between the second radius from the center point and a third radius from the center point, and a fourth plurality of coolant gas ports is spaced within the third radius from the center point. An outer sealing band extends around the chuck surface.A kind of for handling the device of substrate in plasma processing chamber comprising:first coolant gas pressure system, is configured to provide the first coolant gas under the first pressure;second coolant gas pressure system is configured to provide independently of the first coolant gas pressure system the the second coolant gas under two pressure;third coolant gas pressure system, is configured to independently of the first coolant gas pressure system and described second coolant gas pressure system provides the third coolant gas under third pressure;4th coolant gas pressure system is configured to independently of the first coolant gas pressure system, described second coolant gas pressure system and the third coolant gas pressure system provide the 4th coolant gas under the 4th pressure body;andelectrostatic chuck with chuck surface, the electrostatic chuck have central point and periphery, the electrostatic chuck further include:a coolant gas port more than first is connected to the first coolant gas pressure system, wherein more than described first each coolant gas port of a coolant gas port is apart greater than at the first radius with the central point;a coolant gas port more than second is connected to the second coolant gas pressure system, wherein more than described second each coolant gas port of a coolant gas port with the central point at the first radius and with the center point is spaced apart between the second radius, wherein second radius is less than first radius;the multiple coolant gas ports of third are connected to the third coolant gas pressure system, wherein the third is more each coolant gas port of a coolant gas port with the central point at the second radius and with the center point is spaced apart between third radius, wherein the third radius is less than second radius;a coolant gas port more than 4th is connected to the 4th coolant gas pressure system, wherein more than the described 4th each coolant gas port of a coolant gas port is being spaced apart one in the third radius with the central point set a distance;andthe external seal band extended around the periphery of the chuck surface, wherein a coolant gas port more than described first, a coolant gas port more than described second, the multiple coolant gas ports of the third and more than the 4th a coolant gas port is located in the external seal band.
1,133
72129
기타 엔지니어링 서비스업
특허
ko
en
전원 회로 스위칭 디바이스 본 발명은 전원 회로 스위칭 디바이스(1)에 관한 것으로서: 2개의 스위칭 단자들(2a, 2b); 2개의 스위칭 단자들(2a, 2b) 사이에 직렬로 배열된 고전압 공핍 모드 트랜지스터(5) 및 저전압 강화 모드 트랜지스터(6); 스위칭 신호를 수신하기 위한 제1 입력(9) 및 디바이스(1)를 활성화시키기 위한 신호를 수신하기 위한 제2 입력(10)을 갖는 제어 회로(8)로서, 제어 회로(8)는 스위칭 디바이스(1)를 비활성화 상태 또는 활성화 상태에 놓도록 구성되는, 제어 회로(8); 스위칭 신호를 고전압 트랜지스터의 게이트에 인가하기 위한 구동기 회로(4)로서, 구동기 회로(4)는 제1 전압원(VDR+)으로부터 제1 전압을 공급 받고, 제2 전압원(VDR-)으로부터 제2 전압을 공급 받고, 제1 전압 및 제2 전압은 고전압 트랜지스터(5)의 임계 전압보다 각각 높고 낮은, 구동기 회로(4); 구동기 회로(4)와 연관되는 적어도 하나의 프로그래밍 모듈로서, 고전압 트랜지스터(5)의 게이트에 주입될 진입 전류, 상기 게이트로부터 인출될 진출 전류를 프로그래밍하도록 구성되는, 프로그래밍 모듈을 포함하고; 프로그래밍 모듈은 진입 전류 및 진출 전류를 각각 프로그래밍하기 위해 제1 수동 구성 요소 및 제2 수동 구성 요소에 접속될 수 있다.전원 회로 스위칭 디바이스(1)에 있어서,- 2개의 스위칭 단자들(2a, 2b);- 상기 2개의 스위칭 단자들(2a, 2b) 사이에 직렬로 배열된 고전압 공핍 모드 트랜지스터(5) 및 저전압 강화 모드 트랜지스터(6)를 포함하고,상기 디바이스(1)는,- 스위칭 신호를 수신하기 위한 제1 입력(9) 및 상기 디바이스(1)를 활성화시키기 위한 활성화 신호를 수신하기 위한 제2 입력(10)을 갖는 제어 회로(8)로서, 상기 제어 회로(8)는 상기 스위칭 디바이스(1)로 하여금: ■ 상기 저전압 트랜지스터(6) 및 상기 고전압 트랜지스터(5)가 각각 오프-상태에 있는 상태에서, 상기 활성화 신호가 제1 레벨을 가질 때, 비활성화 상태로 만들고;■ 상기 저전압 트랜지스터(6)가 온-상태에 있고 상기 스위칭 신호가 상기 고전압 트랜지스터(5)의 게이트에 인가되는 상태에서, 상기 활성화 신호가 상기 제1 레벨과 상이한 제2 레벨을 가질 때, 활성화 상태로 만드는, 제어 회로(8);- 상기 스위칭 신호를 상기 고전압 트랜지스터(5)의 상기 게이트에 인가하기 위한 구동기 회로(4)로서, 상기 구동기 회로(4)는 제1 전압원(VDR+)으로부터 제1 전압을 공급 받고, 제2 전압원(VDR-)으로부터 제2 전압을 공급 받고, 상기 제1 전압 및 상기 제2 전압은 상기 고전압 트랜지스터(5)의 임계 전압보다 각각 높고 낮은, 구동기 회로(4);- 상기 구동기 회로(4)와 연관되는 적어도 하나의 프로그래밍 모듈(15, 15a, 15'a, 15b, 15'b)로서, 상기 구동기 회로(4)는 상기 고전압 트랜지스터(5)의 상기 게이트에 주입될 것으로 의도되는 진입 전류(I+), 상기 게이트로부터 인출될 것으로 의도되는 진출 전류(I-)의 프로그래밍을 가능하게 하도록 구성되고; 상기 프로그래밍 모듈은 상기 진입 전류(I+) 및 상기 진출 전류(I-)를 프로그래밍하기 위해 제1 수동 구성 요소 및 제2 수동 구성 요소에 접속될 수 있는, 프로그래밍 모듈(15, 15a, 15'a, 15b, 15'b)을 포함하는 것을 특징으로 하는, 전원 회로 스위칭 디바이스(1).
POWER CIRCUIT SWITCHING DEVICEThe invention relates to a power circuit switching device (1) comprising: two switching terminals (2a, 2b); a high voltage depletion mode transistor (5) and a low voltage enhancement mode transistor (6) arranged in series between the two switching terminals (2a, 2b); a control circuit (8) having a first input (9) for receiving a switching signal and a second input (10) for receiving a signal for activating the device (1), the control circuit (8) being configured to put the switching device (1) into an inactive state or an active state; a driver circuit (4) for applying the switching signal tothe gate of the high voltage transistor (5), the driver circuit (4) being supplied with a first voltage from a first voltage source (VDR+) and with a second voltage from a second voltage source (VDR-), the first and second voltages being respectively higher and lower than the threshold voltage of the high voltage transistor (5); and at least one programming module associated with the driver circuit (4), configured to program the incoming current which is to be injected at the gate of the high voltage transistor (5), and the outgoing current which is to be drawn from said gate; the programmingmodule being able to be connected to a first and a second passive component for programming the incoming current and outgoing current, respectively.A kind of power circuit switching device (1), the power circuit switching device (1) include:- two switched terminals (2a, 2b);the high-pressure depletion transistor npn npn (5) and the enhanced crystalline substance of low pressure being disposed in series between described two switched terminals (2a, 2b) body pipe (6);described device (1) be characterized in that comprising:control circuit (8), the control circuit (8) have the first input end (9) for receiving switching signal and receive for activating the second input terminal (10) of the activation signal of described device (1), the control circuit (8) are configured as:when the activation signal has the first level, the switching device (1) is placed in unactivated state, wherein described low voltage transistor (6) and high voltage transistor (5) are each in off state;when the activation signal has the second electrical level different from first level, the switching device (1) is placed in state of activation, wherein the low voltage transistor (6) is in the conductive state, and the switching signal is applied to the high pressure the grid of transistor (5);the switching signal is applied to the grid of the high voltage transistor (5) by driving circuit (4), the driving circuit (4), to the first voltage of driving circuit (4) supply from first voltage source (vdr+) and second from the second voltage source (vdr-) voltage, the first voltage and second voltage are respectively higher than and are lower than the threshold voltage of the high voltage transistor (5);with at least one associated programming module (15,15a, 15'a, 15b, 15'b) of the driving circuit (4), the driving electricity road (4) is configured to the input current (i injected at the grid of the high voltage transistor (5)+) and from the grid output electric current (the i that pole is drawn-) be programmed;the programming module is connectable to the first passive block and second passive group part, respectively to input current (i+) and output electric current (i-) be programmed.
1,133
29292
고무, 화학섬유 및 플라스틱 성형기 제조업
특허
ko
en
리셉터클 커넥터의 인서트 성형방법3열 이상의 단자군을 가지는 리셉터클 커넥터라도, 단자군 사이의 거리를 일정하게 유지할 수 있음과 동시에 제조가 용이한 리셉터클 커넥터의 인서트 성형방법을 제공한다. 도 3(a)에서는 양단에 위치하는 단자군(2)을 한쌍의 금형본체(4)에 걸고, 중앙에 배열설치되는 단자군(2)을 후방코어(6)에 의해 끼워지지한다. (b)에서는 만곡부(2b)의 우측에 배열설치되는 전방코어(5a)를 만곡부(2b)를 휘게하면서 하방으로 진행하게 한다. (c)에서는 만곡부(2b)를 제 2 수납부(10a)에 수납한다. (d)에서는 좌측에 배열설치되는 전방코어(5b)를 만곡부(2b)를 향하여 진행하게 하고, (e)와 같이 만곡부(2b)를 제 2 수납부(10b)에 수납한다. (e)에서는 양측으로부터 금형본체(4)를 서로 근접시키고, 금형본체(4), 전방코어(5) 및 후방코어(6)에 의해 캐버티(7)를 형성한다. 전방코어를 이탈하게 할 때도 상기와 동일하게 한쪽 편씩 전방코어(5a, 5b)를 이탈하게 한다.평판부의 선단에 측면에서 볼 때 튤립형상인 만곡부를 갖는 단자를 폭 방향으로 복수 병설한 단자군과, 그 단자군을 두께방향으로 적어도 3열 이상 병설하여 상기 평판부의 일부와 일체로 형성되는 몰드부를 가지는 리셉터클 커넥터의 인서트 성형방법으로서, 상기 몰드부를 성형하는 캐버티가, 상기 단자군의 두께방향으로 접근과 이탈이 자유롭게 형성되어 상기 만곡부를 수납하는 제 1 수납부를 가지고 그 캐버티의 측면을 형성하는 한 쌍의 금형본체와, 상기 단자군 사이에 배열설치되어 상기 만곡부가 두께방향으로 휠 수 있도록 형성된 제 2 수납부를 가지고 상기 단자군의 전방으로부터 접근과 이탈이 자유롭게 형성되어 그 캐버티의 전면을 형성하는 복수의 전방코어와, 그 전방코어보다 후방의 상기 단자군사이에 배열설치되고 이 캐버티의 후면을 형성하는 복수의 후방코어에 의하여 둘러싸여 형성되도록 하고, 상기 3열 이상 병설되는 단자군중, 양단에 배열설치되는 단자군을 상기 제 1 수납부에 상기 만곡부를 수납하고 상기 한 쌍의 금형본체에 유지함과 동시에 중간 위치에 배열설치되는 단자군의 평판부를 상기 복수의 후방코어에 의해 각각 끼워 지지하는 공정과, 상기 만곡부의 두께 방향의 한쪽 측에 배열설치되는 전방코어를 상기 만곡부의 전방으로부터 진입시켜 상기 단자군의 만곡부를 휘게함과 동시에 상기 만곡부를 상기 제 2 수납부에 수납하고, 그 후 상기 만곡부의 두께방향의 다른쪽 측에 배열설치되는 전방코어를 상기 만곡부의 전방으로부터 진입시켜 상기 단자군의 만곡부를 휘게 함과 동시에 상기 만곡부를 상기 제 2 수납부에 수납하는 공정과, 그 후 상기 한쌍의 금형본체를 서로 근접시켜서 캐버티를 형성하는 공정과, 이 캐버티 내에 수지를 주입하고 이 수지를 경화시켜서 상기 몰드부를 형성하는 공정과, 상기 한쌍의 금형본체를 서로 이반시키는 공정과, 상기 만곡부의 두께 방향의 한쪽 측에 배열설치되는 전방코어를 상기 만곡부를 휘게 하면서 후퇴시켜 이탈시키고, 그 후 다른쪽 측에 배열설치되는 전방코어를 상기 만곡부를 휘게하면서 후퇴시켜 이탈시키는 공정과, 상기 후방코어로부터 상기 단자군 및 상기 몰드부를 이탈시키는 공정을 갖춘 것을 특징으로 하는 리셉터클 커넥터의 인서트 성형방법.
Method of forming receptacle connector insertThe patent relates to a method of forming a receptacle connector insert comprising the steps of locking a terminal group (2) positioned at both ends to a pair of metal mold main bodies (4) as shown in figure 3 (a) and holding a terminal group (2) arranged at the center in a rear core (6), moving a front core (5a) arranged on the right side of a curved part (2b) downward while bending the curved part (2b) as shown in figure 3 (b), storing the curved part (2b) in a second storing part (10a) as shown in figure 3 (c), moving a front core (5b) arranged on the left side toward the curved part (2b) as shown in figure 3 (d) and storing the curved part (2b) in a second storing part (10b) as shown in figure 3 (e), moving the metal mold main bodies (4) from both sides toward each other so as to form a cavity (7) using the metal mold main bodies (4), front core (5), and rear core (6) as shown in figure 3 (e), and releasing the front cores (5a, and 5b) in the same manner as above, one by one, even when the front core is released. The method of forming a receptacle connector insert capable of maintaining constant distances between terminal groups even when a receptacle connector has the terminal groups of three rows or more and of being easily produced.A socket connector inlays manufacturing process, wherein socket connector has: the terminal of seeing bend at the flat part front end, from the side with tulip shape along a plurality of terminal group of transversely arranged setting with make this terminal group be provided with more than 3 row and the molded section integrally formed arranging at least on the thick direction with the part of described flat part; it is characterized in that:the die cavity of the described molded section that is shaped, by a pair of die main body, a plurality of the place aheads core and a plurality of rears core one common peripheral around and form; described a pair of die main body, along the thick direction of described terminal group can freely close, from, have the 1st incorporating section of taking in described bend and a side that forms this die cavity; described a plurality of the place aheads core, be set between the described terminal group, have make described bend can be crooked to thick direction and the 2nd incorporating section that forms, can be free before the described terminal group from, merge the front that forms this die cavity portion; described a plurality of rears core is set between the described terminal group at rear of this place ahead core and forms the back of this die cavity;have: the terminal group that is configured in two ends in the terminal group that is provided with in arrangement more than described 3 row, after described bend being accommodated in described the 1st incorporating section, remain on the described a pair of die main body, simultaneously by described a plurality of rears core respectively clamping be configured in the operation of the flat part of the terminal group on the centre position;the place ahead core that is configured in thick direction one side of described bend the place ahead from described bend is advanced, and make the bend bending of described terminal group, simultaneously described bend is accommodated in described the 2nd incorporating section, and then the place ahead propelling of the place ahead core that is configured in the thick direction opposite side of described bend from described bend, and make the bend bending of described terminal group, simultaneously described bend is accommodated in operation in described the 2nd incorporating section;make described a pair of die main body close mutually then, form the operation of die cavity;in this die cavity, inject resin and make this resin solidification, form the operation of described molded section;the operation that described a pair of die main body is separated from each other;crooked described bend on one side retreats the place ahead core that is configured in thick direction one side of described bend on one side and breaks away from, on one side and then the crooked described bend in one side operation that makes the place ahead core of being configured in opposite side retreat and break away from;the operation that described terminal group and described molded section are broken away from from described rear core.
1,134
26295
전자 감지장치 제조업
특허
ko
en
터치 데이터를 명확히 하기 위한 기법들터치 데이터를 명확히 하며 터치 센서에 의해 검출된 터치 포인트들의 사용자 할당을 판단하는 기법들이 기술된다. 이 기법들은 축들 상으로 투영되는 사용자 고유 터치 데이터 및 모든 영역 상에서 캡처된 비사용자 고유 터치 데이터 둘 모두를 이용한다.터치 데이터의 사용자 할당을 명확히 하며(disambiguating) 특정 사용자에 의해 이루어진 터치에 대응하는 것으로서 적어도 하나의 터치 포인트에 대한 식별을 전송하는 방법으로서,상기 적어도 하나의 터치 포인트는 용량성 터치 센서에 의해 검출된 복수의 터치 포인트 가운데에서 식별되고, 상기 용량성 터치 센서는 행으로 배열되는 전도체의 제1어레이 및 열로 배열되는 전도체의 제2어레이로서 구성된 이차원 전도체의 행렬을 가지며,상기 방법은, 제1사용자에 대한 행 터치 데이터를 수신하는 단계 - 상기 제1사용자 행 터치 데이터는 상기 제1사용자에 의해 터치되는 상기 제1어레이 내의 하나 이상의 전도체를 나타내며, 상기 제1사용자 행 터치 데이터는 상기 제1사용자에 의해 터치된 상기 제1어레이 내의 상기 하나 이상의 전도체 및 공통 노드 사이의 커패시턴스 변화를 반영하는 신호를 감지하는 상기 용량성 터치 센서에 의해 캡처됨 -,상기 제1사용자에 대한 열 터치 데이터를 수신하는 단계 - 상기 제1사용자 열 터치 데이터는 상기 제1사용자에 의해 터치되는 상기 제2어레이 내의 하나 이상의 전도체를 나타내며, 상기 제1사용자 열 터치 데이터는 상기 제1사용자에 의해 터치된 상기 제2어레이 내의 상기 하나 이상의 전도체 및 상기 공통 노드 사이의 커패시턴스 변화를 반영하는 신호를 감지하는 상기 용량성 터치 센서에 의해 캡처됨 -,상기 제1사용자와 상이한 제2사용자에 대한 행 터치 데이터를 수신하는 단계 - 상기 제2사용자 행 터치 데이터는 상기 제2사용자에 의해 터치되는 상기 제1어레이 내의 하나 이상의 전도체를 나타내며, 상기 제2사용자 행 터치 데이터는 상기 제2사용자에 의해 터치된 상기 제1어레이 내의 상기 하나 이상의 전도체 및 상기 공통 노드 사이의 커패시턴스 변화를 반영하는 신호를 감지하는 상기 용량성 터치 센서에 의해 캡처됨 -,상기 제2사용자에 대한 열 터치 데이터를 수신하는 단계 - 상기 제2사용자 열 터치 데이터는 상기 제2사용자에 의해 터치되는 상기 제2어레이 내의 하나 이상의 전도체를 나타내며, 상기 제2사용자 열 터치 데이터는 상기 제2사용자에 의해 터치된 상기 제2어레이 내의 상기 하나 이상의 전도체 및 상기 공통 노드 사이의 커패시턴스 변화를 반영하는 신호를 감지하는 상기 용량성 터치 센서에 의해 캡처됨 -,상기 전도체의 이차원 행렬 상의 복수의 터치 포인트의 이차원 위치를 상기 제1어레이로부터의 하나의 전도체 및 상기 제2어레이로부터의 하나의 전도체의 쌍으로서 나타내는 터치 포인트 위치 데이터를 수신하는 단계 - 상기 터치 포인트 위치 데이터는 상기 용량성 터치 센서에 의해 상기 복수의 터치 포인트 각각에 대해, 터치로 인한 상기 제1어레이로부터의 상기 하나의 전도체 및 그 대응 짝이 되는 상기 제2어레이로부터의 전도체 사이의 커패시턴스 변화를 반영하는 신호의 검출에 기반하여 캡처됨 -, 상기 수신된 터치 포인트 위치 데이터를 상기 수신된 제1사용자 행 터치 데이터, 상기 수신된 제1사용자 열 터치 데이터, 상기 수신된 제2사용자 행 터치 데이터 및 상기 수신된 제2사용자 열 터치 데이터에 적용함으로써, 상기 복수의 터치 포인트 가운데에서 적어도 하나의 터치 포인트를 상기 제1사용자에 의해 이루어진 터치에 해당하는 것으로서 식별하는 단계, 및 상기 식별을 전송하는 단계를 포함하는 방법.
TECHNIQUES FOR DISAMBIGUATING TOUCH DATATechniques for disambiguating touch data and determining user assignment of touch points detected by a touch sensor are described. The techniques leverage both user-specific touch data projected onto axes and non-user-specific touch data captured over a complete area.A method for disambiguating user assignment of touch data and communicating an identification of at least one touch point as corresponding to a touch made by a particular user, wherein the at least one touch point is identified from among multiple touch points detected by a capacitive touch sensor and wherein the capacitive touch sensor has a two- dimensional matrix of conductors configured as a first array of conductors arranged in rows and a second array of conductors arranged in columns, the method comprising:receiving row touch data for a first user, the first user row touch data indicating one or more conductors in the first array that are touched by the first user and the first user row touch data being captured by the capacitive touch sensor sensing a signal reflecting a change in capacitance between a common node and the one or more conductors in the first array touched by the first user;receiving column touch data for the first user, the first user column touch data indicating one or more conductors in the second array that are touched by the first user and the first user column touch data being captured by the capacitive touch sensor sensing a signal reflecting a change in capacitance between the common node and the one or more conductors in the second array touched by the first user;receiving row touch data for a second user that differs from the first user, the second user row touch data indicating one or more conductors in the first array that are touched by the second user and the second user row touch data being captured by the capacitive touch sensor sensing a signal reflecting a change in capacitance between the common node and the one or more conductors in the first array touched by the second user;receiving column touch data for the second user, the second user column touch data indicating one or more conductors in the second array that are touched by the second user and the second user column touch data being captured by the capacitive touch sensor sensing a signal reflecting change in capacitance between the common node and the one or more conductors in the second array touched by the second user; receiving touch point location data that indicate two-dimensional locations of multiple touch points on the two-dimensional matrix of conductors as a pairing of one conductor from the first array and one conductor from the second array, the touch point location data being captured based on detection by the capacitive touch sensor for each of the multiple touch points of a signal reflecting a change in capacitance between the one conductor from the first array and the corresponding paired conductor from the second array resulting from a touch;identifying at least one touch point from among the multiple touch points as corresponding to a touch made by the first user by applying the received touch point location data to the received first user row touch data, the received first user column touch data, the received second user row touch data and the received second user column touch data; and communicating the identification.
1,135
33992
라이터, 연소물 및 흡연용품 제조업
특허
ko
en
부싯돌 점화기를 갖는 방풍 라이타본 발명은 액상으로 저장된 가연성 가스와 연관된 부싯돌 점화기를 갖는 일종의 방풍 라이타를 사용한다. 부싯돌 점화기를 갖는 방풍 라이타는, 지지 프레임을 갖는 하우징, 배출구 밸브를 갖는 연료 콘테이너, 상기 연료 콘테이너의 상기 배출구 밸브 상에 작용하는 배출구 조절 레버, 상기 배출구 밸브 상에서 가스 전환 통로에 연결되고, 필터, 적어도 하나의 신속 유동 노즐, 가스 혼합실, 단일 전환 노즐, 연소실로 구성된 방풍 어셈블리, 상기 연소실로부터 나온 가스를 점화시키기 위한 점화기를 포함하는 부싯돌 점화기를 갖는 방풍 라이타에 있어서, 상기 점화기는 상기 연소실의 측면에 위치된 부싯돌 점화 구조물, 상기 연소실과 상기 부싯돌 점화 구조물 사이 또는 상기 부싯돌 점화 구조물의 일측에 제공된 촛불 불꽃 배출구, 상기 배출구 밸브와 상기 신속 유동 노즐 사이에 제공된 제 2 가스 전환통로를 포함하며, 상기 제 2 가스 전환 통로는 상기 촛불 불꽃 배출구에 연결되며, 상기 부싯돌 점화 구조물은, 강한 방풍 불꽃을 생성하기 위해서 상기 연소실의 상기 신속 유동 노즐로부터 나온 가스를 점화시키는 불꽃을 만들어 내도록 상기 촛불 불꽃 노즐로부터 나온 가스를 점화시키며, 상기 부싯돌 점화 구조물은 거친 테두리를 갖는 적어도 하나의 점화 휠, 상기 점화 휠과의 마찰후에 스파크를 발생시키기 위한 부싯돌, 상기 점화 휠에 대하여 상기 부싯돌의 점화 단부를 가압하기 위한 스프링을 포함하며, 상기 부싯돌의 점화 단부는 상기 연소실의 상기 배출구 또는 상기 지지 프레임의 상부 보다 낮고, 상기 신속 유동 노즐의 중앙 최소 공극의 직경은 0.05㎜ 내지 0.15㎜인 것을 특징으로 한다. 지지 프레임을 갖는 하우징, 배출구 밸브를 갖는 연료 콘테이너, 상기 연료 콘테이너의 상기 배출구 밸브 상에 작용하는 배출구 조절 레버, 상기 배출구 밸브 상에서 가스 전환 통로에 연결되고, 필터, 적어도 하나의 신속 유동 노즐, 가스 혼합실, 단일 전환 노즐 및 연소실로 구성된 방풍 어셈블리, 상기 연소실에서 가스를 점화시키기 위한 점화기를 포함하는 부싯돌 점화기를 갖는 방풍 라이타에 있어서, 상기 점화기는 상기 연소실의 측면에 위치된 부싯돌 점화 구조물, 상기 연소실과 상기 부싯돌 점화 구조물 사이 또는 상기 부싯돌 점화 구조물의 일측에 제공된 촛불 불꽃 배출구, 상기 배출구 밸브와 상기 신속 유동 노즐 사이에 제공된 제 2 가스 전환통로를 포함하며, 상기 제 2 가스 전환 통로는 상기 촛불 불꽃 배출구에 연결되며, 상기 부싯돌 점화 구조물은, 강한 방풍 불꽃을 생성하기 위해서 상기 연소실의 상기 신속 유동 노즐로부터 나온 가스를 점화시키는 불꽃을 만들어 내도록 상기 촛불 불꽃 노즐로부터 나온 가스를 점화시키며, 상기 부싯돌 점화 구조물은 거친 테두리를 갖는 적어도 하나의 점화 휠, 상기 점화 휠과의 마찰후에 스파크를 발생시키기 위한 부싯돌, 및 상기 점화 휠에 대하여 상기 부싯돌의 점화 단부를 가압하기 위한 스프링을 포함하며, 상기 부싯돌의 점화 단부는 상기 연소실의 상기 배출구 또는 상기 지지 프레임의 상부 보다 낮고, 상기 신속 유동 노즐의 중앙 최소 공극의 직경은 0.05㎜ 내지 0.15㎜인 것을 특징으로 하는 방풍 라이타.
WINDPROOF LIGHTER WITH FLINT IGNITERThis invention uses a kind of windproof lighter with a flint igniter combined with inflammable gas stored in liquidness as fuel. A windproof lighter with flint igniter and comprises: a housing with support frame, a fuel container with at least one outlet valve, an outlet control lever acting on the fuel container's outlet valve, a gas diverting passage on outlet valve, a windproof assembly composed of at least one filter, at least one quick flow nozzle, a gas mixing chamber, at least one diverting nozzle and a combustion chamber, and an igniter lighting up gas in the combustion chamber; characterized in that the igniter is placed on one of the following: a flint ignition structure at a combustion chamber's side, between the combustion chamber and the flint ignition structure, a candle flame outlet on one side of flint ignition structure; a second gas diverting passage is on outlet valve, the second gas diverting passage is connected to the candle flame outlet; the flint ignition structure includes at least one ignition wheel with coarse edge, a flint generating spark after friction with the ignition wheel and a spring pressing flint's sparking end close to igniting wheel; the flint's sparking end is lower than one of the following: a combustion outlet, a support end; a diameter of a central mini-pore of the quick flow nozzle is between 0.05-0.15mm.A windproof lighter with flint igniter, comprising:a housing with a support frame, a fuel container with an outlet valve, an outlet control lever acting on said outlet valve of said fuel container, a windproof assembly connected to a gas diverting passage on said outlet valve and composed of one filter, at least one quick flow nozzle, a gas mixing chamber, one diverting nozzle and a combustion chamber, and an igniter for igniting the gas in said combustion chamber, characterized in that said igniter includes: a flint ignition structure at the side of said combustion chamber, a candle flame outlet provided between said combustion chamber and said flint ignition structure or at one side of flint ignition structure, and a second gas diverting passage provided between said outlet valve and said quick flow nozzle, said second gas diverting passage being connected with said candle flame outlet and said flint ignition structure igniting the gas from said candle flame outlet to produce a flame which in turn ignites the gas from said quick flow nozzle of said combustion chamber so as to produce a stronger windproof flame; said flint ignition structure includes at least one ignition wheel with coarse edge, a flint generating spark after friction with said ignition wheel and a spring pressing flint's igniting end to said igniting wheel; said flint's igniting end is lower than the outlet of said combustion chamber or lower than the top of said support frame; and a diameter of a central mini-pore of the quick flow nozzle is between 0.05-0.15mm.
1,135
22193
고무 의류 및 기타 위생용 비경화 고무제품 제조업
특허
ko
en
코르크 기재를 지닌 마루 판재마루 부재는 마루 타일 또는 마루 판재 형태의, 가요성 압축가능한 코르크 시트 물질 및 가요성 플라스틱 시트 물질의 적층된 구조이다. 코르크 시트 물질 및 플라스틱 시트 물질은 서로 오프셋되어 있으며, 다수의 마루 부재가 마루 피복재로 용이하게 조립되도록 마루 부재가 구부러질 수 있게 하는 사전설정된 두께를 각각 지닌다. 압축가능한 코르크 시트 물질은 차음성 및 내열성을 제공하고, 가요성 플라스틱 시트 물질은 마루 기부의 표면 불규칙성에 대해 항복성을 갖는다. 코르크 시트 물질은 두 개의 깨끗한 플라스틱 물질 층 사이에 끼어져 마루 부재의 에지에서 코르크 시트의 두께 및 구조적 존재를 뚜렷하게 보이게 한다. (a) 두 개 이상의 측면 에지를 지닌 제 1 다각형 모양을 갖는 제 1 마루 부재부로서, 상기 제 1 마루 부재부는 상부면을 지닌 가요성의 압축가능한 합성 코르크 시트 물질의 기재층, 합성 코르크(composite cork) 시트 물질의 상부면 상에 구비되는 투명 플라스틱 물질로 형성되는 보행을 위한 최상층(top layer), 및 합성 코르크층의 상부면이 보행을 위한 최상층으로까지 딤플링(dimpling)되거나, 텔레스코핑(telescoping)되지 않도록 하기 위한, 보행을 위한 최상층과 합성 코르크 시트 물질의 상부면 사이에 구비되는 이중 가요성 플라스틱 하부층과, 제 1 하부면을 지니며, 합성 코르크 시트 물질의 상부면 또는 이중 가요성 플라스틱 하부층 중 어느 하나에 최상층을 통해 볼 수 있는 코르크 디자인 패턴 또는 목재(wood) 디자인 패턴이 구비되는 제 1 마루 부재부; 및(b) 두 개 이상의 측면 에지, 제 2 상부면 및 제 2 하부면을 지닌 제 2 다각형 모양을 갖는, 가요성 플라스틱 물질의 제 2 마루 부재부를 포함하고;(c) 상기 제 1 및 제 2 마루 부재부는 오프셋 관계(offset relationship)로 함께 적층되며, 제 1 마루 부재부의 제 1 하부면은 제 2 마루 부재부의 제 2 상부면과 접촉하며,(d) 상기 제 1 및 제 2 마루 부재부의 오프셋 적층은 상기 제 1 마루 부재부의 제 1 오프셋 주변부 및 제 2 마루 부재부의 제 2 오프셋 주변부를 형성하고, 상기 제 1 마루 부재부의 제 1 주변부는 상기 제 2 마루 부재부의 측면 에지 중 하나 이상을 초과하여 연장되고, 상기 제 2 부재부의 제 2 주변부는 상기 제 1 마루 부재부의 측면 에지 중 하나 이상을 초과하여 연장되며,(e) 상기 제 1 오프셋 주변부는 상기 제 1 마루 부재부의 제 1 하부면의 일부인 제 1 주변부 하부면을 지니며, 상기 제 2 오프셋 주변부는 상기 제 2 마루 부재부의 제 2 상부면의 일부인 제 2 주변부 상부면을 지니며, 제 1 주변부 하부면 및 제 2 주변부 상부면 중 하나 이상은 노출된 접착제 코팅을 지니며, (f) 제 1 및 제 2 마루 부재부는 각각의 사전설정된 두께를 지녀서 마루 부재가 구부러질 수 있도록 함으로써 마루 부재가 마루 부재 조립체로 용이하게 조립되게 하는 가요성을 지닐 수 있게 하며, (g) 상기 제 2 마루 부재부의 플라스틱 물질은 마루 부재가 놓이는 마루 기부의 표면 불규칙성에 대해 사전설정된 항복성(yieldability)을 지녀, 마루 기부 상에 평면 접촉하여 놓이는 경우에 상기 제 2 마루 부재부가 마루 기부의 표면 불규칙성에 부합할 수 있는, 마루 부재.
Floor plank with cork substrateThe invention relates to a floor plank. The floor member is a laminated structure of flexible compressible cork sheet material and flexible plastic sheet material in the form of a floor tile or a floor plank. The cork sheet material and plastic sheet material are offset from each other and have respective predetermined thicknesses to enable the floor member to be bendable to facilitate assembly of a plurality of the floor members into a floor covering. The compressible cork sheet material provides sound and heat insulation and the flexible plastic sheet material is yieldable to surface irregularities of a floor base. The cork sheet material is sandwiched between two layers of clear plastic material to provide a distinct sight of the structural presence and thickness of the cork sheet at an edge of the floor member.Flooring element comprises:(a) has the first flooring element part of the first polygonal shape, this first polygonal shape has at least two lateral edges, described the first flooring element partly has the substrate layer of flexible compressible composite cork plate material, by transparent plastic material form in the above the walking upper strata and double-layer flexible plastic bottom layer, described composite cork plate material has upper surface, described upper strata for walking in the above is arranged on the upper surface top of described composite cork plate material, described double-layer flexible plastic bottom layer is arranged between described upper strata for walking in the above and the upper surface of described composite cork plate material wrinkling or flexible to the described upper strata of walking in the above with the upper surface that prevents described composite cork plate material, wherein one deck in the upper surface of described composite cork plate material or described double-layer flexible plastic bottom layer is provided with and sees through the visible cork layout in described upper strata or grain pattern, described the first flooring element partly has the first soffit,(b) the second flooring element part of being made by flexible plastic material, this second flooring element partly has the second polygonal shape, and this second polygonal shape has at least two lateral edges, the second upper surface and the second soffit;(c) described the first flooring element part and described the second flooring element part force together with the relation layer of skew, and wherein, the first soffit of described the first flooring element part contacts with the second upper surface of described the second flooring element part;(d) the skew lamination of described the first flooring element part and described the second flooring element part limits the first skew marginal portion of described the first flooring element part and the second skew marginal portion of described the second flooring element part, described the first flooring element described the first skew marginal portion partly extends beyond at least one in described the second flooring element lateral edges partly, and the described second skew marginal portion of described the second flooring element part extends beyond at least one in described the first flooring element lateral edges partly;(e) described the first skew marginal portion has the first rim under surface, this first rim under surface is the part of the first soffit of described the first flooring element part, described the second skew marginal portion has the second edge upper surface, this the second edge upper surface is the part of the second upper surface of described the second flooring element part, and at least one in described the first rim under surface and described the second edge upper surface has the cementing agent coating outside being exposed to;(f) described the first flooring element part and described the second flooring element part have respectively predetermined thickness, so that described flooring element can have the flexibility that allows described flooring element bending, to be conducive to that described flooring element is assembled into to the flooring element assembly;(g) plastic material of described the second flooring element part has predetermined yieldability for the surface irregularity of described flooring element laying floor installed surface thereon, thereby when described the second flooring element part was laid on the installed surface of described floor in the mode of flat contact, described the second flooring element part can adapt to the surface irregularity of described floor installed surface.
1,136
58222
응용 소프트웨어 개발 및 공급업
특허
ko
en
브이.엘.아이.더블유. 프로세서에서 부분적으로 파이프라인으로 연결된 명령을 동기시키는 방법VLIW 프로세서는 VLIW 명령의 부명령들을 병렬로 실행하기 위한 다수의 파이프라인(410, 425)을 갖는다. 각각의 파이프라인은 적어도 1개의 실행 스테이지(412, 414)와 트랩 스테이지(422, 430)를 갖는다. 적어도 한 개의 파이프라인은 제 1 및 제 2 워드 길이를 갖는 피연산자들에 대해 연산을 수행할 수 있으며, 이때 제 2 워드 길이는 제 1 워드 길이보다 길고, 제 1 워드 길이는 파이프라인(410, 425)의 데이터 경로 폭과 동일하다. 제 2 워드 길이를 갖는 피연산자들에 대한 연산의 실행은 파이프라인의 적어도 1개의 실행 스테이지(412, 414)에서 다수의 사이클을 필요로 한다. 명령 디코더(404)는, VLIW 명령의 시퀀스의 부명령들을 파이프라인 부명령으로 디코딩하고, 이들을 제 1 및 제 2 파이프라인(410, 425)에 배정하며, VLIW 명령의 제 1 부명령이 제 2 워드 길이를 갖는 피연산자에 대해 동작할 때, 명령 디코더(404)는 적어도 1개의 헬퍼 부명령을 제 1 파이프라인(410)에 끼워넣는다. 또한, 명령 디코더는, 동일한 VLIW 명령의 제 1 부명령과 관련되고 제 2 파이프라인(425)에 배정되어 제 2 파이프라인(425)의 트랩 스테이지(430)에 도달하는 정보와 동기되어, 제 1 부명령과 관련된 정보가 제 1 파이프라인(410)의 트랩 스테이지(422)에 들어가도록 보장하는 것이 필요할 때, 제 2 파이프라인(425) 내부에 비연산 헬퍼 부명령들을 끼워넣는다. 이들 비연산 헬퍼 부명령들은, 제 1 부명령이 제 2 워드 길이를 갖는 피연산자에 대해 동작하고, 제 2 부명령이 제 1 워드 길이를 갖는 피연산자에 대해 동작하더라도, 트랩 스테이지(422, 425)에 정보가 동기를 이루어 도달하도록 유지한다.부명령들을 실행하고, 적어도 1개의 실행 스테이지와 트랩 스테이지를 갖는 제 1 파이프라인과, 부명령들을 실행하며, 적어도 1개의 실행 스테이지와 트랩 스테이지를 가지며, 제 1 파이프라인과 병렬로 동작가능하되, 제 1 파이프라인은 제 1 워드 길이와 제 2 워드 길이를 갖는 피연산자에 대해 연산가능하고, 제 2 워드 길이는 제 1 워드 길이보다 크며, 제 1 워드 길이는 제 1 파이프라인의 데이터 경로 폭과 동일하고, 제 2 워드 길이를 갖는 피연산자에 대한 연산의 실행이 제 1 파이프라인의 적어도 1개의 실행 스테이지에서 다수의 사이클을 필요로 하는, 제 2 파이프라인과, VLIW 명령들의 시퀀스의 부명령들을 파이프라인 부명령들로 디코딩하고, 이들을 제 1 및 제 2 파이프라인에 배정하며, VLIW 명령들의 제 1 부명령이 제 2 워드 길이를 갖는 피연산자에 대한 연산 명령이고 제 1 파이프라인에 배정되었을 때, 적어도 1개의 헬퍼 부명령을 제 1 파이프라인으로 끼워넣는 명령 디코더를 구비하고, 상기 명령 디코더는, 제 1 부명령과 관련된 정보가 동일한 VLIW 명령의 제 2 부명령과 관련되며 제 2 파이프라인에 배정되어 제 2 파이프라인의 트랩 스테이지에 도달하는 정보와 동시에, 제 1 파이프라인의 트랩 스테이지에 들어가도록 보장할 필요가 있을 때, 제 2 파이프라인 내부에 비연산 헬퍼 부명령들을 끼워넣을 수 있으며, 비연산 헬퍼 부명령의 끼워넣음은 제 1 부명령과 제 2 부명령에 좌우되는 것을 특징으로 하는 VLIW 프로세서.
SYNCHRONIZING PARTIALLY PIPELINED INSTRUCTIONS IN VLIW PROCESSORSAVLIW processor has multiple pipelines (410, 425) for execution of subcommands of VLIW instructions in parallel. Each pipeline has at least one execution stage (412, 414) and a trap stage (422, 430). At least one can operate on operands of a first and a second word length, the second word length longer than the first, the first word length is the same as a data path width of the pipeline (410, 425). Execution of operations on the operands of the second word length requires multiple cycles in at least one execution stage (412, 414) of the pipeline. An instruction decoder (404) decodes subcommands of a sequence of VLIW instructions into pipeline subcommands, and dispatches these to the first and second pipelines (410, 425), the instruction decoder (404) injects at least one helper subcommand into the first pipeline (410) when a first subcommand of the VLIW instruction operates on operands of the second word length. The instruction decoder also inserts no-operation helper subcommands into the second pipeline (425) when necessary to ensure that information associated with the first subcommand enters a trap stage (422) of the first pipeline (410) synchronously with information associated with a second subcommand of the same VLIW instruction and dispatched to the second pipeline (425) reaching a trap stage (430) of the second pipeline (425). These no-operation helper subcommands maintain synchronous arrival of information at the trap stages (422, 425) even if the first subcommand operates on operands of the second word length and the second subcommands operates on operands of the first word length.A VLIW processor comprising: a first pipeline for executing subcommands, and having at least one execution stage and a trap stage; a second pipeline for executing subcommands, and having at least one execution stage and a trap stage, the second pipeline capable of operating in parallel with the first pipeline, the first pipeline capable of operation upon operands of a first word length and a second word length, where the second word length is greater than the first word length, where the first word length is the same as a data path width of the first pipeline, and where execution of operations on the operands of the second word length requires multiple cycles in at least one execution stage of the first pipeline; an instruction decoder for decoding subcommands of a sequence of VLIW instructions into pipeline subcommands, and for dispatching these to the first pipeline and the second pipeline, the instruction decoder injecting at least one helper subcommand into the first pipeline when a first subcommand of the VLIW instruction is a command for operation upon operands of the second word length and is dispatched to the first pipeline; wherein the instruction decoder is capable of inserting no-operation helper subcommands into the second pipeline when necessary to ensure that information associated with the first subcommand enters a trap stage of the first pipeline simultaneously with information associated with a second subcommand of the same VLIW instruction and dispatched to the second pipeline arriving at a trap stage of the second pipeline, and wherein injection of the no-operation helper subcommands is conditioned upon the first subcommand and the second subcommand.
1,136
29142
기어 및 동력전달장치 제조업
특허
ko
en
변형량이 제한 가능한 이중 플렉스 스플라인 고조파 감속기변형량이 제한 가능한 이중 플렉스 스플라인 고조파 감속기는 강한 플렉스 스플라인(3), 약한 플렉스 스플라인 (2), 파동 발생기(1) 및 강한 플렉스 스플라인 변형량 위치 제한 부품(4)을 포함하되, 강한 플렉스 스플라인과 약한 플렉스 스플라인은 동축 축방향으로 고정되는 동시에 반경 방향으로 고정되며, 강한 플렉스 스플라인 및 약한 플렉스 스플라인에는 서로 맞물림 가능한 치가 설치되고, 강한 플렉스 스플라인과 약한 플렉스 스플라인의 치수에는 차이가 있으며, 파동 발생기는 약한 플렉스 스플라인을 비원형 탄성 변형시켜 강한 플렉스 스플라인에 부분적으로 맞물리도록 하고, 강한 플렉스 스플라인 과 약한 플렉스 스플라인의 접촉 부분은 약한 플렉스 스플라인의 반경 방향의 압력을 받아 비원형 탄성 변형되며, 상기 강한 플렉스 스플라인 플렉서블 관형벽의 치가 없는 일면에는 위치 제한 접촉면이 구비되고, 상기 강한 플렉스 스플라인 변형량 위치 제한 부품과 강한 플렉스 스플라인은 상대적으로 고정되며, 상기 강한 플렉스 스플라인 변형량 위치 제한 부품에는 환형 위치 제한면이 구비되고, 약한 플렉스 스플라인의 반경 방향의 압력을 받아 변형된 상기 강한 플렉스 스플라인의 영역의 위치 제한 접촉면은 상기 강한 플렉스 스플라인 변형량 위치 제한 부품의 환형 위치 제한면에 접촉된다. 상기 변형량이 제한 가능한 이중 플렉스 스플라인 고조파 감속기는 가공 공차에 대한 포용성이 더 강하고, 공차 요구 사항이 더 낮은 부품을 사용하여 높은 정밀도, 작은 백래시, 나아가 제로 백래시의 정밀한 고조파 감속기를 구현할 수 있다.변형량이 제한 가능한 이중 플렉스 스플라인 고조파 감속기에 있어서,강한 플렉스 스플라인, 약한 플렉스 스플라인, 파동 발생기 및 강한 플렉스 스플라인 변형량 위치 제한 부품을 포함하되, 상기 강한 플렉스 스플라인과 약한 플렉스 스플라인은 동축 축방향으로 고정되는 동시에 반경 방향으로 고정되며, 강한 플렉스 스플라인 및 약한 플렉스 스플라인에는 서로 맞물림 가능한 치가 각각 설치되고, 강한 플렉스 스플라인과 약한 플렉스 스플라인의 치수에는 차이가 있으며, 파동 발생기는 약한 플렉스 스플라인을 비원형 탄성 변형시켜 강한 플렉스 스플라인에 부분적으로 맞물리도록 하고, 강한 플렉스 스플라인과 약한 플렉스 스플라인의 접촉 부분은 약한 플렉스 스플라인의 반경 방향의 압력을 받아 비원형 탄성 변형되며, 상기 강한 플렉스 스플라인 플렉서블 관형벽의 치가 없는 일면에는 위치 제한 접촉면이 구비되고, 상기 강한 플렉스 스플라인 변형량 위치 제한 부품과 강한 플렉스 스플라인은 상대적으로 고정되며, 상기 강한 플렉스 스플라인 변형량 위치 제한 부품에는 환형 위치 제한면이 구비되고, 약한 플렉스 스플라인의 반경 방향의 압력을 받아 변형된 상기 강한 플렉스 스플라인의 영역의 위치 제한 접촉면은 상기 강한 플렉스 스플라인 변형량 위치 제한 부품의 환형 위치 제한면에 접촉되고, 강한 플렉스 스플라인의 변형량은 강한 플렉스 스플라인이 변형된 후 강한 플렉스 스플라인 변형량 위치 제한 부품에 접촉되어 제한을 받는 것을 특징으로 하는 변형량이 제한 가능한 이중 플렉스 스플라인 고조파 감속기.
Double-flexible-wheel harmonic speed reducer with deformation capable of being limitedA double-flexible-wheel harmonic speed reducer with deformation capable of being limited is characterized in that the double-flexible-wheel harmonic speed reducer with deformation capable of being limited comprises a strong flexible wheel, a weak flexible wheel, a wave generator and a strong flexible wheel deformation limiting component; the strong flexible wheel and the weak flexible wheel are coaxially and axially fixed and fixed in the radial direction and are provided with mutually-engaged teeth; the tooth number difference exists between the strong flexible wheel and the weak flexible wheel; through the wave generator, the weak flexible wheel generates non-circular elastic deformation and is engaged with part of the strong flexible wheel, and the part, making contact with the weak flexible wheel, of the strong flexible wheel is subjected to the radial pressure of the weak flexible wheel and generates non-circular elastic deformation; a limiting contact face is machined on the face, without teeth, of the flexible tubular wall of the strong flexible wheel, and the strong flexible wheel deformation limiting component and the strong flexible wheel are oppositely fixed; an annularlimiting face is machined on the strong flexible wheel deformation limiting component, and the limiting contact face of the deformation area, generated due to the radial pressure of the weak flexiblewheel, of the strong flexible wheel and the annular limiting face on the strong flexible wheel deformation limiting component are in contact.Double flexbile gear harmonic wave speed reducing machines that a kind of deflection can limit, it is characterized in that: double flexbile gear harmonic waves that deflection can limit subtract fast machine, including strong flexbile gear, weak flexbile gear, wave producer and strong deformation of flexible wheel amount limit part, and the strong flexbile gear and weak flexbile gear are coaxial axial restraint is simultaneously radially fixed, and being respectively equipped on strong flexbile gear and weak flexbile gear can be deposited with intermeshing tooth, strong flexbile gear and weak flexbile gear in teeth number difference, wave producer makes weak flexbile gear that non-circular elastic deformation occur and engages with strong flexbile gear part, and strong flexbile gear connects with weak flexbile gear contact portion point is generated non-circular elastic deformation, the strong anodontia one side processing of flexbile gear flexible tubular wall by the radial pressure of weak flexbile gear there is limit contact surface, the strong deformation of flexible wheel amount limit part and strong flexbile gear are relatively fixed, the strong deformation of flexible wheel amount limit zero be machined with annular stop face on part, the strong flexbile gear by weak flexbile gear radial pressure deformed region limit contact surface with it is described strong soft take turns the annular stop face contact on deflection limit part, the deflection of strong flexbile gear because after strong deformation of flexible wheel with strong deformation of flexible wheel amount it limits feature contacts and is restricted.
1,137
21101
의약용 화합물 및 항생물질 제조업
특허
ko
en
17β - 치환된 4 - 아자 - 5α - 안드로스탄 - 3 - 온 유도체 (17β - substituted 4 - aza - 5α - androstan - 3 - one derivatives)일반식(I)의 화합물은 테스토스테론 5α-환원 효소억제제이고 양성 전립선 과형성증, 전립선암 및 유방암, 지루, 여성 조모증 및 남성 독두를 치료하는데 유용하다.; ; 상기식에서, R은 수소원자 또는 C1-C4알킬 그룹이고, A는 단일 결합이거나 직쇄 또는 측쇄 C1-C6알킬렌쇄이며, R1은 수소원자 또는 C1-C4알킬 그룹이고, R2는 C1-C6알킬 그룹, C5-C7사이클로알킬 또는 C6-C10사이클로알킬알킬 그룹, 아릴 또는 C7-C10아릴알킬 그룹 또는 C6-C10 헤테로사이클로알킬 그룹이며, R3는 수소, C1-C4알킬 그룹 또는 아릴 또는 C7-C10 아릴알킬 그룹이고, Z은 C1-C6알킬 그룹, -OR5그룹(여기서, R5는 C1-C6알킬 그룹이다), 또는 (여기서, R6 및 R7은 각각 수고 C1-C6 알킬, C5-C7 사이클로 알킬 또는 페닐이거나, R6및 R7은 질소와 함께 결합하여 펜타토믹 또는 헥사토믹 포화된 헤테로모노사이클릭환을 형성한다)이며, 기호 는 단일 결합 또는 이중 결합이다.일반식(I)의 화합물 및 약제학적으로 허용되는 이의 염. [이미지] 상기식에서, R은 수소원자 또는 하나 이상의 불소원자에 의해 치환되거나 비치환된 C1-C4알킬 그룹이고, A는 단일 결합이거나 직쇄 또는 측쇄 C1-C6알킬렌 쇄이며, R1은 수소원자 또는 하나 이상의 불소원자에 의해 치환되거나 비치환된 C1-C6알킬 그룹이고, R2는 a) 플루오로, C1-C4 알콕시카보닐, 카바모일, 카복시, 하이드록시, C1-C4알콕시, 아미노, 디-C1-C4-알킬아미노, 머캅토 및, C1-C4 알킬티오로 부터 선택된 하나 이상의 치환체에 의해 치환되거나 비치환된 C1-C4알킬 그룹이거나, b) 하나 이상의 불소원자에 의해 치환되거나 비치환된, C5-C7 사이클로알킬 또는 C6-C10 사이클로알킬알킬 그룹이거나, c) 할로겐, C1-C4알킬, C1-C4알콕시, 하이드록시 및 트리플루오로메틸로부터 선택된 하나 이상의 치환체에 의해 환 치환되거나 비치한된 아릴 또는 C7-C10아릴알킬 그룹이거나, d) 헤테로사이클릭 환이 N, O 및 S로부터 선택된 하나 이상의 헤테로원자를 갖고 하나 이상의 불소원자에 의해 환 치환되거나 비치환된 C6-C10 헤테로사이클로알킬 그룹이며, R3은 수소, C1-C4알킬 그룹 또는 할로겐, C1-C4알킬, C4 알콕시, 하이드록시 및 트리플루오로메틸로부터 선택된 하나 이상의 치환체에 의해 환 치환되거나 비치환된 아릴 또는 C7-C10아릴알킬 그룹이고, Z은 a') 하나 이상의 불소원자에 의해 치환되거나 비치환된 C1-C6알킬 그룹, b') -OR5그룹(여기서, R5는 C1-C6알킬 그룹이다), [이미지] (여기서, R6 및 R7은 각각 독립적으로 수소, C1-C6알킬, C5-C7 사이클로알킬 또는 페닐이거나 R6 및 R7은 질소와 함께 결합하여 산소 및 질소로부터 선택된 하나 이상의 추가의 헤테로원자를 임의로 함유하는 펜타토믹 또는 헥사토믹 포화 헤테로모노사이클릭 환을 형성한다)이며, 기호[이미지] 는 단일 결합 또는 이중 결합을 나타내는데, 단, Z가 그룹 OR5인 경우, R3는 비치환된 C1-C6알킬그룹이 아니다.
17beta-substituted 4-aza-5alpha-androstan-3-one derivativesThe compound of formula (I) and the pharmaceutical salt thereof is testosterone 5 alpha- reductase inhibitor, which is therapeutically useful in benign prostatic hyperplasia, prostatic and breast cancers, seborrhoea, female hirsutism and male pattern baldness. R, A, R1, R2, R3, A and the symbol . . . in the formula are defined in the specification.The compound and the pharmaceutical salts thereof of following formula i:in the formular is hydrogen or c unsubstituted or that replaced by one or more fluorine atoms 1-c 4-alkyl; a is the c of a singly-bound or straight or branched 1-c 6-alkylidene chain; r 1be hydrogen or c unsubstituted or that replaced by one or more fluorine atoms 1-c 6-alkyl; r 2be (a) c unsubstituted or that replaced by one or more following groups 1-c 6-alkyl: fluorine, c 1-c 4-carbalkoxy, carbamyl, carboxyl, hydroxyl, c 1-c 4-alkoxyl group, amino, two-c 1-c 4-alkylamino radical, sulfydryl and c 1-c 4-alkane thio group; or (b) c 5-c 7cycloalkyl or c unsubstituted or that replaced by one or more fluorine atoms 6-c 10cycloalkylalkyl; or (c) unsubstituted or by one or more following groups in nuclear substituted aryl of virtue or c 7-c 10aralkyl: halogen, c 1-c 4-alkyl, c 1-c 4-alkoxyl group, hydroxyl and trifluoromethyl; or (d) c 6-c 10heterocyclylalkyl contains one or more n, o and s atom in the heterocycle, they are not substituted or encircle by one or more fluorine atoms and replace; r 3be hydrogen, c 1-c 4-alkyl, be not substituted or encircle the aryl or the c that are replaced by one or more following groups 7-c 10-aralkyl: halogen, c 1-c 4-alkyl, c 1-c 4-alkoxyl group, hydroxyl and trifluoromethyl; z is(a ') c unsubstituted or that replaced by one or more fluorine atoms 1-c 6-alkyl; (b ')-or 5group, r 5be c 1-c 6-alkyl; (c ')- group, r 6and r 7independent separately is hydrogen, c 1-c 6-alkyl, c 5-c 7cycloalkyl or phenyl, perhaps r 6with r 7linking to each other with nitrogen jointly forms five yuan or hexa-atomic saturated assorted monocycle, and it randomly contains another one oxygen or nitrogen heteroatom at least; symbol represent singly-bound or two key; when z is or 5the time, r 2not unsubstituted c 1-c 6-alkyl.
1,138
20421
계면활성제 제조업
특허
ko
en
유화액 조성물 및 계면활성제의 선택 방법극소형입자 유화액을 구성하는 다수의 성분의 상 거동의 식별에 근거를 둔 방법을 사용하는 극소형입자 유화액의 제조 방법에 개시된다. 또한 제 1 성분, 커플링제 및 계면활성제를 포함하는 극소형입자 유화액 조성물이 개시된다.(i) 3-성분 상 다이아그램 - 3-성분 다이아그램의 꼭지점들은 순수한 제 1 성분, 순수한 제 2 성분 및 순수한 제 3 성분을 나타냄-을 만들고; (ii) 하기 단계 (iii), (iv) 및 (v)에 따라 제 1 성분, 제 2 성분 및 제 3 성분은 혼합물 내에 동일한 중량 기준 양으로 존재하는 초기 조성을 갖는 혼합물을 제조하고,[(iii) 벤질 알콜을 포함하는 제 1 성분을 제공하는 단계,(iv) 물을 포함하는 제 2 성분을 제공하는 단계, 및(v) 계면활성제를 포함하는 제 3 성분을 제공하는 단계];(vi) 3-성분 다이아그램의 중심점에 혼합물의 초기 조성을 나타내고,(vii) 상기 혼합물에 소정량의 제 1 성분 및 소정량의 제 2 성분을 동일한 중량 분율 기준 양으로 점증적으로 첨가하고, 여기서 제 1 성분 및 제 2 성분의 각각의 점증적 첨가에 의해, 순수한 계면활성제를 나타내는 3-성분 상 다이아그램의 꼭지점의 반대편 변 쪽으로 3-성분 상 다이아그램을 이등분하고 상기 꼭지점을 통과하는 선을 따라 혼합물의 조성이 변화하고,(viii) 제 1 성분 및 제 2 성분의 각각의 점증적 첨가 후에 혼합물 내의 혼탁함의 징후를 관찰하고,(ix) 극소형입자 유화액으로부터 2-상 분리로의 혼합물의 전이를 나타내는 혼탁함의 초기 징후에 상응하는 조성물 내의 제 1 성분 및 제 2 성분의 중량 분율을 기록하고,(x) 하기 단계 (xi), (xii) 및 (xiii)에 따라 제 1 성분, 제 2 성분 및 제 3 성분은 공지된 중량 분율로 존재하고, 공지된 중량 분율은 단계 i 내지 단계 ix의 제 1 혼합물의 중량 분율과 상이한 제 2 초기 조성을 갖는 제 2 혼합물을 제조하고,[(xi) 벤질 알콜을 포함하는 제 1 성분을 제공하는 단계;(xii) 물을 포함하는 제 2 성분을 제공하는 단계; 및(xiii) 계면활성제를 포함하는 제 3 성분을 제공하는 단계];(xiv) 제 2 혼합물에 소정량의 제 1 성분 및 소정량의 제 2 성분을 일정한 중량 분율의 비로 점증적으로 첨가하고, 여기서 제 1 성분 및 제 2 성분의 각각의 점증적 첨가에 의해, 3-성분 상 다이아그램의 일정한 비의 조성 선을 따라, 순수한 계면활성제를 나타내는 3-성분 상 다이아그램의 꼭지점의 반대편 변 쪽으로 조성이 이동하고,(xv) 제 1 성분 및 제 2 성분의 각각의 첨가 후에, 혼합물 내의 혼탁함의 징후를 관찰하고, (xvi) 극소형입자 유화액으로부터 2-상 분리로의 혼합물의 전이를 나타내는 혼탁함의 초기 징후에 상응하는 제 1 성분 및 제 2 성분의 양을 기록하고,(xvii) 제 3 초기 조성을 갖는 적어도 제 3 혼합물을 제조함으로써 단계 x를 반복하고,(xviii) 단계 xi 내지 xvi를 반복하고, 임의로(xix) 단계 x 내지 xvi를 반복하고,(xx) 극소형입자 유화액 영역으로부터 2-상 분리 영역으로의 혼합물 조성물의 전이를 나타내는 3-성분 상 다이아그램 상의 조성들의 점들의 위치(locus)를 식별함을 포함하는, 극소형입자 유화액을 구성하는 다수의 성분의 상 거동의 식별에 근거를 둔 극소형입자 유화액(microemulsion)을 위한 성분 농도의 선택 방법.
EMULSION COMPOSITIONS AND A METHOD FOR SELECTING SURFACTANTSA method for preparing a microemulsion is disclosed which employs a method based upon identification of the phase behavior of a plurality of components comprising the microemulsion. Further disclosed is a microemulsion composition comprising a first component, coupling agent, and surfactant.A method for selecting component concentrations for a microemulsion based upon identification of the phase behavior of a plurality of components comprising the microemulsion, the method comprising :i) constructing a three-component phase diagram byii) preparing a mixture having an initial composition according to the steps of; iii) providing a first component comprising benzyl alcohol,iv) providing a second component comprising water, andv) providing a third component comprising a surfactant, and wherein first, second and third components are present in equal amounts by weight in the mixture; vi) representing the initial composition of the mixture at a center point of the three- component diagram wherein the vertices of the three component diagram represent pure first component, pure second component and pure third component, andvii) adding incrementally to this mixture a quantity of the first component and a quantity of the second component in equal amount by weight fraction, and wherein each addition of the incremental quantity of the first component and the second component varies the composition of the mixture along a line bisecting the three-component phase diagram toward a side opposite to and passing through a vertex of the three-component phase diagram representing pure surfactant ;viii) observing after each incremental addition of first and second components, an indication of turbidity in the mixture andix) noting the weight fraction of first and second components in the composition corresponding to an initial indication of turbidity, the initial indication of turbidity marking a transition of the mixture from a microemulsion to two-phase separation; andx) preparing a second mixture having a second initial composition according to the steps of;xi) providing a first component comprising benzyl alcohol,xii) providing a second component comprising water, andxiii) providing a third component comprising a surfactant, and wherein the first,second and third components are present in known weight fractions, the known weight fractions being different from the weight fractions of the first mixture from steps i through ix;xiv) adding incrementally to the second mixture a quantity of the first component and a quantity of the second component in a fixed ratio of weight fractions, and wherein each addition of an incremental quantity of the first component and the second component advances the composition along a fixed ratio composition line of the three-component phase diagram toward a side opposite to the vertex of the three-component phase diagram representing pure surfactant;xv) observing after each addition of first and second components, an indication of turbidity in the mixture andxvi) noting the amount of first and second components corresponding to an initial indication of turbidity, the initial indication of turbidity marking a transition of the mixture from a microemulsion to two-phase separation; andxvii) repeating Step x by preparing at least a third mixture having a third initialcomposition andxviii) repeating steps xi and through xvi, and optionally,xix) iterating steps x through xvi, andxx) identifying a locus of points for compositions on the three-component phase diagram which mark a transition of the mixture composition from amicroemulsion region to a two-phase region.
1,139
73203
시각 디자인업
특허
ko
en
튜브 번들 장치 및 튜브 번들을 구비하는 열 교환기쉘 및 튜브 타입 열 교환기용의 튜브 번들(10)은, 제조를 간단하게 하고, 튜브(12)의 확실한 지지체를 제공하여 진동 및 이 진동과 관련된 문제점을 완화시키는 지지 시스템을 구비한다. 튜브 번들은 튜브들로 구성되며, 이들 튜브는, 인접한 튜브와의 충분한 간극을 허용하는 크기로 되고, 교호하는 위치 및 교호하는 배향으로 구성된 지지 로드(26)를 구비하는 이격된 케이지(22)에 이해 지지되며, 4개의 넓게 이격된 케이지의 세트는 완벽한 지지 네트워크를 형성한다. 진동을 회피하도록 확실한 지지체를 제공하기 위해서, 지지 스테이크(30)의 세트는 케이지에 인접하여(또는 케이지 사이에) 삽입되어, 튜브를 케이지의 지지 로드에 대해서 바이어스시킨다. 이에 의해, 제조가 간단해지지만, 확실한 지지 시스템은 유지된다.튜브 번들 장치(tube bundle device)에 있어서,서로 평행하게 배치되고, 종방향 축을 갖고 튜브 번들을 형성하는 튜브로서, 상기 튜브는 인접한 열을 분리하는 x-튜브 레인 및 인접한 행을 분리하는 y-튜브를 갖는 열 및 행으로 배치되어 있는, 상기 튜브와,배플 프레임을 포함하는 제 1 튜브 지지 케이지로서, 복수의 평행한 튜브 지지 부재가 상기 종방향 축에 실질적으로 직교하는 평면에서 상기 배플 프레임에 고정되어 있으며, 각 튜브 지지 부재는 교호하는 x-튜브 레인에서 제 1 배향으로 연장되는, 상기 제 1 튜브 지지 케이지와,배플 프레임을 포함하는 제 2 튜브 지지 케이지로서, 복수의 평행한 튜브 지지 부재가 상기 종방향 축에 실질적으로 직교하는 평면에서 상기 배플 프레임에 고정되어 있으며, 각 튜브 지지 부재는 교호하는 y-튜브 레인에서 제 1 배향에 대해 일정 각도에서 제 2 배향으로 연장되는, 상기 제 2 튜브 지지 케이지와,배플 프레임을 포함하는 제 3 튜브 지지 케이지로서, 복수의 평행한 튜브 지지 부재가 상기 종방향 축에 실질적으로 직교하는 평면에서 상기 배플 프레임에 고정되어 있으며, 각 튜브 지지 부재는 상기 제 1 튜브 지지 케이지로부터 하나의 레인에 의해 오프셋되어 있는 교호하는 x-튜브 레인에서 제 1 배향으로 연장되는, 상기 제 3 튜브 지지 케이지와,배플 프레임을 포함하는 제 4 튜브 지지 케이지로서, 복수의 평행한 튜브 지지 부재가 상기 종방향 축에 실질적으로 직교하는 평면에서 상기 배플 프레임에 고정되어 있으며, 각 튜브 지지 부재는 상기 제 2 튜브 지지 케이지로부터 하나의 레인에 의해 오프셋되어 있는 교호하는 y-튜브 레인에서 제 2 배향으로 연장되는, 상기 제 4 튜브 지지 케이지와,상기 튜브 지지 케이지중 하나에 인접하고 상기 하나로부터 이격된 튜브 번들내에, 인접한 튜브 지지 케이지의 상기 튜브 지지 부재의 적어도 일부로부터 교호하는 레인에 실질적으로 평행하게 그리고 교호하는 레인에 오프셋되어 삽입되는 적어도 하나 세트의 튜브 지지 스케이트(tube support skate)를 포함하며,상기 제 1, 제 2, 제 3 및 제 4 튜브 지지 케이지는 각 x-튜브 레인 및 각 y-튜브 레인에 배치된 튜브 지지 부재의 그리드를 함께 구성하는 하나의 세트를 형성하며,상기 튜브 지지 부재는 x-튜브 레인 또는 y-튜브 레인의 폭의 90%와 98% 사이인 두께를 가지며, 그에 따라 인접한 튜브 지지 부재와 각 튜브 사이에 자유 공간을 형성하며,상기 튜브 지지 스케이트는 인접한 튜브 지지 부재에 대해 튜브를 바이어스시키는튜브 번들 장치.
Reduced vibration tube bundle support deviceA tube bundle for a shell and tube type heat exchanger has a support system that allows simple fabrication and provides a secure support of the tubes to mitigate vibration and problems associated with vibration. The tube bundle is composed of tubes that are supported by spaced cages having support rods sized to allow ample clearance with the adjacent tubes and configured in alternating positions and alternating orientations so that sets of four widely spaced cages form a complete support network. To ensure secure support to avoid vibration, sets of support stakes are inserted adjacent to (or in between) the cages to bias the tubes against the support rods of the cages. By this, fabrication is simplified, but a secure support system is maintained.A tube bundle device, comprising: tubes arranged parallel to one another and defining a tube bundle with a longitudinal axis, wherein the tubes are arranged in rows and columns with an x-tube lane separating adjacent rows and a y-tube lane separating adjacent columns; a first tube support cage comprising a baffle frame with a plurality of parallel tube support members secured to the baffle frame in a plane substantially perpendicular to the longitudinal axis, each tube support member extending in a first orientation in alternating x-tube lanes; a second tube support cage comprising a baffle frame with a plurality of parallel tube support members secured to the baffle frame in a plane substantially perpendicular to the longitudinal axis, each tube support member extending in a second orientation at an angle to the first orientation in alternating y-tube lanes; a third tube support cage comprising a baffle frame with a plurality of parallel tube support members secured to the baffle frame in a plane substantially perpendicular to the longitudinal axis, each tube support member extending in the first orientation in alternating x-tube lanes that are offset by one lane from the first tube support cage; a fourth tube support cage comprising a baffle frame with a plurality of parallel tube support members secured to the baffle frame in a plane substantially perpendicular to the longitudinal axis, each tube support member extending in the second orientation in alternating y-tube lanes that are offset by one lane from the second tube support cage; and at least one set of tube support stakes inserted in the tube bundle adjacent to and spaced from one of the tube support cages substantially parallel to and offset in alternating lanes from at least some of the tube support members of the adjacent tube support cage, wherein the first, second, third and fourth tube support cages form a set that together defines a grid of tube support members disposed in each x-tube lane and each y-tube lane, wherein the tube support members have a thickness that is between 90-98% of the width of an x-tube lane or a y-tube lane, thus defining free space between each tube and an adjacent tube support member, and wherein the tube support stakes bias the tubes against adjacent tube support members.
1,139
21102
생물학적 제제 제조업
특허
ko
en
곤충 해충을 방제하기 위한 SPT5 핵산 분자본 발명은 딱정벌레류 및/또는 노린재류 해충을 포함한, 곤충 해충에서 타겟 코딩 및 전사된 비-코딩 서열의 RNA 간섭-매개 억제를 통해 곤충 해충 방제하기 위한 핵산 분자 및 이의 사용 방법에 관한 것이다. 또한 본 발명은 곤충 해충의 방제에 유용한 핵산 분자를 발현하는 트랜스제닉 식물을 제조하는 방법, 이에 의해 수득된 식물 세포 및 식물에 관한 것이다.이종 프로모터에 작동가능하게 연결된 적어도 하나의 폴리뉴클레오티드를 포함하는 단리된 핵산 분자로, 여기서 상기 폴리뉴클레오티드는 서열번호:1; 서열번호:1의 상보체; 서열번호:1의 적어도 15개의 인접 뉴클레오티드의 단편; 서열번호:1의 적어도 15개의 인접 뉴클레오티드의 단편의 상보체; 서열번호:5, 7, 8 및 104 중 어느 하나를 포함하는 디아브로티카 유기체의 천연 코딩 서열; 서열번호:5, 7, 8 및 104 중 어느 하나를 포함하는 디아브로티카 유기체의 천연 코딩 서열의 상보체; 서열번호:5, 7, 8 및 104 중 어느 하나를 포함하는 디아브로티카 유기체의 천연 코딩 서열의 적어도 15개의 인접 뉴클레오티드의 단편; 서열번호:5, 7, 8 및 104 중 어느 하나를 포함하는 디아브로티카 유기체의 천연 코딩 서열의 적어도 15개의 인접 뉴클레오티드의 단편의 상보체; 서열번호:3; 서열번호:3의 상보체; 서열번호:3의 적어도 15개의 인접 뉴클레오티드의 단편; 서열번호:3의 적어도 15개의 인접 뉴클레오티드의 단편의 상보체; 서열번호:6을 포함하는 디아브로티카 유기체의 천연 코딩 서열; 서열번호:6을 포함하는 디아브로티카 유기체의 천연 코딩 서열의 상보체; 서열번호:6을 포함하는 디아브로티카 유기체의 천연 코딩 서열의 적어도 15개의 인접 뉴클레오티드의 단편; 서열번호:6을 포함하는 디아브로티카 유기체의 천연 코딩 서열의 적어도 15개의 인접 뉴클레오티드의 단편의 상보체; 서열번호:85; 서열번호:85의 상보체; 서열번호:85의 적어도 15개의 인접 뉴클레오티드의 단편; 서열번호:85의 적어도 15개의 인접 뉴클레오티드의 단편의 상보체; 서열번호:87를 포함하는 유쉬스투스 유기체의 천연 코딩 서열; 서열번호:87를 포함하는 유쉬스투스 유기체의 천연 코딩 서열의 상보체; 서열번호:87를 포함하는 유쉬스투스 유기체의 천연 코딩 서열의 적어도 15개의 인접 뉴클레오티드의 단편; 서열번호:87를 포함하는 유쉬스투스 유기체의 천연 코딩 서열의 적어도 15개의 인접 뉴클레오티드의 단편의 상보체; 서열번호:101; 서열번호:101의 상보체; 서열번호:101의 적어도 15개의 인접 뉴클레오티드의 단편; 서열번호:101의 적어도 15개의 인접 뉴클레오티드의 단편의 상보체; 서열번호:104를 포함하는 멜리게테스 유기체의 천연 코딩 서열; 서열번호:104를 포함하는 멜리게테스 유기체의 천연 코딩 서열의 상보체; 서열번호:104를 포함하는 멜리게테스 유기체의 천연 코딩 서열의 적어도 15개의 인접 뉴클레오티드의 단편; 서열번호:104를 포함하는 멜리게테스 유기체의 천연 코딩 서열의 적어도 15개의 인접 뉴클레오티드의 단편의 상보체,로 이루어진 군으로부터 선택된 것인, 핵산 분자.
Spt5 Nucleic Acid Molecules To Control Insect PestsThis disclosure concerns nucleic acid molecules and methods of use thereof for control of insect pests through RNA interference-mediated inhibition of target coding and transcribed non-coding sequences in insect pests, including coleopteran and/or hemipteran pests. The disclosure also concerns methods for making transgenic plants that express nucleic acid molecules useful for the control of insectpests, and the plant cells and plants obtained thereby.A kind of separated nucleic acid molecules, it includes at least one more nucleosides being operably connected with heterologous promoter acid, wherein the polynucleotides are selected from:seq id no:1;seq id no:1 complementary series;seq id no:the fragment of 1 at least 15 contiguous nucleotides; seq id no:the complementary series of the fragment of 1 at least 15 contiguous nucleotides;the chrysomelid natural coding sequence for belonging to organism, its include seq id no:5th, any one of 7,8 and 104;the complementary series of the chrysomelid natural coding sequence for belonging to organism, it is described natural coding sequence includes seq id no:5th, any one of 7,8 and 104;the chrysomelid natural coding sequence for belonging to organism is extremely the fragment of few 15 contiguous nucleotides, the natural coding sequence include seq id no:5th, any one of 7,8 and 104;leaf the complementary series of the fragment of at least 15 contiguous nucleotides of the natural coding sequence of first category organism, the natural coding sequence include seq id no:5th, any one of 7,8 and 104;seq id no:3;seq id no:3 complementary series;seq id no:the fragment of 3 at least 15 contiguous nucleotides; seq id no:the complementary series of the fragment of 3 at least 15 contiguous nucleotides;the chrysomelid natural coding sequence for belonging to organism, its include seq id no:6;the complementary series of the chrysomelid natural coding sequence for belonging to organism, the natural coding sequence include seq id no:6;the fragment of at least 15 contiguous nucleotides of the chrysomelid natural coding sequence for belonging to organism, the natural coding sequence include seq id no:6;the complementary sequence of the fragment of at least 15 contiguous nucleotides of the chrysomelid natural coding sequence for belonging to organism row, the natural coding sequence include seq id no:6;seq id no:85;seq id no:85 complementary series;seq id no:the piece of 85 at least 15 contiguous nucleotides section;seq id no:the complementary series of the fragment of 85 at least 15 contiguous nucleotides;the natural coding of america stinkbug category organism sequence, it includes seq id no:87;the complementary series of the natural coding sequence of america stinkbug category organism, the natural code sequence row include seq id no:87;the fragment of at least 15 contiguous nucleotides of the natural coding sequence of america stinkbug category organism, institute state natural coding sequence and include seq id no:87;at least 15 of the natural coding sequence of america stinkbug category organism adjoin nucleosides the complementary series of the fragment of acid, the natural coding sequence include seq id no:87;seq id no:101;seq id no:101 complementary series;seq id no:101 at least 15 contiguous nucleotides fragment;seq id no:the complementary series of the fragment of 101 at least 15 contiguous nucleotides;the natural volume of nitidulid category organism code sequence, it includes seq id no:104;the complementary series of the natural coding sequence of nitidulid category organism, the natural volume code sequence includes seq id no:104;the piece of at least 15 contiguous nucleotides of the natural coding sequence of nitidulid category organism section, the natural coding sequence include seq id no:104;and at least the 15 of the natural coding sequence of nitidulid category organism the complementary series of the fragment of a contiguous nucleotide, the natural coding sequence include seq id no:104.
1,140
33401
인형 및 장난감 제조업
특허
ko
en
장난감 조립 블록본 발명은 하나 이상의 조립 블록(200) 및 하나 이상의 바퀴(300)를 포함하고, 각각의 상기 조립 블록(200)은 세로 방향(X)으로 연장하는 제1연장부(2); 상기 세로 방향(X)으로 연장되는 제2연장부(4); 중앙 위치(8)에서 상기 제1연장부(2)과 상기 제2연장부(4)를 연결하는 중간부(6); 상기 중간부(6)에 반대되는 측면에서, 그리고 그 중앙 위치에서, 상기 제1연장부(2)로부터 상기 길이 방향(X)에 수직인 가로 방향(Y)으로 연장하는 제1단부(10); 상기 중간부(6)에 반대되는 측면에서, 그리고 그 중앙 위치에서, 상기 제2연장부(4)로부터 상기 길이 방향(X)에 수직인 가로 방향(Y)으로 연장하는 제2단부(12)를 포함하고, 따라서 상기 조립 블록은 6개의 돌출부(14)와 2개의 보이드(16)를 포함하고, 각각의 보이드(16)는 상기 제1연장부(2)의 단부와 상기 제2연장부(4)의 단부 사이에 정의되고, 각각의 상기 바퀴(300)는 허브(18); 및 바퀴 림(20);을 포함하고, 상기 바퀴 림(20)은 상기 허브(18)를 둘러싸고 있고; 및 상기 바퀴 림(20)은 상기 바퀴 림이 상기 허브와 관련하여 회전축(A)을 중심으로 회전할 수 있도록 구성되는 방식으로 상기 허브(18)에 부착되고; 그의 중심(22)에서 상기 허브(18)는 축 방향(A)으로 연장되는 구멍(24)을 포함하고; 상기 돌출부(14)를 수용하여 마찰에 의해서만 상기 허브(18)의 상기 구멍(24)에 상기 조립 블록(200)의 상기 돌출부(14)를 제자리에 고정할 수 있도록; 상기 구멍(24)의 치수 및 기하학적 구조는 상기 조립 블록(200)의 상기 돌출부(14) 중 하나의 치수 및 기하학적 구조에 적응되는 장난감 조립 블록 시스템(100)에 관한 것이다.다음을 포함하는 장난감 조립 블록 시스템(100): - 하나 이상의 조립 블록(200); 및 - 하나 이상의 바퀴(300)를 포함하고, - 각각의 상기 조립 블록(200)은 - 세로 방향(X)으로 연장하는 제1연장부(2); - 상기 세로 방향(X)으로 연장되는 제2연장부(4); - 중앙 위치(8)에서 상기 제1연장부(2)과 상기 제2연장부(4)를 연결하는 중간부(6); - 상기 중간부(6)에 반대되는 측면에서, 그리고 그 중앙 위치에서, 상기 제1연장부(2)로부터 상기 길이 방향(X)에 수직인 가로 방향(Y)으로 연장하는 제1단부(10) ; - 상기 중간부(6)에 반대되는 측면에서, 그리고 그 중앙 위치에서, 상기 제2연장부(4)로부터 상기 길이 방향(X)에 수직인 가로 방향(Y)으로 연장하는 제2단부(12);를 포함하고, 따라서 상기 조립 블록은 6개의 돌출부(14)와 2개의 보이드(16)를 포함하고, 각각의 보이드(16)는 상기 제1연장부(2)의 단부와 상기 제2연장부(4)의 단부 사이에 정의되고, - 각각의 상기 바퀴(300)는 - 허브(18); 및 - 바퀴 림(20);을 포함하고,상기 바퀴 림(20)은 상기 허브(18)를 둘러싸고 있고; 및 상기 바퀴 림(20)은 상기 바퀴 림이 상기 허브와 관련하여 회전축(A)을 중심으로 회전할 수 있도록 구성되는 방식으로 상기 허브(18)에 부착되고;그의 중심(22)에서 상기 허브(18)는 축 방향(A)으로 연장되는 구멍(24)을 포함하고; 상기 돌출부(14)를 수용하여 마찰에 의해서만 상기 허브(18)의 상기 구멍(24)에 상기 조립 블록(200)의 상기 돌출부(14)를 제자리에 고정할 수 있도록; 상기 구멍(24)의 치수 및 기하학적 구조는 상기 조립 블록(200)의 상기 돌출부(14) 중 하나의 치수 및 기하학적 구조에 적합화되는 시스템.
Toy building blockThe present invention relates to a toy building block system (100) comprising: one or more building blocks; and one or more wheels (300); wherein each building block (200) comprises: a first elongatedportion (2), the first elongated portion extending in a longitudinal direction (X); a second elongated portion (4) extending in the longitudinal direction (X); a middle portion (6) connecting the first elongated portion (2) and the second elongated portion (4) at a middle position (8) of the first elongated portion and the second elongated portion; a first end portion (10) extending from the first elongated portion (2) in a transverse direction (Y) perpendicular to the longitudinal direction (X) at a side of the first elongated portion opposite to the intermediate portion (6) and at a middleposition of the intermediate portion; a second end portion (12) extending from the second elongated portion (4) in a transverse direction (Y) perpendicular to the longitudinal direction (X) at a sideof the second elongated portion opposite to the intermediate portion (6) and at a middle position of the intermediate portion. Thereby, the building block comprises six protrusions (14) and two voids(16), where each void (16) is defined between an end of the first elongated portion (2) and an end of the second elongated portion (4). Each wheel (300) comprises: a hub (18); and a rim section (20).The rim portion (20) surrounds the hub (18); and wherein the rim portion (20) is attached to the hub (18) such that the rim portion is configured to be rotatable relative to the hub about an axis of rotation (A); wherein the hub (18) comprises, at a central portion (22) of the hub, a bore (24) extending in an axial direction (A); wherein the size and geometry of the hole (24) are adapted to the size and geometry of one of the projections (14) of the building block (200); thus, the projection (14) can be accommodated in the hole, and the projection (14) of the building block (200) is kept in place only through friction in the hole (24) of the hub (18).A toy building block system (100), the toy building block system comprising:-one or more building blocks (200); and-one or more wheels (300);-wherein each of the building blocks (200) comprises:-a first elongated portion (2) extending along a longitudinal direction (x);-a second elongated portion (4) extending along the longitudinal direction (x);-an intermediate portion (6) connecting the first elongated portion (2) with the second elongated portion (4) at a central position (8) of the first and second elongated portions;-a first end portion (10) extending from the first elongated portion (2) along a transversal direction (y) perpendicular to the longitudinal direction (x) at a side of the first elongated portion opposite to the intermediate portion (6) and at a central position of the first elongated portion (2);-a second end portion (12) extending from the second elongated portion (4) along the transversal direction (y) perpendicular to the longitudinal direction (x) at a side of the second elongated portion opposite to the intermediate portion (6) and at a central position of the second elongated portion;whereby the building block comprises six protrusions (14) and two voids (16), wherein each void (16) is defined between an end of the first elongated portion (2) and an end of the second elongated portion (4),-wherein each wheel (300) comprises:-a hub (18); and-a rim portion (20);wherein the rim portion (20) surrounds the hub (18); and wherein the rim portion (20) is attached to the hub (18) such that the rim portion is configured to be rotatable relative to the hub about a rotational axis (a);wherein the hub (18) comprises a bore (24) extending in an axial direction (a) at a central portion (22) of the hub; wherein the size and geometry of the hole (24) is adapted to the size and geometry of one of the protrusions (14) of the building block (200); so that the hole can receive the projection (14) and thereby the projection (14) of the building block (200) is held in place in the hole (24) of the hub (18) only by friction.
1,140
27214
속도계 및 적산계기 제조업
특허
ko
en
계량 기능을 구비한 전기 가열 밥솥 및 그 계량 제어 방법계량 기능이 있는 전기 가열 밥솥 및 그 계량 제어 방법이 제공된다. 기존의 전기 가열 밥솥 아래에 계량 기능이 있는 전자 저울(5)이 추가되어 요리 경험 데이터베이스와 음식 정보 데이터베이스를 저장한다. 사용자가 재료의 주어진 무게에 대해 추가해야 하는 물의 양에 대해 정확하지 않은 문제를 해결한다. 그리고 화력의 경험 곡선은 쌀이나 고기와 같은 음식에 대해 서로 다른 무게 지점에서 설계되었으며 또한 밥솥이 사용자의 미각 피드백에 따라 매개 변수를 지능적으로 조정하여 사용자의 취향에 더 가깝다. 다음 번에 요리; 또한 현재 조리 중인 다양한 식품의 열량, 콜레스테롤, 단백질, 지방, 염분, 식용유의 총합 및 평균 함량을 표시하거나 일치하지 않는 식품이 있을 경우 표시할 수 있다.5g, 2g 또는 1g인 전자저울의 정밀도가 5g, 2g 또는 1g인 전자저울의 하부에 고정밀 전자저울을 조립하는 단계, 전체 밥솥의 양은 전자 저울의 무게 센서가 보낸 실시간 압력 신호를 사용하여 전자 저울의 주 제어 장치에 의해 계산된다. 두 번째로, 메인 제어부의 메모리에 다음 정보를 조리 경험 데이터베이스 및 조리기 정보 데이터베이스로 저장하는 단계를 포함하고, 상기 조리 모드는 밥 조리, 죽 조리, 국물 조리 및 고기 조림을 포함하는 다양한 조리 모드와, 조리기 정보를 포함하고, 솥의 종류, 솥의 무게, 솥뚜껑의 무게, 솥의 부피, 슬로프의 잔잔한 단계 임계값과 슬로프의 예열 단계의 임계값, 주재료의 종류를 포함하는 특정 조리 모드에 필요한 주재료의 정보 및 중량, 부원료의 종류 및 중량을 포함하는 부원료에 대한 정보, 필요 물의 표준 중량, 미디엄 맛을 위한 물 미세 조정 중량 값을 포함하는 향미 조정 값, 미세 조정 중량 값 딱딱한 쌀이나 진한 국물의 맛, 부드러운 쌀, 가벼운 국물의 맛에 대한 미세 조정 가중치 값, 초기 가열 power, 완전히 끓는 데 걸리는 예상 시간, 특정 중량 지점까지 끓인 후 다른 특정 중량 지점까지 또는 특정 타임아웃이 발생할 때까지 다른 가열 전력을 사용하고 끓일 때 추가해야 하는 기타 보조 재료의 종류 및 중량 ; 셋째, 전기 난방이 시작되기 전에 사용자가 선택한 조리 모드, 조리기 정보, 주요 재료의 종류를 포함하는 요소에 따라 메인 제어부의 메모리에 저장된 조리 경험 데이터베이스 및 조리기 정보 데이터베이스에서 검색하고, 및 추가된 주성분의 중량 및 예상되는 풍미 사용 가능한 풍미 옵션은 중간, 쌀 단단한, 쌀 부드러운, 국물 강한, 스프 가벼운 포함, 사용자에게 전기의 시작 후 네 번째로 추가할 보조 성분의 종류와 무게를 알려준다. 가열, 전기 가열, 조리기 전체의 중량 변화를 측정하여 증기의 증발 속도를 반영하는 기울기를 구하고, 이 기울기를 기울기의 침착 단계 임계값 및 기울기의 예비등 임계값과 비교하는 것 밥솥의 내부 상태를 파악하는 단계로, 전체 조리 가열 과정은 진정 단계, 예열 단계, 비등 전 단계로 구분된다. 비등 후기 단계 및 보온 단계로 구성되며 초기 화력은 후기 비등 단계가 시작되기 전에 사용되며, 추가로 특정 중량 지점에서 조리 경험 데이터베이스에 따른 비등 후기 단계 동안에는 다른 화력이 사용된다. 다른 특정 무게 지점까지 또는 특정 시간 초과가 발생할 때까지 사용하거나 사용자에게 특정 무게의 다른 종류의 보조 재료를 밥솥에 추가하도록 요청하면 최종적으로 보온 가열이 전력 보온 단계에서 사용된다. 다섯째, 요리 과정을 완료한 후 사용자가 이 요리에 대한 풍미 피드백을 제공하도록 요구하고 이에 따라 밥솥은 메인 제어 장치의 메모리에 저장된 요리 경험 데이터베이스의 풍미에 대한 조정 값을 조정하여 물 미세함을 증가 또는 감소시키는 무게 제어 방법.
Electric heating cooker with weighing function and weighing control method thereofAn electric heating cooker with weighing function and a weighing control method thereof are provided. An electronic scale (5) with weighing function is added under the traditional electric heating cooker and stores cooking experience database and food information database. It solves the problem that users aren't accurate about the amount of water to add for a given weight of ingredient. And experience curve of heating power are designed at different weight points for food such as rice or meat, and also it makes the cooker to intelligently adjust parameters according to the taste feedback of the user so as to be more closer to the user's taste for the cooking next time; additionally it is capable of showing the total and average content of heat quantity, cholesterol, protein, fat, salt and cook oil of various foods in current cooking or if there are unmatched foods.A weighing control method of an electric heating cooker comprising the following steps of: firstly, assembling a electronic scale with high-precision under the electric heating cooker, wherein precision of the electronic scale is 5 g, 2 g or 1 g, and a weight of the whole cooker is calculated by a main control unit of the electronic scale using a real-time pressure signal sent by a weight sensor of the electronic scale;secondly, storing the following information in a memory of the main control unit as a cooking experience database and a cooker information database, comprising:different cooking modes wherein available modes comprises cooking rice, cooking porridge, cooking soup and stewing meat,cooker information, comprising cooker's type, cooker's weight, cooker lid's weight, cooker's volume, the slope's critical value of calm stage and the slope's critical value of pre-boiling stage,information of a main ingredient needed for a specific cooking mode, which includes the main ingredient's type and weight,information of auxiliary ingredients needed, which includes each kind of auxiliary ingredient's type and weight,standard weight of water needed,adjustment values for flavors, which comprises a water fine-tuning weight value for a medium flavor, a fine-tuning weight value for a flavor of hard rice or strong soup, a fine-tuning weight value for flavor of soft rice or light soup,an initial heating power,an expected time taken to achieve boiling totally,after boiling to a specific weight point, another heating power is used until another specific weight point or until a specific timeout happens, andother auxiliary ingredients' type and weight needed to be added during boiling;thirdly, before the beginning of electrical heating, searching from the cooking experience database and the cooker information database stored in the memory of the main control unit according to factors chosen by the user, which comprise a cooking mode, cooker information, main ingredient's type, and main ingredient's weight added in, and flavor expected wherein available flavor options include medium, rice hard, rice soft, soup strong, soup light, so as to inform users types and weight of auxiliary ingredients to be addedfourthly, after the beginning of electrical heating, measuring the weight change of the whole electric heating, cooker so as to obtain a slope which reflects the evaporating speed of the vapor, wherein this slope is compared with a slope's critical value of calm stage and a slope's critical value of pre-boiling stage to get the inner status of cooking of the cooker, the whole cooking heating process is divided into a calm stage, a pre-boiling stage, a boiling-front stage, a boiling-later stage and a heat preservation stage, and the initial heating power is used before the boiling-later stage begins, further during the boiling-later stage according to the cooking experience database at a specific weight point another heating power is used until another specific weight point or until a specific timeout happens, or ask the user to add another kind of auxiliary ingredients with a certain weight into the cooker, in the end heat preservation heating is used in the power heat preservation stage;fifthly, after achieving the cooking process, requiring the user to give flavor feedback for this cooking, accordingly the cooker will adjust the adjustment value for flavors of the cooking experience database stored in the memory of the main control unit to increase or decrease the water fine-tuning weight value.
1,141
20491
감광 재료 및 관련 화학제품 제조업
특허
ko
en
투영 노광 장치 및 그 투영 노광 방법공작물을 탑재하는 복수의 영역(110, 111)이 형성된 슬라이딩 테이블(120)과, 공작물(510, 511)을 로드 및 언로드할 수 있는 제1 로더(310), 제2 로더(311)와, 제1 위치 검출 디바이스(210)와, 제2 위치 검출 디바이스(211)와, 광원(10), 포토마스크(20) 및 투영 광학계(50)와, 슬라이딩 테이블(120)과, 각 부분을 제어하는 제어부(410)를 가지고, 제어부(410)가, 제2 영역(111) 내에 탑재된 공작물(511)에 대하여 투영 노광할 때, 제1 영역(110) 내에 탑재된 공작물(510)의 탑재 위치를 검출하는 병행 처리를 실시하고, 제1 영역(110) 내에 탑재된 공작물(510)에 대하여 투영 노광할 때, 제2 영역(111) 내에 탑재된 공작물(511)의 탑재 위치를 검출하는 병행 처리를 실시한다.포토마스크의 소정 패턴을 공작물 상의 소정 위치에 맞추어 광원으로부터의 광으로 투영 노광함으로써 상기 공작물 상에 상기 소정 패턴을 형성하는 투영 노광 장치로서,상기 공작물을 탑재하는 부위의 최상면에, 2개의 공작물이 겹치지 않고 동시에 탑재(載置)가 가능하도록 2개의 제1 영역 및 제2 영역이 형성되어 교호로 역방향으로 슬라이딩 및 상기 각 방향 단부의 소정의 제1 정지 위치 및 제2 정지 위치로의 일시 정지가 가능하게 구성된 슬라이딩 테이블;상기 슬라이딩 테이블의 상기 각 영역 내에 상기 공작물을 각각 개별로 로드(load) 및 언로드(unload)할 수 있는 2개의 제1 로더;상기 슬라이딩 테이블이 상기 소정의 제1 정지 위치에 일시 정지한 경우에, 상기 슬라이딩 테이블에 있어서의 상기 제1 영역의 직상부에, 상기 제1 영역 내에 탑재된 공작물의 탑재 위치를 검출하도록 배치된 제1 위치 검출 디바이스;상기 슬라이딩 테이블이 상기 소정의 제2 정지 위치에 일시 정지한 경우에, 상기 슬라이딩 테이블에 있어서의 상기 제2 영역의 직상부에, 상기 제2 영역 내에 탑재된 공작물의 탑재 위치를 검출하도록 배치된 제2 위치 검출 디바이스;상기 슬라이딩 테이블이 상기 소정의 제1 정지 위치에 일시 정지한 경우에, 상기 슬라이딩 테이블에 있어서의 상기 제2 영역의 직상부로서, 상기 제2 영역 내에 탑재된 상기 공작물에 투영 노광할 수 있고, 또한, 상기 슬라이딩 테이블이 상기 소정의 제2 정지 위치에 일시 정지한 경우에, 상기 슬라이딩 테이블에 있어서의 상기 제1 영역의 직상부로서, 상기 제1 영역 내에 탑재된 상기 공작물에 투영 노광할 수 있도록 배치된 광원, 포토마스크 및 투영 광학계; 및상기 슬라이딩 테이블의 슬라이딩 및 각 정지 위치에서의 정지와, 상기 제1 로더에 의한 각 영역 내로의 공작물의 로드 및 언로드, 상기 위치 검출 디바이스에 의한 각 영역 내의 공작물의 탑재 위치의 검출, 상기 광원, 포토마스크 및 투영 광학계에 의한 각 영역 내의 공작물로의 투영 노광의 각 처리를 제어하는 제어부를 포함하고,상기 제어부가, 상기 제2 영역 내에 탑재된 공작물에 대하여 상기 광원, 포토마스크 및 투영 광학계에 의해 투영 노광할 때, 상기 제1 영역 내에 탑재된 공작물의 탑재 위치를 상기 위치 검출 디바이스로 검출하고, 반대로 상기 제1 영역 내에 탑재된 공작물에 대하여 상기 광원, 포토마스크 및 투영 광학계에 의해 투영 노광할 때, 상기 제2 영역 내에 탑재된 공작물의 탑재 위치를 상기 위치 검출 디바이스로 검출하는 병행 처리를 실시하는,투영 노광 장치.
PROJECTION EXPOSURE DEVICE AND PROJECTION EXPOSURE METHOD THEREFORThe present invention is provided with: a sliding table 120 having, formed thereon, a plurality of areas 110, 111 in which workpieces are placed; a first loader 310 and a second loader 311 which are capable of loading and unloading the workpieces 510, 511; a first position detection device 210; a second position detection device 211; a light source 10; a photo mask 20; a projection optical system50; and a control unit 410 which controls the sliding table 120 and each unit. When the workpiece 511 placed in the second area 111 is subjected to projection exposure, the control unit 410 executes parallel processing in which the placement position of the workpiece 510 placed in the first area 110 is detected. Furthermore, when the workpiece 510 placed in the first area 110 is subjected to projection exposure, the control unit 410 executes parallel processing in which the placement position of the workpiece 511 placed in the second area 111 is detected.A kind of projection aligner is directed at the predetermined pattern of photomask with the specified position on workpiece and utilizes from light the light in source carries out projection exposure, thus forms the predetermined pattern on the workpiece, whereinthe projection aligner includesobjective table is slided, on the top surface at position for loading the workpiece, is not overlapped with two workpiece and two can be loaded simultaneously the mode of a workpiece is formed with two regions in first area and second area, and the sliding objective table can be alternately opposite to each other direction slide and can temporarily stop at each direction end defined first stop position with second stopping on position;two the first loaders individually can load and unload each institute in each region to the sliding objective table state workpiece;first position detection device, the case where the sliding objective table temporarily stops at defined first stop position under, the upper part for the first area configured in the sliding objective table, to detect the workpiece loaded in the first area mounting position;second position detection device, the case where the sliding objective table temporarily stops at defined second stop position under, the upper part for the second area configured in the sliding objective table, to detect the workpiece loaded in the second area mounting position;light source, photomask and projection optical system temporarily stop at defined described first in the sliding objective table and stop in the case where position, the upper part for the second area configured in the sliding objective table can be to being placed in secondth area the workpiece in domain carries out projection exposure, and temporarily stops at defined second stop position in the sliding objective table in the case where setting, the upper part for the first area configured in the sliding objective table can be to being placed in the first area the interior workpiece carries out projection exposure;andcontrol unit controls following each processing: the sliding sliding of objective table and stopping on each stop position only, it is carried out by the first loader to the loading of the workpiece carried out in each region and unloading, by the location detecting apparatus each the detection of the mounting position of workpiece in a region and pair carried out by the light source, photomask and projection optical system the projection exposure of workpiece in each region,the control unit implements parallel processing, that is, when by the light source, photomask and projection optical system to being placed in when stating the workpiece progress projection exposure in second area, detect in parallel through the location detecting apparatus in the first area the mounting position of the workpiece of interior mounting, on the contrary, when by the light source, photomask and projection optical system to described the when the workpiece loaded in one region carries out projection exposure, described second is placed in parallel through location detecting apparatus detection the mounting position of workpiece in region.
1,142
42420
유리 및 창호 공사업
특허
ko
en
불침범 개방 프레임 시스템본 발명에 의해, 도 4 및 도 5에 도시된 바와 같은 그루브(2) 및 그루브(4)를 구비한 기본 프레임의 프로파일(3)을 구비한 새시 프로파일(1)을 가지는, 힌지식 개방 프레임 시스템(창 유리 및 셔터)이 시공되는 것이 가능하며, 궁극적으로 새시 프로파일(1)의 그루브(2) 내로 초기에 배치되고 밖으로 밀어지는 잠금 프로파일(5)이 기본 프레임의 프로파일(3)의 그루브(4)로 들어가서, 그야말로 전체 프레임을 완전히 불침범되게 하는 방식으로 새시(1)의 가동 프레임워크가 고정되고 기본 프레임(3)의 고정된 프레임으로 구현된다. 주 운동의 전달 부속품(9)은 프레임의 크로몬에 대해 조정되며, 크레몬이 회전됨으로써 운동을 도 7에 도시된 바와 같이, 구동 벨트(12)로 전달하고 구동 벨트는 부속품(7)을 이동시키고 부속품은 잠금 프로파일(5)을 밖으로 밀어내고 이어서 잠금 프로파일은 기본 프레임의 프로파일(3)에 의해 조립된 프레임워크의 그루브(4) 내로 주변이 들어간다.기본 프로필 멤버의 안정적인 프레임과 새시 프로필 멤버의 이동 가능한 프레임으로 구성된 문과 창문을 열기 위한 침범할 수 없는 힌지 프레임 시스템, 기본 프로필 멤버의 이동 가능한 프레임에 힌지로 부착되어 있으며 크레몬이 제공되고 있다고 말했다.잠금 상태와 잠금 해제 상태의 힌지 프레임이 대체 설정되는 범위로 구성되며, 다음과 같이 구성되며, 이동 가능한 프레임의 네 면 중 각각에서 잠금 프로파일 멤버를 받도록 조정된 새시 프로파일 그루브를 정의합니다. 기본 프로필 멤버는 다음과 같이 말합니다.힌지 프레임이 잠긴 상태로 가져온다고 했을 때, 안정된 프레임의 네 면 중 각각에 있는 잠금 프로파일 멤버라고 호스트 측에 맞게 조정된 파일 홈. 잠금 프로파일 멤버는 해당 새시 프로파일의 이동 가능한 프레임의 네 면 중 각각을 따라 해당 새시 프로파일 홈 내에 삽입되는 γ 섹션 멤버라고 말했다. 멤버는 해당 새시 프로필 멤버의 해당 새시 프로필 홈 안에 잠금 프로필 멤버가 완전히 삽입된 위치에서 바깥쪽으로 확장되는 위치까지 또는 그 반대 방향으로 확장되는 위치까지 이동 수단이 제공됩니다. 해당 새시 프로파일 멤버의 새시 프로파일 홈이 말한 이동수단으로, 잠금 상태와 잠금 해제 상태의 힌지 프레임을 각각 설정하는 해당 크레몬의 회전 동안 해당 잠금 프로파일 멤버를 잠금 상태로 밀어넣거나 잠금 해제 상태로 복원하도록 조정되었다.y 새시 프로파일 부재의 이동 가능한 프레임의 네 모서리 각각에 스루 보어가 장착되어 있으며, 각 부속품은 해당 잠금 프로파일 부재의 횡방향 이동을 제한하도록 조정되어 있다; 크레몬이 핀을 통해 해당 크레몬의 회전을 선형 운동으로 변환하는 주 동작 전송 부속물에 연결된다고 말했다.d 해당 새시 프로파일 부재의 새시 프로파일 홈 내에서 미끄러지도록 조정된 측면 돌출부와 함께 제공되는 주동 변속기 부속품, 해당 주동 변속기 부속품의 첫 번째 끝단에 있는 첫 번째 구멍 내에 고정되는 구동 벨트의 한 쪽 끝, 이동식 프램의 둘레를 따라 구동 벨트가 구동되는 경우해당 새시 프로파일 멤버의 e, 해당 새시 프로파일 멤버의 이동 가능한 프레임의 네 모서리 각각에 있는 해당 부속품의 스루 보어를 자유롭게 통과하고 해당 잠금 프로파일 멤버의 이동 수단과 고정적으로 연결됨, 두 번째 구멍 안에 해당 드라이브 벨트의 두 번째 끝이 고정됨 주 동작 전송 부속품의 두 번째 끝;잠금 프로파일 구성원들이 해당 섀시 프로파일 구성원의 바깥쪽으로 확장된 새시 프로파일 구성원의 기본 프로파일 그루브 안에 삽입되고 있을 때 힌지 프레임이 잠금 상태로 설정되고 있다고 말했습니다, 새시 프로파일 구성원은 다음과 같고, 경첩이 달린 프레임을 중심으로 기본 프로필 멤버 내에 구현되는 것을 특징으로 하는 시스템.
INVIOLABLE OPENING FRAMES SYSTEMBy the invention it is possible for a hinged opening frames system (glazing and shutter), to be constructed, having a sash profile (1) with a groove (2) as shown in FIGS. 4 & 5 and a basic frame's profile (3) with a groove (4), where eventually the locking profile (5), which is initially placed into the groove (2) of the sash profile (1), being pushed out, enters the groove (4) of the basic frame's profile (3), so that the sash's (1) movable framework secures and literally embodies with the basic frame's (3) stable framework, in a way that makes the whole frame completely inviolable. The main motion's transmission accessory (9) is adjusted to the frame's cremone, which by being rotated, transmits motion to the drive belt (12), as shown in FIG. 7, which moves the accessories (7), which push out the locking profiles (5), which in turn enter circumferentially into the groove (4) of the framework assembled by the basic frame's profile (3).An inviolable system of hinged frames for opening doors and windows comprising a stable frame of a basic profile member and a movable frame of a sash profile member, said movable frame of the sash profile member hingedly attached onto said stable frame of the basic profile member and being provided with a cremone, said cremone being adapted to rotate with a scope of alternatively setting said hinged frames in a locked condition and an unlocked condition, comprising: said sash profile member comprising four sides and defining a sash profile groove adapted to receive a locking profile member in each one of the four sides of the movable frame thereof;said basic profile member having a basic profile groove adapted to host said locking profile member in each one of the four sides of the stable frame thereof when said hinged frames are brought in the locked condition;said locking profile member being a π-section member that is inserted within said sash profile groove along each one of the four sides of the movable frame of said sash profile member and is provided with means of movement thereof from a position in which said locking profile member is fully inserted within said sash profile groove of said sash profile member to a position in which it is being extended outwardly thereof and vice versa, said means of movement being provided with lateral projections adapted to slide within said sash profile groove of said sash profile member, said means of movement thereby being adapted to push said locking profile member to the locked condition or restore it to the unlocked condition during corresponding rotation of said cremone that sets said hinged frames in the locked condition and the unlocked condition respectively;an accessory with a through bore being mounted at each one of four corners of the movable frame of said sash profile member, each accessory being adapted to restrict lateral movement of said locking profile member;said cremone being connected by means of a pin to a main motion transmission accessory that converts rotation of said cremone to linear motion, said main motion transmission accessory being provided with the lateral projections adapted to slide within said sash profile groove of said sash profile member, one end of a drive belt being fixedly mounted within a first hole at a first end of said main motion transmission accessory, said drive belt being driven along a perimeter of the movable frame of said sash profile member, passing freely through the through bore of each one of said accessories at each one of the four corners of the movable frame of said sash profile member and being fixedly connected with said means of movement of said locking profile members, a second end of said drive belt being fixedly mounted within a second hole at a second end of said main motion transmission accessory;wherein said hinged frames are being set at the locked condition when said locking profile members extend outwardly said sash profile groove of said sash profile member and are being inserted within said basic profile groove of said basic profile member, whereby said sash profile member is being embodied within said basic profile member circumferentially around said hinged frames.
1,142
24191
도금, 착색 및 기타 표면 처리 강재 제조업
특허
ko
en
연속 어닐링로의 퍼니스 압력을 제어하는 ​​방법 및 장치연속 어닐링로의 퍼니스 압력을 제어하는 ​​방법이 개시된다. 상기 방법은 석탄 가스 유량 검출기 및 연속 어닐링 노의 각 섹션에 배치 된 공기 유량 검출기를 각각, 석탄 가스 흐름을 첨가함으로써 각 섹션의 석탄 가스 유량 및 공기 유량을 검출하는 단계를 포함한다. 각 섹션에서 검출 된 볼륨은 총 입력 석탄 가스 유량 체적을 얻는다. 각 섹션에서 검출 된 공기 유량 부피를 가산하여 총 입력 공기 유량을 얻고, 총 입력 석탄 가스 유량 체적 및 총 입력 공기 유량 체적에 기초하여 노 프리 연소 가스 압력을 산출하는 단계; 합성 검출기를 사용하여 석탄 가스의 조성물 및 석탄 가스의 비율을 공기로 검출하는 단계; 열전쌍의 사용에 의해 노에서 예비 연소 가스 온도를 검출하는 단계; 연소 가스 조성물 및 총 입력 석탄 가스 유량, 총 입력 공기 유량, 석탄 가스 조성물 및 공기로의 석탄 가스 조성물의 비율을 기준으로 한 후 연소 가스 조성물 및 총 가스 부피를 예측하는 단계; 용광로의 석탄 가스와 공기를 점화시키는 단계; 및 열전쌍을 사용하여 노 내의 후 연소 가스 온도를 검출하는 단계; 노의 예비 연소 가스 압력, 노 내의 예비 연소 가스 온도 및 노 내의 후 연소 가스 온도에 기초하여 노후의 연소 가스 압력을 용광로에서 계산하는 단계; 및 노에서의 예비 연소 가스 압력 및 노 내의 후 연소 가스 압력에 기초하여 배기 가스 팬을위한 개도 및 가스 증가 통과 알고리즘을 사용하여 배기를 제어하는 ​​개구도를 사용하여 가스 팬.구역을 갖는 연속 어닐링로의 퍼니스 압력을 제어하는 ​​방법으로서, 석탄 가스 유량 검출기 및 각각에 배치 된 공기 유량 검출기를 사용하여 각 섹션에서 석탄 가스 유량 및 공기 유량 체적을 검출하는 단계를 포함하고, 각각의 섹션에서 검출 된 석탄 가스 유량을 가산하여 총 입력 석탄 가스 유량 체적을 얻기 위해 각 섹션에서 검출 된 석탄 가스 유량을 가산하여 총을 획득하기 위해 공기 유량 체적을 가산하는 공기 유량 체적을 가산하는 단계; 입력 공기 유량 및 총 입력 석탄 가스 유량 및 총 입력 공기 유량 체를 기준으로 노에서 예비 연소 가스 압력을 계산하는 단계; 합성 검출기를 사용하여 석탄 가스의 조성물 및 석탄 가스의 비율을 공기로 검출하는 단계; 열전쌍의 사용에 의해 노에서 예비 연소 가스 온도를 검출하는 단계; 연소 가스 조성물 및 총 입력 석탄 가스 유량, 총 입력 공기 유량, 석탄 가스 조성물 및 공기로의 석탄 가스 조성물의 비율을 기준으로 한 후 연소 가스 조성물 및 총 가스 부피를 예측하는 단계; 노에서 석탄 가스와 공기를 점화하고 열전쌍을 사용하여 노에서 연소 가스 온도를 검출하는 단계; 퍼니스 내의 예비 연소 가스 압력, 노 내의 예비 연소 가스 온도 및 노 내의 후 연소 가스 온도를 기초하여 노 내의 후 연소 가스 압력을 산출하는 단계; 및 노에서의 예비 연소 가스 압력 및 노 내의 후 연소 가스 압력에 기초하여 배기 가스 팬을위한 개도 및 가스 증가 통과 알고리즘을 사용하여 배기를 제어하는 ​​개구도를 사용하여 증분 통과 알고리즘이 다음 방정식을 기반으로 가스의 FAN_DISV를 계산하는 단계를 포함하는 것을 특징으로 하느 압력 제어 방법. 방정식: [ FAN_DISV=((Flow_air+Flow_air/(Flow_gas*Gas_air))*Burn_Parameter+(Flow_gas-Flow_air/(Flow_gas*Gas_air))+Nflow_1)*(temp_pv+273.15)/(Fan_Flow_Max*273.15)*100; 여기서 Flow_air는 공기 유량, Flow_gas는 석탄 가스 유량, Burn_Parameter는 버너의 매개변수, Gas_air는 석탄 가스 대 공기의 비율, Fan_Flow_Max는 팬의 최대 유량, temp_pv는 실제 온도, Nflow-1은 참고로 미리 설정된 유량이다.]
Method and apparatus for controlling a furnace pressure of a continuous annealing furnaceA method for controlling furnace pressure of a continuous annealing furnace is disclosed. The method comprises detecting a coal gas flow volume and an air flow volume in each section by use of a coal gas flow volume detector and an air flow volume detector disposed in each section of a continuous annealing furnace, respectively, adding up the coal gas flow volume detected in each section to obtain a total input coal gas flow volume; adding up the air flow volume detected in each section to obtain a total input air flow volume, and calculating a pre-combustion gas pressure in the furnace based on the total input coal gas flow volume and the total input air flow volume; detecting compositions of the coal gas and a ratio of the coal gas to the air by use of a composition detector; detecting a pre-combustion gas temperature in the furnace by use of a thermocouple; predicting post-combustion gas compositions and a total gas volume based on chemical combustion reaction equations and based on the total input coal gas flow volume, the total input air flow volume, the coal gas compositions and the ratio of the coal gas to the air; igniting the coal gas and the air in the furnace; and detecting a post-combustion gas temperature in the furnace by use of a thermocouple; calculating a post-combustion gas pressure in the furnace based on the pre-combustion gas pressure in the furnace, pre-combustion gas temperature in the furnace and the post-combustion gas temperature in the furnace; and calculating an opening degree for an exhaust gas fan based on the pre-combustion gas pressure in the furnace and the post-combustion gas pressure in the furnace and by use of a gas increment pass algorithm, and using the opening degree to control the exhaust gas fan.A method for controlling a furnace pressure of a continuous annealing furnace having sections characterized in comprising: detecting a coal gas flow volume and an air flow volume in each section by use of a coal gas flow volume detector and an air flow volume detector disposed in each of the sections of a continuous annealing furnace, respectively, adding up the coal gas flow volume detected in each of the sections to obtain a total input coal gas flow volume, adding up the air flow volume detected in each of the sections to obtain a total input air flow volume, and calculating a pre-combustion gas pressure in the furnace based on the total input coal gas flow volume and the total input air flow volume; detecting compositions of the coal gas and a ratio of the coal gas to the air by use of a composition detector; detecting a pre-combustion gas temperature in the furnace by use of a thermocouple; predicting post-combustion gas compositions and a total gas volume based on chemical combustion reaction equations and based on the total input coal gas flow volume, the total input air flow volume, the coal gas compositions and the ratio of the coal gas to the air; igniting the coal gas and the air in the furnace, and detecting a post-combustion gas temperature in the furnace by use of a thermocouple; calculating a post-combustion gas pressure in the furnace based on the pre-combustion gas pressure in the furnace, the pre-combustion gas temperature in the furnace and the post-combustion gas temperature in the furnace; and calculating an opening degree for an exhaust gas fan based on the pre-combustion gas pressure in the furnace and the post-combustion gas pressure in the furnace and by use of a gas increment pass algorithm, and using the opening degree to control the exhaust gas fan where the increment pass algorithm includes calculating an increment FAN_DISV of the gas based on the following equation, FAN_DISV=((Flow_air+Flow_air/(Flow_gas*Gas_air))*Burn_Parameter+(Flow_gas-Flow_air/(Flow_gas*Gas_air))+Nflow_1)*(temp_pv+273.15)/(Fan_Flow_Max*273.15)*100; wherein Flow_air is the air flow volume, Flow_gas is the coal gas flow volume, Burn_Parameter is parameter of burners, Gas_air is the ratio of the coal gas to the air, Fan_Flow_Max is the maximum of the flow volume of the fan, temp_pv is actual temperature in the furnace, and Nflow-1 is a preset flow volume as a reference.
1,143
17909
그 외 기타 종이 및 판지 제품 제조업
특허
ko
en
각형창을 구비한 절곡 상자용 박판 블랭크 제조방법, 그 제품 및 그에 적합한 장치자동차 짐받이의 물품 고정 크로스 바에 대한 조정식 장착이 제공되어 평행한 측면 레일을 따라 세로 이동을 위해 장착된 한 쌍의 스텐션 부재에 크로스바를 상호 연결하여 한 스텐션이 다른 스텐션에 대해 세로 방향으로 조정될 수 있다. 피벗 플러그는 크로스 바의 각 끝에 고정되며 슬롯이 있는 연장된 텅 부분을 포함한다. 고정대는 각각 피벗 플러그의 텅 부분을 수신하도록 조정된 소켓을 포함하고 있으며 고정 나사는 소켓과 텅 슬롯을 통해 연장되어 소켓 내에서 텅의 내부 및 외부 이동이 제한되고 고정 나사 주변의 플러그의 중앙 이동이 제한된다.슬롯에 ISP가 있다.자동차 짐받이의 물품 고정 크로스 바에 대한 조정식 장착이 제공되어 평행한 측면 레일을 따라 세로 이동을 위해 장착된 한 쌍의 스텐션 부재에 크로스바를 상호 연결하여 한 스텐션이 다른 스텐션에 대해 세로 방향으로 조정될 수 있다. 피벗 플러그는 크로스 바의 각 끝에 고정되며 슬롯이 있는 연장된 텅 부분을 포함한다. 고정대는 각각 피벗 플러그의 텅 부분을 수신하도록 조정된 소켓을 포함하고 있으며 고정 나사는 소켓과 텅 슬롯을 통해 연장되어 소켓 내에서 텅의 내부 및 외부 이동이 제한되고 고정 나사 주변의 플러그의 중앙 이동이 제한된다.슬롯에 ISP가 있다.하우징을 형성하기 위해 볼륨 정의 방식으로 함께 접합된 하우징 부품(12, 14)으로 구성된 인간 또는 동물의 감각 시스템을 테스트하기 위한 장치(10). 하우징에는 하우징 부품의 홈(64, 66)에 의해 형성된 틈이 있으며, 디스크(26)가 디스크 주변(26)을 넘어 확장된 팁과 함께 다수의 핀(40)에 장착되어 있다. 핀(40)은 하우징에 고정되어 있으며 하우징에서 제거할 수 없다. 디스크(26)는 수동으로 작동하는 노브(30)에 의해 점진적으로 회전되도록 배열되어 핀(26)을 간격에 순서대로 표시합니다.접이식 플라스틱 재료에 적어도 하나의 접히는 라인을 양각 창문이 있는 접이식 접이식 상자에 사용할 수 있는 장치 및 관련 방법이 공개되며, 이 장치는 열 하류에 위치한 양각 영역인 롤 피드 플라스틱 재료의 길이를 가열하기 위한 가열 영역인 플라스틱 재료 롤을 가지고 있다.가열된 플라스틱 재료에 적어도 하나의 접히는 라인을 형성하기 위한 ing 구역, 플라스틱 재료에 간헐적으로 컷아웃 부분을 형성하기 위한 펀칭 구역, 가열 구역과 엠보싱 구역을 통해 플라스틱 재료의 연속적인 이동을 제공하기 위한 플라스틱 재료의 가변 길이 느슨함을 만들기 위한 차지 장치, 펀칭 존을 통과하는 플라스틱 물질의 간헐적인 움직임, 펀칭 구멍의 플라스틱 재료를 절단하기 위한 십자형, 접착제 코팅 판지 박스에 연결하기 위한 절단된 플라스틱 재료를 전달하는 흡입 롤.절개부가 형성되어 있는 절곡상자 블랭크에 각형을 형성하는 굽힘연부를 위해 사전에 각인된 홈이 구비된 경질 투명의 열가소성 합성 수지의 박판 블랭크가 접착됨으로써, 각형 창(angle window)을 갖는 절곡상자용 박판 블랭크를 제조하는 방법에 있어서, 상기 박판 블랭크용 물질은 굽힘연부에 의해 굽힘연부 영역에서 박판물질의 이동방향으로 뻗어있고 박판물질에 대해 양측면으로 부터 고정되는 작용을 하는 가열구역으로 이동하여 박판물질에 대한 압력을 받고 있는 가열되지 않은 롤러와 가열되지 않은 종이 실린더 사이의 가열구역 뒤로 직접 안내되어 박판 블랭크 횡방향으로 나뉘어지는 것을 특징으로 하는 절곡상자용 박판 블랭크 제조방법.
Apparatus and method for forming at least one fold line in a substantially rigid plastic materialAn apparatus and associated method for embossing at least one fold line in a substantially rigid plastic material for use in collapsible folding box with angle windows is disclosed, and the apparatus has a roll of plastic material, a heating zone for heating a length of the roll fed plastic material, an embossing zone located downstream of the heating zone for forming at least one fold line in the heated plastic material, a punching zone for intermittently forming cutout portions in the plastic material, a takeup device for creating a variable length slack in the plastic material for providing the continuous movement of the plastic material through the heating zone and the embossing zone and the intermittent movement of the plastic material through the punching zone, a cross-cutter to cut the plastic material at the punched holes, a suction roll to convey the cut plastic material for connection to a glue-coated cardboard box blank.Apparatus for embossing at least one fold line in a length of substantially rigid plastic material moving along a path, comprising heating means defining a heating zone extending lengthwise of the path and in which at least one portion of the width of the length of plastic material, which in total is less than the whole width, is heated to facilitate the formation of a fold line in the heated portion, first feeding means for moving at least that portion of the plastic material which is to be embossed to form a fold line into said heating zone, embossing means positioned downstream of said heating zone along the path for forming at least one fold line in the heated portion of the plastic material, and second feeding means for moving the plastic material from said heating zone to said embossing means while the heated portion of the material is still sufficiently heated to enable said embossing means to form the at least one fold line in said plastic material.
1,144
29199
그 외 기타 일반 목적용 기계 제조업
특허
ko
en
직접적인 레이저 간섭 구조를 위한 광학 배열본 발명은 직접적인 레이저 간섭 구조를 위한 광학 배열에 관한 것으로, 레이저 빔이 경사진 반사 표면을 갖는 반사 표면에 지향된다. 본 발명에 따르면, 반사된 레이저 빔은 두개의 부분 빔으로 분할되는 수단인 제 1 빔 스플리터에 부딪히고, 하나의 부분 빔은 포커싱 광학 소자의 방향에서 편향된다. 제 2 부분 빔은 제 1 펜타미러를 향하여 지향되고 따라서 상기 포커싱 광학 소자를 향하여 지향되고, 복수의 반사 및/또는 굴절 이후에 또는 제 2 부분 빔 및 제 3 부분 빔의 제 1 부분 빔으로 분할되는 제 2 빔 스플리터를 향해 지향된다. 상기 부분 빔들은 제 1 펜타미러에 의해 포커싱 소자로 지향된다. 본 발명에 따르면, 부분 빔들은 서로 간섭하는 방식으로 구조된 표면에 상기 포커싱 광학 소자에 의해 지향된다. 반사 소자는 간섭 주기 Λ에 영향을 주기 위해 레이저 빔 소스에 의해 방출된 레이저빔의 광축에 평행하게 45도 각도를 유지하면서 병진 방식으로 이동될 수 있다.직접적인 레이저 간섭 패터닝을 위한 광학 배열에 있어서, 레이저 빔 소스(1)로부터 방출된 레이저 빔(2)은 상기 레이저 빔(2)을 반사하고 상기 반사 표면이 상기 레이저 빔(2)의 광축에 대하여 45도 각도로 경사진 소자(M)으로 지향되고, 및 a- 상기 반사 소자(M)에 의하여 반사된 상기 레이저빔은 상기 반사된 레이저 빔을 두개의 부분 빔 (3 및 4)로 분할하는 제 1 빔 스플리터 (BS1)에 입사되고, 상기 제 1 빔 스플리터(BS1)에 의하여 획득된 부분 빔(3)은 상기 제 1 빔 스플리터(BS1) 에 의하여 반사되고, 그 광축은 포커싱 광학 소자(L)의 방향으로 편향되고; 및 b1- 상기 제 1 빔 스플리터(BS1)를 통과하여 전송된 제 2 부분 빔(4)은 제 1 펜타미러(RPM1)에 입사되거나 또는 b2- 펜타프리즘에 입사되고 따라서 복수의 반사 및/또는 굴절 이후 상기 제 1 부분 빔(3)의 광축에 평행한 방식으로 상기 포커싱 광학 소자(L)에 지향되고 또는c1-상기 제 1 빔 스플리터(BS1)에 의해 획득된 제 2 부분 빔(4)은 상기 제 2 부분 빔(4)을 상기 제 2 부분 빔(4)의 제 1 부분 빔(4.1) 및 제 3 부분 빔(4.2)으로 분할하는 제 2 빔 스플리터(BS2)에 지향되고, 상기 제 2 부분 빔(4)의 상기 제 1 부분 빔(4.1)은, 제 1 펜타 미러(RPM1) 또는 c2- 펜타프리즘에 의하여, 상기 제 1 부분 빔(3)의 상기 광축에 평행한 방식으로 상기 포커싱 소자(L)에 입사되고, 상기 제 2 부분 빔(4)의 상기 제 2 부분 빔(4.2)는 제 2 펜타미러(RPM2) 또는 펜타프리즘에 입사되어 따라서 복수의 반사 및/또는 굴절 이후 상기 제 1 부분 빔(3) 및 상기 제 2 부분 빔(4)의 상기 제 1 부분 빔(4.1)의 상기 광축에 평행한 방식으로 포커싱 광학 소자(L)에 지향되고 및 d- 표면의 또는 또는 표면 영역에 패터닝을 형성하기 위하여, 상기 부분 빔(3, 4, 4.1, 4.2)는 서로 간섭하는 방식으로 상기 포커싱 광학 소자(L)에 의하여 상기 표면에 지향되고 및 e- 상기 반사 소자(M)는 상기 레이저 빔 소스(1)로부터 방출된 상기 레이저 빔(2)의 광축에 평행하게 변위가능하고, 간섭주기 Λ에 영향을 주기 위해 45 °의 각도를 유지하는 방식으로 변위 간능한, 직접적인 레이저 간섭 패터닝을 위한 광학 배열.
OPTICAL ARRANGEMENT FOR DIRECT LASER INTEFERENCE STRUCTURINGAn optical arrangement for direct laser interference structuring, a laser beam is directed to a reflecting element with inclined surface and strikes a first beam splitter, is divided into two partial beams and one partial beam is deflected to a focusing optical element. The second partial beam is directed to a first pentamirror and, after multiple reflection and/or refraction, the focusing optical element, or it is directed to a second beam splitter, and it is divided into a first partial beam of the second partial beam and a third partial beam. Said partial beams are directed to the focusing element by the first pentamirror and partial beams are directed by the focusing optical element to the surface to be structured interfering with each other. The reflecting element is moved in a translational manner, maintaining a 45° angle, parallel to the optical axis of the laser beam emitted by the laser beam source, influencing the interference period A.An optical arrangement for direct laser interference patterning, wherein a laser beam having an optical axis is emitted from a laser beam source and is directed to an element which reflects the laser beam and the reflective surface of which is inclined at an angle of 45° in relation to the optical axis of the laser beam, and the laser beam reflected by the reflecting element is directed to a first beam splitter, which divides the reflected laser beam into first and second partial beams, and the first partial beam which has been obtained by the first beam splitter is reflected by of the first beam splitter and its optical axis is deflected in the direction of a focusing optical element; and the second partial beam transmitted through the first beam splitter is directed to a first pentamirror ora pentaprism and thus is directed to the focusing optical element in a manner parallel to the optical axis of the first partial beam after multiple reflections and/or refractions orthe second partial beam obtained by the first beam splitter is directed to a second beam splitter, which splits the second partial beam into a third partial beam of the second partial beam and a third fourth partial beam, and the third partial beam of the second partial beam, by means of the first pentamirror orthe pentaprism, is directed on the focusing optical element parallel to the optical axis of the first partial beam and the fourth partial beam of the second partial beam and is directed on a second pentamirror or pentaprism and thus is directed to the focusing optical element parallel to the optical axes of the first partial beam and of the third partial beam of the second partial beam after multiple reflections and/or refractions; andin order to form a patterning on or in the region of a surface, the partial beams are directed to said surface by the focusing optical element in a manner interfering with one another; and the reflecting element is translationally displaceable parallel to the optical axis of the laser beam emitted from the laser beam source and in a manner maintaining the angle of 45°, for influencing an interference period A.
1,144
26299
그 외 기타 전자 부품 제조업
특허
ko
en
전기-발광 디바이스를 위한 작용기화 3중쌍 이미터본 발명은 광-전자기기 및 센서 디바이스를 위한 유기-금속 복합물, 및 그러한 광-전자기기 및 센서 디바이스에서의 유기-금속 복합물의 이용에 관한 것이다. 본 발명의 유기-금속 복합물(3중쌍 이미터)은 금속 중심 및 킬레이트 리간드로 구성된다. 이들 킬레이트 리간드의 적어도 하나는 방향족 또는 용융된 방향족 링(들)을 포함한다. 이들 리간드 각각은 적어도 하나, 바람직하게 2개의 전하 운반 기(ctg)와 공유 치환된다. 금속 중심은 추가로 스펙테이터 리간드에 의해 배위될 수 있다. 각 리간드에서 2개의 ctgs의 존재는 종래 기술에 알려진 복합물에 비해, 특히 유기 발광 다이오드(OLED)에서의 응용에 대해, 개별적인 장점을 초래한다. 전하 운반 유닛은 분자 중심으로의 정공 및/또는 전하 운반을 용이하게 하고, 이미터 복합물 상에 직접 효과적인 여기 형성을 허용한다. 각 리간드 상의 ctgs의 존재는 환경에 대한 상호 작용에 대해 양호한 차폐를 제공한다. 따라서, 방출 소멸은 크게 감소하고, 높은 방출 양자 수율을 갖는 물질이 얻어진다. 각 리간드 상의 ctgs의 존재는 상이한 분자의 방출 코어 사이의 격리를 증가시켜, 3중쌍-3중쌍 응집 또는 자체-소멸 효과에 의해 바람직하지 않은 소멸을 감소시킨다. 본 발명의 복합물은 많은 유기 용매에서 많이 용해되어, 습식-화학 처리에 매우 적합하다.발광할 수 있는 화학식 1의 복합물로서,[화학식 1]- M은 금속 이온, 특히 중금속 또는 란탄족 원소, 바람직하게 d-블록 원소이고;- lig는 공액 π-전자 시스템을 갖는 리간드이고, 금속 이온(M)에 결합되고, 바람직하게 서로 공유 결합되거나 함께 용융된 적어도 2개의 방향족 링을 포함하고;- ctg는, 정공 또는 전자를 운반하고 디클로로메탄, 클로로폼, 톨루엔, 및 테트라히드로푸란과 같은 유기 용매에서의 복합물의 용해도를 개선시키기 위한 유기 전하 운반 기이고, ctg는 바람직하게 질소, 산소, 설퍼 및/또는 인 원자를 바람직하게 포함하는 아릴 또는 헤테로아릴을 포함하고;- n, m, o, p는 각각 0, 1, 2, 또는 4일 수 있는 정수이고, 여기서 n, m, o, p의 합은 4개의 배위 위치를 갖는 금속 이온(M)에 대해 2이고,6개의 배위 위치를 갖는 금속 이온(M)에 대해 3이고,8 또는 9개의 배위 위치를 갖는 금속 이온(M)에 대해 4이고;- ligI(ctg1)(ctg2), ligⅡ(ctg3)(ctg4), 및 ligⅢ(ctg5)(ctg6)은 동일하거나 상이할 수 있고;- L은 선택적인 중성 한자리(mono-dentate) 리간드이고, 이것은 금속 이온(M)이 9개의 배위 위치를 갖는 란탄족 금속 이온일 때 존재하고, L은 바람직하게 아민, 이민, p-치환된 피리딘, 에테르, 이소시아네이트, 이소니트릴, 니트릴, 카르보닐, 또는 N-헤테로시클레스이고;- 스펙테이터는 β-디케토네이트, 나크나크(nacnac), N-알킬살리실리민, 2-피콜리네이트, 두자리 피라졸릴-보레이트, 1,2-니도-카르보란디포스파인, 1,2-니도-카르보란디소시아나이드, 1,2-니도-카르보란디아르제네이트, 단일의 음으로 대전된 디포스파인, 단일의 음으로 대전된 디아르진, 단일의 음으로 대전된 비스-구아니딘, 두자리 음으로 대전된 티올레이트, 두자리 음으로 대전된 알코올레이트, 두자리 음으로 대전된 페놀레이트를 포함하는 그룹으로부터 선택될 수 있는 두자리 음으로 대전된 리간드인, 발광할 수 있는 화학식 1의 복합물.
FUNCTIONALIZED TRIPLET EMITTERS FOR ELECTRO-LUMINESCENT DEVICESThis invention pertains to organo-metallic complexes for opto-electronic and sensory devices and their use in such opto-electronic and sensory devices. The organo-metallic complex (triplet emitter) of the invention consists of a metal center and chelate ligands. At least one of these chelate ligands comprises an aromatic or fused aromatic ring(s). Each of these ligands is covalently substituted with at least one, preferably two charge transport groups (ctg). The metal center can additionally be coordinated by a spectator ligand. The presence of two ctgs at each ligand results in distinct advantages - in particular for applications in organic light emitting diodes (OLEDs) - compared to complexes known in the state of the art: - The charge transport units facilitate hole and/or electron transport to the molecular center and allow for efficient exciton formation directly on the emitter complex. - The presence of the ctgs on each ligand provides a good shielding with respect to interactions to the environment. Thus, emission quenching is strongly reduced and materials with high emission quantum yields are obtained. - The presence of the ctgs on each ligand increases the separations between the emitting cores of different molecules and thus reduces undesired quenching by triplet-triplet annihilation or self-quenching effects. - The complexes of the invention are highly soluble in many organic solvents and thus are well suited for wet-chemical processing.A complex of formula I capable of luminescenceFormula Iwherein:M is a metal ion, in particular a heavy metal or a lanthanide, preferably a d-block element;lig is a ligand with a conjugated π-electronic system and is bound to the metal ion M and comprises at least two aromatic rings that are preferably covalently-linked to each other or fused together;ctg is an organic charge transporting group for transporting holes or electrons and for improving the solubility of the complex in an organic solvent, such as dichloromethane, chloroform, toluene, and tetrahydrofuran, wherein ctg preferably comprises an aryl or a heteroaryl that preferably comprises a nitrogen, oxygen, sulfur and/or phosphorous atom; n, m, o, p are integers that can each be 0, 1, 2, or 4, wherein the sum of n, m, o, p is 2 for a metal ion M with 4 coordination sites,3 for a metal ion M with 6 coordination sites, and4 for a metal ion M with 8 or 9 coordination sites;ligl(ctgl)(ctg2), Iigll(ctg3)(ctg4), and Iiglll(ctg5)(ctg6) can be the same or different;L is an optional neutral mono-dentate ligand, which is present when the metal ion M is a lanthanide metal ion with 9 coordination sites, wherein L is preferably an amine, imine, p- substituted pyridine, ether, isocyanate, isonitrile, nitrile, carbonyl, or a N-heterocycle; and spectator is a bidentate negatively charged ligand that may be selected from the group comprising:β-diketonate, nacnac, N-alkylsalicylimine, 2-picolinate, bidentate pyrazolyl-borate, 1 ,2- nido-carboranediphosphines, 1 ,2-nido-carboranediisocyanides, 1 ,2-nido- carboranediarsenates, singly negatively charged diamines, singly negatively charged diphosphines, singly negatively charged diarsines, singly negatively charged bis-guanidine, bidentate negatively charged thiolates, bidentate negatively charged alcoholates, bidentate negatively charged phenolates.
1,145
21101
의약용 화합물 및 항생물질 제조업
특허
ko
en
비만 치료용 MCH 길항제본 발명은 멜라닌-농축성 호르몬(MCH)에 대한 신규한 길항제인 다음 화학식 I 및 II의 화합물 뿐만 아니라 이러한 화합물을 제조하는 방법에 관한 것이다: 화학식 I 화학식 II 또 다른 양태에서는, 본 발명이 상기 MCH 길항제를 포함하는 약제학적 조성물 뿐만 아니라 이를 사용하여 비만, 대사성 장애, 섭식 장애(예: 다식증) 및 당뇨병을 치료하는 방법에 관한 것이다. 다음 화학식 I의 화합물, 또는 이의 약제학적으로 허용되는 염 또는 용매화물: 화학식 I 상기식에서, X는 단일 결합, -C-, -CH- 또는 알킬렌이고, X가 단일 결합인 경우, R6은 부재하며, 1로 표시된 탄소 원자는 N-Y의 N에 직접적으로 부착되고; Y는 단일 결합, -C-, -CH- 또는 알킬렌이고, Y가 단일 결합인 경우, R5는 부재하며, 7로 표시된 탄소 원자는 N-X의 N에 직접적으로 부착되고; R1은 아릴 또는 헤테로아릴인데, 이러한 아릴 또는 헤테로아릴 각각은 치환되지 않거나, 또는 동일하거나 상이할 수 있는 하나 이상의 잔기에 의해 임의로 독립적으로 치환될 수 있으며, 각 잔기는 CN, CF3, 할로겐, 알킬, 사이클로알킬, 사이클로알킬알킬, 할로알킬, 할로알콕시, 알콕시 및 OH로 이루어진 그룹 중에서 독립적으로 선택되며; R2는 H, 알킬, 아릴 또는 아르알킬인데, 이러한 아릴 또는 아르알킬 각각은 치환되지 않거나, 또는 동일하거나 상이할 수 있는 하나 이상의 잔기에 의해 임의로 독립적으로 치환될 수 있으며, 각 잔기는 할로겐, 알킬, 사이클로알킬, 사이클로알킬알킬, 할로알킬, 할로알콕시, 알콕시 및 OH로 이루어진 그룹 중에서 독립적으로 선택되며; R3은 H, 알킬, 아릴 또는 아르알킬인데, 이러한 아릴 또는 아르알킬 각각은 치환되지 않거나, 또는 동일하거나 상이할 수 있는 하나 이상의 잔기에 의해 임의로 독립적으로 치환될 수 있으며, 각 잔기는 CF3, 할로겐, 알킬, 사이클로알킬, 사이클로알킬알킬, 할로알킬, 할로알콕시, 알콕시 및 OH로 이루어진 그룹 중에서 독립적으로 선택되며; R4는 -알킬렌-N(R7)2, -N(H)알킬렌-N(R7)2, -O-알킬렌-N(R 7)2, 로 이루어진 그룹 중에서 선택되는데, 상기 -N(R7)2 중의 R7은 각각, 동일하거나 상이할 수 있고, R7은 각각, H, 알킬, 사이클로알킬 또는 아릴인데, 이러한 알킬, 아릴 또는 사이클로알킬 각각은 치환되지 않거나, 또는 동일하거나 상이할 수 있는 하나 이상의 잔기에 의해 임의로 독립적으로 치환될 수 있으며, 각 잔기는 할로겐, 알킬, 사이클로알킬, 사이클로알킬알킬, 할로알킬, 할로알콕시, 알콕시 및 OH로 이루어진 그룹 중에서 독립적으로 선택되거나; 또는 R7은 각각, 함께 연결될 수 있고, 이들에 부착된 질소와 함께, 3 내지 7원 헤테로사이클릴 환을 형성할 수 있고; n은 0 또는 1이며; p는 0 내지 5이며, p가 >1인 경우, p 잔기의 수는 동일하거나 상이할 수 있으며; R5는 H이거나, 또는 알킬 또는 사이클로알킬 중에서 독립적으로 선택된 1 또는 2개의 치환체이고; R6은 H이거나, 또는 알킬 또는 사이클로알킬 중에서 독립적으로 선택된 1 또는 2개의 치환체이며; R8은 H, OH, 알콕시, 알킬, 사이클로알킬, 아릴, -N(H)R7, -N(H)C(O)알킬, -N(H)C(O)아릴, -N(H)C(O)N(H)알킬, -N(H)C(O)N(H)아릴, -N(H)S(O2)알킬 또는 -N(H)S(O2)아릴인데, 단 상기 방향족 환 상에 1 및 6으로 표시된 탄소는 X-R6과 함께, 4 내지 8원 환 시스템을 임의로 형성할 수 있다.
MCH ANTAGONISTS FOR THE TREATMENT OF OBESITYThe present invention discloses compounds of formula (I) and (II), which are novel antagonists for melanin-concentrating hormone (MCH), as well as methods for preparing such compounds. In another embodiment, the invention discloses pharmaceutical compositions comprising such MCH antagonists as well as methods of using them to treat obesity, metabolic disorders, eating disorders such as hyperphagia, and diabetes.A compound of formula I: formula I or a pharmaceutically acceptable salt or solvate of said compound, wherein:X is a single bond, -C-, -CH- or alkylene, and when X is a single bond, R6 is absent and the carbon atom marked 1 is directly attached to N of N-Y;Y is a single bond, -C-, -CH- or alkylene, and when Y is a single bond, Rs is absent and the carbon atom marked 7 is directly attached to N of N-X;R1 is aryl or heteroaryl, wherein each of said aryl or heteroaryl can be unsubstituted or optionally independently substituted with one or more moieties which can be the same or different, each moiety being independently selected from the group consisting of CN, CF3, halogen, alkyl, cycloalkyl, cycloalkylalkyl, haloalkyl, haloalkoxy, alkoxy and OH;R2 is H, alkyl, aryl or aralkyl wherein each of said aryl or aralkyl can be unsubstituted or optionally independently substituted with one or more moieties which can be the same or different, each moiety being independently selected from the group consisting of halogen, alkyl, cycloalkyl, cycloalkylalkyl, haloalkyl, haloalkoxy, alkoxy and OH;R3 is H, alkyl, aryl or aralkyl wherein each of said aryl or aralkyl can be unsubstituted or optionally independently substituted with one or more moieties which can be the same or different, each moiety being independently selected from the group consisting of CF3, halogen, alkyl, cycloalkyl, cycloalkylalkyl, haloalkyl, haloalkoxy, alkoxy and OH;R4 is selected from the group consisting of -alkylene-N(R7)2, -N(H)alkylene- N(R7)2, -O-alkylene-N(R7)2, wherein each R7 in said -N(R7)2, can be the same or different each R7 is H, alkyl, cycloalkyl or aryl, wherein each of said alkyl, aryl or cycloalkyl can be unsubstituted or optionally independently substituted with one or more moieties which can be the same or different, each moiety being independently selected from the group consisting of halogen, alkyl, cycloalkyl, cycloalkylalkyl, haloalkyl, haloalkoxy, alkoxy and OH; or each R7 can be joined together and with the nitrogen to which they are attached to form a 3 to 7-membered heterocyclyl ring; n is O or 1 ; p is 0 to 5 and when p is >1 , the number of p moieties can be the same or different;R5 is H or 1 or 2 substituents independently selected from alkyl or cycloalkyl; R6 is H or 1 or 2 substituents independently selected from alkyl or cycloalkyl; andR8 is H, OH, alkoxy, alkyl, cycloalkyl, aryl, -N(H)R7, -N(H)C(0)alkyl,-N(H)C(0)aryl, -N(H)C(O)N(H)alkyl, -N(H)C(O)N(H)aryl, -N(H)S(02)alkyl or -N(H)S(02)aryl; with the proviso that the carbons shown marked 1 and 6 on the aromatic ring, along with X-R6, can optionally form a 4 to 8 membered ring system.
1,146
20111
석유화학계 기초 화학 물질 제조업
특허
ko
en
에어로트리신 유사체, 이의 제조 방법 및 용도본 발명은 하기 화학식 I의 에어로트리신, 및 이의 약학적으로 허용가능한 염에 관한 것이다. 또한, 본 발명은 하기 화학식 I의 에어로트리신 및 약학적으로 허용가능한 담체를 포함하는 약학 조성물에 관한 것이다. 또한, 본 발명은 약제의 제조를 위한 상기 에어로트리신 뿐만 아니라 하기 화학식 I의 에어로트리신을 제조하기 위한 방법 및 중간물에 관한 것이다: 화학식 I 상기 식에서, R1, R2, R3, R4, R5, X, Y, Z 및 m은 제 1 항에 정의된 바와 같다. 하기 화학식 I의 에어로트리신 또는 이의 약학적으로 허용가능한 염: 화학식 I [이미지] 상기 식에서, R1은 구아니디노, 트리-저급 알킬암모니오, -N(R10)-R11, -N(R15)-CO-R 14, -N(R15)-CO-CH[N(R10)R11]-R13, -NHCOCH(R13)-NHCOCH(NH2)-R 13, [이미지] [이미지] 또는 [이미지]이고, R10 및 R11은 각각 독립적으로 수소; 1 또는 2개의 아미노로 치환된 헤테로아릴; 1개 이상의 아미노, 아미노-저급 알킬, 시아노, 구아니디노, 질소-함유 헤테로사이클(들), 또는 아미노, 아미디노 또는 구아니디노기를 갖는 페닐기(들)로 치환되거나 치환되지 않은 저급 알킬이고, R13은 천연 또는 인공 아미노산으로부터 유도된 잔기이고, R14는 1개 이상의 아미노, 구아니디노, 질소-함유 헤테로사이클(들), 또는 아미노, 아미디노 또는 구아니디노기를 갖는 페닐기(들)로 치환된 저급 알킬이고, R15는 수소, 1개 이상의 아미노, 구아니디노, 질소-함유 헤테로사이클 또는 아미노, 아미디노 또는 구아니디노기를 갖는 페닐기(들)로 치환되거나 치환되지 않은 저급 알킬이고; R2는 수소, 하이드록시설포닐, 저급 알킬 또는 저급 알케닐이고, 이때 저급 알킬 및 저급 알케닐은 아실, 카바모일, 아미노, 모노-저급 알킬아미노 또는 디-저급 알킬아미노로 치환되거나 치환되지 않을 수 있고; R3은 수소, 하이드록시, 니트로, 아미노, 아실아미노, (저급 알킬카바모일)아미노, 카복실, 저급 알콕시, 저급 알콕시카보닐, 저급 알킬, 저급 알케닐 또는 저급 알키닐이고, 이때 저급 알킬, 저급 알케닐 및 저급 알키닐은 하이드록시, 아미노, 모노-저급 알킬아미노, 디-저급 알킬아미노, 저급 알콕시카보닐 또는 카바모일로 치환되거나 치환되지 않을 수 있고; R4는 저급 알킬, 아릴, 사이클로알킬 또는 불소 원자(들)로 치환되거나 치환되지 않을 수 있는 알킬, 알케닐, 알콕시 또는 알케닐옥시이고; R5는 -CONH2, -CN 또는 -CH2NH2이고; X는 단일 결합이거나, 또는 1개 이상의 헤테로원자를 함유하거나 함유하지 않고/않거나 할로겐 원자(들), 또는 저급 알킬로 치환되거나 치환되지 않은 아릴, 비페닐 또는 터페닐기이고; Y는 단일 결합, -CH2-, -CH(저급 알킬)-, -CONH- 또는 -CON(저급 알킬)-이고; Z는 -O-, -NH- 또는 -N(저급 알킬)-이고; m은 0 내지 4의 정수이고; n은 2 내지 5의 정수이나; 단 -Y-(CH2)m-X-R4가 비치환된 알킬 또는 아르알킬인 경우, R1은 아미노가 아니고, R2 및 R3은 수소 이외의 것이며, R5는 -CONH2가 아니고, Z는 동시에 -O- 또는 -NH-가 아니어야 한다.
Aerothricin analogs, their prepn. and useThe present invention relates to novel Aerothricins represented by Formula (I), wherein R, R, R, R, R, X, Y, Z, and m are as defined in Claim 1; and pharmaceutically acceptable salts thereof. The present invention also relates to a pharmaceutical composition comprising an Aerothricin of Formula (I) and a pharmaceutically acceptable carrier. Furthermore, the present invention relates to the use of such Aerothricins for the preparation of medicaments, as well as to processes and intermediates for the preparation of the Aerothricins of Formula (I).The aerothricin of representing by formula i, r wherein 1be guanidine radicals, three-low alkyl group ammonium ,-n (r 10)-r 11,-n (r 15)-co-r 14,-n (r 15)-co-ch[n (r 10) r 11]-r 13,-nhcoch (r 13)-nhcoch (nh 2)-r 13, r 10and r 11be selected from hydrogen independently of one another; by one or two amino heteroaryl that replaces; optional by one or more amino, amino-low alkyl group, cyano group, guanidine radicals, nitrogen heterocyclic ring or contain the low alkyl group that the phenyl of amino, amidino groups or guanidine radicals replaces; r 13be from natural or alpha-non-natural amino acid deutero-residue; r 14by one or more amino, guanidine radicals, nitrogen heterocyclic ring or contain the low alkyl group that the phenyl of amino, amidino groups or guanidine radicals replaces; r 15be hydrogen, optional by one or more amino, guanidine radicals, nitrogen heterocyclic ring or contain the low alkyl group that the phenyl of amino, amidino groups or guanidine radicals replaces; r 2be hydrogen, hydroxyl sulphonyl, low alkyl group or low-grade alkenyl, wherein low alkyl group and low-grade alkenyl can be chosen wantonly by acyl group, formamyl, amino, list-low-grade alkyl amino or two-low-grade alkyl amino and replace; r 3be hydrogen, hydroxyl, nitro, amino, amido, (elementary alkyl amido methanoyl) amino, carboxyl, lower alkoxy, elementary alkoxy carbonyl, low alkyl group, low-grade alkenyl or low-grade alkynyl, wherein low alkyl group, low-grade alkenyl and low-grade alkynyl can be chosen wantonly by hydroxyl, amino, list-low-grade alkyl amino, two-low-grade alkyl amino, elementary alkoxy carbonyl or formamyl and replace; r 4be to choose alkyl, thiazolinyl, alkoxyl group or the alkene oxygen base that is replaced by low alkyl group, aryl, cycloalkyl or fluorine atom wantonly; r 5be-conh 2,-cn or-ch 2nh 2x is a singly-bound, or optional aryl, xenyl or the terphenyl that contains one or more heteroatoms and/or replaced by halogen atom or low alkyl group;y be singly-bound ,-ch 2-,-ch (low alkyl group)-,-conh-or-con (low alkyl group)-; z is-o-,-nh-or-n (low alkyl group)-;m is 0 to 4 integer; andn is 2 to 5 integer;its precondition is, if-y-(ch 2) m-x-r 4be unsubstituted alkyl or aralkyl, r simultaneously then 1not amino, r 2and r 3not hydrogen, r 5be not-conh 2, z is not-o-or-nh-; and pharmacy acceptable salt.
1,147
31311
유인 항공기, 항공 우주선 및 보조장치 제조업
특허
ko
en
호버링 가능한 항공기호버링 가능한 항공기(1)용 로터(3, 3', 3", 3"')가 개시되며, 상기 로터(3, 3', 3", 3"')는 고정식 지지 구조체(10); 고정식 지지 구조체(10)에 대해 축(A)을 중심으로 회전 가능한 회전 부재(11); 회전 부재(11)와 작동 가능하게 연결된 적어도 하나의 블레이드(13); 고정식이거나 또는 제 1 회전 속도(ω1)로 회전하여 구동되는 자계의 소스(30); 및 회전 부재(11)에 작동 가능하게 연결되고, 제 1 회전 속도(ω1)와 다른 제 2 회전 속도(ω2)로 회전하여 구동될 수 있는 도전 부재(32)를 포함하고; 도전 부재(32)는 소스(30)와 전자기적으로 결합되어, 도전 부재(32) 자체에서 기전력이 자기적으로 유도되고, 2개의 링이 로터 허브(12)의 관형 본체(51)로부터 방사상 내측으로 연장되고; 제 1 링(52)은 로터(3, 3', 3", 3"')의 축 방향 단부에서 흐름 디플렉터(85)의 복수의 열 도전 링(90)에 연결되고, 제 2 링(53)은 도전 부재(32)를 지지한다.호버링 가능한 항공기(1), 특히 헬리콥터 또는 전환식 비행기(convertiplane)로서,- 동체(2); - 주 변속기 그룹(7); 및 - 상기 주 변속기 그룹(6)에 연결되어 상기 항공기(1)에 이를 들어올리는 리프트를 제공하도록 구성된 메인 로터(3, 3', 3", 3"')를 포함하고;상기 메인 로터(3, 3', 3", 3"')는, 차례로,- 상기 동체(2)에 고정된 고정식 지지 구조체(10);- 상기 고정식 지지 구조체(10)에 대해 제 1 축(A)을 중심으로 회전 가능한 회전 부재(11);- 상기 회전 부재(11)와 작동 가능하게 연결된 적어도 하나의 블레이드(13);- 고정식이거나, 또는 사용시 제 1 회전 속도(ω1)로 회전하여 구동되는 자계의 소스(30); 및- 상기 회전 부재(11)에 작동 가능하게 연결되고, 사용시 상기 제 1 회전 속도(ω1)와 다른 제 2 회전 속도(ω2)로 회전하여 구동될 수 있는 도전 부재(32)를 포함하고; 상기 도전 부재(32)는 상기 소스(30)와 전자기적으로 결합되어, 사용시 상기 도전 부재(32) 자체에서 기전력이 자기적으로 유도되고, 상기 로터(3, 3', 3", 3"')는: - 상기 회전 부재(11)에 작동 가능하게 연결되어, 상기 제 1 축(A)을 중심으로 상기 제 2 회전 속도(ω2)로 회전 가능하고 상기 블레이드(13)가 연접되는(articulated) 허브(12); 및- 상기 소스(30)가 고정되어, 고정식이거나 또는 상기 제 1 축(A)을 중심으로 상기 제 1 회전 속도(ω1)로 회전 가능한 지지 부재(36, 36', 36")를 더 포함하고;상기 도전 부재(32)는 상기 허브(12)에 고정되는, 상기 호버링 가능한 항공기(1)에 있어서,상기 로터(3, 3', 3", 3"')는, 상기 로터(3, 3', 3", 3"')의 축 방향 단부에 배치되고, 상기 허브(12)와 일체식으로 상기 제 1 축(A)을 중심으로 회전 가능하고, 적어도 부분적으로 상기 지지 부재(36, 36')를 하우징하는 격벽부를 규정하는 흐름 디플렉터(85)를 포함하고,상기 허브(12)는: - 관형 본체(51)와, 상기 본체(51)로부터 상기 제 1 축(A)을 향해 그리고 상기 제 1 축(A)에 직교하여 연장되는 제 1 및 제 2 링(52, 53)을 포함하고;상기 도전 부재(32)는 상기 제 2 링(53)에 끼워지고; 상기 흐름 디플렉터(85)는 금속으로 제조되고 상기 제 1 링(52, 52')에 연결된 복수의 열 전도 링(90)을 포함하는 것을 특징으로 하는, 호버링 가능한 항공기.
AIRCRAFT CAPABLE OF HOVERINGThere is disclosed a rotor (3, 3', 3", 3"') for an aircraft (1) capable of hovering, comprising a stationary support structure (10); a rotative element (11), which is rotatable about an axis (A) withrespect to stationary support structure (10); at least one blade (13), which is operatively connected with rotative element (11); a source (30) of a magnetic field, which is either stationary or driven in rotation at a first rotational speed ([omega]1); and an electric conductive element (32), which is operatively connected to rotative element (11) and can be driven in rotation at a second rotational speed ([omega]2) different from first rotational speed ([omega]1); electric conductive element (32) is electromagnetically coupled with source (30), so that an electromotive force is magneticallyinduced in electric conductive element (32) itself, wherein two rings extend radially inward from a tubular body (51) of the rotor hub (12), the first ring (52) connecting to a plurality of thermallyconductive rings (90) of a flow deflector (85) at an axial end of the rotor (3, 3', 3", 3"'), the second ring (53) supporting the electric conductive element (32).The aircraft (1) that one kind can hover, especially helicopter or convertiplane comprising:fuselage (2);main transmission group (7);andmain rotor (3,3 ', 3 ', 3 ' '), being connected to the main transmission group (7) and being suitable for the aircraft (1) offer makes it raised lift,the main rotor (3,3 ', 3 ', 3 ' ') includes: againfixed support structure (10) is fixed to the fuselage (2);rotating element (11) can be rotated relative to the fixed support structure (10) around first axle (a);at least one blade (13), is operatively connected with the rotating element (11);the source (30) in magnetic field is fixed or in use is driven to the first rotation speed (ω 1) rotation;andconducting element (32), be operatively connectable to the rotating element (11) and can be driven in use with it is rotated different from the second rotation speed (ω 2) of first rotation speed (ω 1),the conducting element (32) and the source (30) electromagnetic coupling, so that in use in the conducting element (32) itself magnetically cause electromotive force,the main rotor (3,3 ', 3 ', 3 ' ') further include:hub (12) is operatively connectable to the rotating element (11), can surround the first axle (a) with described second rotation speed (ω 2) rotates and the blade (13) is hinged on the hub (12);andsupport component (36,36 ', 36 '), the source (30) is fixed to the support component, and the support component is fixed or can be rotated with first rotation speed (ω 1) around the first axle (a),the conducting element (32) is fixed to the hub (12),it is characterized in that, the main rotor (3,3 ', 3 ', 3 ' ') includes deflector (85), the deflector is arranged in the main rotation the axial end portion of the wing (3,3 ', 3 ', 3 ' ') integrally can be rotated and be limited around the first axle (a) with the hub (12) surely it is at least partially accommodated the compartment of the support component (36,36 '),the hub (12) includes:the main body (51) and the first ring (52) and the second ring (53) of tubulose, first ring and second ring are described in first axle (a) simultaneously orthogonally extends from the main body (51) with the first axle (a),the conducting element (32) is assembled on second ring (53),the deflector (85) is made of metal and including multiple heat conduction rings (90), and the heat conduction ring is connected to first ring (52,52 ').
1,147
21101
의약용 화합물 및 항생물질 제조업
특허
ko
en
아미노알코올 유도체 및 그들의 치료적 용도본 발명은 약제학적으로 허용가능한 그의 염을 포함한 식(1)의 화합물로서, 상기 식에서: R1은 임의로 아릴 또는 R8으로 치환된 헤테로아릴이고; R2는 H 또는 알킬 또는 CH2(R3, R4 또는 R5와 함께 고리의 일부를 형성할 때)이고; R3는 H, 알킬, CH2OH 또는 CH2OR6이고 R2와 함께 고리의 일부일 수 있고; R4는 H, 알킬, CH2OH 또는 CH2OR6이고 R2와 함께 고리의 일부일 수 있고; R5는 H, 알킬, CH2OH 또는 CH2OR6이고 R2와 함께 고리의 일부일 수 있고; R6는 H, 알킬, COH, COOR9, CON(R9)2, COR9, COR10, COR11, P(O)nR9, P(O)nR10, S(O)nR10 또는 S(O)nR9이고 R2, R3, R4 또는 R5와 함께 고리의 일부일 수 있고; R7은 H, 알킬, COOR9, COOR11, COR9 또는 CON(R9)2이고 R2, R3, R4, R5 또는 R6와 함께 고리의 일부일 수 있고; R8은 알킬, CF3, OR9, OCOR9, CONH2, CN, F, Cl, Br, I, N(R9)2, NO2, NHCHO, NHCONH2, NHSO2R9, CON(R9)2, S(O)nR9, CH2OH 또는 OCON(R9)2이고; R9는 H, 알킬 또는 시클로알킬이고; R10은 아릴 또는 헤테로아릴 (임의로 R8으로 치환됨) 또는 4 내지 7 원소 고리(임의로 R8로 치환되고, O, S(O)n 및 NR9에서 선택된 하나 이상의 추가적인 헤테로 원자를 포함할 수 있음)이고; R11은 R8 또는 R10으로 임의로 치환된 알킬이고; 그리고 n 은 O, 1 또는 2이고; 상기에서, R3, R4 또는 R5가 CH2OH일 때 R6는 H가 아니고, 그리고 R7이 H와 R3이고, R4와 R5가 알킬일 때 R6는 H가 아닌 것을 제공하는 것은 T-세포 증식과 연관된 병태 또는 친- 및/또는 항-염증성 사이토카인에 의해 매개되는 병태의 치료에서 치료적 용도이다.약제학적으로 허용가능한 염을 포함하는, 식(1)의 화합물로, 상기 식에서: R1은 임의로 R8으로 치환된 아릴 또는 헤테로아릴이고; R2는 H 또는 알킬 또는 CH2(R3, R4 또는 R5와 함께 고리의 일부를 형성할 때)이고; R3는 H, 알킬, CH2OH 또는 CH2OR6이고 R2와 함께 고리의 일부일 수 있고; R4는 H, 알킬, CH2OH 또는 CH2OR6이고 R2와 함께 고리의 일부일 수 있고; R5는 H, 알킬, CH2OH 또는 CH2OR6이고 R2와 함께 고리의 일부일 수 있고; R6는 H, 알킬, COH, COOR9, CON(R9)2, COR9, COR10, COR11, P(O)nR9, P(O)nR10, S(O)nR10 또는 S(O)nR9이고 R2, R3, R4 또는 R5와 함께 고리의 일부일 수 있고; R7은 H, 알킬, COOR9, COOR11, COR9 또는 CON(R9)2이고 R2, R3, R4, R5 또는 R6와 함께 고리의 일부일 수 있고; R8은 알킬, CF3, OR9, OCOR9, CONH2, CN, F, Cl, Br, I, N(R9)2, NO2, NHCHO, NHCONH2, NHSO2R9, CON(R9)2, S(O)nR9, CH2OH 또는 OCON(R9)2이고; R9는 H, 알킬 또는 시클로알킬이고; R10은 아릴 또는 헤테로아릴 (임의로 R8으로 치환됨) 또는 4 내지 7 원소 고리(임의로 R8로 치환되고, O, S(O)n 및 NR9에서 선택된 하나 이상의 추가적인 헤테로 원자를 포함할 수 있음)이고; R11은 R8 또는 R10으로 임의로 치환된 알킬이고; 그리고 n 은 O, 1 또는 2이고; 상기에서 R3, R4 또는 R5가 CH2OH일 때 R6는 H가 아니고, 그리고 R7이 H와 R3이고, R4와 R5가 알킬일 때 R6는 H가 아니다.
Aminoalcohol Derivatives and Their Therapeutic UseA compound of formula (1) Including pharmaceutically acceptable salts thereof, wherein: R1 is aryl or heteroaryl optionally substituted with R8; R2 is H or alkyl or CH2 (when forming part of a ring with R3, R4 or R5); R3 is H, alkyl, CH2OH or CH2OR6 and can be part of a ring with R2; R4 is H, alkyl, CH2OH or CH2OR6 and can be part of a ring with R2; R5 is H, alkyl, CH2OH or CH2OR6 and can be part of a ring with R2; R6 is H, alkyl, COH, COOR9, CON(R9)2, COR9, COR10, COR11, P(O)nR9, P(O)nR10S(O)nR10 or S(O)nR9 and can be part of a ring with R2, R3, R4 or R5; R7 is H, alkyl, COOR9, COOR11, COR9 or CON(R9)2, and can be part of a ring with R2, R3, R4, R5 or R6; R8 is alkyl, CF3, OR9, OCOR9, CONH2, CN, F, Cl, Br, I, N(R9)2, NO2, NHCHO, NHCONH2, NHSO2R9, CON(R9)2, S(O)nR9, CH2OH Or OCON(R9)2; R9 is H, alkyl or cycloalkyl; R10 is aryl or heteroaryl (optionally substituted with R8) or a four to seven membered ring (which is optionally substituted with R8 and can contain one or more additional heteroatoms selected from the list O, S(O)n and NR9); R11 is alkyl optionally substituted with R8 or R10; and n is O, 1 or 2; provided that when R3, R4 or R5 is CH2OH then R6 is not H, and that when R7 is H and R3, R4 and R5 are alkyl then R6 is not H. is of therapeutic use in the treatment of a condition associated with T-cell proliferation or that is mediated by pro- and/or anti-inflammatory cytokines. A compound of formula (1) Including pharmaceutically acceptable salts thereof, wherein: R1 is aryl or heteroaryl optionally substituted with R8; R2 is H or alkyl or CH2 (when forming part of a ring with R3, R4 or R5); R3 is H, alkyl, CH2OH or CH2OR6 and can be part of a ring with R2; R4 is H, alkyl, CH2OH or CH2OR6 and can be part of a ring with R2; R5 is H, alkyl, CH2OH or CH2OR6 and can be part of a ring with R2; R6 is H, alkyl, COH, COOR9, CON(R9)2, COR9, COR10, COR11, P(O)nR9, P(O)nR10 S(O)nR10 or S(O)nR9 and can be part of a ring with R2, R3, R4 or R5; R7 is H, alkyl, COOR9, COOR11, COR9 or CON(R9)2, and can be part of a ring with R2, R3, R4, R5 or R6; R8 is alkyl, CF3, OR9, OCOR9, CONH2, CN, F, Cl, Br, I, N(R9)2, NO2, NHCHO, NHCONH2, NHSO2R9, CON(R9)2, S(O)nR9, CH2OH or OCON(R9)2; R9 is H, alkyl or cycloalkyl; R10 is aryl or heteroaryl (optionally substituted with R8) or a four to seven membered ring (which is optionally substituted with R8 and can contain one or more additional heteroatoms selected from O, S(O)n and NR9); R11 is alkyl optionally substituted with R8 or R10; and n is 0, 1 or 2; provided that when R3, R4 or R5 is CH2OH then R6 is not H, and that when R7 is H and R3, R4 and R5 are alkyl then R6 is not H.
1,148
12000
담배제품 제조업
특허
ko
en
담배 산업용 다요소 로드 제조 장치이송 장치 간에서 로드형 요소를 이송하기 위해 개량된 장치를 제공한다. 담배 산업용 다요소 로드 제조 장치는 로드형 요소를 공급하는 공급 장치, 공급 장치로 형성 장치로 이송하는 이송 장치를 구비한다. 이송 장치는 제1~제3 회전 요소에 각각 고정되고 제1~제3 두께를 각각 가지는 제1~제3 러그 및 상기 러그 사이에 배치된 노치를 가지는 제1~제3 회전 요소를 구비하고, 로드형 요소의 이송에 이용된다. 제1~제3 러그 간의 노치는 각각 개별 로드형 요소 또는 그 복수군을 받아, 또한 이송하도록 구성된다. 제1~3회전 요소는 로드형 요소를 제1~제3 회전 요소를 통해 연속적으로 가이드하도록 배치되고 제조 장치는 형성 장치에 의해 연속적인 다요소 로드를 형성하고 절단 장치에 의해 연속적인 다요소 로드를 절단함으로써 개별 다요소 로드를 형성하도록 구성되고 제3 러그의 제3 두께는 제1 러그의 제1 두께보다 얇은 것을 특징한다.담배 산업용 다요소 로드를 제조하는 제조 장치로서, 로드형 요소(S1, S2, S3, S4)를 공급하기 위한 공급 장치(5)와 상기 로드형 요소(S1, S2, S3, S4) 또는 상기 로드형 요소(S1, S2, S3, S4)의 복수군(G)을 상기 로드형 요소(S1, S2, S3, S4)의 공급 장치(5)로 형성 장치(11)로 이송하기 위한 이송 장치(3, 3')를 구비하고, 상기 이송 장치가 · 제1회 전요소(6, 6')로서, 상기 제1회 전요소(6, 6')에 대해서 고정되어 제1 두께(e1)를 가지는 제1 러그(16, 16') 및 상기 제 1 러그(16, 16') 사이에 배치된 노치(26, 26')를 가지는 제1회 전요소(6, 6')와· 제2 회전 요소(7, 7')로서, 상기 제 2 회전 요소(7, 7')에 대해서 고정되어 제2 두께(e2)를 가지는 제2 러그(17, 17') 및 상기 제 2 러그(17, 17') 사이에 배치된 노치(27, 27')를 가지는 제2 회전 요소(7, 7')와· 제3 회전 요소(8, 8')로서, 상기 제 3 회전 요소(8, 8')에 대해서 고정되어 제3 두께(e3)를 가지는 제3 러그(18, 18') 및 상기 제 3 러그(18, 18') 사이에 배치된 노치(28, 28')를 가지는 제3 회전 요소(8, 8')를 구비하고, 상기 이송 장치가 상기 로드형 요소(S1, S2, S3, S4)의 이송에 이용되어 상기 제 1 러그(16, 16') 사이의 상기 노치(26, 26'), 상기 제 2 러그(17, 17') 사이의 상기 노치(27, 27'), 제3 러그(18, 18') 사이의 상기 노치(28, 28')가 개별 로드형 요소(S1, S2, S3, S4) 또는 상기 로드형 요소(S1, S2, S3, S4)의 복수군(G)을 수취또한 이송하도록 구성되고 상기 제1회 전요소(6, 6'), 제2 회전 요소(7, 7') 및 제3 회전 요소(8, 8')가 상기 로드형 요소(S1, S2, S3, S4)를 상기 제1회 전요소(6, 6'), 제2 회전 요소(7, 7') 및 제3 회전 요소(8, 8')를 통해 연속적으로 가이드하도록 배치되고 상기 제조 장치는 상기 형성 장치(11)에 의해 연속적인 다요소 로드(CR)를 형성하고 절단 장치(13)에 의해 상기 연속적인 다요소 로드(CR)를 절단함으로써 개별 다요소 로드(R)를 형성하도록 구성되고 상기 제 3 러그(18, 18')의 제3 두께(e3)가 상기 제 1 러그(16, 16')의 제1 두께(e1)보다 얇은 것을 특징하는 제조 장치.
PRODUCTION DEVICE OF MULTI-MATERIAL ROD FOR TOBACCO INDUSTRYTo provide an improved device for transferring a rod-state material between transfer devices. A production device of a multi-material rod for tobacco industry comprises: a supply device for supplying a rod-state material; and transfer devices for transferring the rod-state material from the supply device to a formation device. The transfer devices comprise first to third rotary materials comprising: first to third lugs each of which is fixed to one of the first to third rotary materials, and has one of first to third thicknesses; and notches provided between the lugs, and are used for transferring the rod-state material. The notches between the first to third lugs receive the individual rod-state material or group of a plurality of rod-state materials and transfer them. The first to third rotary materials are arranged to continuously guide the rod-state material through the first to third rotary materials, and the production device forms a continuous multi-material rod by the formation device, and cuts the continuous multi-material rod by a cutting device for forming individual multi-material rods, the third thickness of the third lug is thinner than the first thickness of the first lug.A manufacturing apparatus for manufacturing a multi-element rod for tobacco industry, a supply device (5) for supplying a rod-like element (S1, S2, S3, S4), and the rod-like element (S1, S2, S3 S4) or the plurality of groups (g) of the rod-like elements (S1, S2, S3, S4) forming device (11) from the feeder (5) of the rod-like elements (S1, S2, S3, S4) (11) A transfer device (3, 3 ') for transferring to the transfer device, and the transfer device is the first rotating element (6, 6') and for the first rotating element (6, 6 ') 1 1 with a first lag (16, 16 ') having a first thickness (E1) and a notch (26, 26') disposed between the first lugs (16, 16 ') The rotating elements (6, 6 '), the second rotating element (7, 7'), fixed to the second rotating element (7, 7 '), and the second thickness (E2) The second rotating element (7, 7 ') with a notch (27, 27') disposed between the second lag (17, 17 ') and the second lug (17, 17'), and the A third rotating element (8, 8 '), fixed to the third rotating element (8, 8'), and has a third lag (18, 18 ') having a third thickness (E3), and A third rotating element (8, 8 ') having a notch (28, 28') disposed between the third lag (18, 18 ') is provided, and the transfer device is the rod-like element (S1, The notches (26, 26 ') between the first lugs (16, 16'), the second lag (17, 17 ') used for the transfer of S2, S3, S4), and the notches (27, 27 '), the notches (28, 28') between the third lag (18, 18 ') are individual rod-like elements (S1, S2, S3, S4) or the rod-like elements (S1, S2, S3, S4) A plurality of groups (g) are received and transported, the first rotating element (6, 6 '), the second rotating element (7, 7') and the third rotation element (8, 8 ' ) But the rod-like elements (S1, S2, S3, S4) are the first rotating element (6, 6 '), the second rotating element (7, 7') and the third rotating element (8, 8 ' The manufacturing apparatus is arranged continuously through), and the manufacturing apparatus forms a continuous multi-element rod (CR) by the forming device (11), and the continuous multi-element rod by the cutting device (13) Cutting (CR) A first thickness (E3) of the third lag (18, 18 ') is configured to form a separate multi-element rod (R) at a first thickness of the first lag (16, 16'). A manufacturing apparatus characterized by thinner than (e1).
1,149
13400
섬유제품 염색, 정리 및 마무리 가공업
특허
ko
en
신규한 비스-(트리아지닐아미노)-스틸벤 유도체들본 발명은 신규한 수용성 비스-(트리아지닐아미노)-스틸벤 광학 증백제, 이들의 제조 방법 및 천연 및 합성 물질을 증백(whitening)하기 위한 이들의 용도에 관한 것이다. 화학식 I의 광학 증백제(Optical brightening agent)들 또는 상기 화합물들의 혼합물들: 화학식 I[이미지]상기 화학식 I에서, 상기 증백제 상의 음이온 전하는, 수소, 알칼리 금속 양이온, 알칼리 토금속, 암모늄, C1-C4 선형 또는 분지된 알킬 라디칼에 의해 일-, 이-, 삼- 또는 사치환된 암모늄, C1-C4 선형 또는 분지된 하이드록시알킬 라디칼에 의해 일-, 이-, 삼- 또는 사치환된 암모늄, C1-C4 선형 또는 분지된 알킬 라디칼과 선형 또는 분지된 하이드록시알킬 라디칼과의 조합에 의해 이-, 삼- 또는 사치환된 암모늄 또는 상기 화합물들의 조합들로 이루어진 그룹으로부터 선택된 하나 이상의 동일하거나 상이한 양이온들로 구성된 양이온 전하에 의해 평형을 이루고(balanced),R1 및 R1'는, 동일하거나 상이할 수 있고, 화학식 II의 라디칼을 나타내고:화학식 II[이미지]또는 R1은 R1'와 함께 화학식 III의 라디칼을 나타내고:화학식 III[이미지]또는 R1은 R1'와 함께 화학식 IV의 라디칼 또는 상기 화합물들의 조합들을 나타내고:화학식 IV[이미지]R2 및 R2'는, 동일하거나 상이할 수 있고, 수소, C1-C4 선형 또는 분지된 알킬, C2-C4 선형 또는 분지된 하이드록시알킬, -CH2CO2-, -CH2CH2CONH2 또는 -CH2CH2CN으로 이루어진 그룹으로부터 선택되고,또는 R2 및 R2'는 화학식 II의 라디칼을 나타내고,또는 R2는 R2'와 함께 화학식 III의 라디칼을 나타내고,또는 R2는 R2'와 함께 화학식 IV의 라디칼을 나타내고,또는 R2 및 R2'는 화학식 V의 라디칼 또는 상기 화합물들의 조합들을 나타내고:화학식 V[이미지]R3 및 R3'는, 동일하거나 상이할 수 있고, 수소, C1-C4 선형 또는 분지된 알킬, C2-C4 선형 또는 분지된 하이드록시알킬, -CH2CO2-, -CH(CO2-)CH2CO2-, -CH(CO2-)CH2CH2CO2-, -CH2CH2SO3-, -CH2CH2CO2-, -CH2CH(CH3)CO2-, 벤질 또는 상기 화합물들의 조합들로 이루어진 그룹으로부터 선택되고,또는 R2 및 R3 및/또는 R2' 및 R3'는, 이웃하는 질소 원자와 함께, 모르폴린, 피페리딘, 피페라진, 피롤, 또는 피롤리딘 환 또는 상기 화합물들의 조합들을 나타내고,R12 및 R13은, 동일하거나 상이할 수 있고, 수소, C1-C6 선형 또는 분지된 알킬 라디칼, 할로겐, -SR14, -OR15, -NR16R17, -CONR18R19, -COR20, -SO2NR21R22, -CN, -CO2-, -SO3- 또는 상기 화합물들의 조합들로 이루어진 그룹으로부터 선택되고,R4, R5, R6, R7, R8, R9, R10, R11, R14, R15, R16, R17, R18, R19, R20, R21 및 R22는, 동일하거나 상이할 수 있고, 수소, 페닐 또는 C1-C25 선형 또는 분지된 알킬 라디칼로 이루어진 그룹으로부터 선택되고,R5, R6, R7, R8, R10 및 R11은, 바람직하게는 CH3 또는 H이고, 특히 바람직하게는 CH3이고, 또는 상기 화합물들의 조합들이고,x, y 및 z는, 동일하거나 상이할 수 있고, 각각 0 내지 200의 범위이지만, 단, x+y+z ≥5이고,n은 0 내지 4의 범위일 수 있다.
Novel bis-(triazinylamino)-stilbene derivativesThe instant invention relates to novel water soluble bis-(triazinylamino)-stilbene optical brightening agents, a process for their preparation and their use for whitening natural and synthetic materials.The optical whitening agent of formula (i)whereinanionic charge on whitening agent is by the cationic charge balance by one or more identical or different cation compositions, and described positively charged ion is selected from hydrogen, alkali metal cation, and alkaline-earth metal, ammonium, by c 1-c 4the alkyl of straight or branched is monosubstituted, two replace, three replace or quaternary ammoniums, by c 1-c 4the hydroxyalkyl of straight or branched is monosubstituted, two replace, three replace or quaternary ammoniums, by c 1-c 4the mixture two of the alkyl of straight or branched and the hydroxyalkyl of straight or branched replaces, three replacement or quaternary ammoniums, or the mixture of described compound, r1 and r1' can be identical or different and the group of expression (ii)or r1 is together with the group of r1' expression (iii)or r1 is together with the group of r1' expression (iv)or the mixture of described compoundr2 and r2' can be identical or different and be selected from hydrogen, c 1-c 4the alkyl of straight or branched, c 2-c 4the hydroxyalkyl of straight or branched ,-ch 2co 2-,-ch 2ch 2conh 2or-ch 2ch 2cn, or the group of r2 and r2' expression (ii)or r2 is together with the group of r2' expression (iii),or r2 is together with the group of r2' expression (iv),or r2 and r2' represent the group of formula v,or the mixture of described compoundr3 and r3' can be identical or different and be selected from hydrogen, c 1-c 4the alkyl of straight or branched, c 2-c 4the hydroxyalkyl of straight or branched ,-ch 2co 2-,-ch (co 2-) ch 2co 2-,-ch (co 2-) ch 2ch 2co 2-,-ch 2ch 2so 3-,-ch 2ch 2co 2-,-ch 2ch (ch 3) co 2-, benzyl, or the mixture of described compound,or r2 and r3 and/or r2' and r3' represent the mixture of morpholine, piperidines, piperazine, pyrroles or pyrrolidine ring or described compound together with adjacent nitrogen atomr12 and r13 can be identical or different and be selected from hydrogen, c 1-c 6the alkyl of straight or branched, halogen ,-sr14 ,-or15 ,-nr16r17 ,-conr18r19 ,-cor20 ,-so2nr21r22 ,-cn ,-co 2-,-so 3-or the mixture of described compound r4, r5, r6, r7, r8, r9, r10, r11, r14, r15, r16, r17, r18, r19, r20, r21 and r22 can be identical or different and be selected from hydrogen, phenyl or c 1-c 25the alkyl of straight or branched, r5, r6, r7, r8, r10 and r11 are preferably ch 3or h and be particularly preferably ch 3or the mixture of described compound,x, y and z can be identical or different and each comfortable scope of 0 to 200 in, condition is x+y+z >=5n can be in 0 to 4 scopeor the mixture of described compound.
1,150
25923
도장 및 기타 피막 처리업
특허
ko
en
점성 코팅 재료의 도포용 공압식 도포기(APPLICATOR FOR SHEAR THINNING VISCOUS COATING MATERIALS) 본 발명의 전단 시닝 점성 코팅 재료를 도포하는 공압식 도포기(10)에 관한 것으로, 이 도포기는 노즐, 이 노즐(11)을 통해 정상 상태의 유속에서 점성 물질을 분출시키는 공기 작동식 장치, 그리고 노즐(11) 둘레에 공기실(23)을 형성하는 공기 안내용 하우징(22)을 포함한다. 공기 안내용 하우징(22)은 노즐(11) 둘레에 공기실(23)을 위한 공기 배출구(26)를 형성한다. 하우징(22)과 노즐(11)의 선단 표면들은 거의 동일 평면상에 놓이며, 공기 배출구(26)는 약 5 내지 15㎟의 면적을 지닌다. 조절 장치는 선정된 압력하에서 공기를 공기실로 안내하기 때문에 일반적으로 균일한 유속에서 노즐(11)을 통해 점성 재료가 분출될 때 공기는 공기실로 안내되고 노즐 둘레의 공기 배출구를 통해 방출될 수 있으며, 그리고 노즐(11)로부터 분출되는 점성 재료가 다양한 폭 및 두께를 갖는 코팅물로 노즐(11)에 인접한 표면에 도포되도록 하기 위해 공기실(23)로 안내되는 공기의 양이 조절된다. 7번 스핀들을 이용하여 분당 2회전에서 브룩필드 점성도계로 측정했을 때 100,000 내지 800,000 센티푸아즈 범위에 속하는 낮은 전단율 점도와; 7번 스핀들을 이용하여 분당 20회전에서 브룩필드 점성도계로 측정했을 때 40,000 내지 100,000센티푸아즈 범위에 속하는 높은 전단율 점도와; 그리고 높은 전단율 점도에 대한 낮은 전단율 점도의 비로 정의된 틱소트로피 지수가 4보다 크게 선정된 범위의 특성을 갖는 전단 시닝 점성 코팅 재료의 도포용 공압식 도포기로서: 축, 유입 단부 및 배출 단부, 외측 표면, 상기 배출 단부쪽에 위치한 선단면, 그리고 상기 유입 단부에서 배출 단부로 향하는 관통구로 구성된 노즐과; 상기 유입 단부로부터 상기 배출단부를 향해 상기 노즐의 관통구를 통해 점성 재료를 분출시키는 수단과; 상기 노즐의 외측 표면과 함께 상기 노즐 둘레에 공기실을 형성하게 될 내측 표면을 지닌 공기 안내용 하우징과; 그리고 점성 재료가 균일한 유속으로 상기 노즐을 통해 반출될 때, 공기는 상기 공기실로 안내될 수 있고 상기 노즐 둘레의 환형 개구를 통해 빠져나가게 되며, 그리고 상기 공기실로 안내될 공기의 양은 미립화된 다른 양만큼의 점성 재료가 노즐로부터 반출되도록 하여 그것이 노즐에 인접한 표면에 다양한 폭 및 두께를 갖는 코팅물로 도포되도록 조절될 수 있게 하기 위해 소정의 압력하에 있는 공기를 상기 공기실로 안내하는 수동 조절 가능한 수단을 포함하며; 상기 공기 안내용 하우징은 상기 노즐의 전방 단부에 인접하게 될 상기 노즐의 외측 표면 둘레로 위치하도록 채택되고 상기 공기 안내용 하우징의 내측 표면과 선단면 사이에 위치한 공기실을 향하는 공기 배출구를 형성하면서 선단면을 지닌 전방 단부를 포함하며, 상기 노즐의 선단면은, 공기 배출구내의 노즐의 일부와 노즐의 선단면이 약 1㎜ 정도 공기 안내용 하우징 외측 선단면을 지나 돌출하게 되는 외측 위치와, 그리고 공기 배출구를 돌출하여 나와 있는 노즐과 노즐의 선단면이 공기 안내용 하우징의 내측 표면으로부터 약 2㎜ 정도 이격되는 내측 위치 사이의 위치에 있기 때문에, 노즐이 공기 배출구내에 있을 때 노즐의 축에 직각인 평면에서 측정된 면적이 약 5 내지 15㎟ 범위에 속하면서 노즐 둘레에 위치하는 공기 배출구의 일부가 존재하게 되는 것을 특징으로 하는 점성 코팅 재료의 도포용 공압식 도포기.
APPLICATOR FOR SHEAR THINNING VISCOUS COATING MATERIALSA pneumatic applicator (10) for shear thinning viscous coating materials that includes a nozzle, an air operated system for dispensing viscous material at a steady rate through the nozzle (11), and an air directing housing (22) defining an air chamber (23) around the nozzle (11). The air directing housing (22) defines an air outlet opening (26) for the air chamber (23) around the nozzle (11). Distal surfaces of the housing (22) and nozzle (11) are close to coplanar and the air outlet opening (26) has an area in the range of about 5 to 15 square millimeters. An adjustable system directs air under pressure into the air chamber (23) so that when the viscous material is disposed through the nozzle (11) at a generally uniform rate, air can be directed into the chamber (23) and out through the air outlet opening (26) around the nozzle and only the amount of air being directed into the chamber (23) need be adjusted to cause viscous material being dispensed from the nozzle (11) to be applied to a surface adjacent the nozzle (11) in coatings of various widths and thicknesses.A pneumatic applicator for applying shear thinning viscous coating materials having a predeteπnined range of properties including a low shear rate viscosity in the range of 100,000 to 800,000 centipoise as measured with aBrookfield viscometer at 2 revolutions per minute using a number 7 spindle; a high shear viscosity in the range of 40,000 to 100,000 centipoise as measured with a Brookfield viscometer at 20 revolutions per minute using a number 7 spindle; and a thixotropy index, defined as the ratio of the low shear rate viscosity to the high shear rate viscosity, that is greater than 4, said applicator comprising: a nozzle having an axis, inlet and outlet ends, an outer surface, a distal end surface at said outlet end, and a through material opening from said inlet end to said outlet end; means for dispensing viscous material through the material opening of said nozzle from said inlet to said outlet end; an air directing housing having an inner surface defining, with said outer surface of said nozzle, an air chamber around said nozzle, said air directing housing including a front end having a distal surface and defining an air outlet opening for the air chamber between the inner and the distal surfaces of said air directing housing, which air outlet opening is adapted to be positioned around the outer surface of said nozzle adjacent said front end of said nozzle, the distal surface of said nozzle being in a position between an outer position with a portion of the nozzle within the air outlet opening and the distal surface of the nozzle projecting past the outer distal surface of the air directing housing by about 1 millimeter, and an inner position with the nozzle out of the air outlet opening and the distal surface of the nozzle spaced from the inner surface of the air directing housing by about 2 millimeters, and so that when the nozzle is within the air outlet opening, there is a portion of the air outlet opening around the nozzle that has an area measured in a plane at a right angle to the axis of the nozzle in the range of about 5 to 15 square millimeters; and manually adjustable means for directing air under pressure into said air chamber so that when the viscous material is dispensed through said nozzle at a generally uniform rate, air can be directed into said chamber and will escape through the annular opening around said nozzle and the amount of air being directed into said chamber can be adjusted to cause different amounts of atomization of the viscous material being dispensed from the nozzle so that it can be applied to a surface adjacent the nozzle in coatings of various widths and thicknesses.
1,150
29299
그 외 기타 특수 목적용 기계 제조업
특허
ko
en
장치, 특히 다이본더의 배치 도구의 이동을 제어하기 위한 방법N ≥ 2 인 자유도 x1, ..., xN-1, z를 갖는 장치의 움직임을 제어하기 위한 방법이 제공된다. 본 방법은, 대략적인 타겟 x-위치 xendapp = (x1,endapp, ..., xN-1,endapp)를 결정하는 단계; 제 1 z-궤도 zup(t) 를 연산하는 단계; 시작 x-위치 xstart 로부터 상기 대략적인 타겟 x-위치 xendapp까지 장치의 이동을 위하여 대략적인 x-궤도 xapp(t) = (x1app(t), ..., xN-1app(t))를 연산하는 단계; 제어 시스템에 의하여 qapp(0) = (xstart, zup(0))로부터 제 1 궤도 qapp(t) = (xapp(t), zup(t))를 따른 상기 장치의 움직임을 개시하는 단계; 시간 tcorr에서 보정된 타겟 x-위치 xend = (x1,end, ..., xN-1,end)를 획득하는 경우에, tcorr≤ tblend 인 경우에 xcorr(tblend) = xapp(tblend)를 만족하는 xend로 보정된 x-궤도 xcorr(t) = (x1corr(t), ..., xN-1corr(t))를 결정하고, 상기 제어 시스템에 의하여 t > tblend 인 경우에 제 2 궤도 qcorr(t) = (xcorr(t), zup(t))를 따라 상기 장치를 이동시키는 단계; xcorr(t2) = xend 인 가장 빠른 시간 t2 := min{t|xcorr(t) = xend}를 결정하는 단계; t < t2인 경우 zmax> z2> zend 인 주어진 안전 높이 z2에 대하여 zdown(t) > z2가 되고, tdown> tblend 인 일부 시간에 대하여 zdown(tdown) = zup(tdown) = zmax 가 되도록, 최대의 z-위치 zmax 로부터 타겟 z-위치 zend까지 제 2 z-궤도 zdown(t)을 연산하는 단계; 및 상기 제어 시스템에 의하여, t > tdown 인 경우 제 3 궤도 qfinal(t) = (xcorr(t), zdown(t))를 따라 상기 장치를 이동시키는 단계를 포함한다.N ≥ 2 인 자유도 x1, ..., xN-1, z를 갖는 장치, 특히 다이본더의 배치 도구의 움직임을 제어하기 위한 방법에 있어서,a) 대략적인 타겟 x-위치 xendapp = (x1,endapp, ..., xN-1,endapp)를 결정하는 단계;b) 제 1 z-궤도 zup(t) 를 연산하는 단계;c) 시작 x-위치 xstart 로부터 상기 대략적인 타겟 x-위치 xendapp까지 장치의 이동을 위하여 대략적인 x-궤도 xapp(t) = (x1app(t), ..., xN-1app(t))를 연산하는 단계;d) 제어 시스템에 의하여 qapp(0) = (xstart, zup(0))로부터 제 1 궤도 qapp(t) = (xapp(t), zup(t))를 따른 상기 장치의 움직임을 개시하는 단계;e) 시간 tcorr에서 보정된 타겟 x-위치 xend = (x1,end, ..., xN-1,end)를 획득하는 경우에,(ⅰ) tcorr≤ tblend 인 경우에 xcorr(tblend) = xapp(tblend)를 만족하는 xend로 보정된 x-궤도 xcorr(t) = (x1corr(t), ..., xN-1corr(t))를 결정하고,(ⅱ) 상기 제어 시스템에 의하여 t > tblend 인 경우에 제 2 궤도 qcorr(t) = (xcorr(t), zup(t))를 따라 상기 장치를 이동시키는 단계;f) xcorr(t2) = xend 인 가장 빠른 시간 t2 := min{t|xcorr(t) = xend}를 결정하는 단계;g) (ⅰ) t < t2인 경우 zmax> z2> zend 인 주어진 안전 높이 z2에 대하여 zdown(t) > z2가 되고, (ⅱ) tdown> tblend 인 일부 시간에 대하여 zdown(tdown) = zup(tdown) = zmax 가 되도록, 최대의 z-위치 zmax 로부터 타겟 z-위치 zend까지 제 2 z-궤도 zdown(t)을 연산하는 단계; 및h) 상기 제어 시스템에 의하여, t > tdown 인 경우 제 3 궤도 qfinal(t) = (xcorr(t), zdown(t))를 따라 상기 장치를 이동시키는 단계를 포함하는 것을 특징으로 하는 방법.
A method for controlling the movement of an apparatus, in particular a place tool of a die bonderA method for controlling the movement of an apparatus with N = 2 degrees of freedom x1,...,x N -1, z, is presented. The method comprises the steps of: determining an approximate target x-position xend app = (x1,end app,..., x N -1,end app); computing a first z-trajectory zup(t); computing an approximate x-trajectory xapp(t) = (x1 app(t),..., x N -1 app(t)) for a movement of the apparatus from a start x-position xstart to the approximate target x-position xend app; starting a movement of the apparatus from a starting point qapp(0) = (xstart, zup(0)) along a first trajectory qapp(t) = (xapp(t), zup(t)) by means of a control system; upon obtaining a corrected target x-position xend = (-x1,end,..., x N -1,end) at a time t corr, determining a corrected x-trajectory xcorr(t) = (x1 corr(t),..., x N -1 corr(t)) to xend satisfying xcorr(t blend) = xapp(t blend), where t corr = t blend and moving the apparatus along a second trajectory qcorr(t) = (xcorr(t), zup(t)) for t > t blend by means of the control system; determining an earliest time t 2 : = min{t|xcorr(t) = xend} at which xcorr(t 2) = xend) computing a second z-trajectory zdown(t) from a maximum z-position zmax to a target z-position zend such that for t t 2, zdown(t) > z2 for a given safe height z2 with zmax > z2 > zend, and zdown(t down) = zup- (t down) = zmax for some time t down > t blend; and moving the apparatus along a third trajectory qfinal(t) = (xcorr(t), zdown(t)) for t > t down by means of the control system.One kind to equipment, and the place tool of chip bonding equipment especially has the free degree x of n 〉=2 1..., x n-1, the method that the motion of z is controlled said method comprising the steps of a.) determine approximate target x-position x endapp=(x 1, endapp..., x n-1, endapp), b.) calculate a z-track z up(t), c.) calculate described equipment from beginning x-position x startto described approximate target x-position x endappthe approximate x-track x of motion app(t)=(x 1app(t) ..., x n-1app(t)), d.) by control system described equipment is begun from starting point q app(0)=(x start, z up(0)) along the first track q app(t)=(x app(t), z up(t)) motion, e.) in case at moment t corrobtain the target x-position x of correction end=(x 1, end..., x n-1, end), then (i) determine to satisfy x corr(t blend)=x app(t blend) to x endthe target x-track x of correction corr(t)=(x 1corr(t) ..., x n-1corr(t)), t wherein corr≤ t blendand (ii) for t 〉=t blend, make described equipment along the second track q by described control system corr(t)=(x corr(t), z up(t)) motion, f.) determine to make x corr(t 2)=x endt constantly the earliest 2:=min{t|x corr(t)=x end, g.) calculate from maximum z-position z maxto target z-position z endthe 2nd z-track z down(t) so that (i) for t<t 2, for given safe altitude z 2z is arranged down(t)>z 2, wherein, z max>z 2>z endand (ii) for t down>t blendsome constantly, z down(t down)=z up(t down)=z max, and h.) for t>t down, make described equipment along the 3rd track q by described control system final(t)=(x corr(t), z down(t)) motion.
1,150
29199
그 외 기타 일반 목적용 기계 제조업
특허
ko
en
폴더-글루어에서 폴딩된 블랭크의 위치를 보정하기 위한 방법 및 디바이스본 발명은 다음의 단계들을 포함하는 블랭크 (10) 의 위치를 체킹하고 보정하기 위한 방법에 관한 것이다: - 적어도 오버랩핑 부분에서 포개져 우측- 및 좌측 플랩들 (17, 18) 을 폐쇄하는 단계, - 우측 에지 (13) 및 좌측 에지 (14) 의 위치를 체킹하고 사전 결정된 위치와 비교하여 위치 편차를 결정하는 단계, - 위치 편차가 허용 가능한 편차 값을 초과한다면, 글루가 세팅되기 전에 다음의 하위 단계를 실시하는 단계: - 진공-유도된 음의 가압을 사용하는 고정 수단 (71) 을 사용하여 센터 플랩의 하부 면을 통해 센터 플랩 (19) 을 고정하는 단계, 그후 - 진공으로 각각 적어도 하나의 흡입 컵 (21, 23, 24, 26) 에 의해 우측 플랩 및 좌측 플랩의 상부 면을 홀딩하는 단계, 및 - 좌측 플랩 (18) 및/또는 우측 플랩 (17) 의 위치를 보정하는 단계.폴딩-글루잉 후에, 폴딩되어 플래트닝된 박스를 형성하도록 설계된 블랭크 (10) 를 프로세싱하기 위한 방법으로서, 상기 방법은 다음의 단계들을 포함하는, 블랭크 (10) 를 프로세싱하기 위한 방법:a) 우측 에지 (13), 좌측 에지 (14), 전방 에지 (11), 후방 에지 (12), 우측 종방향 크리스 (15) 및 좌측 종방향 크리스 (16) 를 갖는 실질적으로 플랫형 블랭크 (10) 를 제공하는 단계로서, 상기 우측 종방향 크리스 (15) 및 상기 좌측 종방향 크리스 (16) 는 상기 우측 에지 (13) 및 상기 좌측 에지 (14) 와 함께, 우측 플랩 (17), 좌측 플랩 (18) 및 센터 플랩 (19) 을 포함하는 적어도 세개의 플랩들을 경계짓는, 상기 제공하는 단계,b) 글루가 상기 우측 플랩 (17) 과 상기 좌측 플랩 (18) 사이의 오버랩핑 부분에 존재하도록 상기 우측 에지 (13) 에 인접한 상기 우측 플랩 (17) 의 구역 및/또는 상기 좌측 에지 (14) 에 인접한 상기 좌측 플랩 (18) 의 구역에 상기 글루를 적용하는 단계,c) 상기 센터 플랩 (19) 을 향해 상기 우측 종방향 크리스 (15) 주위로 상기 우측 플랩 (17) 을 180°폴딩하고, 상기 센터 플랩 (19) 을 향해 상기 좌측 종방향 크리스 (16) 주위로 상기 좌측 플랩 (18) 을 180°폴딩하는 단계,d) 적어도 상기 오버랩핑 부분에서 포개져 위치되는 폴딩된 상기 우측 플랩 (17) 및 폴딩된 상기 좌측 플랩 (18) 을 폐쇄하는 단계,e) 상기 우측 에지 (13) 및 상기 좌측 에지 (14) 를 위치 체킹하고 사전 결정된 위치와 이러한 실제적인 위치의 비교하여 위치 편차를 결정하는 단계,f) 상기 위치 편차가 허용 가능한 편차 값을 초과한다면, 상기 글루가 세팅되기 전에 다음의 하위 단계를 적용하는 단계: f1) 하부 면의 진공-유도된 부의 가압을 사용하는 고정 수단 (71) 을 사용하여 상기 센터 플랩 (19) 의 상기 하부 면을 통해 상기 센터 플랩 (19) 을 고정하는 단계, 그후 f2) 폴딩된 상기 우측 플랩 (17) 및 폴딩된 상기 좌측 플랩 (18) 의 상부면을 홀딩하는 단계, f3) 상기 좌측 플랩 (18) 및/또는 상기 우측 플랩 (17) 의 위치를 보정하는 단계,g) 상기 글루가 세팅될 때까지 상기 오버랩핑 부분에서의 상기 우측 플랩 (17) 과 상기 좌측 플랩 (18) 사이에서 가압하는 단계, 및h) 상기 센터 플랩 (19), 상기 우측 플랩 (17) 및 상기 좌측 플랩 (18) 을 해제하는 단계를 포함하는 폴더-글루어에서 폴딩된 블랭크의 위치를 보정하기 위한 방법 및 디바이스.
METHOD AND DEVICE FOR CORRECTING THE POSITION OF A FOLDED BLANK IN A FOLDER-GLUERA method for checking and correcting the position of a blank comprised of three flaps arranged in a row, the method including the following steps: closing the right- and left-hand flaps one above the other at least in an overlapping portion, gluing the flaps together; positional checking of the right-hand edge and the left-hand edge and comparing those edges with a predetermined position in order to determine a positional deviation of the flaps and, if the positional deviation exceeds an admissible deviation value, then before the glue sets, fixing the central flap at a location via the lower face of central flap using a fixing device using vacuum-induced negative pressing, then holding the upper face of the right-hand flap and of the left-hand flap by respectively at least one suction cup which applies vacuum, and correcting the position of the left-hand flap and/or of the right-hand flap by moving the suction cups sideways.A method for processing a blank designed to form, after folding-gluing, a folded flattened box, the method including the following steps: a) providing a substantially flat blank having a right-hand edge, a left-hand edge, a front edge, a rear edge, a right-hand longitudinal crease spaced from the right-hand edge and a left-hand longitudinal crease spaced from the left-hand edge, the right-hand longitudinal crease and the left-hand longitudinal crease, along with the right-hand edge and the left-hand edge, delimiting at least three flaps in a row comprised of a right-hand flap, a central flap and a left-hand flap;b) applying glue to a zone of the right-hand flap adjacent to the right-hand edge and/or applying glue to a zone of the left-hand flap adjacent to the left-hand edge, such that the glue is present in between overlapping portions that will be overlapping between the right-hand flap and the left-hand flap;c) folding the right-hand flap 180° about the right-hand longitudinal crease towards the central flap, and folding the left-hand flap 180° about the left-hand longitudinal crease towards the central flap;d) closing the folded right-hand flap and the folded left-hand flap to be positioned one above the other at least in the overlapping portion;e) positional checking of the right-hand edge and of the left-hand edge and comparing actual positions of the edges with a predetermined position in order to determine a positional deviation of the actual position from the predetermined position;f) if the positional deviation exceeds an admissible deviation value, applying the following sub-steps before setting of the glue in the between portions: f1) fixing the central flap against undesired movement via the lower face of the central flap by using a fixing device which uses vacuum-induced negative pressing of the lower face, thenf2) holding the upper faces of the folded right-hand flap and of the folded left-hand flap; andf3) correcting the position of at least one of the left-hand flap and the right-hand flap;g) applying pressure between the right-hand flap and the left-hand flap in the overlapping portion until the glue sets; andh) releasing of the central flap, of the right-hand flap and of the left-hand flap.
1,151
29292
고무, 화학섬유 및 플라스틱 성형기 제조업
특허
ko
en
물품 성형 방법본 발명은 폴리머 및 물리적 발포제를 포함하는 용융 플라스틱 조성물로부터 물품(100)을 성형하는 방법을 제공한다. 본 방법은, 주입 단계(c) 및 패킹 단계(d) 중, 주입 압력과 패킹 압력 각각은 물리적 발포제가 폴리머 내에 용해되어 있는 가스로 유지되도록 캐비티(8)의 적어도 하나의 제2 영역(32)에서 최소 압력 임계 값보다 높은 압력으로 유지되어, 적어도 하나의 제2 영역에서 실질적으로 기포가 형성되지 않고, 개방 단계(e) 중에, 용융 플라스틱 조성물의 적어도 일부가 최소 압력 임계 값보다 낮은 외부 압력에 노출되어, 플라스틱 조성물로부터 형성된 팽창 셀룰러 폼을 포함하는 적어도 하나의 제1 부분을 물품 내에 형성하고, 개방 단계(e) 전에, 캐비티의 적어도 하나의 제2 영역 내의 플라스틱 조성물이 완전히 응고될 수 있도록 냉각되어, 실질적으로 균질하고, 고상인 비 팽창 플라스틱 조성물을 포함하는 적어도 하나의 제2 부분을 물품 내에 형성한다.물품을 성형하는 방법으로, 상기 방법은,(a) 각각이 제1 및 제2 캐비티-형성 면을 구비하는 제1 파트 및 제2 파트를 구비하는 몰드를 제공하는 단계,(b) 몰드를 폐쇄하여 제1 및 제2 캐비티-형성 면 사이에 캐비티를 획정하는 몰드 폐쇄 단계,(c) 캐비티 내로 폴리머 및 물리적 발포제를 포함하는 용융 플라스틱 조성물을 주입하는 단계로, 물리적 발포제는 폴리머 내에 용해되어 있는 가스이고, 용융 플라스틱 조성물이 주입 압력에서 주입되는, 용융 플라스틱 조성물 주입 단계,(d) 주입 단계 후에, 패킹 단계에서 캐비티에 패킹 압력을 가하는 단계로, 주입 단계(c) 및 패킹 단계(d) 중 적어도 하나 또는 주입 단계(c) 및 패킹 단계(d) 모두에서, 제1 및 제2 캐비티-형성 면과 접촉하고 있는 주입된 플라스틱 조성물이 냉각되어 각각이 제1 및 제2 캐비티-형성 면에 인접하고 접촉하는 제1 및 제2 고형 스킨을 형성하고, 패킹 단계(d) 후에, 캐비티의 적어도 하나의 제1 영역에서, 제1 및 제2 고형 스킨 사이의 플라스틱 조성물 중 적어도 일부의 조성물이 용융된 상태로 남아 있는, 패킹 단계,(e) 제1 및 제2 고형 스킨 사이의 용융 플라스틱 조성물이 캐비티의 적어도 하나의 제1 영역에서 응고되기 전에 몰드를 개방하여, 제1 및 제2 고형 스킨 사이의 용융 플라스틱 조성물이 발포에 의해 팽창되어 팽창 셀룰러 폼을 형성하는 몰드 개방 단계로, 상기 개방 단계는 몰드의 제1 파트를 제거하여 제1 고형 스킨이 더 이상 제1 캐비티-형성 면과 접촉하지 않는 한편 제2 고형 스킨은 제2 캐비티-형성 면과 접촉하고 있는, 몰드 개방 단계를 포함하고,주입 단계(c) 및 패킹 단계(d) 중에, 주입 압력과 패킹 압력 각각은 물리적 발포제가 폴리머 내에 용해되어 있는 가스로 유지되도록 캐비티의 적어도 하나의 제2 영역에서 최소 압력 임계 값보다 높은 압력으로 유지되어, 적어도 하나의 제2 영역에서 실질적으로 기포가 형성되지 않고, 개방 단계(e) 중에, 용융 플라스틱 조성물의 적어도 일부가 최소 압력 임계 값보다 낮은 외부 압력에 노출되어, 플라스틱 조성물로부터 형성된 팽창 셀룰러 폼을 포함하는 적어도 하나의 제1 부분을 물품 내에 형성하고,개방 단계(e) 전에, 캐비티의 적어도 하나의 제2 영역 내의 플라스틱 조성물이 완전히 응고될 수 있도록 냉각되어, 실질적으로 균질하고, 고상인 비 팽창 플라스틱 조성물을 포함하는 적어도 하나의 제2 부분을 물품 내에 형성하는 것을 특징으로 하는 물품 성형 방법.
METHOD OF FORMING AN ARTICLEThe present invention provides a method of forming an article (100) from a molten plastic composition comprising a polymer and a blowing agent. The method provides that during an injecting step (c) and a packing step (d), the injection pressure and the packing pressure, respectively, are maintained above a minimum pressure threshold in at least one second region (32) of the cavity (8) to maintain the physical blowing agent as a gas dissolved in the polymer so that substantially no gas bubbles are formed in the at least one second region, during a mould opening step (e), at least some of the molten plastic composition is exposed to an external pressure lower than a minimum pressure threshold to form in the article at least one first portion comprising expanded cellular foam formed from the plastic composition, and prior to the opening step (e), the plastic composition in the at least one second region of the cavity has been cooled so as to be fully solidified to form in the article at least one second portion comprising a substantially homogeneous, solid phase, unexpanded plastic compositionA method of forming an article, the method comprising:(a) providing a mould having a first part and a second part, the first and second parts having respective first and second cavity-forming surfaces;(b) closing the mould thereby defining a cavity between the first and second cavity- forming surfaces;(c) injecting a molten plastic composition comprising a polymer and a physical blowing agent into the cavity, wherein the physical blowing agent is a gas dissolved in the polymer, the molten plastic composition being injected at an injection pressure;(d) after the injecting step, applying a packing pressure to the cavity in a packing step, wherein during at least one or both of the injecting step (c) and the packing step (d), the injected plastic composition in contact with the first and second cavity-forming surfaces is cooled to form first and second solid skins respectively adjacent to and in contact with the first and second cavity-forming surfaces, and wherein after the packing step (d) in at least one first region of the cavity at least some of the plastic composition between the first and second solid skins remains molten;(e) opening the mould before the molten plastic composition between the first and second solid skins has solidified in the at least one first region of the cavity, thereby allowing the molten plastic composition between the first and second solid skins to expand by foaming to form an expanded cellular foam, wherein the opening step comprises removing the first part of the mould so that the first solid skin is no longer in contact with the first cavity-forming surface, while maintaining the second solid skin in contact with the second cavity-forming surface,wherein during the injecting step (c) and the packing step (d), the injection pressure and the packing pressure, respectively, are maintained above a minimum pressure threshold in at least one second region of the cavity to maintain the physical blowing agent as a gas dissolved in the polymer so that substantially no gas bubbles are formed in the at least one second region, during the opening step (e), at least some of the molten plastic composition is exposed to an external pressure lower than the minimum pressure threshold to form in the article at least one first portion comprising expanded cellular foam formed from the plastic composition, and wherein prior to the opening step (e), the plastic composition in the at least one second region of the cavity has been cooled so as to be fully solidified to form in the article at least one second portion comprising a substantially homogeneous, solid phase, unexpanded plastic composition.
1,152
21101
의약용 화합물 및 항생물질 제조업
특허
ko
en
카테콜 또는 의사 카테콜 구조를 갖는 신규 세펨 화합물본 발명은 광범위한 항균 스펙트럼을 갖고, 특히 β-락타마제 산생 그램 음성균에 대하여 강한 항균 활성을 나타내는 신규 화합물을 제공한다. 구체적으로는, 본 발명은 식 (I)(식 중, 각 기호의 의의는 명세서 중에 정의한 바와 같음)로 나타내는 화합물, 또는 그의 7위치 측쇄의 환 상에 아미노기가 존재하는 경우의 상기 아미노기에서의 보호체, 또는 그들의 제약상 허용되는 염, 및 그것을 함유하는 의약 조성물을 제공한다.식 (Ι)로 나타내는 화합물, 또는 그의 7위치 측쇄의 환 상에 아미노기가 존재하는 경우의 상기 아미노기에서의 보호체, 또는 그들의 제약상 허용되는 염:[이미지][식 중,W는 -CH2-, -S- 또는 -O-이고,a) W가 -CH2-일 때는, U는 -CH2-, -S-, -S(=O)- 또는 -O-이거나 또는b) W가 -S- 또는 -O-일 때는, U는 -CH2-이고,L은 -CH2-, -CH=CH-, -CH2-CH=CH- 또는 -CH=CH-CH2-이고,R1은 치환 또는 비치환된 탄소환식기, 또는 치환 또는 비치환된 복소환식기이고,R2A 및 R2B에 대해서는,a) R2A가 수소 원자, 치환 또는 비치환된 아미노기, -SO3H, 치환 또는 비치환된 아미노술포닐기, 카르복실기, 치환 또는 비치환된 저급 알킬옥시카르보닐기, 치환 또는 비치환된 카르바모일기, 히드록실기, 또는 치환기를 갖고 있는 카르보닐옥시기이고,R2B가 수소 원자이거나, 또는b) R2A 및 R2B가 일체가 되어, 치환 또는 비치환된 메틸리덴기, 또는 치환 또는 비치환된 히드록시이미노기를 형성하고,R3은 수소 원자, -OCH3 또는 -NH-CH(=O)이고,R11은 카르복실 이온(-COO-)의 등배전자(bioisoster)이고,E는 치환 또는 비치환된 1 이상의 4급 암모늄 이온을 포함하는 2가의 기이고,R10은 R12 또는 이하의 식:[이미지]로 나타내는 기이고,여기서,환 A는 벤젠환, 또는 질소 원자를 1 내지 3개 갖는 6원의 방향족 복소환이고,k는 2 내지 5의 정수이고,R4는 각각 독립적으로 수소 원자, 할로겐, 히드록실기, -CN, -C(=O)-R5, -C(=O)-OH, -C(=O)-OR5 또는 -OR5이고,R5는 저급 알킬기 또는 할로 저급 알킬기이고,G는 단결합, 치환 또는 비치환된 저급 알킬렌기, 치환 또는 비치환된 저급 알케닐렌기, 또는 치환 또는 비치환된 저급 알키닐렌기이고,B는 단결합, 또는 적어도 질소 원자를 1 내지 3개 함유하는 5원 또는 6원의 복소환식기이고,D는 단결합, -C(=O)-, -O-C(=O)-, -C(=O)-O-, -NR6-, -NR6-C(=O)-, -C(=O)-NR6-, -NR6-C(=O)-NR6-, -O-, -S-, -S(=O)-, -S(=O)2-NR6-, -NR6-S(=O)2-, -NR6-CH2-, -CH2-NR6- 또는 -S(=O)2-이고,R6은 각각 독립적으로, 수소 또는 치환 또는 비치환된 저급 알킬기이고,R12는 수소 원자, 할로겐, 히드록실기, -SO3H, 치환 또는 비치환된 아미노기, 치환 또는 비치환된 카르복실기, 치환 또는 비치환된 카르바모일기, 치환 또는 비치환된 아실기, 치환 또는 비치환된 아미노술포닐기, 치환 또는 비치환된 저급 알킬기, 치환 또는 비치환된 저급 알케닐기, 치환 또는 비치환된 저급 알키닐기, 치환 또는 비치환된 비방향족 탄소환식기, 또는 치환 또는 비치환된 비방향족 복소환식기이다]
Novel cephem compound having catechol or pseudo-catechol structureThe present invention provides a novel compound having a wide antibacterial spectrum, and expressing strong antibacterial activity against beta-lactamase-producing gram-negative bacteria in particular. The invention provides the compound represented by formula (I) (the meanings of the symbols are defined in the specification), or a protected product in which an amino group when the amino group exists on the ring in the 7-position side chain is protected, a pharmaceutically acceptable salt of the compound or protected product, and a pharmaceutical composition containing the same.The compound of following formula:or on the ring in the side chain of 7-position, there is the compound of amido protecting when amino, or its pharmacy acceptable salt,whereinw is-ch 2-,-s-or-o-; a) when w is-ch 2in-time, u is-ch 2-,-s-,-s (=o)-or-o-; or b) when w be-s-or-during o-, u is-ch 2-; l is-ch 2-,-ch=ch-,-ch 2-ch=ch-or-ch=ch-ch 2-; r 1for that replace or unsubstituted carbocylic radical or replacement or unsubstituted heterocyclic radical; about r 2aand r 2b, a) r 2afor amino hydrogen atom, replacement or unsubstituted ,-so 3amino-sulfonyl h, replacement or unsubstituted, carboxyl, replacement or unsubstituted (low alkyl group) oxygen base carbonyl, ketonic oxygen base replacement or unsubstituted formamyl, hydroxyl or replacement; and r 2bfor hydrogen atom, or b) r 2aand r 2bform together that replace or unsubstituted methylene radical or replacement or unsubstituted oxyimino; r 3for hydrogen atom ,-och 3or-nh-ch (=o); r 11for carboxylic ions (coo -) bioisostere; e is replacement or the unsubstituted divalent group with at least one quaternary ammonium ion;r 10for r 12or the group that represents of following formula: wherein encircling a is phenyl ring or the 6-membered aromatic heterocycle base with 1-3 nitrogen-atoms;k is 2 to 5 integer;each r 4be hydrogen atom, halogen, hydroxyl ,-cn ,-c (=o)-r independently 5,-c (=o)-oh ,-c (=o)-or 5or-or 5; r 5for low alkyl group or halo (rudimentary) alkyl; and g is low-grade alkylidene singly-bound, replacement or unsubstituted, replacement or unsubstituted alkenylene or replacement or unsubstituted alkynylene;b is singly-bound or contains at least 5-or the 6-unit heterocyclic radical of 1-3 nitrogen-atoms;d be singly-bound ,-c (=o)-,-o-c (=o)-,-c (=o)-o-,-nr 6-,-nr 6-c (=o)-,-c (=o)-nr 6-,-nr 6-c (=o)-nr 6-,-o-,-s-,-s (=o)-,-s (=o) 2-nr 6-,-nr 6-s (=o) 2-,-nr 6-ch 2-,-ch 2-nr 6-or-s (=o) 2-; each r 6be hydrogen atom or replacement or unsubstituted low alkyl group independently; r 12for hydrogen atom, halogen, hydroxyl ,-so 3amino h, replacement or unsubstituted, replacement or unsubstituted carboxyl, replacement or unsubstituted formamyl, replacement or unsubstituted acyl group, replacement or unsubstituted amino-sulfonyl, replacement or unsubstituted low alkyl group, replacement or unsubstituted low-grade alkenyl, replacement or unsubstituted low-grade alkynyl, replacement or unsubstituted non-aromatic carbocylic radical or replacement or unsubstituted nonaromatic heterocycles base.
1,153
30201
차체 및 특장차 제조업
특허
ko
en
아웃리거 조립체, 및 상기 아웃리거 조립체를 포함하는 모바일 크레인과 같은 차량차량을 지원하기 위한 아웃리거 조립는 아웃리거 프레임; 아웃리거 빔을 포함하고, 상기 아웃리거 빔은 아웃리거 프레임에 대하여 수평 연장 방향을 따라 이동 가능하고; 아웃리거 지지부는 지지 표면 상에서 아웃리거 조립체를 지지하기 위해 아웃리거 빔의 원위 단부에 결합된다. 아웃리거 빔의 연장 위치와 아웃리거 지지부의 지지 위치에서, 제1 및 제2 균형 토크는 아웃리거 빔 상에서 작용한다. 제1 토크는 아웃리거 빔의 원위 단부 상에서 위쪽으로 작용하는 제1 수직력과 근위 단부 상에서 아래쪽으로 작용하는 제2 수직력에 의해 결정되고, 상기 원위 단부와 상기 근위 단부 사이에는 제1 수평 거리를 갖는다. 제2 토크는 상기 아웃리거 빔의 근위 단부의 상부 상에서 바깥쪽으로 작용하는 제1 수평력과 상기 근위 단부의 하부 상에서 안쪽으로 작용하는 제2 수평력에 의해 결정되고, 상기 근위 단부의 상부와 상부 사이에는 수직 거리를 갖는다.지지 표면(S) 상에서 차량을 지지하기 위한 아웃리거 조립체(10)에 있어서, 상기 아웃리거 조립체는- 아웃리거 프레임(100);- 아웃리거 빔(200)으로써, 상기 아웃리거 빔은 후퇴 위치(P4)와 연장 위치(P1) 사이에서 아웃리거 프레임에 대하여 수평 연장 방향(E)을 따라 이동 가능하고, 상기 후퇴 위치에서 상기 아웃리거 빔은 상기 아웃리거 프레임 내에 위치하며, 상기 연장 위치에서 상기 아웃리거 빔은 상기 아웃리거 프레임으로부터 연장되고, 상기 아웃리거 빔은 상기 아웃리거 빔의 연장 위치에서 상기 아웃리거 프레임에 대하여 근위 단부(201)와 원위 단부(202)를 구비한, 상기 아웃리거 빔(200); 및- 아웃리거 지지부(300)로써, 상기 아웃리거 지지부의 지지 위치(SP)내의 상기 지지 표면 상에서 상기 아웃리거 조립체를 지지하는 것을 허용하도록 상기 아웃리거 빔의 원위 단부에 결합된 상기 아웃리거 지지부(300);를 포함하고, 상기 아웃리거 빔의 연장 위치와 상기 아웃리거 지지부의 지지 위치에서 상기 아웃리거 조립체가, 제1 토크가 상기 아웃리거 빔 상에서 작용하고, 상기 제1 토크는 상기 아웃리거 빔의 원위 단부 상의 제1 위치(L1)에서 위쪽으로 작용하는 제1 수직력(Fv1)과 상기 아웃리거 빔 상의 제2 위치(L2)에서 아래쪽으로 작용하는 제2 수직력(Fv2)에 의해 결정되고, 상기 제2 위치는 상기 제1 위치로부터 상기 아웃리거 빔의 근위 단부를 향해 제1 수평 거리(Dh1)만큼 떨어져 있고, 상기 제1 및 제2 수직력은 각각 상기 아웃리거 빔이 상기 아웃리거 지지부에 의해 지지되고 상기 아웃리거 프레임이 상기 아웃리거 빔에 의해 지지되는 결과로써 작용하고, 제2 토크는 상기 제1 토크와 균형을 이루기 위해 상기 아웃리거 빔 상에서 작용하고, 상기 제2 토크는 상기 아웃리거 프레임과 상기 아웃리거 빔 사이의 상호작용에 의해 결정되도록, 구성되고, 상기 제2 토크는 상기 아웃리거 프레임에 대하여 상기 아웃리거 빔 상의 제3 위치(L3)에서 바깥쪽으로 작용하는 제1 수평력(Fh1)과 상기 아웃리거 프레임에 대하여 상기 아웃리거 빔 상의 제4 위치(L4)에서 안쪽으로 작용하는 제2 수평력(Fh2)에 의해 적어도 실질적으로 결정되고, 상기 제4 위치는 상기 제3 위치로부터 아래쪽 방향으로 제1 수직 거리(Dv1)만큼 떨어져 있는, 아웃리거 조립체(10).
OUTRIGGER ASSEMBLY AND VEHICLE, SUCH AS A MOBILE CRANE, COMPRISING THE OUTRIGGER ASSEMBLYAn outrigger assembly (10) for supporting a vehicle comprises an outrigger frame (100); an outrigger beam, the outrigger beam (200) being movable along a horizontal extension direction with respect to the outrigger frame; and an outrigger support (300) coupled to the distal end of the outrigger beam for supporting the outrigger assembly on a supporting surface. In the extended position of the outrigger beam and the supporting position of the outrigger support, a first and second balancing torque act on the outrigger beam. The first torque is determined by a first vertical force acting upwards on the distal end (202) of the outrigger beam and a second vertical force acts downwards on the proximal end (201), with a first horizontal distance in between. The second torque is determined by a first horizontal force acting outwards on an upper part of the proximal end of the outrigger beam, and a second horizontal force acting inwards on a lower part of the proximal end, with a vertical distance in between.An outrigger assembly (10) for supporting a vehicle on a supporting surface (S), the outrigger assembly comprising- an outrigger frame (100);- an outrigger beam (200),the outrigger beam being movable along a horizontal extension direction (E) with respect to the outrigger frame in between a retracted position (P4), in which the outrigger beam is located within the outrigger frame, and an extended position (P1), in which the outrigger beam is extended from the outrigger frame, andthe outrigger beam having a proximal end (201) and a distal end (202) with respect to the outrigger frame in the extended position of the outrigger beam ; and- an outrigger support (300) coupled to the distal end of the outrigger beam to allow supporting the outrigger assembly on the supporting surface in a supporting position (SP) of the outrigger support,the outrigger assembly being configured such that, in the extended position of the outrigger beam and the supporting position of the outrigger support,- a first torque acts on the outrigger beam, the first torque being determined by a first vertical force (Fv1) acting upwards at a first location (L1) on the distal end of the outrigger beam and a second vertical force (Fv2) acting downwards at a second location (L2) on the outrigger beam, the second location being separated from the first location by a first horizontal distance (Dh1) towards the proximal end of the outrigger beam, the first and second vertical forces acting as a result of the outrigger beam being supported by the outrigger support and the outrigger frame being supported by the outrigger beam, respectively, and- a second torque acts on the outrigger beam to balance the first torque, the second torque being determined by interaction between the outrigger frame and the outrigger beam, wherein the second torque is at least substantially determined by a first horizontal force (Fh1) acting outwards at a third location (L3) on the outrigger beam with respect to the outrigger frame and a second horizontal force (Fh2) acting inwards at a fourth location (L4) on the outrigger beam with respect to the outrigger frame, the fourth location being separated from the third location by a first vertical distance (Dv1) in a downward direction.
1,153
26299
그 외 기타 전자 부품 제조업
특허
ko
en
진공 처리 장치, 그래프선 표시 방법측정치의 일부를 추출하고 그래프화하여, 추출한 측정치끼리를 간단하게 비교할 수 있도록 한다. 다량의 측정치를 읽어들여 화면 (100) 상에 1 차 그래프선 (132) 으로서 표시시키고, 시점을 지시하여 비교 대상이 되는 측정치를 추출하고, 추출한 측정치를 2 차 그래프선 (134a, 134b) 으로 표시한다. 2 차 그래프선 (134a, 134b) 이 중첩되어 있지 않을 때에는, 제 1, 제 2 주메이저선 (101, 102) 에 의해 그래프선 (134a, 134b) 의 어긋남량을 측정하고, 어긋나 있는 2 차 그래프선 (134a, 134b) 의 일방을 이동시켜 중첩시킬 수 있다.진공조 내에 배치된 처리 대상물을 진공 처리하는 진공 처리부와,상기 진공 처리부가 출력한 복수의 측정치를, 측정 시각과 함께 상기 진공 처리와 대응시켜 기억하는 기억 장치와,상기 측정치를 연산하는 연산 장치와,상기 연산 장치의 연산 결과를 표시하는 표시 장치를 갖는 진공 처리 장치로서,상기 기억 장치에는, 데이터 분석 프로그램이 기억되고,상기 데이터 분석 프로그램은,상기 기억 장치 내에 기억된 복수의 상기 측정치로부터, 그래프선을 표시하는 측정치가 선택되면, 선택된 상기 측정치의 상기 측정 시각을, 소정의 기준 시각으로부터의 시간인 X 축 상 환산 시각으로 환산하고, X-Y 좌표의 X 축 상의 소정 위치를 상기 기준 시각의 위치로 하여, 상기 X 축 상 환산 시각으로부터 상기 측정치의 상기 X 축 상의 위치를 구하고, 상기 측정치의 크기로부터 Y 축 상의 위치를 구하고, 상기 측정 시각이 연속되어 있는 복수의 상기 측정치의 상기 X 축 상의 위치와 상기 Y 축 상의 위치로부터 제 1 차 그래프선을 생성하여 상기 X-Y 좌표와 함께 표시 장치에 표시하고, 표시한 상기 제 1 차 그래프선의 상기 측정 시각 중 1 개를 추출 시점(始點) 시각으로 하고,상기 제 1 차 그래프선의 상기 측정치 중, 상기 측정 시각이 상기 추출 시점 시각 이후인 상기 측정치를 추출하고,상기 추출 시점 시각을 상기 기준 시각으로 하여, 추출한 상기 측정치와 상기 측정 시각으로부터, 상기 X-Y 좌표 상에 제 2 차 그래프선을 표시하도록 구성되고,상기 진공 처리를 실시하는 처리 장치를 동작시키는 동작 신호와, 동작을 정지시키는 정지 신호가 포함되는 제어 신호가 상기 제어 장치로부터 출력되고,상기 제어 신호는, 상기 동작을 개시하는 동작 개시 시각과 상기 동작의 정지를 개시하는 동작 정지 시각과 함께 상기 기억 장치에 기억되고,상기 데이터 분석 프로그램은,상기 기억 장치에 기억된 상기 동작 개시 시각과 상기 동작 정지 시각의, 상기 기준 시각으로부터의 시간인 시간축 상 환산 시각을 구하고,상기 표시 장치 상에서는, 상기 X 축과 이간된 위치에, 상기 X 축과 평행하게 시간축을 표시하고,상기 Y 축과 평행한 일직선이 상기 X 축과 상기 시간축에 교차한 교점이 각각 나타내는 상기 X 축 상 환산 시각과 상기 시간축 상 환산 시각은 동일한 시각이 되도록 하여 상기 동작 개시 시각과 상기 동작 정지 시각의 상기 시간축 상의 위치를 구하고,상기 표시 장치 상에는, 상기 시간축 상에서, 상기 동작 신호와 상기 정지 신호를 나타내는 높이가 상이한 횡선분을 갖는 타이밍 차트로 상기 제어 신호를 표시하고, 원하는 상기 동작 개시 시각 또는 상기 동작 정지 시각을 상기 추출 시점 시각으로서 지정할 수 있도록 하고,상기 데이터 분석 프로그램은, 상기 타이밍 차트의, 상기 추출 시점 시각보다 늦은 시각의 상기 동작 개시 시각 또는 상기 동작 정지 시각을 추출 종점 시각으로서 지정하고, 상기 추출 시점 시각과 상기 추출 종점 시각 사이의 상기 측정 시각에 대응한 상기 측정치를 추출하여 상기 제 2 차 그래프선을 생성하는, 진공 처리 장치.
Vacuum treatment apparatus and graph presentation methodSome of measured values are extracted and graphically represented, so that easy comparison among the extracted measured values is enabled. Numerous measured values are presented in the form of a primary graph (132) on a screen (100) after being read in, measured values to be subjected to comparison are extracted by indicating starting points, and the thus extracted measured values are presented in the forms of secondary graphs (134a and 134b). When the secondary graphs (134a and 134b) are not being superimposed on each other, the secondary graphs (134a and 134b) can be superimposed on each other in such a manner that, after an amount of misalignment between the graph lines (134a and 134b) is measured by use of first and second main measurement lines (101 and 102), any one of these misaligned secondary graphs (134a and 134b) is moved.Vacuum treatment installation; it has: to being disposed at the display unit that process object thing in the vacuum tank carries out vacuum treated vacuum treatment portion, a plurality of measured values of said vacuum treatment portion output are carried out the arithmetic unit of computing and shown the operation result of said arithmetic unit with the storage device of measuring constantly and said vacuum treatment stores accordingly, to said measured value; whereinin said storage device, store dap,said dap is constituted as:when select showing the measured value of diagram line a plurality of said measured value in being stored in said storage device, with said mensurations of selecteed said measured value constantly, be converted into as x axle and convert the moment from benchmark time constantly of regulation,with the position of the assigned position on the x axle of x-y coordinate as the said benchmark moment; according to the position on the said x axle of asking for said measured value constantly that converts on the said x axle; ask for the position on the y axle according to the size of said measured value,, generate diagram line for the first time according to position on the said x axle of measuring constantly continuous a plurality of said measured values and the position on the said y axle; and it is shown on the display unit with said x-y coordinatewith show said first time diagram line said mensurations one of constantly as the extraction starting point moment,be engraved in the constantly later said measured value of said extraction starting point when extracting said mensuration the in the said measured value of said first time of diagram line,with said starting point zero hour as said benchmark constantly, according to the said measured value of extracting and said mensuration constantly, on said x-y coordinate, show diagram line for the second time.
1,154
20202
합성수지 및 기타 플라스틱 물질 제조업
특허
ko
en
아민기 함유 반복 단위를 갖는 폴리머본 발명은 Ar1, Ar2, Ar3 및 Ar4, R 및 X, 그리고 a, b, c, d, e 및 f 가 제 1 항에 정의된 의미를 가질 수 있는 하기 화학식 (I) 의 적어도 하나의 반복 단위를 갖는 폴리머, 이들의 제조 방법, 및 이들의 전자 또는 광전자 디바이스에서의, 특히 유기 전계발광 디바이스, 소위 OLED (OLED = 유기 발광 다이오드) 에서의 용도에 관한 것이다. 또한, 본 발명은 상기 폴리머를 포함하는 전자 또는 광전자 디바이스, 특히 유기 전계발광 디바이스에 관한 것이다.[이미지]하기 화학식 (I) 의 적어도 하나의 반복 단위를 갖는 폴리머로서, [이미지]식에서X 는 O, S, NR 또는 CR2 이고;Ar1, Ar2, Ar3 및 Ar4 는 각각의 경우에 동일하거나 상이하게, 독립적으로 5 내지 60 개의 방향족 고리 원자를 갖고 하나 이상의 R 라디칼로 치환될 수 있는 단환 또는 다환의, 방향족 또는 헤테로방향족 고리 시스템이고;a 및 b 는 각각의 경우에 동일하거나 상이하게, 독립적으로 0 또는 1 이고; 여기서 (a + b) = 1 또는 2 이고;c 및 d 는 각각의 경우에 동일하거나 상이하게, 독립적으로 0 또는 1 이고;e 및 f 는 각각의 경우에 동일하거나 상이하게, 독립적으로 0, 1, 2 또는 3 이고;R 은 각각의 경우에 동일하거나 상이하게, 독립적으로 H, D, F, Cl, Br, I, N(R1)2, CN, NO2, Si(R1)3, B(OR1)2, C(=O)R1, P(=O)(R1)2, S(=O)R1, S(=O)2R1, OSO2R1, 1 내지 40 개의 탄소 원자를 갖는 직쇄 알킬, 알콕시 또는 티오알콕시기, 2 내지 40 개의 탄소 원자를 갖는 알케닐 또는 알키닐기 또는 3 내지 40 개의 탄소 원자를 갖는 분지형 또는 환형 알킬, 알콕시 또는 티오알콕시기 (이들은 각각 하나 이상의 R1 라디칼로 치환될 수 있고, 하나 이상의 비인접 CH2 기는 R1C=CR1, C≡C, Si(R1)2, C=O, C=S, C=NR1, P(=O)R1, SO, SO2, NR1, O, S 또는 CONR1 로 대체될 수 있고, 하나 이상의 수소 원자는 D, F, Cl, Br, I 또는 CN 으로 대체될 수 있음), 또는 5 내지 60 개의 방향족 고리 원자를 갖고 각각의 경우 하나 이상의 R1 라디칼로 치환될 수 있는 단환 또는 다환의, 방향족 또는 헤테로방향족 고리 시스템, 또는 5 내지 60 개의 방향족 고리 원자를 갖고 하나 이상의 R1 라디칼로 치환될 수 있는 아릴옥시 또는 헤테로아릴옥시기, 또는 5 내지 60 개의 방향족 고리 원자를 갖고 하나 이상의 R1 라디칼로 치환될 수 있는 아르알킬 또는 헤테로아르알킬기, 또는 10 내지 40 개의 방향족 고리 원자를 갖고 하나 이상의 R1 라디칼로 치환될 수 있는 디아릴아미노기, 디헤테로아릴아미노기 또는 아릴헤테로아릴아미노기이거나; 또는 가교성기 Q 이고, 여기서 2 이상의 R 라디칼은 함께 단환 또는 다환의, 지방족, 방향족 또는 헤테로방향족 고리 시스템을 또한 형성할 수 있고;R1 은 각각의 경우에 동일하거나 상이하게, 독립적으로 H, D, F 또는 1 내지 20 개의 탄소 원자를 갖는 지방족 히드로카르빌 라디칼, 5 내지 20 개의 탄소 원자를 갖는 방향족 또는 헤테로방향족 히드로카르빌 라디칼 (여기서 하나 이상의 수소 원자는 또한 F 로 대체될 수 있음) 이고; 여기서 2 개 이상의 R1 치환기는 함께 단환 또는 다환의, 지방족, 방향족 또는 헤테로방향족 고리 시스템을 또한 형성할 수 있고; 그리고점선은 폴리머에서의 인접한 반복 단위에 대한 결합을 나타내는, 폴리머.
Polymers having repeat units containing amine groupsThe invention relates to polymers having at least one repeating unit of the formula (I), wherein Ar1、Ar2、Ar3And Ar4R and X, and a, b, c, d, e and f may have the meanings defined in claim 1, to a process for the preparation of the polymers and to their use in electronic or optoelectronic devices, in particularUse in an organic electroluminescent device known as an OLED (organic light emitting diode). The invention also relates to electronic or optoelectronic devices, in particular organic electroluminescent devices, comprising said polymers.A polymer having at least one repeat unit of formula (i):whereinx is o, s, nr or cr2;ar1、ar2、ar3and ar4(ii) at each occurrence is the same or different and is independently a monocyclic or polycyclic aromatic or heteroaromatic ring system having 5 to 60 aromatic ring atoms and which may be substituted by one or more r groups;a and b are the same or different at each occurrence and are independently 0 or 1; wherein (a + b) ═ 1 or 2;c and d are the same or different at each occurrence and are independently 0 or 1;e and f are the same or different at each occurrence and are independently 0,1, 2 or 3;r is the same or different at each occurrence and is independently: h, d, f, cl, br, i, n (r)1)2,cn,no2,si(r1)3,b(or1)2,c(=o)r1,p(=o)(r1)2,s(=o)r1,s(=o)2r1,oso2r1a linear alkyl, alkoxy or thioalkoxy group having from 1 to 40 carbon atoms, an alkenyl or alkynyl group having from 2 to 40 carbon atoms, or a branched or cyclic alkyl, alkoxy or thioalkoxy group having from 3 to 40 carbon atoms, each of which may be substituted with one or more r1radical substitution of one or more non-adjacent ch2the group can be represented by r1c=cr1、c≡c、si(r1)2、c=o、c=s、c=nr1、p(=o)(r1)、so、so2、nr1o, s or conr1and in which one or more hydrogen atoms may be replaced by d, f, cl, br, i or cn, or have from 5 to 60 aromatic ring atoms and may in each case be replaced by one or more r1monocyclic or polycyclic aromatic or heteroaromatic ring systems substituted by radicals, or having 5 to 60 aromatic ring atoms and which may be substituted by one or more r1aryloxy or heteroaryloxy radicals substituted by radicals, or having 5 to 60 aromatic ring atoms and which may be substituted by one or more r1aralkyl or heteroaralkyl groups substituted by radicals, or having 10 to 40 aromatic ring atoms and which may be substituted by one or more r1a group-substituted diarylamino group, diheteroarylamino group, or arylheteroarylamino group; or a crosslinkable group q, where two or more r groups together may also form a mono-or polycyclic aliphatic, aromatic or heteroaromatic ring system;r1the same or different at each occurrence and independently is: h, d, f, or an aliphatic hydrocarbon radical having from 1 to 20 carbon atoms, an aromatic or heteroaromatic hydrocarbon radical having from 5 to 20 carbon atoms, in which radical one or more hydrogen atoms may also be replaced by f; wherein two or more r1the substituents together may also form a mono-or polycyclic aliphatic, aromatic or heteroaromatic ring system; andthe dashed lines represent bonds to adjacent repeat units in the polymer.
1,155
29171
산업용 냉장 및 냉동장비 제조업
특허
ko
en
공조 시스템 및 이를 작동시키기 위한 냉매조절 방법(REFRIGERANT MANAGEMENT CONTROL AND METHOD FOR A THERMAL ENERGY STORAGE SYSTEM)본 발명은 냉각 열 에너지 저장기를 갖춘 공조시스템(10)의 냉매조절 방법에 관한 것이다. 이러한 공조시스템에서는 활동적으로 상호 연결된 콤프레서(18), 응축기(12), 임시 냉매저장용기(28), 열 에너지 저장매체(35)를 수용한 저장모듈(14), 상기 저장모듈과 결합된 액체 냉매펌프(42), 팽창수단(62) 및 증발기 (16)를 포함 하고 있다. 이러한 공조 시스템은 변형 냉각모드, 직접 렁각모드 및 저장매체 냉각모드에서 작동될 수 있다. 시스템이 변형 냉작모드에서 작동될 수 있기 이전에 공조 시스템은 저장모듈(14)이 방열기로 이용되어서 응축기(12), 임시 냉매 저장용기(27) 및 증발기(16)로 부터 저장모듈(14)내로 냉매를 유입시키는 제1변형모드에서 작동된다. 공조시스템이 직접 냉각모드에서 작동되기 이전에, 공조시스템은 콤프레서(18)가 작동되어서 응축기(12) 및 임시냉매 저장용기 내로 냉매를 유입시키느 제2변형모드에서 작동된다.활동적으로 상호 연결된 콤프레서, 응축기, 임시 냉매 저장용기, 열 에너지 저장매체를 수용한 저장모듈, 상기 저장모듈과 결합된 액체 냉매펌프, 팽창수단 및 증발기를 포함하는 공조시스템에 있어서. a) 상기 공조시스템이 변형 냉각모드에서 작동되게 하도록 상기 콤프레서, 상기 응축기 및 상기 임시 냉매저장용기를 고립 시키기위한 제1고립수단으로서, 상기 저장모듈이 응축기 코일로 이용되고 상기 공조 시스템은 변형냉각모드에서 작동될때 상기 저장모듈과 상기 증발기 사이에 냉매를 수환시키도록 상기 액체 냉매 펌프를 발동시키기 위한 수단을 더 포함하고 있는 제1고립수단과. b) 상기 공조시스템이 직접 냉각모드에서 작동되게 하도록 상기 저장 모듈을 고립시키기 위한 제2고립수단으로서, 상기 증발기가, 공간 냉방용으로 이음되며 상기 공조 시스템이 상기 직접 냉각모드에서 작동될 때 상기 임시냉매 저장용기에 과다 냉매가 저장되는 제2고립수단과, c) 상기 공조시스템이 저장매체 냉각모드에서 작동되게 하도록 상기 증발기를 고립시키기 위한 제3고립수단으로서, 상기 저장모듈은 저장매체를 냉각시키기 위한 증발기로 이용되고, 상기 공조시스템이 상기 저장매체 냉각 모드에서 작동될때 상기 임시저장 용기에 과다의 냉매가 저잣되는 제3고립수단과, d) 상기 응축기, 상기 임시 냉매저장용기, 상기 저장 모듈 및 상기 증발기를 임시로 상호 연결시켜서 상기 공조시스템이 제1변형모드에서 작동되게 하기위한 상호연결 수단으로서, 상기 콤프레서와 상기 액체 냉매펌프가 거지고 상기 저장모듈은 방열기로 이용되어서 상기 응축기, 상기 임시 냉매저정용기 및 상기 증발기로부터 상기 저장모듈 쪽으로 냉매를 유입시키며, 상기 공조시스템은 상기 변형냉각모드에서 작동가능하기 이전에 상기 제1변형 모드에서 작동되는 상호 연결수단과, e) 상기 저장모듈과 강기 증발기 쪽으로의 냉매의 흐름을 일시적으로 억제시켜서 상기 공조시스템이 제2변형 모드에서 작동되게 하기위한 제4고립 수단으로서, 상기 콤프레서가 작동되어서 냉매를 상기 응축기와 상기 임시냉매 저장용기쪽으로 유입시키며 상기 공조시스템은 상기 직접 냉각모드에서 작동되기 전에 상기 제2변형모드에서 작동되는 제4고립수단을 포함하고 있는 것을 특징으로 하는 공조시스템.
REFRIGERANT MANAGEMENT CONTROL AND METHOD FOR A THERMAL ENERGY STORAGE SYSTEMRefrigerant management control is provided for an air conditioning system (10) with cool thermal energy storage. The system includes a compressor (18), a condensing unit (12), a temporary refrigerant storage vessel (28), a storage module (14) containing a thermal energy storage medium (35), a liquid refrigerant pump (42) associated with the storage module, expansion means (62) and an evaporator (16) operatively interconnected. The system is operable in a shift cooling mode, direct cooling mode, and storage medium cooling mode. Before the system is operable in the shift cooling mode, the system is operated in a first transitory mode wherein the storage module (14) is utilized as a heat sink to draw refrigerant from the condensing unit (12), temporary refrigerant storage vessel (28) and evaporator (16) into the storage module (14). Before the system is operable in the direct cooling mode, the system is operated in a second transitory mode wherein the compressor (18) is operated to draw refrigerant into the condensing unit (12) and temporary refrigerant storage vessel.An air conditioning system comprising a compressor, a condensing unit, a temporary refrigerant storage vessel, a storage module containing a thermal energy storage medium, a liquid refrigerant pump associated with the storage module, expansion means, and an evaporator operatively interconnected, said system further comprising: first isolation means for isolating the compressor, condensing and temporary refrigerant storage vessel to allow the system to be operated in a shift cooling mode, wherein the storage module is utilized as a condenser coil, said system further including means for actuating the liquid refrigerant pump to circulate refrigerant between the storage module and evaporator when the system is operated in the shift cooling mode; second isolation means for isolating the storage module to allow the system to be operated in a direct cooling mode, wherein the evaporator is utilized for space cooling, excess refrigerant being stored in the temporary refrigerant storage vessel when the system is operated in the direct cooling mode; third isolation means for isolating the evaporator to allow the system to be operated in a storage medium cooling mode, wherein the storage module is utilized as an evaporator for cooling the storage medium, excess refrigerant being stored in the temporary refrigerant storage vessel when the system is operated in the storage medium cooling mode; interconnection means for temporarily interconnecting the condensing unit, temporary refrigerant storage vessel, storage module and evaporator, to allow the system to be operated in a first transitory mode, wherein the compressor and the liquid refrigerant pump are off and the storage module is utilized as a heat sink to draw refrigerant from the condensing unit, temporary refrigerant storage vessel and evaporator into the storage module, the system being operated in the first transitory mode before the system is operable in the shift cooling mode; and fourth isolation means for temporarily inhibiting the flow of refrigerant to the storage module and evaporator to allow the system to be operated in a second transitory mode, wherein the compressor is operated to draw refrigerant into the condensing unit and temporary refrigerant storage vessel, the system being operated in the second transitory mode before the system is operable in the direct cooling mode. 2. The system of Claim 1 further including control means responsive to a predetermined first condition for controlling said interconnection means to temporarily interconnect the condensing unit, temporary refrigerant storage vessel, storage module and evaporator and for controlling the system to operate in the first transitory mode for a predetermined period of time, said control means being further responsive to said first condition and completion of said first transitory mode for controlling said first isolation means to isolate the compressor, condensing unit and temporary refrigerant storage vessel and for controlling the system to operate in the shift cooling mode; said control means being responsive to a predetermined second condition for controlling said fourth isolation means to temporarily inhibit the flow of refrigerant to the storage module and evaporator and for controlling the system to operate in the second transitory mode for a predetermined period of time, said control means being further responsive to said second condition and completion of said second transitory mode for controlling said second isolation means to isolate the storage module and for controlling said system to operate in the direct cooling mode; said control means being responsive to a predetermined third condition for controlling said third isolation means to isolate the evaporator and for controlling the system to operate in the storage medium cooling mode.
1,155
21101
의약용 화합물 및 항생물질 제조업
특허
ko
en
가용성 C5aR 길항제C5a 수용체를 조절하기 위한 화합물이 제공된다. 이 화합물은 이의 입체이성질체 및 약학적으로 허용되는 염을 포함하는 하기 화학식(I)을 갖는다:[이미지] 상기 식에서, R1, R2 및 R3는 본원에서 정의된 바와 같다. 이러한 화합물의 제조와 관련된 방법 및 용도, 뿐만 아니라 이러한 화합물을 포함하는 약학적 조성물이 또한 기술된다. 하기 화학식(I)의 화합물 또는 이의 약학적으로 허용되는 염:[이미지]상기 식에서, R1은 H, -O-CH2-O-P(O)ORaORb, -O-C(O)-C1-6 알킬렌-L2-X1, O-P(O)ORaORb, 및 -O-C(O)-A1-(C1-3 알킬렌)n-C4-7 헤테로사이클릴로 이루어진 군으로부터 선택되고, C4-7 헤테로사이클릴은 1 내지 6개의 Rc 기로 임의로 치환되고;A1은 C6-10 아릴, C3-10 사이클로알킬, C5-10 헤테로아릴 및 C5-10 헤테로사이클릴로 이루어진 군으로부터 선택되고, 각각은 동일하거나 상이할 수 있는 1 내지 5개의 Rx로 임의로 치환되고;n은 0 또는 1이고;L2는 독립적으로 결합, -O-C(O)-C1-6 알킬렌-, 및 -NRd-C(O)-C1-6 알킬렌-으로 이루어진 군으로부터 선택되고;X1은 독립적으로 -NReRf, -P(O)ORaORb, -O-P(O)ORaORb, 및 -CO2H로 이루어진 군으로부터 선택되고;R2는 H, -L3-C1-6 알킬렌-L4-X2, -L3-(C1-6 알킬렌)m-A2-X2, -P(O)ORaOC(O)-C1-6 알킬, -P(O)ORaNRgRh 및 -P(O)ORaORb로 이루어진 군으로부터 선택되고;L3는 독립적으로 -C(O)-O-, 및 -C(O)-로 이루어진 군으로부터 선택되고;L4는 독립적으로 결합, -O-C(O)-C2-6 알케닐렌-, -O-C(O)-C1-6 알킬렌-, 및 -NRd-C(O)-C1-6 알킬렌-으로 이루어진 군으로부터 선택되고, -NRd-C(O)-C1-6 알킬렌- 및 -O-C(O)-C1-6 알킬렌-에서 C1-6 알킬렌은 NReRf로 임의로 치환되고;X2는 독립적으로 -NRkRl, -P(O)ORaORb, -O-P(O)ORaORb, 및 -CO2H로 이루어진 군으로부터 선택되고;m은 0 또는 1이고;A2는 C6-10 아릴, C3-10 사이클로알킬, C5-10 헤테로아릴 및 C5-10 헤테로사이클릴로 이루어진 군으로부터 선택되고, 각각은 동일하거나 상이할 수 있는 1 내지 5개의 Rx로 임의로 치환되고;R3는 H 또는 -L5-P(O)ORaORb이고, L5는 독립적으로 결합 및 -CH2-O-로 이루어진 군으로부터 선택되고;각각의 Rx는 독립적으로 할로겐, C1-6알킬, C1-6할로알킬, C1-6헤테로알킬, CN, NRyRz, SRy 및 ORy로 이루어진 군으로부터 선택되고;각각의 Rc는 독립적으로 할로겐, C1-6알킬, C1-6할로알킬, C1-6헤테로알킬, CN, NRyRz, SRy 및 ORy로 이루어진 군으로부터 선택되고;각각의 Ra, Rb, Rd, Re, Rf, Rg, Rk, Rl, Ry및 Rz는 독립적으로 H 및 C1-6 알킬로 이루어진 군으로부터 선택되고;각각의 Rh는 독립적으로 H 및 C1-6 알킬로 이루어진 군으로부터 선택되고, C1-6 알킬은 독립적으로 CO2H, NRiRj, C6-10 아릴, C3-10 사이클로알킬, C5-10 헤테로아릴 및 C5-10 헤테로사이클릴로부터 선택된 1 내지 5개의 치환기로 임의로 치환되고, 각각의 Ri 및 Rj는 독립적으로 H 또는 C1-6 알킬이고; R1, R2 및 R3 중 두개는 H이고, R1, R2 및 R3 중 하나는 H가 아니다.
SOLUBLE C5aR ANTAGONISTSCompounds are provided to modulate the C5a receptor. The compounds have the following Formula (I) including stereoisomers and pharmaceutically acceptable salts thereof, wherein R1, R2 and R3 are as defined herein. Methods associated with preparation and use of such compounds, as well as pharmaceutical compositions comprising such compounds, are also disclosed.A kind of formula (i) compound:or its pharmaceutically acceptable salt, in which:r1selected from h ,-o-ch2-o-p(o)oraorb、-oc(o)-c1-6alkylidene-l2-x1、o-p(o)oraorband-oc (o)-a1- (c1-3alkylidene) n-c4-7heterocycle, wherein c4-7heterocycle is optionally by 1 to 6 rcgroup replaces;a1selected from c6-10aryl, c3-10naphthenic base, c5-10heteroaryl and c5-10heterocycle, each optionally can be with by 1 to 5 identical or different rxreplace;n is 0 or 1;l2selected from key ,-o-c (o)-c1-6alkylidene-and-nrd-c(o)-c1-6alkylidene-;x1independently selected from-nrerf、-p(o)oraorb、-o-p(o)oraorbwith-co2h;r2selected from h ,-l3-c1-6alkylidene-l4-x2、-l3-(c1-6alkylidene) m-a2-x2、-p(o)oraoc(o)-c1-6alkyl ,-p (o)oranrgnrhwith-p (o) oraorb;l3independently selected from-c (o)-o- and-c (o)-;l4independently selected from key ,-oc (o)-c2-6alkenylene-,-o-c (o)-c1-6alkylidene-and-nrd-c(o)-c1-6alkylidene-, wherein-nrd-c(o)-c1-6alkylidene-and-oc (o)-c1-6c in alkylidene1-6alkylidene is optionally by nrerfreplace;x2independently selected from-nrkr1、-p(o)oraorb、-o-p(o)oraorbwith-co2h;m is 0 or 1;a2selected from c6-10aryl, c3-10naphthenic base, c5-10heteroaryl and c5-10heterocycle, each optionally can phases by 1 to 5 same or different rxreplace;r3for h or-l5-p(o)oraorb, wherein l5independently selected from key and-ch2-o-;each rxindependently selected from halogen, c1-6alkyl, c1-6halogenated alkyl, c1-6miscellaneous alkyl, cn, nryrz、sryand ory;each rcindependently selected from halogen, c1-6alkyl, c1-6halogenated alkyl, c1-6miscellaneous alkyl, cn, nryrz、sryand ory;each ra、rb、rd、re、rf、rg、rk、r1、ryand rzindependently selected from h and c1-6alkyl;each rhindependently selected from h and c1-6alkyl, wherein c1-6alkyl is optionally replaced by 1-5 substituent group, and the substituent group is independent ground is selected from co2h、nrirj、c6-10aryl, c3-10naphthenic base, c5-10heteroaryl and c5-10heterocycle, wherein each riand rjindependently for h or c1-6alkyl;wherein r1、r2and r3in two be h, and r1、r2and r3in one be not h.
1,156
20321
화학 살균ㆍ살충제 및 농업용 약제 제조업
특허
ko
en
제초적, 살비적 및 살충적 활성 화합물본 발명은 제초적, 살비적 그리고 살충적 활성을 갖는 하기식(I)의 피라졸리딘-3,5-다온, 그의 산 부가염뿐만 아니라 이들이 제조 방법 및 제조 공정의 신규 중간체에 관한 것이다.R2 및 R3는 각각 독립적으로 C1-C6알킬, C3-C6알켄일; 또는 C3-C6알킨일이거나; 또는 R2 및 R3가 함께 -(CH2)3-, -(CH2)4-, -CH2-CH=CH-CH2-, CH2-CH=CH- 또는 비치환 혹은 C1-C4알킬로 3개까지 치환된 -(CH2)2-CH=CH- 또는 n은 0;1;2;3; 또는 4이며, m은 0; 또는 1이고 m과 n은 총 합은 4이하이며, R4라디칼은 각각 독립적으로 할로겐, 니트로, 시아노, C1-C4알킬, C1-C4할로알킬, C1-C 10알콕시, C1-C4할로알콕시, C3-C6알켄일옥시, C1-C4알콕시-C2-C4알콕시, C3-C6알킨일옥시, C1-C4알킬카르보닐, C1-C4알콕시카르보닐, C1-C4알킬티오, C1-C4알킬술피닐, C1-C 4알킬술포닐, 아미노, 모노-C1-C4알킬아미노, 디-C1-C4알킬아미노이고,X는 산소, 황, CH2 또는 NR7이고, o는 0,1,2 또는 3이며, R6라디칼은 각각 독립적으로 C1-C4알킬, 할로겐, C1-C4할로알킬, C1-C 4할로알콕시, C1-C4알콕시, 니트로, 시아노, C1-C4알콕시카르보닐, 아미노, 모노 -C1-C4알킬아미노, 또는 디-C1-C4알킬아미노이고, 그리고 R7은 수소, C1-C4알킬, 포르밀, 또는 C1-C 4알킬카르보닐이다. 또한 본 발명은 제초적, 살비적 또는 살충적 활성 조성물뿐만 아니라 잡초, 아카리나 또는 곤충 방제 방법에 관한 것이다.하기식(I)의 피라졸리딘-3,5-디온, [이미지] R2 및 R3는 각각 독립적으로 C1-C6알킬, C3-C6알켄일; 또는 C3-C6알킨일이거나; 또는 R2 및 R3가 함께 -(CH2)3-, -(CH2)4-, -CH2-CH=CH-CH2-, CH2-CH=CH- 또는 비치환 혹은 C1-C4알킬로 3개까지 치환된 -(CH2)2-CH=CH- 또는 n은 0;1;2;3; 또는 4이며, m은 0; 또는 1이고 m과 n은 총 합은 4이하이며, R4라디칼은 각각 독립적으로 할로겐, 니트로, 시아노, C1-C4알킬, C1-C4할로알킬, C1-C 10알콕시, C1-C4할로알콕시, C3-C6알켄일옥시, C1-C4알콕시-C2-C4알콕시, C3-C6알킨일옥시, C1-C4알킬카르보닐, C1-C4알콕시카르보닐, C1-C4알킬티오, C1-C4알킬술피닐, C1-C 4알킬술포닐, 아미노, 모노-C1-C4알킬아미노, 디-C1-C4알킬아미노이고, [이미지] X는 산소, 황, CH2 또는 NR7이고, o는 0,1,2 또는 3이며, R6라디칼은 각각 독립적으로 C1-C4알킬, 할로겐, C1-C4할로알킬, C1-C 4할로알콕시, C1-C4알콕시, 니트로, 시아노, C1-C4알콕시카르보닐, 아미노, 모노 -C1-C4알킬아미노, 또는 디-C1-C4알킬카르보닐이고, 그리고 R7은 수소 C1-C4알킬, 포르밀, 또는 C1-C 4알킬카르보닐이거나, 또는 그의 산 부가염이다.
Herbicidally acaricidally and insecticidally active compoundsThe present invention relates to herbicidally, acaricidally and insecticidally active pyrazolidine-3,5-diones of the formula I, the acid addition salts thereof, as well as processes for their preparation, and novel intermediates for these processes. The invention furthermore relates to herbicidally, acaricidally or insecticidally active compositions as well as to methods for controlling weeds, Acarina or insects (the groups are difined in description).The pyrazolidine of formula i-3,5-diketone or its acid saltwhereinr 1for r 2and r 3be c independently of one another 1-c 6alkyl; c 3-c 6alkenyl; or c 3-c 6alkynyl; perhaps r 2and r 3-rise and to be-(ch 2) 3-,-(ch 2) 4-,-ch 2-ch=ch-ch 2-,-ch 2-ch=ch-or-(ch 2) 2-ch=ch-bridge; this bridge is not substituted or up to by c 1-c 4alkyl three replaces; n is 0; 1; 2; 3 or 4;m is 0 or 1; the sum of m and n is less than or equal to 4;r 4group is halogen independently of one another; nitro; cyano group; c 1-c 4alkyl; c 1-c 4haloalkyl; c 1-c 10alkoxyl group; c 1-c 4halogenated alkoxy; c 3-c 6alkenyloxy; c 1-c 4alkoxy-c 2-c 4alkoxyl group; c 3-c 6alkynyloxy group; c 1-c 4alkyl-carbonyl; c 1-c 4carbalkoxy; c 1-c 4alkylthio; c 1-c 4alkyl sulphinyl; c 1-c 4alkyl sulphonyl; amino; single c 1-c 4alkylamino; two-c 1-c 4alkylamino; r 5for x is an oxygen; sulphur; ch 2or nr 7o is 0; 1; 2 or 3;r 6group is c independently of one another 1-c 4alkyl; halogen; c 1-c 4haloalkyl; c 1-c 4halogenated alkoxy; c 1-c 4alkoxyl group; nitro; cyano group; c 1-c 4carbalkoxy; amino; list-c 1-c 4alkylamino; or two-c 1-c 4alkylamino; r 7be hydrogen; c 1-c 4alkyl; formyl radical; or c 1-c 4alkyl-carbonyl.
1,157
29272
디스플레이 제조용 기계 제조업
특허
ko
en
액정 표시 소자장시간, 고온고습이나 광의 조사에 노출되는 가혹한 환경에 있어서도, 소자의 박리나 기포의 발생, 및 광학 특성의 저하를 억제할 수 있는 액정 표시 소자를 제공한다. 전극을 구비한 1 쌍의 기판 사이에 배치한 액정 및 중합성 화합물을 포함하는 액정 조성물에 대하여, 자외선을 조사하여 경화시킨 액정층을 가지며, 또한 기판의 적어도 일방에 수지막을 구비하는 전압 무인가시에 산란 상태가 되고, 전압 인가시에는 투명 상태가 되는 액정 표시 소자로서, 상기 액정이, 정의 유전 이방성을 갖고, 상기 액정 조성물이, 하기식 [1] 의 화합물을 포함하며, 또한, 상기 수지막이, 하기 식 [2-a] ∼ 식 [2-i] 로 이루어지는 군에서 선택되는 적어도 1 종의 구조를 갖는 중합체를 포함하는 수지 조성물로부터 얻어지는 것을 특징으로 하는 액정 표시 소자. (식 중의 기호의 정의는, 명세서에 기재된 바와 같다.)[이미지]전극을 구비한 1 쌍의 기판사이에 배치한 액정 및 중합성 화합물을 포함하는 액정 조성물에 대하여, 자외선을 조사하여 경화시킨 액정층을 가지며, 또한 기판의 적어도 일방에 수지막을 구비하는, 전압 무인가시에 산란 상태가 되고, 전압 인가시에는 투명 상태가 되는 액정 표시 소자로서,상기 액정이, 정 (正) 의 유전 이방성을 갖고,상기 액정 조성물이, 하기식 [1] 로 나타내는 화합물을 포함하며, 또한,상기 수지막이, 하기 식 [2-a] ∼ 식 [2-i] 로 이루어지는 군에서 선택되는 적어도 1 종의 구조를 갖는 중합체를 포함하는 수지 조성물로부터 얻어지는 것을 특징으로 하는 액정 표시 소자.[이미지](X1 은 하기 식 [1-a] ∼ 식 [1-j] 로 이루어지는 군에서 선택되는 구조를 나타낸다. X2 는 단결합, -O-, -NH-, -N(CH3)-, -CH2O-, -CONH-, -NHCO-, -CON(CH3)-, -N(CH3)CO-, -COO- 또는 -OCO- 를 나타낸다. X3 은 단결합 또는 -(CH2)a- (a 는 1 ∼ 15 의 정수이다) 를 나타낸다. X4 는 단결합, -O-, -OCH2-, -COO- 또는 -OCO- 를 나타낸다. X5 는 벤젠 고리, 시클로헥산 고리 및 복소 고리로 이루어지는 군에서 선택되는 2 가의 고리형기, 또는 스테로이드 골격을 갖는 탄소수 17 ∼ 51 의 2 가의 유기기를 나타내고, 상기 고리형기 상의 임의의 수소 원자는, 탄소수 1 ∼ 3 의 알킬기, 탄소수 1 ∼ 3 의 알콕시기, 탄소수 1 ∼ 3 의 불소 함유 알킬기, 탄소수 1 ∼ 3 의 불소 함유 알콕시기 또는 불소 원자로 치환되어 있어도 된다. X6 은 단결합, -O-, -CH2-, -OCH2-, -CH2O-, -COO- 또는 -OCO- 를 나타낸다. X7 은 벤젠 고리, 시클로헥산 고리 및 복소 고리로 이루어지는 군에서 선택되는 고리형기를 나타내고, 이들 고리형기 상의 임의의 수소 원자가, 탄소수 1 ∼ 3 의 알킬기, 탄소수 1 ∼ 3 의 알콕시기, 탄소수 1 ∼ 3 의 불소 함유 알킬기, 탄소수 1 ∼ 3 의 불소 함유 알콕시기 또는 불소 원자로 치환되어 있어도 된다. X8 은 탄소수 1 ∼ 18 의 알킬기, 탄소수 2 ∼ 18 의 알케닐기, 탄소수 1 ∼ 18 의 불소 함유 알킬기, 탄소수 1 ∼ 18 의 알콕시기 또는 탄소수 1 ∼ 18 의 불소 함유 알콕시기를 나타낸다. Xm 은 0 ∼ 4 의 정수를 나타낸다.)[이미지](XA 는 수소 원자 또는 벤젠 고리를 나타낸다.)[이미지](YA 는 수소 원자 또는 벤젠 고리를 나타낸다.)
LIQUID CRYSTAL DISPLAY ELEMENTA liquid crystal display element is provided which, even over long periods of time and in harsh environments exposed to high temperatures, high humidity and optical irradiation, suppresses the occurrence of air bubbles and peeling in the element and deterioration of optical characteristics. This liquid crystal display element has a liquid crystal layer obtained by irradiating with UV rays and curing a liquid crystal composition that contains a polymerizable compound and liquid crystals arranged between a pair of substrates provided with an electrode, and a resin film is provided on at least one of the substrates; the liquid crystal display element assumes a scattered state when no voltage is applied and a transparent state when a voltage is applied, and is characterized in that the liquidcrystal composition contains a compound represented by formula [1]. (The symbols in this formula are as defined in the description.)A liquid crystal display element having a liquid crystal layer obtained by curing a liquid crystal composition containing a liquid crystal and a polymerizable compound, which is arranged between a pair of substrates provided with electrodes, by irradiating ultraviolet rays, and having a resin film on at least one of the substrates, wherein the liquid crystal display element is in a scattering state when no voltage is applied and is in a transparent state when a voltage is applied,the liquid crystal has a positive dielectric anisotropy,the liquid crystal composition contains a compound represented by the following formula [1], andthe resin film is obtained from a resin composition containing a polymer having at least one structure selected from the group consisting of the following formulas [2-a ] to [2-i ],formula [1]in, x1is represented by a formula [1-a ] selected from]-formula [1-j]structure of the group consisting of, x2represents a single bond, -o-, -nh-, -n (ch)3)-、-ch2o-、-conh-、-nhco-、-con(ch3)-、-n(ch3) co-, -coo-or-oco-, x3represents a single bond or- (ch)2)a-, wherein a is an integer of 1 to 15, x4represents a single bond, -o-, -och2-, -coo-or-oco-, x5represents a 2-valent cyclic group selected from the group consisting of a benzene ring, a cyclohexane ring and a heterocycle, or a 2-valent organic group having a steroid skeleton and having 17 to 51 carbon atoms, wherein any hydrogen atom in the cyclic group is optionally substituted by an alkyl group having 1 to 3 carbon atoms, an alkoxy group having 1 to 3 carbon atoms, a fluoroalkyl group having 1 to 3 carbon atoms, a fluoroalkoxy group having 1 to 3 carbon atoms or a fluorine atom, and x is a group represented by 6represents a single bond, -o-, -ch2-、-och2-、-ch2o-, -coo-or-oco-, x7represents a cyclic group selected from the group consisting of a benzene ring, a cyclohexane ring and a heterocycle, any hydrogen atom in these cyclic groups is optionally substituted by an alkyl group having 1 to 3 carbon atoms, an alkoxy group having 1 to 3 carbon atoms, a fluoroalkyl group having 1 to 3 carbon atoms, a fluoroalkoxy group having 1 to 3 carbon atoms or a fluorine atom, and x is8represents an alkyl group having 1 to 18 carbon atoms, an alkenyl group having 2 to 18 carbon atoms, a fluorine-containing alkyl group having 1 to 18 carbon atoms, an alkoxy group having 1 to 18 carbon atoms or a fluorine-containing alkoxy group having 1 to 18 carbon atoms, xm represents an integer of 0 to 4,wherein, xarepresents a hydrogen atom or a benzene ring,wherein, yarepresents a hydrogen atom or a benzene ring.
1,157
21101
의약용 화합물 및 항생물질 제조업
특허
ko
en
이소옥사졸리딘 유도체본 발명은 글루코코르티코스테로이드 계열의 신규 항염증 및 항알러지성 화합물, 이러한 화합물의 제조 방법, 이를 포함하는 약학 조성물, 이의 배합물 및 치료 용도에 관한 것이다. 더욱 구체적으로, 본 발명은 이소옥사졸리딘의 유도체인 글루코코르티코스테로이드에 관한 것이다.화학식 (I)의 화합물과 이의 약학적으로 허용 가능한 염.[화학식 (I)][이미지]상기 식 중, - R1은 -(CH2)n-Z-(CH2)n'-R4이고, - n은 0, 1 또는 2이며; - n'은 0, 1 또는 2이고; - Z는 단일 결합이거나, -S-, -O-, -C(O)- 및 -NR3-로 이루어지는 군으로부터 선택되며; - R3는 H, (C1-C6)알킬, (C1-C6)할로알킬, (C3-C8)사이클로알킬, 아릴, 아릴(C1-C6)알킬 및 헤테로아릴 (이들은 임의로 -CN으로 치환된다)로 이루어지는 군으로부터 선택되고; - R4는 -H, 할로겐, -OH, -SH, -CN 및 -NR6R7; -아릴(C1-C6)알킬, (C1-C6)알킬술포닐, (C1-C6)알킬카르보닐, (C1-C6)알킬카르복실, HO(C1-C6)알킬카르복실, (C1-C6)알킬아미드 및 (C1-C6)알콕시 (이들은 임의로 옥소기로 치환된다); -할로겐 원자, -CN, -OH, -NH2, -NO2, -CF3 및 -SH로 이루어지는 군으로부터 선택된 하나 이상의 치환체에 의하여 임의로 치환될 수 있는 (C1-C6)알킬; -(C2-C6)알키닐; -(C5-C17)알케닐카르보닐; 및 - 하나 이상의 할로겐 원자 또는 옥소기로 임의 치환되는 모노-, 비- 또는 트리사이클릭 포화 또는 부분 포화된 또는 불포화된 링 (가령, (C3-C8)사이클로알킬, 아릴, (C5-C10)헤테로사이클로알킬 또는 헤테로아릴)로 이루어지는 군으로부터 선택되며; - R6 및 R7은 H, (C1-C6)알킬 및 (C1-C6)알콕시로 이루어지는 군으로부터 독립적으로 선택되고; - X 및 Y는 독립적으로 H 또는 할로겐 원자이며; - R2는 -(CH2)s-K-A-(CH2)t-W, -(CH2)s-K-(CH2)t-B-W, 및 -(CH2)S-(CHR5)-W로 이루어지는 군으로부터 선택되고; - s는 0 또는 1이며; - t는 0 또는 1이고; - K는-CH=CH-기, 아릴렌기 및 헤테로아릴렌기 (이러한 아릴렌 및 헤테로아릴렌기는 할로겐, (C1-C6)알킬, -OH, (C1-C6)알콕시 및 (C1-C6)할로알킬로부터 독립적으로 선택되는 하나 이상의 기에 의하여 임의 치환될 수 있다)로 이루어지는 군으로부터 선택되며; - A는 단일 결합(a bond), -O-기 및 -S-기로 이루어지는 군으로부터 선택되고; - B는 단일 결합, -O-기 및 -S-기로 이루어지는 군으로부터 선택되며;- W는 아릴 또는 헤테로아릴인데, 이러한 아릴 및 헤테로아릴기는 할로겐, -OH, (C1-C6)알킬, (C1-C6)알콕시 및 (C1-C6)할로알킬로부터 독립적으로 선택되는 하나 이상의 기에 의하여 임의로 치환될 수 있고; - R5는 아릴 또는 헤테로아릴인데, 이러한 아릴 및 헤테로아릴기는 할로겐, (C1-C6)알킬, (C1-C6)알콕시, -OH 및 (C1-C6)할로알킬로부터 독립적으로 선택되는 하나 이상의 기에 의하여 임의로 치환될 수 있으며,다만, 화학식 (I)의 화합물에 있어서, s는 1, K는 임의로 치환된 헤테로아릴렌, t는 0, A 또는 B는 단일 결합이면 W는 임의로 치환된 헤테로아릴인 것을 조건으로 한다.
Isoxazolidine derivativesThe present invention relates to novel anti-inflammatory and antiallergic compounds of the glucocorticosteroid series, methods of preparing such compounds, pharmaceutical compositions comprisingthem, combinations and therapeutic uses thereof. More particularly, the invention relates to glucocorticosteroids that are derivatives of isoxazolidine.Compound and the pharmacy acceptable salt thereof of general formula (i)wherein-r 1be-(ch 2) n-z-(ch 2) n '-r 4-n is 0,1 or 2;-n ' is 0,1 or 2;-z is singly-bound, perhaps is selected from :-s-,-o-,-c (o)-and-nr 3-; -r 3be selected from: h, (c 1-c 6) alkyl, (c 1-c 6) haloalkyl, (c 3-c 8) cycloalkyl, aryl, aryl (c 1-c 6) alkyl and heteroaryl, it is randomly replaced by-cn; -r 4be selected from: -h, halogen ,-oh ,-sh ,-cn and-nr 6r 7-aryl (c 1-c 6) alkyl, (c 1-c 6) alkyl sulphonyl, (c 1-c 6) alkyl-carbonyl, (c 1-c 6) alkyl carboxyl, ho (c 1-c 6) alkyl carboxyl, (c 1-c 6) alkylamide and (c 1-c 6) alkoxyl group, it is randomly replaced by oxo group; -(c 1-c 6) alkyl, it is randomly replaced by one or more substituting groups that are selected from following radicals: halogen atom ,-cn ,-oh ,-nh 2,-no 2,-cf 3with-sh; -(c 2-c 6) alkynyl; -(c 5-c 17) alkenyl carbonyl; with -monocycle, dicyclo or three ring fillings or the undersaturated or undersaturated ring of part, such as (c 3-c 8) cycloalkyl, aryl, (c 5-c 10) heterocyclylalkyl or heteroaryl, it is randomly replaced by one or more halogen atoms or oxo group; -r 6and r 7be independently selected from: h, (c 1-c 6) alkyl and (c 1-c 6) alkoxyl group; -x and y are h or halogen atom independently;-r 2be selected from :-(ch 2) s-k-a-(ch 2) t-w ,-(ch 2) s-k-(ch 2) t-b-w and-(ch 2) s-(chr 5)-w; -s is 0 or 1;-t is 0 or 1;-k is selected from: group-ch=ch-, arylidene and inferior heteroaryl, wherein said arylidene and inferior heteroaryl can be randomly be independently selected from following group and replace by one or more: halogen, (c 1-c 6) alkyl ,-oh, (c 1-c 6) alkoxyl group and (c 1-c 6) haloalkyl; -a is selected from: key, group-o-and group-s-;-b is selected from: key, group-o-and group-s-;-w is aryl or heteroaryl, and wherein said aryl and heteroaryl can be randomly be independently selected from following group and replace by one or more: halogen ,-oh, (c 1-c 6) alkyl, (c 1-c 6) alkoxyl group and (c 1-c 6) haloalkyl; -r 5be aryl or heteroaryl, wherein said aryl and heteroaryl can be randomly be independently selected from following group and replace by one or more: halogen, (c 1-c 6) alkyl, (c 1-c 6) alkoxyl group ,-oh and (c 1-c 6) haloalkyl; precondition is, with regard to the compound of formula (i), when s is 1, k is that randomly substituted inferior heteroaryl, t are 0 and a or b when being key, w is substituted heteroaryl randomly so.
1,158
29299
그 외 기타 특수 목적용 기계 제조업
특허
ko
en
차별화된 기능들을 가진 스테이지들을 구비하는, 핵연료 조립체들을 저장 및/또는 수송하기 위한 랙 장치본 발명은 핵연료 조립체들을 저장 및/또는 수송하기 위한 랙 장치(racking device)에 관한 것으로서, 중성자 흡수 및 열전달를 우한 제 1 스테이지(Ei-1, Ei+1) 및, 제 1 스테이지들과 교번되도록 배치되고 기계적 강도를 위한 제 2 스테이지(E2i)를 구비하고, 각각의 제 1 스테이지(Ei-1, Ei+1)는 보론을 포함하는 알루미늄 합금으로 만들어진 짜맞춤 노취들을 가진 제 1 및 제 2 조립체들(6a, 6b) 을 구비하고, 각각의 제 2 스테이지(Ei)는 적층 방향(8)으로 적층된 제 1 및 제 2 구조체(7a,7b)를 구비하고, 각각은 중성자 흡수 요소들이 없는 하나 이상의 재료로 만들어지고, 상기 구조체(7a,7b)들은 적층 방향(8)에서 그것의 대향하는 가장자리들에 노취들이 없다.핵연료 조립체를 수송 및/또는 저장하는 저장 장치(1)로서, 상기 저장 장치는 복수개의 인접한 하우징(2)들을 구비하고, 각각의 하우징은 핵연료 조립체를 수용하고, 저장 장치는 하우징들의 길이 방향 축(4)들에 평행한 적층 방향(8)을 따라서 몇 개의 적층된 스테이지(E1, E2)를 구비하고, 하우징들은 상기 스테이지들에 의하여 전체로 또는 부분적으로 형성되고, 저장 장치는, 중성자 흡수 및 열전도를 위한 제 1 스테이지들(E1, Ei-1, Ei+1) 및, 상기 적층 방향(8)을 따라서 제 1 스테이지들과 번갈아서 배치되고 기계적 강도를 위한 제 2 스테이지(E2, Ei)를 포함하고, 각각의 제 1 스테이지(E1, Ei-1, Ei+1)는 적층 방향(8)에 직각인 제 1 방향(10)을 따라서 연장된 적어도 하나의 제 1 노취 조립체(6b) 및, 제 1 방향(10)과 적층 방향(8)에 직각인 제 2 방향(12)을 따라서 연장된 적어도 하나의 제 2 노취 조립체(6b)를 포함하고, 제 1 노취 조립체 및 제 2 노취 조립체는 짜맞춰지고, 제 1 노취 조립체 및 제 2 노취 조립체 각각은 보론을 포함하는 알루미늄 합금의 적어도 하나의 요소를 포함하고, 각각의 제 2 스테이지(E2, Ei)는 제 1 방향(10)을 따라서 연장된 적어도 하나의 제 1 구조체(7a) 및 제 2 방향(12)을 따라서 연장된 적어도 하나의 제 2 구조체(7b)를 구비하고, 제 1 구조체 및 제 2 구조체는 적층 방향(8)을 따라서 적층되고, 제 1 구조체 및 제 2 구조체 각각은 중성자 흡수 요소(neutron absorbing elements)가 없는 하나 이상의 재료로 만들어지고, 제 1 구조체 및 제 2 구조체는 적층 방향을 따른 대향하는 가장자리들에서 각각 노취가 없으며 제 1 구조체 및 제 2 구조체 각각은 횡단면 전체를 따라서 실질적으로 일정한 높이를 가진 횡단면을 가지고, 제 2 스테이지(Ei)들중 적어도 하나에 대하여 그것의 양측에 제 1 스테이지(Ei-1) 및 제 2 스테이지(Ei+1)가 배치되고, 제 2 스테이지(Ei)의 제 1 구조체(7a)는 제 1 스테이지(Ei-1)의 제 1 노취 조립체(6a)의 가장자리(6a")상에 놓여서 상기 제 1 스테이지(Ei-1)의 제 2 노취 조립체(6b)의 노취(22")를 통해 지나가는 반면에, 제 1 스테이지(Ei+1)의 제 2 노취 조립체(6b)의 가장자리(6b')는 제 2 스테이지(Ei)의 제 2 구조체(7b)상에 놓여서, 상기 제 1 스테이지(Ei+1)의 제 1 노취 조립체(6a)의 노취(24')를 통해 지나가는 것을 특징으로 하는, 저장 장치.
RACKING DEVICE FOR STORING AND/OR TRANSPORTING NUCLEAR FUEL ASSEMBLIES, INCLUDING STAGES WITH DIFFERENTIATED FUNCTIONSThe invention relates to a racking device for storing and/or transporting nuclear fuel assemblies, including first stages (Ei-1, Ei+1) for neutron absorption and thermal conductivity, as well as second stages (E2i) for mechanical strength arranged so as to alternate with the first stages, each first stage (Ei-1, Ei+1) including first and second assemblies having interlacing notches (6a, 6b) made of aluminium alloy including boron, and each second stage (Ei) comprising first and second structures (7a, 7b) stacked in the stacking direction (8) and each made of one or more materials which are free of neutron-absorbing elements, said structures (7a, 7b) being free of notches on the opposite edges thereof in the stacking direction (8).A kind of for transporting and/or the storage device of storing nuclear fuel component (1), the equipment include multiple adjacent shells (2), each shell includes parallel along longitudinal axis (4) with the shell for receiving nuclear fuel assembly, the equipment multiple rack-layer (e that stacking direction (8) stacks1, e2), the shell is completely or partially defined by the rack-layer,it is characterized in that, the storage device includesthe first rack-layer (e for neutron-absorbing and heat transfer1, ei-1, ei+1) and for mechanical strength the second rack-layer (e2, ei), second rack-layer is alternately arranged with first rack-layer along the stacking direction (8),each first rack-layer (e1, ei-1, ei+1) include at least one along the first direction orthogonal with the stacking direction (8) (10) the band recess component (6a) that extends and it is at least one along with the first direction (10) and the stacking direction (8) just the second band recess component (6b) that the second direction (12) of friendship extends, first assembly and the second component be staggeredly and respectively wrap the element of at least one aluminum alloy material including boron is included,each second rack-layer (e2, ei) include at least one first structure part (7a) extended along the first direction (10) and at least one the second structural member (7b) extended along the second direction (12), the first structure part and second structure part is stacked along the stacking direction (8) and each structural member is by one or more material systems without neutron-absorbing element at, the first structure part and second structural member it is each it is comfortable its along not recessed on the opposite edge of the stacking direction mouthful, and each structural member has the cross section of a height, the height generally to immobilize along the entire structural member ,and for the first rack-layer (ei-1) and the first rack-layer (ei+1) it is disposed in the second rack-layer (e of both sidesi) in extremely it is one few, the second rack-layer (ei) first structure part (7a) be shelved on the first rack-layer (ei-1) first band recess component on the edge (6a ') of (6a) and pass through first rack-layer (ei-1) the second recess (22 ') with recess component (6b), and first rack-layer (ei+1) the second edge (6b ') with recess component (6b) be shelved on the second rack-layer (ei) the second structural member on (7b), which passes through first rack-layer (ei+1) first band recess component (6a) recess (24 ').
1,159
25914
그 외 금속 압형제품 제조업
특허
ko
en
모듈형 유틸리티 시스템에 사용하기 위한 텔레스코핑 모듈4가지 유형의 텔레스코핑 모듈들이 개시되며, 제1 유형은 한 쌍의 대향되는 암형 단부들을 가지고, 제2 유형은 한 쌍의 대향되는 암형 단부들을 가지며, 제3 유형은 암형 단부 및 대향되는 수형 단부를 갖는다. 4가지 유형은 두 개의 대향되는 수형 단부들을 가지며, 그 사이에 위치 가능한 나사식 로드와 고정 구성요소들이 텔레스코핑 모듈의 수형 단부들 사이에서 길이를 안정적으로 연장시킨다. 대안으로, 4가지 유형의 텔레스코핑 모듈은 암형 단부 및 수형 단부를 가질 수 있다. 텔레스코핑 모듈형 구성요소들의 제2, 제3 및 제4 유형의 수형 단부들 각각은 원통형 몸체의 원주 표면 상에 둘 이상의 이격된 프롱들의 하나 이상의 선형 세트(들)을 갖는 원통형 몸체를 포함한다. 암형 단부들 각각은 원통형 몸체를 수용하기 위한 하나 이상의 선형 채널들 및/또는 프롱-고정 슬롯들을 갖는 원통형 리셉터클을 갖는다.복수의 착탈식 체결 휴대용 모듈들을 갖는 구조적 어셈블리를 착탈식으로 구성하여 사용하기 위한 휴대용 텔레스코핑 모듈에 있어서, 상기 텔레스코핑 모듈은,제1 암형(female) 단부가 그로부터 바깥쪽으로 연장되는 하우징 엘리먼트 및 상기 하우징 엘리먼트 내에 수용되고 그로부터 바깥쪽으로 연장되는 연장부 엘리먼트(extender element)를 포함하는 제1 세장형(enlongate) 텔레스코핑 지지 구성요소로서, 상기 연장부 엘리먼트는 상기 제1 암형 단부와 대향되는 바깥쪽으로 연장되는 제2 암형 단부를 가지며, 상기 연장부 엘리먼트는 상기 하우징 엘리먼트로부터 바깥쪽으로 연장 가능하고 상기 하우징 엘리먼트 내로 수축 가능한, 상기 제1 세장형 텔레스코핑 지지 구성요소; 또는제1 수형(male) 단부가 그로부터 바깥쪽으로 연장되는 하우징 엘리먼트 및 상기 하우징 엘리먼트 내에 수용되고 그로부터 바깥쪽으로 연장되는 연장부 엘리먼트를 포함하는 제2 세장형 텔레스코핑 지지 구성요소로서, 상기 연장부 엘리먼트는 상기 제1 수형 단부와 대향되는 바깥쪽으로 연장되는 제2 수형 단부를 가지며, 상기 연장부 엘리먼트는 상기 하우징 엘리먼트로부터 바깥쪽으로 연장 가능하고 상기 하우징 엘리먼트 내로 수축 가능한, 상기 제2 세장형 텔레스코핑 지지 구성요소; 또는암형 단부가 그로부터 바깥쪽으로 연장되는 하우징 엘리먼트 및 상기 하우징 엘리먼트 내에 수용되고 그로부터 바깥쪽으로 연장되는 연장부 엘리먼트를 포함하는 제3 세장형 텔레스코핑 지지 구성요소로서, 상기 연장부 엘리먼트는 상기 암형 단부와 대향되는 바깥쪽으로 연장되는 수형 단부를 가지며, 상기 연장부 엘리먼트는 상기 하우징 엘리먼트로부터 바깥쪽으로 연장 가능하고 상기 하우징 엘리먼트 내로 수축 가능한, 상기 제3 세장형 텔레스코핑 지지 구성요소를 포함하며; 상기 수형 단부들은 상기 복수의 휴대용 모듈들 중 하나 이상으로부터 암형 단부와 착탈식으로 체결되도록 구성되며, 상기 수형 단부들 각각은 원통형 몸체의 원주 표면 주위에 적어도 하나의 선형 프롱(prong) 세트를 갖는 상기 원통형 몸체를 포함하고, 각각의 프롱 세트는 둘 이상의 이격된 프롱들을 가지며, 상기 암형 단부들 각각은 상기 복수의 휴대용 모듈들 중 하나 이상으로부터 수형 단부를 착탈식으로 수용하고 그에 체결되도록 구성된 원통형 리셉터클을 가지며, 상기 제1, 제2 및 제3 텔레스코핑 지지 구성요소는 추가적으로 유압식 엑추에이터 시스템 또는 공압식 액추에이터 시스템 또는 모터식 선형 엑추에이터 시스템 중 하나를 포함하는, 휴대용 텔레스코핑 모듈.
TELESCOPING MODULES FOR USE IN MODULAR UTILITY SYSTEMSFour types of telescoping modules are disclosed wherein the first type has a pair of opposed female ends, the second type has a pair of opposed female ends, and the third type has a female end and anopposed male end. The fourth type has two opposed male ends between which a positionable threaded rod and retaining components stably extend the length between the male ends of the telescoping module.Alternatively, the fourth type of telescoping module may have a female end and a male end. Each of the male ends of the second, third, and fourth types of telescoping modular components comprises a cylindrical body with one or more linear set(s) of two or more spaced-apart prongs on the circumferential surface of the cylindrical body. Each of the female ends has a cylindrical receptacle with oneor more linear channels and/or prong-retaining slots for receiving therein the cylindrical body.A portable telescoping module for removably configuring a structural assembly having a plurality of removably engaged portable modules, the telescoping module comprising:a first elongate telescoping support member including a housing member having a first concave end extending outwardly therefrom and an extender member received within and extending outwardly from the housing member, the extender member having a second concave end extending outwardly opposite the first concave end, the extender member being extendable outwardly from the housing member and retractable within the housing member; ora second elongate telescoping support member including a housing element having a first male end extending outwardly therefrom and an extender element received within and extending outwardly from the housing element, the extender element having a second outwardly extending male end opposite the first male end, the extender element being extendable outwardly from and retractable within the housing element; ora third elongate telescoping support member including a housing element having a female end extending outwardly therefrom and an extender element received within and extending outwardly from the housing element, the extender element having an outwardly extending male end opposite the female end, the extender element being extendable outwardly from and retractable within the housing element;wherein the male ends are configured for removable engagement with the female ends of one or more of the plurality of portable modules, each of the male ends comprising a cylindrical body having at least one set of linearly arranged plugs disposed on a circumferential surface thereof, wherein each set of plugs has two or more spaced apart plugs,wherein each of the female ends has a cylindrical receptacle configured for removably receiving and engaging therein a male end of one or more of the plurality of portable modules, andwherein the first, second, and third telescoping support members further comprise one of a hydraulic actuator system, a pneumatic actuator system, or an electric linear actuator system.
1,160
13229
기타 직물제품 제조업
특허
ko
en
에어백 로프 강하용 완충구 등, 구명구 세트를 조합한 바다·육지 양용 룩색 베스트 및 상의해나 하천의 사고에는 로프 에어백 부착 구명조끼, 육상에 있어서는 고층빌딩의 화재 시에 소용의 길이의 로프와 강하용 완충구로 있으면, 안전권상으로 탈출 가능해진다. 그래서 피복에 고착한 기기 기구 로프 등 용이하게 사용 가능하게 한 해 륙양용 구명 용구를 제공한다. 에어백 플로트(float)고리 로프, 로프 강하용 완충구, 부직포 등에 의한 토대로 로프를 만일 평평하게 꿰매어 멈추어 두는 로프 애벌땜판. 로프 애벌땜판의 표면은 내용물이 보이는 투명의 커버, 하니스, 카라비너 등 고정 브라켓, 되감김 방지구, 베스트 및 윗도리의 적소에 고착 애벌땜 봉합 사양의 조합 구성으로 구성되는 착용을 주목적으로 하는 해 륙양용 구명구를 세트한 베스트 및 윗도리.a. 하천 해상에서의 사고에 대응하기 위한 구명구 에어백 「내장형 센서 부착」에 있어서는 물 염수로의 내성이 요구되기 때문에, 종래의 구명조끼와 같은 재질, 베스트 및 윗도리의 가슴 견부분에 공기를 배게 한 부력을 돕는 방수 이중구조의 커버, 발포 폴리스티렌 공기 압축 병용. 플로트(float)고리에 있어서는 선명한 색상, 야간에는 표시가 되는 형광 도료를 실시해, 끈 또는 사슬 등으로 베스트 및 윗도리의 내측에 연결하는 사양의 이차적 에어백. b. 구명구에 로프는 빠뜨릴 수 없는 하나이기 때문에 (있어) 합성 섬유 부직포 등의 토대에 로프를 평탄하게 접어 애벌땜한 로프 애벌땜판. 또한, 로프의 최말단을 로프 애벌땜판의 모서리에 고착. () 로프 애벌땜판 강하용 완충구(이미 등록 실용 신안) 양단 카라비너 부착 보조 로프 등 구명구 세트를 장착한 배낭 또는 케이스. c. 로프 애벌땜판의 다른 한쪽의 로프 최첨단에는 이미 취득의 의장 등록 제 1054466호, 같은 의장 등록 제 973467호외, 로프 강하용 완충구가 천공한 구멍에 미리 로프를 빙빙 통해, 상기 , 로프 애벌땜판을 조작하기 쉬운 전부위에 애벌땜. d. 플로트(float)고리, 이외의 에어백 내장형 센서 부착, 로프, 로프 강하용 완충구, 벨트, 하네스 등 구명구외 주변 기구를 로프 애벌땜판에 평평하게 고착 봉합. 1 세트로 한다. e. 구명조끼와 동질의 재질에 의한 베스트 및 윗도리의 내측 상부에 에어백. 베스트 및 윗도리의 내측 하부에 로프 로프 강하용 완충구 벨트 하네스 등 구명구 또는 주변기기 작은 물품 등을 고착 봉합. 로프 애벌땜판의 커버를 내용물이 보이는 재질로 하고, 베스트 및 윗도리의 세탁 시는 로프 애벌땜판 전체를 베스트 및 윗도리에서 분리한다. f. 방법의 하나로서 설치의 방식을 지상 몇십층의 고층빌딩에 있어서는 특수 곤돌라 등을 공학적, 소방법 등의 기준 규격에 따른 형식 기기 설치 방법에 의해 「구명 기기 보조 로프를 고층빌딩 대응의 긴급 탈출용 구명구 케이스들이 1 세트」를 빌딩의 사방위 각층마다, 빌트인 방식 배치 설치. 에어백 플로트(float)고리(기, 등록실용신안 제 3040343호) 로프 강하용 완충구 등 구명구 세트를 결합한 해 륙양용 베스트 및 윗도리. g. 본 발명에 있어서 장래적으로는 선박용과 같은 우주 위성을 통해 조난자의 추적용 기기를 장착하기도 해, 또한 로프 애벌땜판과 구명구를 세트를 장착한 개별 배낭 케이스. a.b.c.d.e.f.g.이상의 조합 구성으로 구성되는 에어백 플로트(float)고리 로프 강하용 완충구 등 구명구 세트를 결합한 해 륙양용 배낭 베스트 및 윗도리.
AMPHIBIOUS RUCKSACK, VEST AND JACKET IN WHICH LIFESAVING SET, INCLUDING AIR BAG, FLOATATION RING, AND CLIMBING ROPE-DESCENDING BUFFER TOOL, IS BUILTTo provide an amphibious lifesaving aid given by attaching a climbing rope and other suitable tools and implements to clothing and making a person easily use the attached rope, tools, and implements, because the person can get out to a safety zone in an accident in sea or river water, when the person only has a lifejacket with a climbing rope and an air bag, or in a fire accident in a high-rise building on land, when only has a predetermined length of a climbing rope and a descending buffer tool. In this lifesaving aid, the air bag, a floatation ring, the climbing rope, the rope-descending buffer tool are attached to a base material comprising a nonwoven fabric, etc., so as to form a tentatively rope-fastening board on which the rope is tentatively sewn in a flat state. A harness, metal fasteners including carabiners, and a rewinding-inhibiting tool are further attached to the tentatively rope-fastening board, then surfaces of the board are covered with a transparent cover through which contents are recognized, and further the board is firmly attached, tentatively attached or together sewn onto suitable areas of a vest and a jacket, so that the amphibious lifesaving aid composed of the vest and the jacket of which the principal purpose is always wearable is combinedly structured.a. In the river and the accidents for accidents at the sea at the sea · The shoulder part is a waterproof double structure covering air and a waterproof double-structure cover, foamed polystyrene, air compression. In the floating ring, a vivid color, a fluorescent paint that will be marked at night, and a secondary airbag of a specification that connects the best and topwear inside the strap or chain. b. Since the lilies are essential to the life of the life, it is a graped fiber, a graped fiber, and a graped fiber. The upper end of the gile is secured to the corner of the cypress plate. (Roll) Zure Temporal Plate / Subcuption Bolar (Acquired Practical Practice) · Lucks or case equipped with a resilience salary set such as auxiliary graffina with both end carabinas. c. Another Sylea of ​​the Sayair Temporary Plate has already acquired design registration No. 1054666, as well as design registration No. 973467. , Temporary arrival at the front part where the cypress plate is easy to operate. d. Floating wheels, other than air bags, built-in sensors One set is set. e. Airbag at the inside and top of the best and upper part with the same material as the life jacket. Inside and lower part of the best and upper attachment, a rescue / belt, harness such as a solcapping / belt, harness for granness, peripherals, accessories, etc. fix and suture. The cover of the Syled takes the cover is made of the content visible material, and when the best and upper welding was washed, the entire castrated plate is removed from the vest and upper attachment. f. As one of the methods, in high-rise buildings in the tens of tens of tens of tens of teasing, special gondola etc. are Built-in method deployment and installation installed for each set of equipment and subsiles with high-rise lifetime, one set of emergency escape lifetio cases. Airbag, floating wheel (registered practical model No. 3040343) Best and upper wearing of seaflinery sets such as cubes such as grinding cubes for falling. G. In the present invention, in the future, there is also a space-for-sector tracking device via a space satellite similar to ships, and individual rucksacks equipped with a set of syllabits and their lifesaves. Case. A.B.c.d.e.f.g. A ruck-up and upper clothing for sea and land that incorporates a settlement set such as an air bag, floating wheel / graffitizer for lifting wheels consisting of the above combination configuration.
1,161
26121
발광 다이오드 제조업
특허
ko
en
수직형 EEPROM 디바이스반도체 디바이스(40), 예를 들어 EEPROM, 및 그 제조를 위한 방법이 제공된다. 반도체 디바이스는 반도체 기판(42)에 형성된 트랜치(52)를 포함하며, 상기 트랜치(52)는 반도체 표면(46)으로부터 트랜치 하부(58)로 연장하는 트랜치 벽(54, 56)에 의해 경계가 정해진다. 트랜치의 길이(152)를 따라 서로 이격된 드레인 영역(61, 94) 및 소스 영역(61, 95)이 트랜치 벽을 따라서 형성되고, 그 각각은 표면으로부터 하부로 연장한다. 채널 영역(62, 97)이 드레인 영역과 소스 영역 사이의 트랜치 벽을 따라서 기판에 형성되고, 기판 표면에 평행한 트랜치의 길이를 따라서 연장한다. 게이트 절연체(66)와 게이트 전극(68)이 채널 위에 놓이도록 형성된다.반도체 메모리 디바이스(40)로서, 표면(46)을 구비한 반도체 기판(42)과; 상기 기판의 표면에 에칭되는 트랜치(52)와, 여기서 상기 트랜치는 상기 표면으로부터 연장하는 제 1 벽(54) 및 제 2 벽(56)을 가지고 아울러 상기 제 1 벽 및 상기 제 2 벽의 말단에서 하부(58)를 가지며; 상기 반도체 기판에 상기 제 1 벽(54)을 따라서 형성되는 제 1 드레인 영역(94) 및 제 2 드레인 영역(94)과, 그리고 상기 반도체 기판에 상기 제 2 벽(56)을 따라서 형성되는 제 3 드레인 영역(94) 및 제 4 드레인 영역(94)과, 여기서 각각의 드레인 영역은 상기 표면(46) 근접으로부터 상기 하부(58)로 연장하고; 상기 반도체 기판에 상기 제 1 벽(54)을 따라서 상기 제 1 드레인 영역과 상기 제 2 드레인 영역 사이에 그리고 상기 제 1 드레인 영역 및 상기 제 2 드레인 영역으로부터 이격되어 형성되는 제 1 소스 영역(95)과, 그리고 상기 반도체 기판에 상기 제 2 벽(56)을 따라서 상기 제 3 드레인 영역과 상기 제 2 드레인 영역 사이에 그리고 상기 제 3 드레인 영역 및 상기 제 2 드레인 영역으로부터 이격되어 형성되는 제 2 소스 영역(95)과, 여기서 각각의 소스 영역은 상기 표면(46) 근접으로부터 상기 하부(58)로 연장하고; 상기 반도체 기판에 상기 제 1 벽(54)을 따라서 상기 제 1 드레인과 상기 제 1 소스 사이에 형성되는 제 1 채널 영역(97)과, 상기 반도체 기판에 상기 제 1 벽(54)을 따라서 상기 제 1 소스와 상기 제 2 드레인 사이에 형성되는 제 2 채널 영역(97)과, 상기 반도체 기판에 상기 제 2 벽(56)을 따라서 상기 제 3 드레인 영역과 상기 제 2 소스 사이에 형성되는 제 3 채널 영역(97)과, 그리고 상기 반도체 기판에 상기 제 1 벽(56)을 따라서 상기 제 2 소스 영역과 상기 제 4 드레인 사이에 형성되는 제 4 채널 영역(97)과; 상기 제 1 채널 위에 놓이는 제 1 게이트 전극(68)과, 상기 제 2 채널 위에 놓이는 제 2 게이트 전극(68)과, 상기 제 3 채널 위에 놓이는 제 3 게이트 전극(68)과, 그리고 상기 제 4 채널 위에 놓이는 제 4 게이트 전극(68)과; 상기 제 1 게이트 전극 및 상기 제 2 게이트 전극에 연결되는 제 1 워드 라인(106)과, 그리고 상기 제 3 게이트 전극 및 상기 제 4 게이트 전극에 연결되는 제 2 워드 라인(106)과; 그리고 상기 제 1 드레인 영역 및 상기 제 3 드레인 영역에 연결되는 제 1 비트 라인(102)과, 그리고 상기 제 2 드레인 영역 및 상기 제 4 드레인 영역에 연결된 제 2 비트 라인(102)을 포함하여 구성되는 것을 반도체 메모리 디바이스.
VERTICAL EEPROM DEVICEA semiconductor device (40), for example an EEPROM, and methods for its fabrication are provided. The semiconductor device comprises a trench (52) formed in the semiconductor substrate (42) and bounded by a trench wall (54, 56) extending from the semiconductor surface (46) to a trench bottom (58). A drain region (61, 94) and a source region (61, 95), spaced apart along the length (152) of the trench, are formed along the trench wall, each extending from the surface toward the bottom. A channel region (62, 97) is formed in the substrate along the trench wall between the drain region and the source region and extending along the length of the trench parallel to the substrate surface. A gate insulator (66) and a gate electrode (68) are formed overlying the channel.A semiconductor memory device [40] comprising: a semiconductor substrate [42] having a surface [46]; a trench [52] etched into the surface of the substrate and having a first wall [54] and a second wall [56] extending away from the surface and having a bottom [58] at the extremity of the first wall and the second wall; a first drain region [94] and a second drain region [94] formed in the semiconductor substrate along the first wall [54] and a third drain region [94] and a fourth drain region [94] formed in the semiconductor substrate along the second wall [56], each drain region extending from proximate the surface [46] toward the bottom [58]; a first source region [95] formed in the semiconductor substrate along the first wall [54] between and spaced apart from the first drain region and the second drain region and a second source region [95] formed in the semiconductor substrate along the second wall [56] between and spaced apart from the third drain region and the second drain region, each source region extending from proximate the surface [46] toward the bottom [58]; a first channel region [97] formed in the semiconductor substrate along the first wall [54] between the first drain and the first source, a second channel region [97] formed in the semiconductor substrate along the first wall [54] between the first source and the second drain, a third channel region [97] formed in the semiconductor substrate along the second wall [56] between the third drain region and the second source, and a fourth channel region [97] formed in the semiconductor substrate along the second wall [56] between the second source region and the fourth drain; a first gate electrode [68] overlying the first channel, a second gate electrode [68] overlying the second channel, a third gate electrode [68] overlying the third channel, and a forth gate electrode [68] overlying the fourth channel; a first word line [106] coupled to the first gate electrode and the second gate electrode and a second word line [106] coupled to the third gate electrode and the fourth gate electrode; and a first bit line [102] coupled to the first drain region and the third drain region and a second bit line [102] coupled to the second drain region and the fourth drain region.
1,161
21101
의약용 화합물 및 항생물질 제조업
특허
ko
en
HIV 감염 치료를 위한 N-히드록시벤즈아미드의 유도체본원에는 ㆍ 디에틸-[6-(4-히드록시카르바모일-페닐-카르바모일옥시메틸)-나프탈렌-2-일-메틸]-암모늄 클로라이드; ㆍ 4-(2-(S)-벤조일아미노-3-나프탈렌-2-일-프로피오닐아미노)-N-히드록시-벤즈아미드; 및/또는 ㆍ 벤조[1,3]디옥솔-5-카르복실산 [1(S)-(4-히드록시카르바모일-페닐카르바모일)-2-나프탈렌-2-일-에틸]-아미드와 같은, N-히드록시-벤즈아미드계 히스톤 디아세틸라아제 억제제를 투여하는 것을 포함하는, HIV 에 감염된 환자를 치료하기 위한 방법이 기재되어 있다. 이러한 히스톤 디아세틸라아제 억제제는 125 내지 250 nM 의 혈중 농도를 수득하도록, 50 내지 200 mg/일, 바람직하게는 100 내지 200 mg/일 범위의 투여량으로 투여된다.HIV 감염의 치료에 사용하기 위한, 하기 화학식 I 의 화합물:(I) (식 중: X 는 O, CH 이거나, 부재하고; Ar 은 아릴 또는 포화된, 불포화된 또는 부분적으로 불포화된 모노-, 디-, 트리시클릭 카르보시클릭 잔기, 또는 N, S 및 O 중에서 선택되는 1 이상의 헤테로원자를 포함하는 포화된, 불포화된 또는 부분적으로 불포화된 모노-, 디-, 트리시클릭 헤테로시클릭 잔기이고; 상기 카르보시클릭 또는 헤테로시클릭 아릴 잔기는 1 이상의 동일 또는 상이한 할로겐, C1-C4 알킬, C1-C4 알콕실, C1-C4 할로알킬, 알킬술포닐, 시클로알킬, (시클로알킬)알킬, 알카노일, 아미노, (C1-C4) 모노- 또는 디알킬아미노, C1-C4 알킬로 1- 또는 2-치환된 (C1-C4) 아미노알킬, 카르복시, C1-C4 알콕실카르보닐, 메르캅토알콕시, 메르캅토페녹시, 니트로, 시아노, 옥소, 퍼플루오로알콕시, 퍼플루오로알킬, 페닐, 페녹시, 페닐알콕시, 벤조일옥시, 페닐알킬, 벤조일, 페닐술포닐 및 히드록시로 임의로 치환되고; R 은 부재이거나, R1-CO-NH- 잔기이고; 식 중 R1 은 아릴, 아릴알킬, 선형 또는 분지형 Q-C4 알킬, 또는 포화된, 불포화된 또는 부분적으로 불포화된 모노-, 디-, 트리시클릭 카르보시클릭 잔기, 또는 N, S 및 O 중에서 선택되는 1 이상의 헤테로원자를 포함하는 포화된, 불포화된 또는 부분적으로 불포화된 모노-, 디-, 트리시클릭 헤테로시클릭 잔기이고; 상기 아릴, 아릴알킬, 선형 또는 분지형 C1-C4 알킬, 카르보시클릭 또는 헤테로시클릭 잔기는: 할로겐, C1-C4 알킬, C1-C4 알콕실, C1-C4 할로알킬, 알킬술포닐, 시클로알킬, (시클로알킬)알킬, 알카노일, 아미노, (C1-C4) 모노- 또는 디알킬아미노, C1-C4 알킬로 1- 또는 2-치환된 (C1-C4) 아미노알킬, 카르복시, C1-C4 알콕실카르보닐, 메르캅토알콕시, 메르캅토페녹시, 니트로, 시아노, 옥소, 퍼플루오로알콕시, 퍼플루오로알킬, 페닐, 페녹시, 페닐알콕시, 벤조일옥시, 페닐알킬, 벤조일, 페닐술포닐 및 히드록시 중에서, 서로 동일 또는 상이한 1 이상의 잔기와 함께 임의로 선택됨).
DERIVATIVES OF N-HYDROXYBENZAMIDE FOR TREATING HIV INFECTIONSHerein disclosed is a method for treating patients affected by HIV comprising administering N-hydroxy-benzamide-based histone deacetylase inhibitors, such as: • diethyl-[6-(4-hydroxycarbamoyl-phenyl-carbamoyloxymethyl)-naphtalen-2-yl- methyl] -ammonium chloride; • 4-(2-(S)-benzoylamino-3-naphtalen-2-yl-propionylamino)-N-hydroxy- benzamide; and/or • benzo[l,3]dioxole-5-carboxylic acid [l(S)-(4-hydroxycarbamoyl- phenylcarbamoyl)-2-naphtalen-2-yl-ethyl]-amide. Such histone deacetylase inhibitors are administered in a dosage ranging from 50 to 200 mg/day, preferably from 100 to 200 mg/day, so as to obtain a blood concentration between 125 and 250 nM.Compound of general formula I(I)wherein:X is O, CH or it is absent;Ar is aryl or a saturated, unsaturated or partially unsaturated mono-, di-, tricyclic carbocyclic residue, or a saturated, unsaturated or partially unsaturated mono-, di-, tricyclic heterocyclic residue comprising one or more heteroatoms selected from among N, S and O; said carbocyclic or heterocyclic aryl residue being optionally substituted with one or more identical or different halogen, C1-C4 alkyl, C C4 alkoxyl, C1-C4 haloalkyl, alkylsulfonyl, cycloalkyl, (cycloalkyl)alkyl, alkanoyl, amino, (C1-C4) mono- or dialkylamino, (C1-C4) aminoalkyl mono- or disubstituted with CrC4 alkyl, carboxy, C C4 alkoxylcarbonyl, mercaptoalkoxy, mercaptophenoxy, nitro, cyano, oxo, perfluoroalkoxy, perfluoroalkyl, phenyl, phenoxy, phenylalkoxy, benzoyloxy, phenylalkyl, benzoyl, phenylsulfonyl and hydroxy;R is absent or it is a R^CO-NH- residue;wherein R1 is aryl, arylalkyl, linear or branched Q-C4 alkyl, or a saturated, unsaturated or partially unsaturated mono-, di-, tricyclic carbocyclic residue, or a saturated, unsaturated or partially unsaturated mono-, di-, tricyclic heterocyclic residue comprising one or more heteroatoms selected from among N, S and O; said aryl, arylalkyl, linear or branched C C4 alkyl, carbocyclic or heterocyclic residue optionally being selected, with one or more residues, mutually identical or different, from among: halogen, C C4 alkyl, Q-C4 alkoxyl, C1-C4 haloalkyl, alkylsulfonyl, cycloalkyl, (cycloalkyl)alkyl, alkanoyl, amino, (C]-C4) mono- or dialkylamino, (Q-C4) aminoalkyl mono- or disubstituted with C1-C4 alkyl, carboxy, C C4 alkoxylcarbonyl, mercaptoalkoxy, mercaptophenoxy, nitro, cyano, oxo, perfluoroalkoxy, perfluoroalkyl, phenyl, phenoxy, phenylalkoxy, benzoyloxy, phenylalkyl, benzoyl, phenylsulfonyl and hydroxy;for use in the treatment of a HIV infection.
1,162
21101
의약용 화합물 및 항생물질 제조업
특허
ko
en
인돌아민-2,3-디옥시게나아제 억제 활성을 갖는 퀴놀린 유도체인돌아민-2,3-디옥시게나아제(IDO) 억제 활성을 갖는 퀴놀린 유도체가 제공된다. 구체적으로, 하기 식 (I)의 화합물 또는 이의 약학적으로 허용가능한 염, 이의 약학 조성물, 이를 제조하기 위한 방법, 및 면역 조절을 위한 약물 그리고 IDO 비정상적 발현 및/또는 비정상적 트립토판 대사에 관련된 질환을 예방하고/하거나 치료하기 위한 약물의 제조에 있어서 이의 용도가 제공된다. 또한, 퀴놀린 유도체 및 HDAC 억제제의 약물 조합, 및 항종양 약물의 제조에서 이의 용도가 포함된다. A-X-B-Y-M 식 (I)하기 일반식 (I)의 화합물 또는 이의 약학적으로 허용가능한 염:A-X-B-Y-M(I)상기 식에서, A가 질소, 산소 및 황으로 이루어진 군으로부터 선택되는 1 내지 5개의 헤테로원자를 함유하는 9- 내지 15-원 융합된 방향족 헤테로사이클을 나타내고; 선택적으로, 융합된 방향족 헤테로사이클이 할로겐, 하이드록실, 아미노, C1-6 알킬, C1-6 알콕시, 할로겐화 C1-6 알킬 및 하이드록실-치환된 C1-6 알킬로 이루어진 군으로부터 선택되는 하나 이상의 치환기로 치환되고; X가 단일 공유 결합, -C(R1R2)-, , -O-, -O-C1-6 알킬렌-, -NR1-, -S-, -S(O)- 또는 을 나타내고; 여기서 R1 및 R2가 각각 독립적으로 수소, 할로겐, 하이드록실, 아미노, C1-6 알킬, C1-6 알콕시, 할로겐화 C1-6 알킬 및 하이드록실-치환된 C1-6 알킬로 이루어진 군으로부터 선택되고; B가 질소, 산소 및 황으로 이루어진 군으로부터 선택되는 1 내지 3개의 헤테로원자를 함유하는 6- 내지 12-원 폴리사이클릭 지방족 헤테로사이클을 나타내고; Y가 를 나타내고, 여기서, D가 단일 공유 결합 또는 C1-6 알킬렌을 나타내고, 선택적으로, C1-6 알킬렌이 할로겐, 하이드록실, 아미노, C1-6 알킬, C1-6 알콕시, 할로겐화 C1-6 알킬 및 하이드록실-치환된 C1-6 알킬로 이루어진 군으로부터 선택되는 하나 이상의 치환기로 치환되고; E가 단일 공유 결합, C1-6 알킬렌, C2-6 알케닐렌, -NR3- 또는 -C1-6 알킬렌-NR3-을 나타내고, 여기서, R3이 수소, 할로겐, 하이드록실, 아미노, C1-6 알킬, 할로겐화 C1-6 알킬 및 하이드록실-치환된 C1-6 알킬로 이루어진 군으로부터 선택되고; M이 6- 내지 10-원 방향족 고리; 3- 내지 7-원 지방족 카보사이클; 각각 질소, 산소 및 황으로 이루어진 군으로부터 선택되는 1 내지 4개의 헤테로원자를 함유하는 5- 내지 10-원 모노사이클릭 또는 폴리사이클릭 방향족 헤테로사이클; 각각 질소, 산소 및 황으로 이루어진 군으로부터 선택되는 1 내지 5개의 헤테로원자를 함유하는 3 내지 7-원 포화된 또는 부분적으로 불포화된 모노사이클릭 지방족 헤테로사이클 또는 6- 내지 12-원 폴리사이클릭 지방족 헤테로사이클을 나타내고; 선택적으로, 방향족 고리, 카보사이클, 방향족 헤테로사이클 및 지방족 헤테로사이클이 할로겐, 하이드록실, 아미노, C1-6 알킬, C2-6 알케닐, C1-6 알콕시, C1-6 알킬아미노, , 할로겐화 C1-6 알콕시, 하이드록실-치환된 C1-6 알콕시 및 C1-6 알콕시-치환된 C2-6 알케닐로 이루어진 군으로부터 선택되는 하나 이상의 치환기로 치환된다.
QUINOLONE DERIVATIVE HAVING INDOLEAMINE-2,3-DIOXYGENASE INHIBITED ACTIVITYProvided is a quinoline derivative having indoleamine-2,3-dioxygenase inhibitory activity, specifically, provided is a compound of general Formula (I) or pharmaceutically acceptable salt thereof, its pharmaceutical composition, preparation method and use in the manufacture of a medicament for immunomodulating and preventing and/or treating of a disease associated with IDO expression abnormality and/or tryptophan metabolism abnormality. Also provided is use of a combination medication of the quinoline derivative and HDAC inhibitor and its use in the manufacture of an anti-tumor drug.         A-X-B-Y-M     Formula (I)A compound of general Formula (I) or pharmaceutically acceptable salt thereof,         A-X-B-Y-M     (I) wherein, A represents a 9- to 15-membered fused aromatic heterocycle containing 1 to 5 heteroatoms selected from the group consisting of nitrogen, oxygen and sulfur; optionally, the fused aromatic heterocycle is substituted with one or more substituents selected from the group consisting of halogen, hydroxyl, amino, C1-6 alkyl, C1-6 alkoxy, halogenated C1-6 alkyl and hydroxyl-substituted C1-6 alkyl; X represents a single covalent bond, -C(R1R2)-, -O-, -O-C1-6 alkylene-, -NR1-, -S-, -S(O)- or wherein R1 and R2 are each independently selected from the group consisting of hydrogen, halogen, hydroxyl, amino, C1-6 alkyl, C1-6 alkoxy, halogenated C1-6 alkyl and hydroxyl-substituted C1-6 alkyl; B represents a 6- to 12-membered polycyclic aliphatic heterocycle containing 1 to 3 heteroatoms selected from the group consisting of nitrogen, oxygen and sulfur; Y represents wherein, D represents a single covalent bond or C1-6 alkylene, optionally, the C1-6 alkylene is substituted with one or more substituents selected from the group consisting of halogen, hydroxyl, amino, C1-6 alkyl, C1-6 alkoxy, halogenated C1-6 alkyl and hydroxyl-substituted C1-6 alkyl; E represents a single covalent bond, C1-6 alkylene, C2-6 alkenylene, -NR3- or -C1-6 alkylene-NR3-, wherein, R3 is selected from the group consisting of hydrogen, halogen, hydroxyl, amino, C1-6 alkyl, halogenated C1-6 alkyl and hydroxyl-substituted C1-6 alkyl; M represents a 6- to 10-membered aromatic ring; 3- to 7-membered aliphatic carbocycle; 5-to 10-membered monocyclic or polycyclic aromatic heterocycle, each of which contains 1 to 4 heteroatoms selected from the group consisting of nitrogen, oxygen and sulfur; 3 to 7-membered saturated or partially unsaturated monocyclic aliphatic heterocycle or 6- to 12-membered polycyclic aliphatic heterocycle, each of which contains 1 to 5 heteroatoms selected from the group consisting of nitrogen, oxygen and sulfur; optionally, the aromatic ring, carbocycle, aromatic heterocycle and aliphatic heterocycle are substituted with one or more substituents selected from the group consisting of halogen, hydroxyl, amino, C1-6 alkyl, C2-6 alkenyl, C1-6 alkoxy, C1-6 alkylamino, halogenated C1-6 alkoxy, hydroxyl-substituted C1-6 alkoxy and C1-6 alkoxy-substituted C2-6 alkenyl.
1,163
58222
응용 소프트웨어 개발 및 공급업
특허
ko
en
컬러 비디오 인코딩 및 디코딩 방법컬러 비디오 압축 방법은 픽셀들을 3개의 순서화된 리스트들(LIS, LIP, LSP)에 의해 순서화된 계수들로 변환하기 위해 3개의 컬러 플레인들(YUV)에서 SPIHT 처리를 사용한다. 자연 그대로의 시퀀스들에 대해서, 색차 계수들은 루트 서브대역들에서의 휘도 계수들보다 작은 넓이들을 가질 수도 있다. 휘도 계수가 소정의 비트플레인 레벨에서 비-유의값 자손(자식)을 가질 때, 동일한 위치에서의 색차 계수들도 비-유의값 자식을 갖는 가장 높은 확률을 갖는다. 따라서, Y-플레인, U-플레인, V-플레인간의 이러한 리던던시를 이용하기 위해서, 3개의 플레인들에서 동일한 위치에 대응하는 3개의 계수들이 무의값 기호들의 리스트(LIS)에서 인접 위치들에 있을 경우, 3개의 시공간적 제로-트리들의 비-유의값이 유일한 기호에 의해 인코딩될 수 있다.각 프레임이 소정수의 연속 해상도 레벨들(resolution levels)을 발생시키는 3차원(3D) 웨이브릿(wavelet) 변환에 의해 분해되는, 프레임들의 그룹들로 구성된 연속하는 프레임들을 포함하는 비디오 시퀀스의 압축을 위한 인코딩 방법으로서, 상기 인코딩 방법은 "계층적 트리들에서의 세트 분할(SPIHT, set partitioning in hierarchical trees)"이라고 하는 계층적 서브대역(subband) 인코딩 처리에 기초하고, 프레임들의 각 그룹의 화상 요소들(픽셀들)의 원래 세트로부터 이진 포맷으로 인코딩된 웨이브릿 변환 계수를 생성하여 계층적 피라미드를 구성하고, 상기 계수들은 상기 3D 웨이브릿 변환으로 인한 가장 낮은 주파수(또는 근사 서브대역)에 근간을 두고 더 높은 주파수 서브대역들의 자식(offspring)으로 완료되는 시공간적 방위 트리(spatio-temporal orientation tree)로 구성되고, 상기 트리의 계수들은 픽셀들을 포함하고 유의값(significance)의 각 레벨들에 대응하는 분할 세트들로 순서화되고, 상기 세트들은 무의값 세트들의 리스트(LIS, list of insignificant sets), 무의값 픽셀들의 리스트(LIP, list of insignificant pixels) 및 유의값 픽셀들의 리스트(LSP, list of significant pixels)라고 하는 3개의 순서화된 리스트들에서 상기 유의값 정보의 분류를 생성하는 크기 검사들(magnitude tests)에 의해 정의되고, 상기 검사들은 각 유의값 계수가 상기 이진 표시 내에서 인코딩될 때까지 계속되는 분할 처리에 따라 픽셀들의 상기 원래의 세트를 상기 분할 세트들로 분할하기 위해 수행되고, 상기 시공간 방위 트리는 상기 계층적 피라미드 내부의 상기 시공간 관계를 정의하며, 상기 SPIHT 알고리즘은 초기화 단계, 분류 패스(들)(sorting pass(es)) 단계, 개선 패스 단계, 및 양자화 단계 갱신 단계를 포함하는, 상기 인코딩 방법에 있어서, 첨부 B에 표시된 알고리즘에 따라서, (a) 초기화 단계에서: - 3개의 컬러 플레인들(Y, U, V)에서 동일한 위치에 대응하는 3개의 계수들은, 모두 각 유의값 레벨에서 차례로 분석될 때 무의값 자식을 가질 경우, 인접하는 위치들을 점유하고 다음 분류 패스들(sorting passes)에 대해 LIS에 함께 남아 있도록 하기 위해서 상기 LIS에 연속적으로 놓이고, - 휘도에서의 무의값 자식이 색차에서의 무의값 자식을 의미하는 마지막 비트플레인(ni)이 상기 루트 서브대역에서의 상기 계수들의 세트 유의값 레벨과 비트스트림에서의 출력에 기초하여 계산되며, (b) nmax에서 ni까지의 분류 패스(들)에서, 휘도 계수가 무의값 자식을 갖는 경우 상기 LIS에서 상기 계수에 이어지는 2개의 계수들에 의해 3개의 다음 조건들, - 상기 2개의 계수들은 각각 U 및 V 계수들이고, - 상기 휘도 계수와 동일한 시공간 좌표를 가지며, - 또한 무의값 자식을 갖는, 조건들이 충족되면, 이러한 상황은 유일한 기호(unique symbol)에 의해 코딩되고, 상기 출력 비트 스트림은 모든 다른 경우들에서 상기 원래의 SPIHT 알고리즘에 의해 수정되지 않는 것을 특징으로 하는, 인코딩 방법.
Color video encoding and decoding methodThe color video compression method uses the SPIHT process in three color planes YUV to transform pixels into coefficients ordered by means of three ordered lists, LIS, LIP, and LSP. For natural sequences, chrominance coefficients are most likely to have smaller amplitudes than the luminance ones in the root subband. When a luminance coefficient has a non-significant descendant (offspring) at a given bitplane level, the chrominance coefficients at the same location also have a high probability to have a non-significant offspring. To exploit this redundancy between Y-, U- and V-planes, the non-significance of the three spatio-temporal zero-trees can be therefore encoded by a unique symbol, if the three coefficients corresponding to the same location in the three planes are in neighbor locations in the list of insignificant symbols LIS.Compaction coding method that comprises the video sequence of the successive frame that constitutes with the frame group, each frame decomposes in the mode of 3 d wavelet conversion, thereby cause the continuous decomposition level of specific quantity, described coding method depend on and be called as ' part in the hierarchical tree is set ' (spiht) the hierarchical subband encoding process and convert the original start of the pictorial element (pixel) of each frame group to the wavelet conversion coefficient that utilizes the binary format coding and the hierarchical structure that has formed a taper, described coefficient has constituted a space transient state direction tree, its bottom is 3d wavelet transformation low frequency frequency (a perhaps approximate subband), and finished in high-frequency sub-band and to have derived, the part that the coefficient of described tree is arranged in relevant pixel is set and is corresponding with level of signification separately, described setting is defined by the form of magnitude test, this has caused the classification of effective information in three sequence list, these three tabulations are hereinafter referred to as invalid setting tabulation (lis), invalid pixel tabulation (lip) and effectively pixel tabulation (lsp), thereby carry out described test described original pixels basis of design decomposable process is resolved into described local the setting, be encoded into described binary representation until each coefficient of efficiency, and transient state direction tree in described space has defined space transient state relation in described hierarchical structure, described spiht rule comprises the steps: initialization, sort pass, improve and transmit, quantization step upgrades, described method is characterized in that, the computing rule of representing according to appendix b:(a) in initialization step:if-when in each effective rank, analyzing one by one, they all have invalid child node, then at three color plane y, u, three coefficients corresponding to same position among the v are input among the lis in proper order, thereby occupied position adjacent, and the holding position is together in described lis in ensuing sort pass;the last bit-planes of the invalid child node of-brightness has hinted the invalid child node of colourity, n i, be to calculate, and output in the bit stream according to the setting level of signification of the coefficient in the root band; (b) from n maxto n isort pass in, if two coefficients that have invalid child node and follow described coefficient in lis when a luminance factor satisfy three following conditions: -they are respectively u, the v coefficient;-they and described luminance factor has same space transient state coordinate;-they all have invalid child node;adopt an independent encoding symbols so in this case, under every other situation, output bit flow is not made amendment according to original spiht rule.
1,164
58222
응용 소프트웨어 개발 및 공급업
특허
ko
en
다채널 코딩에서 스테레오 채움을 위한 장치 및 방법3개 또는 그보다 많은 현재 오디오 출력 채널들을 얻기 위해 현재 프레임의 인코딩된 다채널 신호를 디코딩하기 위한 장치가 제공된다. 다채널 프로세서는 제1 다채널 파라미터들에 따라 3개 또는 그보다 많은 디코딩된 채널들로부터 2개의 디코딩된 채널들을 선택하도록 적응된다. 더욱이, 다채널 프로세서는 상기 선택된 채널들에 기초하여 2개 또는 그보다 많은 처리된 채널들의 제1 그룹을 생성하도록 적응된다. 잡음 채움 모듈은 선택된 채널들 중 적어도 하나에 대해, 모든 스펙트럼 라인들이 0으로 양자화되는 하나 또는 그보다 많은 주파수 대역들을 식별하고, 부가 정보에 따라, 디코딩된 3개 또는 그보다 많은 이전 오디오 출력 채널들의 적절한 서브세트를 사용하여 믹싱 채널을 생성하고, 그리고 모든 스펙트럼 라인들이 0으로 양자화되는 주파수 대역들의 스펙트럼 라인들을 믹싱 채널의 스펙트럼 라인들을 사용하여 생성된 잡음으로 채우도록 적응된다.3개 또는 그보다 많은 이전 오디오 출력 채널들을 얻기 위해 이전 프레임의 이전 인코딩된 다채널 신호를 디코딩하기 위한, 그리고 3개 또는 그보다 많은 현재 오디오 출력 채널들을 얻기 위해 현재 프레임의 현재 인코딩된 다채널 신호(107)를 디코딩하기 위한 장치(201)로서,상기 장치(201)는 인터페이스(212), 채널 디코더(202), 상기 3개 또는 그보다 많은 현재 오디오 출력 채널들을 생성하기 위한 다채널 프로세서(204), 및 잡음 채움 모듈(220)을 포함하고,상기 인터페이스(212)는 상기 현재 인코딩된 다채널 신호(107)를 수신하고, 그리고 제1 다채널 파라미터들(MCH_PAR2)을 포함하는 부가 정보를 수신하도록 적응되며,상기 채널 디코더(202)는 상기 현재 프레임의 3개 또는 그보다 많은 디코딩된 채널들(D1, D2, D3)의 세트를 얻기 위해 상기 현재 프레임의 상기 현재 인코딩된 다채널 신호를 디코딩하도록 적응되고,상기 다채널 프로세서(204)는 상기 제1 다채널 파라미터들(MCH_PAR2)에 따라 상기 3개 또는 그보다 많은 디코딩된 채널들(D1, D2, D3)의 세트로부터 2개의 디코딩된 채널들(D1, D2)의 제1 선택된 쌍을 선택하도록 적응되고,상기 다채널 프로세서(204)는 3개 또는 그보다 많은 디코딩된 채널들(D3, P1*, P2*)의 업데이트된 세트를 얻기 위해 2개의 디코딩된 채널들(D1, D2)의 상기 제1 선택된 쌍에 기초하여 2개 또는 그보다 많은 처리된 채널들(P1*, P2*)의 제1 그룹을 생성하도록 적응되며,상기 다채널 프로세서(204)가 2개의 디코딩된 채널들(D1, D2)의 상기 제1 선택된 쌍에 기초하여 상기 2개 또는 그보다 많은 처리된 채널들(P1*, P2*)의 제1 쌍을 생성하기 전에, 상기 잡음 채움 모듈(220)은 2개의 디코딩된 채널들(D1, D2)의 상기 제1 선택된 쌍의 2개의 채널들 중 적어도 하나에 대해, 모든 스펙트럼 라인들이 0으로 양자화되는 하나 또는 그보다 많은 주파수 대역들을 식별하도록, 그리고 상기 3개 또는 그보다 많은 이전 오디오 출력 채널들의 2개 또는 그보다 많은, 그러나 전부는 아닌 이전 오디오 출력 채널들을 사용하여 믹싱 채널을 생성하도록, 그리고 모든 스펙트럼 라인들이 0으로 양자화되는 상기 하나 또는 그보다 많은 주파수 대역들의 스펙트럼 라인들을 상기 믹싱 채널의 스펙트럼 라인들을 사용하여 생성된 잡음으로 채우도록 적응되며, 상기 잡음 채움 모듈(220)은 상기 믹싱 채널을 생성하기 위해 사용되는 2개 또는 그보다 많은 이전 오디오 출력 채널들을 상기 부가 정보에 따라 상기 3개 또는 그보다 많은 이전 오디오 출력 채널들로부터 선택하도록 적응되는,인코딩된 다채널 신호를 디코딩하기 위한 장치(201).
Apparatus and method for stereo filling in multichannel codingIt is proposed that a kind of multi-channel signal for the coding to present frame is decoded to obtain the device of three or more present video output channels.Multichannel processor is suitable for selecting two decoded sound channels from three or more decoded sound channels according to the first multi-channel parameter.In addition, multichannel processor is suitable for generating the sound channel of first group of two or more processing based on selected sound channel.Noise filling module is suitable for identifying one or more frequency bands that its internal all spectral line is quantified as zero at least one sound channel in selected sound channel, and it is suitable for generating mixed layer sound channel using the appropriate subset of three or more decoded preceding audio output channels according to auxiliary information, and is suitable for the noise to use the spectral line of the mixed layer sound channel to generate to fill the spectral line that its internal all spectral line is quantified as zero frequency band.A kind of multi-channel signal for the previous coding to previous frame is decoded to obtain three or more previous sounds frequency output channels and multi-channel signal (107) for the present encoding of present frame is decoded to obtain three or more the device (201) of a present video output channels,wherein, described device (201) include interface (212), channel decoder (202), for generate it is described three or more the multichannel processor (204) and noise filling module (220) of present video output channels,wherein, the interface (212) is suitable for receiving the multi-channel signal (107) of the present encoding, and is suitable for receiving and includes the auxiliary information of first multi-channel parameter (mch_par2),wherein, the channel decoder (202) is suitable for solving the multi-channel signal of the present encoding of the present frame code to obtain the set of three or more decoded sound channels (d1, d2, d3) of the present frame,wherein, the multichannel processor (204) is suitable for according to first multi-channel parameter (mch_par2) from described three or more select in the set of decoded sound channel (d1, d2, d3) it is right selected by the first of two decoded sound channels (d1, d2),wherein, it is selected to life to be suitable for first based on described two decoded sound channels (di, d2) for the multichannel processor (204) at the sound channel (p1*, p2*) of first group of two or more processing, to obtain three or more updated decoded sound channels the set of (d3, p1*, p2*),wherein, it is based on selected by the first of described two decoded sound channels (d1, d2) in the multichannel processor (204) to generation before first pair of sound channel of the sound channel (p1*, p2*) of the two or more processing, the noise filling module (220) is suitable for it is identified in it at least one sound channel in first selected pair of described two decoded sound channels (d1, d2) of two sound channels all spectral lines in portion are quantified as zero one or more frequency bands, and are suitable for exporting using three or more described preceding audios two or more but not all sound channel in sound channel generate mixed layer sound channel, and the spectrum being suitable for use the mixed layer sound channel the noise that line generates fills the spectral line that its internal all spectral line is quantified as zero one or more of frequency bands, wherein institute noise filling module (220) are stated to be suitable for being selected from three or more described preceding audio output channels according to the auxiliary information select two or more preceding audio output channels for generating the mixed layer sound channel.
1,165
29261
산업용 섬유 세척, 염색, 정리 및 가공 기계 제조업
특허
ko
en
섬유 반응성 아조 염료 및 그 사용하이드록실 및/또는 카르복사미드를 함유하는 재료, 특히 셀룰로오스 섬유 등의 섬유 재료(예를 들면 면, 필라멘트 비스코스) 및 울 및 합성 폴리아미드 섬유를 염색하는데 유용한 청구항 1에 있어서 표시 및 규정되는 식으로 표시되는 황색 염료를 포함한 염료 혼합물에 대해 설명한다.이하로 표시하고 규정하는 일반식(1)(으)로 표시되는 1종 또는 복수의 염료와 이하로 표시하고 규정하는 일반식(2a)또는(2b)혹은(2a) 및 (2b)으로 표시되는 1종 또는 복수의 염료를 포함하고 상기 염료(1)와 상기 염료(2a) 또는(2b) 혹은 상기 염료(2a) 및 (2b)의 조합과의 몰비가70:30~30:70인 염료 혼합물로서, 상기 식 중, M는 수소 또는 알칼리 금속이며 Y1는 비닐 또는 에틸로서, 상기 에틸은β위가 알칼리 탈리 가능한 치환기로 치환되어 있고 D는 벤젠 또는 나프탈렌의 기이며 R1는 수소, 염소, 탄소 원자를1~4개 가지는 알킬, 탄소 원자를1~4개 가지는 알콕시, 또는 설포이며 R2는 수소, 탄소 원자를1~4개 가지는 알킬또는 탄소 원자를1~4개 가지는 알콕시이며 R3는 수소, 탄소 원자를1~4개 가지는 알킬, 탄소 원자를1~4개 가지는 알콕시 또는 설포이며 R4는 수소, 탄소 원자를1~4개 가지는 알킬, 탄소 원자를1~4개 가지는 알콕시 또는 설포이며 R5는 수소, 탄소 원자를1~4개 가지는 알킬, 탄소 원자를1~4개 가지는 알콕시 또는 설포이며 R는 아미노또는 탄소 원자를1~6개 가지는 알킬로서, 치환될 수 있고 RA는 아미노혹은 탄소 원자를1~4개 가지는 알킬혹은 카르복시, 설포 또는―SO2-Y3기로 치환되어 있는 탄소 원자를1~4개 가지는 알킬로서, Y3는 Y1의 요소 중의 하나를 가지며, RB는 아미노혹은 탄소 원자를1~4개 가지는 알킬혹은 카르복시, 설포 또는―SO2-Y3기로 치환되어 있는 탄소 원자를1~4개 가지는 알킬로서, Y3는 Y1의 요소 중의 하나를 가지며, R6는 수소, 탄소 원자를1~4개 가지는 알킬, 탄소 원자를1~4개 가지는 알콕시, 하이드록실, 할로겐, 카르복실 또는 시아노이며 R7는 수소, 탄소 원자를1~4개 가지는 알킬또는 탄소 원자를1~4개 가지는 알콕시, m는 1,2또는 3이며 Z는 할로 피리미딘, 디클로로퀴녹살린 또는 할로 트리아진의 기에서 선택되는 섬유 반응성기로서, 이들은 경우에 따라 에테르 또는 아미노기에 의해 치환되어 있고 여기서 상기 할로 트리아진의 기도 또한 일반식-SO2-Y로 표시되는 섬유 반응성기에 결합할 수 있어 Y는 Y1의 요소 중의 하나를 가지며, 상기 기는 가교 인자를 통해 트리아진기에 결합하고 있어, Z0는 일반식(3)의 2가의 기이며 상기 식 중, Hal는 염소 또는 불소 및 W는 페닐렌, 알킬렌, 페닐렌-알킬렌-페닐렌, 페닐렌-알케닐렌-페닐렌 또는 페닐렌-페닐렌 혹은 일반식 phen-G-phen의 기이며 여기서 상기 페닐렌기는 메톡시, 에톡시, 메틸, 에틸, 설포 및 카르복실로 구성되는 군에서 선택되는 1또는 2개의 기로 치환될 수 있고 상기 알킬렌기는1~6개의 탄소 원자를 함유하고 설포 또는 카르복실로 치환되어 있는 및/또는 1또는 2개의 헤테로 기가 삽입되어 있어도 좋고 상기 알케니렌기는2~4개의 탄소 원자를 가지는 기이며 그리고 여기서 phen는 상기에 규정의 페닐렌에 등가이며 G는 -O-, -NH-, -CO-또는―NH-CO-NH-인 염료 혼합물.
FIBER-REACTIVE AZO DYE AND ITS USEIn the manner indicated and defined in claim 1 useful for dyeing materials containing hydroxyl and/or carboxamides, in particular fibrous materials such as cellulosic fibers (e.g. cotton, filament viscose) and wool and synthetic polyamide fibers The dye mixture containing the indicated yellow dye is described.The one or more dyes represented by the general formula (1) displayed and defined below, and the general formula (2A) or (2B) or (2A) and (2B) represented below or (2A) and (2B) The molar ratio of the dye (1) and the dye (2a) or (2b) or the dye (2a) and (2b) is 70: 30 to 30, which comprise one or more dyes to be A dye mixture that is 70, wherein M is hydrogen or alkali metal, Y1 is vinyl or ethyl, said ethyl is substituted with alkaline desorption substituents. , D is a group of benzene or naphthalene, R1 is hydrogen, chlorine, alkyl having 1 to 4 carbon atoms, alkoxy having 1 to 4 carbon atoms, or sulfo, R2 is hydrogen and carbon atoms It is alkoxy having 1 to 4 alkyl or carbon atoms having 4 pieces, R3 is hydrogen, alkyl having 1 to 4 carbon atoms, alkoxy or sulfo having 1 to 4 carbon atoms, R4 is hydrogen, R4 Alkyl having 1 to 4 carbon atoms, alkoxy or sulfo having 1 to 4 carbon atoms, R5 is hydrogen, alkyl having 1 to 4 carbon atoms, alkoxy or sulfo having 1 to 4 carbon atoms Yes, R is alkyl having 1 to 6 amino or carbon atoms, may be substituted, and Ra is an alkyl or carboxy, sulfo or -SO2-Y3 group having an amino or carbon atom. Alkyl having 1 to 4 carbon atoms is substituted, Y3 has one of the elements of Y1, and RB has an amino or carbon atom with 1 to 4 alkyl or carboxy, sulfo or- Alkyl having 1 to 4 carbon atoms substituted with SO2-Y3 groups, Y3 has one of the elements of Y1, and R6 is hydrogen and alkyl having 1 to 4 carbon atoms, Alkoxy, hydroxyl, halogen, carboxyl or cyano having 1 to 4 carbon atoms, R7 is hydrogen or alkyl having 1 to 4 carbon atoms or alkoxy having 1 to 4 carbon atoms, M is 1, 2 or 3, Z is a fiber reactive group selected from the group of halopyrimidine, dichloroquinoxaline or halotriazine, and these are optionally substituted by ether or amino groups, where the halotriazine is The group is also bound to the fiber reactive group represented by the general formula-SO2-Y, and One of the elements of Y1 has one of the elements of Y1, which is linked to a triazine group via a crosslinking factor, and Z0 is a divalent group of the general formula (3), and in the above formula, HAL is Chlorine or fluorine, and W are phenylene, alkylene, phenylene-alkylene-phenylene, phenylene-phenylene-phenylene or phenylene-phenylene or general formula phen-g-phen group, where said phenylene group is methoxy, ethoxy, It may be substituted with one or two groups selected from the group consisting of methyl, ethyl, sulfo and carboxyl, and the alkylene group contains 1 to 6 carbon atoms, and is substituted with sulfo or carboxyl And / or one or two heterogenees may be inserted, the alkenylene group is a group having 2 to 4 carbon atoms, where Phen is equivalent to phenylene as described above G is a dye mixture, wherein G is -O-, -NH-, -CO- or -NH-CO-NH-.
1,166
25111
금속 문, 창, 셔터 및 관련제품 제조업
특허
ko
en
외부로부터 설치 가능한 면격자기설 주택의 창틀에 거주자의 재 부재에 관계없이 건물 밖에서 방범창을 설치 가능하게 한다.방범창 21비스듬하게 지지하면서 끼워넣기 홈 24를 창틀 섀시 10의 리브 11 u에 피감하고 그 방범창 21을 일으켜 창틀 섀시 10의 리브 10 t에 적합시키고 방범창 21의 상부 동살 22 t의 모서리 홈 테두리 25 내에 방범창 중간 설치 중간 부재 30과 리브괵지 부재 40을 절포함 26을 거쳐 장전하고 방범창 중간 설치 중간 부재 30을 모서리 홈 테두리 25 내에 고정하고 리브 고정부재 40을 비스51과 함께 들어 올려 체결해 방범창 21을 창틀 섀시 10의 리브 11 t에 고정한다.(A) 방범창(21)의 상하 동살(22 t, 22u)에서 연속해 섀시(창틀)(10)를 향해서 연장되는 브라켓판(23 t, 23u)을 연설하고 그 브라켓판(23u)의 단연에 섀시(10)의 리브(11)에 피감하는 끼워넣기 홈(24)을 형성하고 상측의 브라켓판(23t)의 단연에 각 모양 홈 테두리(25)가 상기 끼워넣기 홈(24) 측에 개구하도록 직각에 절곡한 상태에서 형성하고 이 각 모양 홈 테두리(25)의 외면의 적어도 2개소에 절포함(26)을 설치하고 이 절포함(26)의 대향면 중앙에서 좌우 대칭 위치에 종방향 2개의 긴 구멍(27)과 그 긴 구멍(27)의 중앙 및 양 외측에 클리어런스 홀(28 c, 28s)을 설치하고 상기 절포함(26)의 양측에서 상기 긴 구멍(27)의 양 외측의 클리어런스 홀(28s)에 대응하는 위치에 암나사(29)를 마련한 설치 동살(20)과(B) 기판(31)의 상기 긴 구멍(27)을 향하는 테두리에 상기 절포함(26) 을 빠져 나가는 폭으로 상기 긴 구멍(27)과 클리어런스 홀(28c)에 대응하는 긴 구멍(37)과 상기 클리어런스 홀(28c)에 대응하는 암나사(38)를 마련한 직각 시동편(33)을 설치하고 상기 암나사(29)로 향하는 테두리의 양단에 직각 시작한 설치편(32)을 형성하고 암나사(39)를 마련한 방범창 설치 중간 부재(30)와(C) 기판(41)의 상기 긴 구멍(37)으로 향하는 테두리에 직각 시동편(42)을 설치하고 이 직각 시동편(42)의 중앙에서 대칭으로 또한 상기 긴 구멍(27, 37)에 대응하는 위치에 암나사(47)를 설치하고 다른 한쪽의 테두리 중앙에 상기 절포함(26)의 폭보다 불과에 좁은 폭의 직각 시동편(43)을 마련한 섀시의 리브괵지 부재(40)로 구성되는 것이며 방범창 설치 중간 부재(30)의 상하 슬라이드편(33)과 설치편(32)의 사이에 림괵지 부재(40)를 끼워 넣기, 긴 구멍(37)을 통해 암나사(47)에 비스(51)를 나사 체결, 그 상태의 방범창 설치 중간 부재(30)를 절포함(26)의 부분을 통해 모서리 홈 테두리(25)에 삽입하고 설치편(32)의 암나사(39)에 클리어런스 홀(29)을 통해 비스(52)를 나사 붐비어 방범창 설치 중간 부재(30)를 모서리 홈 테두리(25)에 고정하고 리브 고정부재(40)는 긴 구멍(37)의 범위 내에서 상하로 이동 가능 상태로 해 둔다 이와 같이 한 상태에서 방범창을 약간 비스듬하게 하고 하측의 브라켓판(23u)의 끼워넣기 홈(24)을 섀시(10)의 리브(11)에 피감하고 리브괵지 부재(40)를 아래에 침체한 상태에서 방범창을 일으켜, 방범창 설치 중간 부재(30)와 함께 리브괵지 부재(40)를 섀시(10)의 리브(11) 측에 리프트 비스(51)를 체결해 고정하고 마지막에 클리어런스 홀(28c)을 통해 목 부분에 나사가 없는 비스(53)를 암나사(38)에 나사 붐비어서 이루어지는 외부로부터 설치 가능 방범창.
SURFACE LATTICE ATTACHABLE FROM OUTSIDETo attach a surface lattice to a window frame of an existing house from the outside of a building whether a resident is present or not. A fitting groove 24 is fitted to a rib 11u of a window frame sash 10 while obliquely holding a surface lattice 21, the surface lattice 21 is raised and suited to a rib 10t of the window frame sash 10, and a surface lattice intermediate attachment intermediate member 30 and a rib holding member 40 are loaded through a cut 26 into a corner groove edge 25 of an upper horizontal crossbar 22t of the surface lattice 21. The surface lattice intermediate attachment intermediate member 30 is fixed inside the corner groove edge 25, a rib fixing member 40 is lifted and fastened together with a machine screw 51, and the surface lattice 21 is fixed to the rib 11t of the window frame sash 10.(A) A bracket plate (23t, 23u) extending towards sash (window frame) (10) continuously from the upper and lower side rules (21) of the surface grid (21) is extended, and the bracket plate ( 23u) Forms a fitting groove (24) to be fitted to the rib (11) of the sash (10), and the horny groove edge (25) is the fitting groove on the edge of the upper bracket plate (23T). (24) A folded state so as to open to the side (24), the incision (26) is provided at least two places on the outer surface of the angular groove edge (25), and the center of the opposing surface of this incision (26) From the longitudinally two long holes (27) to the left-right symmetrical position, the central hole (28c, 28 s) of the long hole (27) is provided, and the long hole on both sides of the cut (26). A mounting truck (20) provided with a female screw (29) at a position corresponding to the buckwhole hole (28 s) on the outer side of (27), the edge towards the long hole (27) of the substrate (31) In the width of passing through the cut (26), the long hole (27) and the boring hole (28c) and the female screw (38) corresponding to the buckling hole (28c) were provided. A cross-angle starting piece (33) is provided, and a surface grid mounting intermediate member (30 A perpendicular rising piece (42) is provided at the edge facing the long hole (37) of (C) of the substrate (41), and the above-mentioned long hole (27) , 37) Provide a female screw (47) at a position corresponding to the other edge, a rib grabbing of a sash with a right angle laid piece (43) with a width slightly narrower than the width of the abutment (26) above. A member (40) consists of a member (40), and the rim gripping member (40) is fitted between the upper and lower slide pieces (33) and the mounting piece (32) of the surface grid mounting intermediate member (30), and the long hole ( 37) Threading the screw (51) to the female screw (47), the surface grid mounting intermediate member (30) in that state is inserted into the angular groove (25) through the portion of the cut (26), and the mounting piece (32) Screw boss (52) through a buckle (29) in the female screw (39) of the surface groove (39) and fix the surface grid mounting intermediate member (30) to the corner groove (25), and the rib fixing member (40) is a long hole (37 In the range in the range of), the surface groove is slightly oblique in such a way, and the lower bracket plate (23U) fitting groove (24) is a rib (11) of sash (10) Instructed on the rib gripping member (40 A face groove with the lowered state, and the rib gripping member (40) with the surface grid mounting intermediate member (30) is fixed to the rib (11) side of the sash (10) and fixed to fix the bis (51). Finally, a bis (53) with no screw (53) is screwed into the female screw (38) through the buckle hole (28c).
1,167
24312
강 주물 주조업
특허
ko
en
연속 주조 중 쏟아지는 마지막 단계에서 LADLE에서 슬래그 포착을 억제하는 제어 방법 및 장치연속 주조 생산 동안 래들 (1)에서 슬래그 덫을 억제하는 제어 방법 및 장치. 최적의 제어 모델 계산 유닛 (11)은 래들 중량 검출기 (4), 용융 강철 유동 필드 검출기 (5), 슬래그 검출기 (7), 슬라이딩 게이트 개구 검출기 (9)에 의해 전송 된 관련 신호 및 데이터를 수신한다. 및 프로세스 신호 인터페이스 유닛 (10)은 최적의 제어 모델에 따라 계산 및 분석을 수행하여 해당 최적의 제어 전략을 얻고, 전략을 전자기 브레이크 (6) 및 슬래그 덫에 대하여 슬라이딩 게이트 컨트롤러 (8)에 출력한다. 억제 통제. 와류가 형성 될 수있는 두 가지 공정, 다양한 최적의 통제 전략을 각각 억제하거나 슬래그 생성이 연기되거나 슬래그를 가져 오지 않고 용융 된 강이 흘러 들어갈 수 있으므로 잔류 국자를 감소시킨다. 강철 및 용강 수율 향상.연속 주조 공정에서 짐승의 최종 단계에서 슬래그 포장을 억제하는 제어 방법은 다음의 단계를 포함하는, (1) 강철의 타입 코드를 수집하고 국외 자체의 가중치를 수집하여 점도성을 얻는다. 용강과 래들의 죽은 무게; (2) 국적의 총 중량을 측정하고, 그 래들의 총 중량을 빼고 용강의 순중량을 얻고 국자의 용강의 실제 액체 레벨을 계산하는 국자의 총 중량을 뺀다. 국자의 모양과 크기; (3) 용강의 액체 레벨에 기초하여 슬래그 포장 제어 공정을 수행 해야하는지 여부를 판단하는 단계; 조건이 충족되면 다음 단계로 진행. 그렇지 않으면, 단계 (2)로 돌아가서 측정을 계속. (4) 용융 강철 유동장의 분포를 측정하기위한 장치를 사용하여 상기 전류 와류 표면 크기 및 소용돌이 높이를위한 용강을 측정하는 단계; (5) 라일의 슬라이드 게이트 노즐 개방 정도를 측정하기위한 장치를 이용하여 노즐 개방 정도를 측정하는 단계; (6) 강철 슬래그 검출 장치를 사용하여 현재의 강철 슬래그 함량을 측정하는 단계; (7) 스틸 슬래그 함량에 기초하여 황삭 슬래그가 발생했는지 여부를 판단하는 단계; 황삭 슬래그를 나타내는 조건이 충족되면, (9) 단계로 진행하여 소용돌이를 파괴하기위한 제어 프로세스를 수행한다. 그렇지 않으면 소용돌이를 억제하기위한 제어 공정을 수행하기 위해 단계 (8)로 진행한다. (8) 탭 구멍 위의 용강의 표면에서 딤플 볼 텍스의 형성의 시작으로부터의 딤플 볼 방지의 형성의 시작으로부터의 탭 홀의 표면에서의 최적화 제어 공정 인 소용돌이를 억제하는 제어 공정을 수행하는 단계는 제어 파라미터는 용강의 점도 성질과 조합하여 측정 된 와류 표면 크기, 와류 높이, 노즐 개막도 및 강철 슬래그 함량을 기준으로 와류를 억제하기위한 최적화 모델을 사용하여 계산된다. 전자기 브레이크가 작동된다. 새로 형성된 딤플 소용돌이를 억제하기 위해 용강의 흐름 방향과 반대 방향으로 방해하고, 거친 슬래그의 발생이 지연되고, 래들 내의 잔류 용강이 감소된다. (9) 관통 소용돌이의 형성 후의 최적화 제어 공정 인 소용돌이를 파괴하기위한 제어 공정을 수행하는 단계는, 슬라이드 게이트 노즐의 제어 파라미터 및 전자기 힘의 제어 파라미터가 와류를 파괴하기위한 최적화 모델을 사용하여 계산된다. 용강의 점도 특성과 함께 와류 표면 크기, 소용돌이 높이, 노즐 개방도의 측정 된 데이터 및 슬라이드 게이트 노즐과 전자기 브레이크가 공동으로 조절되어 형성된 물방울을 통해 형성되거나 흡입력을 약화시킨다. 소용돌이, 슬래그 포장이 방지되면 슬래그가 국도에 유지되고 용강이 흐르는 것을 특징으로 하는 연속 주조 공정.
CONTROL METHOD AND APPARATUS FOR INHIBITING SLAG ENTRAPMENT IN LADLE IN LAST STAGE OF POURING DURING CONTINUOUS CASTINGA control method and apparatus for inhibiting slag entrapment in ladle (1) during continuous casting production. An optimal control model calculating unit (11) receives related signals and data sent by a ladle weight detector (4), a molten steel flow field detector (5), a slag detector (7), a sliding gate opening detector (9), and a process signal interface unit (10), performs calculation and analysis according to an optimal control model to obtain a corresponding optimal control strategy, and outputs the strategy to an electromagnetic brake (6) and a sliding gate controller (8) for slag entrapment inhibition control. Regarding the two processes where a vortex may be formed, by means of different optimal control strategies, which respectively inhibit or destroy the formation of a vortex, slag generation is postponed, and molten steel may flow out without bringing slag out, thereby reducing residual ladle steel and improving molten steel yield.A control method for inhibiting slag entrapment at a final phase of ladle teeming in a continuous casting process, comprising the following steps: (1) Collecting a type code of a steel being teemed and a weight of a ladle itself to obtain a viscosity property of a molten steel and a dead weight of the ladle; (2) Measuring a total weight of the ladle, subtracting the dead weight of the ladle from said total weight of the ladle to obtain a net weight of the molten steel, and calculating an actual liquid level of the molten steel in the ladle based on a shape and a size of the ladle; (3) Judging whether a slag entrapment control process should be performed based on the liquid level of the molten steel; if a condition is met, proceeding to a next step; otherwise, returning to step (2) to continue with the measurement; (4) Measuring the molten steel for its current vortex surface size and vortex height using a device for measuring a distribution of a molten steel flow field; (5) Measuring a nozzle opening degree using a device for measuring a slide gate nozzle opening degree of a ladle; (6) Measuring a current steel slag content using a steel slag detecting device; (7) Judging whether the roughing slag has been occurred based on the steel slag content; if a condition indicating the roughing slag is met, proceeding to step (9) to perform a control process for destroying the vortex; otherwise, proceeding to step (8) to perform a control process for inhibiting vortex; (8) Performing the control process for inhibiting the vortex, which is an optimization control process in a period of time from start of formation of a dimple vortex at a surface of the molten steel above a tap hole to formation of a through vortex, wherein a controlling parameter is calculated using an optimization model for inhibiting vortex based on the measured vortex surface size, vortex height, nozzle opening degree and steel slag content in combination with the viscosity property of the molten steel, and an electromagnetic brake is actuated to generate a disturbing force opposite to a flow direction of the molten steel to inhibit the newly formed dimple vortex, and delay the formation of the through vortex, so that occurrence of the roughing slag is delayed, and residual molten steel in the ladle is reduced; (9) Performing the control process for destroying the vortex, which is an optimization control process after formation of the through vortex, wherein an controlling parameter of the slide gate nozzle and an electromagnetic force are calculated using an optimization model for destroying vortex based on the measured data of vortex surface size, vortex height, nozzle opening degree in combination with the viscosity property of the molten steel, and the slide gate nozzle and the electromagnetic brake are controlled jointly to dissipate or shift the formed through vortex and weaken a suction force of the vortex, so that slag entrapment is prevented, the slag is retained in the ladle, and the molten steel is allowed to flow out.
1,168
21101
의약용 화합물 및 항생물질 제조업
특허
ko
en
타겟화된 단백질들의 향상된 분해를 위한 화합물들 및 방법들본 발명은 타겟화된 유비퀴틴화의 조절제들, 특히, 본 발명에 따른 이작용성 화합물들에 의해 분해되고/거나 그밖에 억제되는 다양한 폴리펩티드들 및 다른 단백질들의 억제제들로서 유용성이 발견된, 이작용성 화합물들에 관한 것이다. 특히, 본 발명은 한편으로 유비퀴틴 리가아제에 결합하는 VHL 리간드를 함유하고, 다른 한편으로 타겟 단백질이 그러한 단백질의 분해(및 억제)를 달성하기 위해 유비퀴틴 리가아제의 근위에 배치되도록 타겟 단백질을 결합하는 모이어티를 함유하는, 화합물들에 관한 것이다. 본 발명은 타겟화된 폴리펩티드들의 분해/억제와 일치하는, 본 발명에 따른 화합물들과 관련된 광범위한 약리학적 활성들을 나타낸다.폰 히펠-린다우(VHL; Von Hippel-Lindau) E3 유비퀴틴 리가아제 결합 모이어티(ULM; ubiquitin ligase binding moiety), 및 직접적으로 또는 화학적 링커(L)를 통해 연결된 단백질 타겟팅 모이어티(PTM; protein targeting moiety)를 포함하는 이작용성 화합물, 또는 이의 약제학적으로 허용되는 염, 입체이성질체, 용매화물 또는 다형체로서,ULM이 하기 화학 구조에 따른 기인 화합물:상기 식에서, 각 R5 및 R6은 독립적으로, -OH, -SH, 또는 임의적으로 치환된 알킬이거나, R5, R6, 및 여기에 결합된 탄소 원자는 카보닐을 형성하며;R7은 H 또는 임의적으로 치환된 알킬이며;E는 결합, C=O, 또는 C=S이며;G는 결합, 임의적으로 치환된 알킬, -COOH 또는 C=J이며;J는 O 또는 N-R8이며;R8은 H, CN, 임의적으로 치환된 알킬 또는 임의적으로 치환된 알콕시이며;M은 임의적으로 치환된 아릴, 임의적으로 치환된 헤테로아릴, 임의적으로 치환된 헤테로시클릭 또는 이며;각 R9 및 R10은 독립적으로, H; 임의적으로 치환된 알킬, 임의적으로 치환된 시클로알킬, 임의적으로 치환된 하이드록시알킬, 임의적으로 치환된 티오알킬, 디설파이드 연결된 ULM, 임의적으로 치환된 헤테로아릴, 또는 할로알킬이거나; R9, R10, 및 여기에 결합된 탄소 원자는 임의적으로 치환된 시클로알킬을 형성하며;R11은 임의적으로 치환된 헤테로시클릭, 임의적으로 치환된 알콕시, 임의적으로 치환된 헤테로아릴, 임의적으로 치환된 아릴 또는 이며;R12는 H 또는 임의적으로 치환된 알킬이며;R13은 H, 임의적으로 치환된 알킬, 임의적으로 치환된 알킬카보닐, 임의적으로 치환된 (시클로알킬)알킬카보닐, 임의적으로 치환된 아르알킬카보닐, 임의적으로 치환된 아릴카보닐, 임의적으로 치환된 (헤테로시클릴)카보닐, 또는 임의적으로 치환된 아르알킬; 임의적으로 치환된 (옥소알킬)카바메이트이며;각 R14는 독립적으로, H, 할로알킬, 임의적으로 치환된 시클로알킬, 임의적으로 치환된 알킬 또는 임의적으로 치환된 헤테로시클로알킬이며;R15는 H, 임의적으로 치환된 헤테로아릴, 할로알킬, 임의적으로 치환된 아릴, 임의적으로 치환된 알콕시, 또는 임의적으로 치환된 헤테로시클릴이며;각 R16은 독립적으로, 할로, 임의적으로 치환된 알킬, 임의적으로 치환된 할로알킬, CN, 또는 임의적으로 치환된 할로알콕시이며;각 R25는 독립적으로, H 또는 임의적으로 치환된 알킬이거나; 두 개의 R25 기 모두는 함께 결합되어 옥소 또는 임의적으로 치환된 시클로알킬 기를 형성할 수 있으며;R23은 H 또는 OH이며; Z1, Z2, Z3, 및 Z4는 독립적으로, C 또는 N이며;o는 0, 1, 2, 3, 또는 4이다.
COMPOUNDS AND METHODS FOR THE ENHANCED DEGRADATION OF TARGETED PROTEINSThe present invention relates to bifunctional compounds, which find utility as modulators of targeted ubiquitination, especially inhibitors of a variety of polypeptides and other proteins which are degraded and/or otherwise inhibited by bifunctional compounds according to the present invention. In particular, the present invention is directed to compounds, which contain on one end a VHL ligand which binds to the ubiquitin ligase and on the other end a moiety which binds a target protein such that the target protein is placed in proximity to the ubiquitin ligase to effect degradation (and inhibition) of that protein. The present invention exhibits a broad range of pharmacological activities associated with compounds according to the present invention, consistent with the degradation/inhibition of targeted polypeptides.A bifunctional compound comprising a Von Hippel-Lindau (VHL) E3 ubiquitin ligase binding moiety (ULM), and a protein targeting moiety (PTM) connected directly or through a chemical linker (L);wherein ULM is a group according to the chemical structure:wherein, each R5 and R6 is independently -OH, -SH, or optionally substituted alkyl or R5, R6, and the carbon atom to which they are attached form a carbonyl;R7 is H or optionally substituted alkyl;E is a bond, C=O, or C=S;G is a bond, optionally substituted alkyl, -COOH or C=J;J is O or N-R8;R8 is H, CN, optionally substituted alkyl or optionally substituted alkoxy;M is optionally substituted aryl, optionally substituted heteroaryl, optionally substituted heterocyclic or each R9 and R10 is independently H; optionally substituted alkyl, optionally substituted cycloalkyl, optionally substituted hydroxyalkyl, optionally substituted thioalkyl, a disulphide linked ULM, optionally substituted heteroaryl, or haloalkyl; or R9, R10, and the carbon atom to which they are attached form an optionally substituted cycloalkyl;R11 is optionally substituted heterocyclic, optionally substituted alkoxy, optionallysubstituted heteroaryl, optionally substituted aryl, or R12 is H or optionally substituted alkyl;R13 is H, optionally substituted alkyl, optionally substituted alkylcarbonyl, optionally substituted (cycloalkyl)alkylcarbonyl, optionally substituted aralkylcarbonyl, optionally substituted arylcarbonyl, optionally substituted (heterocyclyl)carbonyl, or optionally substituted aralkyl; optionally substituted (oxoalkyl)carbamate, each R14 is independently H, haloalkyl, optionally substituted cycloalkyl, optionally substituted alkyl or optionally substituted heterocycloalkyl;R15 is H, optionally substituted heteroaryl, haloalkyl, optionally substituted aryl, optionally substituted alkoxy, or optionally substituted heterocyclyl;each R16 is independently halo, optionally substituted alkyl, optionally substituted haloalkyl, CN, or optionally substituted haloalkoxy;each R25 is independently H or optionally substituted alkyl; or both R25 groups can be taken together to form an oxo or optionally substituted cycloalkyl group;R23 is H or OH;Z1, Z2, Z3, and Z4 are independently C or N; ando is 0, 1, 2, 3, or 4, or a pharmaceutically acceptable salt, stereoisomer, solvate or polymorph thereof. 2. The compound of claim 1, wherein R15 is selected from the group consisting of:wherein R30 is H or an optionally substituted alkyl. 3. The compound of claim 1 or 2, wherein E is C=O; M is R11 is R18 is independently halo, optionally substituted alkoxy, cyano, optionally substituted alkyl, haloalkyl, or haloalkoxy; andp is 0, 1, 2, 3, or 4. 4. The compound of any of claims 1-3, wherein R11 is selected from the group consisting of: 5. The compound of claim 1 or 2, whereinE is C=O; M is ;R11 is optionally substituted heteroaryl or optionally substituted heterocyclic or ;q is 1 or 2;R20 is H, optionally substituted alkyl, optionally substituted cycloalkyl, optionallysubstituted aryl, or R21 is H or optionally substituted alkyl; andR22 is H, optionally substituted alkyl, optionally substituted alkoxy, or haloalkyl. 6. The compound of claim 1 or 2, whereinE is C=O; M is R11 is an optionally substituted heterocycle, an optionally substituted heteroaryl, anoptionally substituted aryl or .R12 is H or optionally substituted alkyl;R13 is H, optionally substituted alkyl, optionally substituted alkylcarbonyl, optionally substituted (cycloalkyl)alkylcarbonyl, optionally substituted aralkylcarbonyl, optionally substituted arylcarbonyl, optionally substituted (heterocyclyl)carbonyl, or optionally substituted aralkyl; optionally substituted (oxoalkyl)carbamate, 7. The compound of claim 5 or 6, wherein R11 is selected from the group consisting of: 8. The compound of claim 1, wherein wherein ULM is a group according to the chemical structure:;wherein X is O or SY is H, methyl or ethylR17 is H, methyl, ethyl, hydoxymethyl or cyclopropyl;M is optionally substituted heteroaryl, optionally substituted aryl,R9 is H; R10 is H, optionally substituted alkyl, optionally substituted haloalkyl, optionally substituted heteroaryl, optionally substituted aryl, optionally substituted hydroxyalkyl, optionally substituted thioalkyl or cycloalkyl;R11 is optionally substituted heteroaromatic, optionally substituted heterocyclic,optionally substituted aryl or R12 is H or optionally substituted alkyl; andR13 is H, optionally substituted alkyl, optionally substituted alkylcarbonyl, optionally substituted (cycloalkyl)alkylcarbonyl, optionally substituted aralkylcarbonyl, optionally substituted arylcarbonyl, optionally substituted (heterocyclyl)carbonyl, or optionally substituted aralkyl; optionally substituted (oxoalkyl)carbamate, or a pharmaceutically acceptable salt, stereoisomer, solvate or polymorph thereof. 9. The compound of claim 1, according to the chemical structure:wherein Y is H, methyl or ethyl;R9 is H;R10 is isopropyl, te...
1,169
46710
연료, 연료용 광물 및 관련제품 도매업
특허
ko
en
LNG로부터 에탄과 중질 탄화수소를 분리 및 회수하기 위한 공정간소하고 경제적으로 리치(Rich) LNG(RLNG) 스트림(들)과 같은 액체/유체 스트림으로부터 중질의 성분들, 예컨대, NGL을 추출하는 공정이다. 이러한 공정은 컬럼 상부 증기 스트림에 대하여 열교환기(들)(LNGX) 내에서 RLNG 스트림을 가열하는 것을 포함하며, 열 LNGX의 전 또는 후로 분리함으로써 공급 스트림을 공급과 환류로 분리할 필요가 없다. 소스 액체 RLNG가 처리됨으로써 액체 NGL이 생성되며 이와 동시에 정제된 린(Lean) LNG (LLNG) 생성물이 액상 LNG 형태로 되돌아 가게 된다. 공정은 압축 설비가 필요 없이 기본적으로 작동한다. 공정은 압축기 없이 파이프라인 압력 및 사양에서 증발된 천연가스를 또한 제공한다. 이는 생성물 조성 및 생성물인 NGL/린 LNG/파이프라인 가스의 사양을 유연하게 변화시킬 수 있으며 설비 및 에너지 요구사항들에 있어 경제적으로 파이프라인 사양의 딥(deep) 99% 에탄(C2) 추출 모드 및 에탄(C2) 제거 NGL 회수 모드 양자에서 동작할 수 있는 시스템이다.a. 리치(Rich) LNG를 함유한 미분리 공급원료 스트림을 제공하는 단계로서, 상기 리치 LNG는 저장 탱크나 기타 소스로부터 공급되는 액체 상태의 것이며, C1 및 C2+ 탄화수소를 포함하고, 주변 저장 온도 및 압력을 가지는 것인, 단계;b. 상기 리치 LNG 공급원료를 저장압력으로부터 원하는 압력으로 가압하는 단계;c. 상기 리치 LNG 공급원료를 냉각면과 가열면을 구비한 열교환기의 상기 냉각면으로 펌핑하는 단계;d. 상기 열교환기 내에 있는 동안 증발하지 않도록 상기 리치 LNG 공급원료를 그 기포점 아래로 유지하면서 상기 리치 LNG 공급원료를 상기 열교환기 내에서 가열하는 단계;e. 미분리 리치 LNG 공급원료의 공급 스트림을 상기 열교환기로부터 처리 컬럼으로 향하도록 하는 단계로서, 상기 컬럼은 상기 컬럼의 높이를 따라 하나 이상의 스트림 유입 포트를 포함하여 상기 스트림을 상기 컬럼의 높이를 따라 하나 이상의 원하는 유입 위치에서 상기 컬럼으로 향하도록 하는, 단계;f. 상기 컬럼 내에서 경질 탄화수소 생성물을 포함하는 상부 가스 스트림, 및 중질 탄화수소 생성물을 포함하는 원하는 하부 액체 스트림을 포함한, 원하는 혼합물을 생성하는 단계;g. 상기 상부 가스 스트림을 상기 컬럼으로부터 상기 열교환기의 상기 가열면으로 향하도록 하는 단계;h. 차가운 리치 LNG 공급원료 스트림에 대해 상기 상부 가스 스트림을 냉각 및 응축하여, 전체적으로 또는 대부분, 린(Lean) LNG 생성물 스트림을 포함하는 액체, 가스로서 잔류하는 임의의 부수적인 잔류 미응축 상부 가스 스트림을 형성하는 단계;i. 상기 열교환기의 상기 가열면으로부터 상기 응축된 생성물 스트림을 수용 용기로 향하도록 하는 단계;j. 상기 액체 린 LNG 생성물을 상기 수용 용기로부터 원하는 위치로 펌핑하는 단계;k. 상기 하부 액체 스트림을 상기 컬럼으로부터 하나 이상의 리보일러(reboiler) 장치로 향하도록 하는 단계;l. 상기 하부 액체 스트림을 상기 리보일러 내에서 가열하는 단계;m. 상기 가열된 하부 스트림의 적어도 일부를 상기 컬럼으로 되돌려 보내는 단계로서, 상기 컬럼은 상기 컬럼의 높이를 따라 하나 이상의 가열된 하부 스트림 유입 포트를 더 구비하여, 상기 가열된 하부 스트림을 상기 컬럼의 높이를 따라 하나 이상의 원하는 가열된 하부 스트림 생성물 유입 위치에서 상기 컬럼으로 향하도록 하는, 단계;n. 상기 컬럼으로부터 또는 상기 리보일러로부터 직접 상기 컬럼 하부 스트림을 배출하고 상기 하부 스트림을 원하는 위치로 이송하는 단계; 및o. 상기 수용 용기의 임의의 가스를 원하는 위치로 이송하는 단계를 포함하는, LNG로부터 에탄 및 중질 탄화수소를 분리 회수하는 공정.
PROCESS FOR SEPARATING AND RECOVERING ETHANE AND HEAVIER HYDROCARBONS FROM LNGA process for extracting heavier components, e.g., NGL from liquid/fluid streams such as Rich LNG (RLNG) stream(s) with the streamlined economy. The process involves heating the RLNG stream in heat exchanger(s) (LNGX) against column overhead vapour stream; not requiring separation of Feed streams into feed and reflux by splitting either pre- or post- of heat LNGX. The source liquid RLNG is processed producing liquid NGL and at same time returning purified Lean LNG (LLNG) product in its Liquid LNG form. The process operates essentially without the need for compression equipment. The process further provides without compressors vaporized natural gas at pipeline pressure and specifications. This is a system that can flexibly change product compositions and specifications of product NGL/Lean LNG/Pipeline Gas and operate in both Pipeline Specification deep 99% Ethane (C2) Extraction and Ethane (C2) Rejection NGL recovery modes with economy of equipment and energy requirements.A process for separating and recovering ethane and heavier hydrocarbons from LNG comprising the steps of: a. providing an undivided feedstock stream containing Rich LNG wherein the Rich LNG is in liquid form from a storage tank or other source, the Rich LNG comprising CI and C2+ hydrocarbons, the Rich LNG having an ambient storage temperature and pressure; b. pressurizing the feedstock Rich LNG from storage pressure up to a desired pressure; c. pumping the feedstock Rich LNG into the cool side of a heat exchanger, the heat exchanger having a cool side and a hot side; d. heating the feedstock Rich LNG within the heat exchanger while maintaining the feedstock Rich LNG below its bubble point to avoid vaporization while in the heat exchanger; e. directing the undivided feedstock Rich LNG feed stream from the heat exchanger to a processing column, the column comprising one or more stream entry ports along the height of the column to permit directing the stream into the column at one or more desired entry locations along the height of the column; f. generating in the column a desired mixture comprising an overhead gas stream comprising lighter hydrocarbon products and a desired bottoms liquid stream comprising heavier hydrocarbon products; g. directing the overhead gas stream from the column to the hot side of the heat exchanger; h. cooling and condensing the overhead gas stream against the cold Rich LNG feedstock stream to form, in whole or in substantial part, a liquid comprising Lean LNG product stream, any remaining incidental uncondensed overhead gas stream remaining as a gas; i. directing the condensed product stream from the hot side of the heat exchanger to a receiving vessel; j. pumping the liquid Lean LNG product from the receiving vessel to a desired location; k. directing the bottoms liquid stream from the column to one or more reboiler arrangements;1. heating the bottoms liquid stream in the reboiler; m. returning at least a portion of the heated bottoms stream to the column, the column being further outfitted with one or more heated bottoms stream entry ports along the height of the column to permit directing the heated bottoms stream into the column at one or more desired heated bottoms stream product entry locations along the height of the column; n. discharging the column bottoms stream directly from the column or from the reboiler and transferring the bottoms stream to a desired location; and o. transferring any gas in the receiving vessel to a desired location.
1,169
46320
가공식품 도매업
특허
ko
en
자일라나제 효소 변이체를 포함하는 조성물 및 방법본 발명은 헤미셀룰로스 분해 효소(hemicellulytic enzyme) 변이체를 제공한다. 구체적으로는, 본 발명은 모(parent) 자일라나제 효소와 비교하여 하나 이상의 변형을 가져서 적어도 하나의 개선된 특성을 가져오는 자일라나제 변이체를 제공한다. 게다가, 본 발명은 본 발명의 자일라나제 변이체를 포함하는 조성물을 제공한다. 본 발명은 또한 본 발명의 자일라나제 변이체를 포함하는 조성물을 사용하여, 아라비노-자일란을 포함한 헤미셀룰로스성 물질을 분해시키는 방법을 제공한다.자일라나제 폴리펩티드 변이체로서, 모(parent) GH10 자일라나제와 비교하여, 생산적 아미노산 위치에서의 치환을 포함하고, 상기 치환은 상기 폴리펩티드의 비활성(specific activity), 펩신 저항성 및 발현을 유의하게 감소시킴 없이 상기 폴리펩티드의 열안정성을 증가시키고, 상기 생산적 위치에서 20개의 가능한 아미노산 치환 중 적어도 2개는 상기 자일라나제 변이체가 하기 기준들 중 적어도 하나를 만족할 수 있게 하는, 자일라나제 폴리펩티드 변이체:a. 2가지 활성 검정 I 및 II 중 적어도 하나에서 비활성에 대한 상대적 성능 (성능 지수, PI)이 0.8 초과, 펩신 저항성에 대한 PI가 0.9 초과, 발현 (비압박(unstressed) 활성 검정 1)에 대한 PI가 0.057 초과, 및 열안정성 검정 1에 대한 PI가 1.2 초과 또는 열안정성 검정 2에 대한 PI가 1.5 초과;b. 2가지 활성 검정 I 및 II 중 적어도 하나에서 비활성에 대한 상대적 성능 (성능 지수, PI)이 0.5 초과, 펩신 저항성에 대한 PI가 0.8 초과, 발현 (비압박 활성 검정 1)에 대한 PI가 0.057 초과, 및 열안정성 검정 1에 대한 PI가 1.2 초과 또는 열안정성 검정 2에 대한 PI가 1.3 초과; 또는c. 2가지 활성 검정 I 및 II 중 적어도 하나에서 비활성에 대한 상대적 성능 (성능 지수, PI)이 0.9 초과, 펩신 저항성에 대한 PI가 0.9 초과, 발현 (비압박 활성 검정 1)에 대한 PI가 0.057 초과, 및 열안정성 검정 1에 대한 PI가 1.0 초과 또는 열안정성 검정 2에 대한 PI가 1.0 초과;여기서 상기 생산적 위치는 135, 28, 57, 62, 70, 79, 89, 102, 105, 118, 151, 153, 160, 181, 184, 200, 220, 232, 262, 298, 4, 21, 25, 30, 56, 59, 64, 65, 71, 74, 77, 98, 99, 100, 103, 104, 106, 113, 115, 117, 120, 134, 141, 142, 148, 150, 152, 156, 161, 163, 167, 176, 180, 193, 198, 199, 201, 202, 215, 217, 227, 229, 230, 233, 3, 6, 7, 11, 12, 16, 18, 29, 32, 33, 37, 38, 52, 53, 58, 67, 72, 75, 92, 93, 94, 96, 97, 107, 109, 110, 112, 114, 116, 125, 129, 132, 133, 136, 138, 139, 146, 147, 149, 155, 159, 162, 164, 168, 169, 182, 183, 188, 190, 191, 194, 196, 206, 209, 211, 219, 221, 231, 235, 236, 238, 244, 249, 260, 266, 268, 269, 274, 296, 300, 302 및 304로 이루어진 군으로부터 선택되고, 서열 번호 2에 명시된 상기 폴리펩티드는 넘버링(numbering)에 사용됨.
COMPOSITIONS AND METHODS COMPRISING A XYLANASE ENZYME VARIANTThe present invention provides hemicellulytic enzyme variants. Specifically, the present invention provides xylanase variants having one or more modifications as compared to a parent xylanase enzyme resulting in at least one improved property. In addition, the present invention provides compositions comprising a xylanase variant of the invention. The present invention also provides methods of degrading hemicellulotic material, including arabino-xylan using compositions comprising a xylanase variant of the invention.A xylanase polypeptide variant which comprises a substitution at a productive amino acid position compared to a parent GH10 xylanase, wherein said substitution increases the thermostability of the polypeptide without significantly reducing the specific activity, pepsin resistance and expression of the polypeptide, and wherein at least 2 out of 20 possible amino acid substitutions at the productive position makes the xylanase variant able to meet at least one of the following criteria:a. Relative performance (Performance Index, PI) for specific activity in at least one of the two activity assays I and II is greater than 0.8, PI for pepsin resistance is greater than 0.9, PI for expression (unstressed activity assay 1) is greater than 0.057, and PI for thermostability assay 1 is greater than 1.2 or PI for thermostability assay 2 is greater than 1.5;b. Relative performance (Performance Index, PI) for specific activity in at least one of the two activity assays I and II is greater than 0.5, PI for pepsin resistance is greater than 0.8, PI for expression (unstressed activity assay 1) is greater than 0.057, and PI forThermostability assay 1 is greater than 1.2 or PI for thermostability assay 2 is greater than 1.3; orc. Relative performance (Performance Index, PI) for specific activity in at least one of the two activity assays I and II is greater than 0.9, PI for pepsin resistance is greater than 0.9, PI for expression (unstressed activity assay 1) is greater than 0.057, and PI forThermostability assay 1 is greater than 1.0 or PI for thermostability assay 2 is greater than 1.0;wherein the productive position is selected from the group consisting of 135, 28, 57, 62, 70, 79, 89, 102, 105, 118, 151, 153, 160, 181 , 184, 200, 220, 232, 262, 298, 4, 21 , 25, 30, 56, 59, 64, 65, 71 , 74, 77, 98, 99, 100, 103, 104, 106, 113, 115, 117, 120, 134, 141 , 142, 148, 150, 152, 156, 161 , 163, 167, 176, 180, 193, 198, 199, 201 , 202, 215, 217, 227, 229, 230, 233, 3, 6, 7, 11 , 12, 16, 18, 29, 32, 33, 37, 38, 52, 53, 58, 67, 72, 75, 92, 93, 94, 96, 97, 107, 109, 110, 112, 114, 1 6, 125, 129, 132, 133, 136, 138, 139, 146, 147, 149, 155, 159, 162, 164, 168, 169, 182, 183, 188, 190, 191, 194, 196, 206, 209, 211 , 219, 221 , 231 , 235, 236, 238, 244, 249, 260, 266, 268, 269, 274, 296, 300, 302 and 304, and wherein the polypeptide set forth in SEQ ID NO:2 is used for numbering.
1,170
29261
산업용 섬유 세척, 염색, 정리 및 가공 기계 제조업
특허
ko
en
멀티 라인 레이저 어레이 3차원 스캐닝 시스템 및 멀티 라인 레이저 어레이 3차원 스캐닝 방법본 발명은 멀티 라인 레이저 어레이 3차원 스캐닝 시스템 및 멀티 라인 레이저 어레이 3차원 스캐닝 방법을 제공하며, 해당 시스템은 프로그래머블 게이트 어레이(FPGA)(101)를 통해 멀티 라인 레이저 어레이 3차원 스캐닝 시스템의 정확한 동기화와 로직 제어를 실현하며, 라인 레이저 어레이(103)를 투영패턴 광원으로 적용하고, 프로그래머블 게이트 어레이(FPGA)(101)를 통해 입체 비전 이미지센서(102A), 관성센서(102B)와 라인 레이저 어레이(103)에 트리거 신호를 발송하고, 호스트 컴퓨터(105)는 입체 비전 이미지센서(102A)에서 촬영된 이미지 쌍을 수신하고 해당 이미지 쌍 중의 레이저 라인 패턴에 대해 인코딩/디코딩 및 3차원 재구성을 진행하며, 피측정물의 표면 특징점에 대해 3차원 재구성을 진행하며 서로 다른 시각의 3차원 특징점을 매칭 및 정렬하며, 혼합 센싱형 포지셔닝 기술을 이용하여 매칭계산에 대해 예측 및 수정한 바, 시간 영역 레이저 3차원 스캐닝 데이터의 정합과 스티칭에도 활용되는 동시에, 실시간으로 측정 오차 등급평가를 진행하여 오차 피드백 제어기(104)에 피드백함으로써 조절지시를 내리게 한다. 따라서 저비용, 고효율, 고신뢰도와 고정확도의 레이저3차원 스캐닝을 실현할 수 있다.프로그래머블 게이트 어레이(FPGA), 적어도 하나의 입체 비전 이미지센서, 관성센서, 라인 레이저 어레이와 오차 피드백 제어기를 포함하는 멀티 라인 레이저 어레이 3차원 스캐닝 장치와 호스트 컴퓨터를 포함하되, 상기 프로그래머블 게이트 어레이(FPGA)는 각각 상기 입체 비전 이미지센서, 관성센서, 라인 레이저 어레이, 오차 피드백 제어기와 연결되고, 상기 호스트 컴퓨터는 각각 상기 프로그래머블 게이트 어레이(FPGA), 입체 비전 이미지센서, 관성센서와 연결되며;상기 프로그래머블 게이트 어레이(FPGA)는 상기 라인 레이저 어레이에 제1 트리거 신호를 발송함으로써 상기 라인 레이저 어레이가 상기 제1 트리거 신호에 의해 피측정물의 표면에 대해 스트로보스코픽 조사를 진행하도록 하며;또한, 상기 프로그래머블 게이트 어레이(FPGA)는 상기 입체 비전 이미지센서에 제2 트리거 신호를 발송함으로써 상기 입체 비전 이미지센서가 상기 제2 트리거 신호에 의해 피측정물을 노출촬영하고 촬영된 이미지 쌍을 호스트 컴퓨터로 발송하도록 하며;또한, 상기 프로그래머블 게이트 어레이(FPGA)는 상기 관성센서에 제3 트리거 신호를 발송함으로써 상기 관성센서가 상기 제3 트리거 신호에 의해 멀티 라인 레이저 어레이 3차원 스캐닝 장치의 자세정보를 호스트 컴퓨터로 발송하도록 하며;또한, 상기 호스트 컴퓨터는 측정데이터에 대하여 실시간으로 오차 평가를 진행하고 평가결과를 프로그래머블 게이트 어레이(FPGA)에 피드백하며;또한, 상기 프로그래머블 게이트 어레이(FPGA)는 상기 호스트 컴퓨터의 피드백 평가결과를 수신한 후 상기 평가결과에 따라 상기 오차 피드백 제어기에 제어신호를 발송하며, 상기 평가결과에 따라 상기 레이저 3차원 스캐닝 장치와 피측정물사이의 거리를 조절하며;상기 호스트 컴퓨터는 상기 입체 비전 이미지센서에서 촬영한 이미지 쌍 중의 레이저 라인을 인코딩/디코딩하며;또한, 상기 호스트 컴퓨터는 피측정물 이미지 쌍 중의 특징점 및 상기 피측정물의 표면에서 반사된 레이저 라인에 대해 3차원 재구성을 진행하며;또한, 상기 호스트 컴퓨터는 상기 관성센서로부터 리턴된 자세정보 및 특징점을 기준으로, 서로 다른 프레임 상의 3차원 레이저 라인 데이터를 동일 좌표계에 정합하여 포인트 클라우드를 생성하는 것을 특징으로 하는 멀티 라인 레이저 어레이 3차원 스캐닝 시스템.
MULTI-LINE ARRAY LASER THREE-DIMENSIONAL SCANNING SYSTEM, AND MULTI-LINE ARRAY LASER THREE-DIMENSIONAL SCANNING METHODThe present invention provides a multi-line array laser three-dimensional scanning system and a multi-line array laser three-dimensional scanning method, the system performs precise synchronization and logic control of the multi-line array laser three-dimensional scanning system by a programmable gate array FPGA; employs a line laser array as the projection pattern light source, sends trigger signals to a stereoscopic image sensor, a inertial sensor and a line laser array by FPGA; wherein a upper computer receives image pairs taken by the stereoscopic image sensor, and codes, decodes as well as performs a three-dimensional reconstruction for the laser line array patterns in the image pairs, performs a three-dimensional reconstruction for the feature points on the surface of the measured object, and matches and aligns the three-dimensional feature points at different times; the system predicts and corrects the matching calculation by employing a hybrid sensing technology, which registers and stitches the time domain laser three-dimensional scanning data, meanwhile evaluates the error level in real time and feeds it back to an error feedback controller to obtain an adjustment instruction. Thereby the system performs a laser three-dimensional scanning with low cost, high efficiency, high reliability and high accuracy.A multi-line array laser three-dimensional scanning system, characterized in that, the system comprises: a multi-line array laser three-dimensional scanning device, comprising a programmable gate array FPGA, at least one stereoscopic image sensor, an inertial sensor, a line laser array and an error feedback controller, wherein the programmable gate array FPGA is coupled with the stereoscopic image sensor, inertial sensor, line laser array and error feedback controller, respectively; and an upper computer is coupled with the programmable gate array FPGA, stereoscopic image sensor and inertial sensor, respectively; wherein the programmable gate array FPGA is configured to send a first trigger signal to the line laser array, such that the line laser array illuminates a surface of an object to be measured with stroboflash according to the first trigger signal; the programmable gate array FPGA is further configured to send a second trigger signal to the stereoscopic image sensor, such that the stereoscopic image sensor performs exposure shootings to the object according to the second trigger signal and sends image pairs being taken to the upper computer; the programmable gate array FPGA is further configured to send a third trigger signal to the inertial sensor, such that the inertial sensor sends location information of the multi-line array laser three-dimensional scanning device to the upper computer according to the third trigger signal; the upper computer is further configured to perform a real-time error evaluation for measured data and feed an evaluation result back to the programmable gate array FPGA; the programmable gate array FPGA is further configured to send a control signal to the error feedback controller according to the evaluation result, and adjust a distance between the laser three-dimensional scanning device and the measured object according to the evaluation result after receiving the evaluation result fed back by the upper computer;the upper computer is configured to code and decode laser lines in the image pairs taken by the stereoscopic image sensor; the upper computer is further configured to perform a three-dimensional reconstruction for feature points in the image pairs of the object and the laser lines reflected by the surface of the object; the upper computer is further configured to register data of the three-dimensional laser lines in different frames into the same coordinate system to generate a shape-plane-point cloud based on the location information and the feature points returned by the inertial sensor.
1,171
26310
컴퓨터 제조업
특허
ko
en
공통 모드 노이즈 처리방법 및 공통 모드 노이즈 처리장치공통 모드 노이즈의 처리방법, 공통 모드 노이즈 처리장치와 터치 컨트롤러에 있어서, 공통 모드 노이즈 처리장치는 s개의 감산 처리유닛(210-1 내지 210-s)과 하나의 주파수 스펙트럼 분석모듈(220)을 포함하고, s는 0보다 큰 정수이며; 각각의 상기 감산 처리유닛(210-1 내지 210-s)은 2개의 제1 입력포트(211-1 내지 211-s, 212-1 내지 212-s), 하나의 감산기(213-1 내지 213-s) 및 하나의 제1 출력포트(214-1 내지 214-s)를 포함하여, 상기 2개의 제1 입력포트(211-1 내지 211-s, 212-1 내지 212-s)는 상기 감산기(213-1 내지 213-s)와 연결되고, 상기 감산기(213-1 내지 213-s)는 상기 제1 출력포트(214-1 내지 214-s)와 연결되며; 상기 주파수 스펙트럼 분석모듈(220)은 s개의 제2 입력포트(221-1 내지 221-s)와 적어도 하나의 주파수 스펙트럼 분석유닛(222)을 포함하고, 상기 s개의 제2 입력포트(221)는 상기 적어도 하나의 주파수 스펙트럼 분석유닛(222)과 연결되며; s개의 상기 감산 처리유닛(210-1 내지 210-s)의 s개의 제1 출력포트(214-1 내지 214-s)는 상기 주파수 스펙트럼 분석모듈(222)의 s개의 제2 입력포트(221-1 내지 221-s)와 일대일로 연결된다. 본 공통 모드 노이즈의 처리방법, 공통 모드 노이즈 처리장치 및 터치 컨트롤러는 터치스크린 상의 터치점의 위치를 결정하기 위한 신호 중의 공통 모드 노이즈를 검출할 수 있어, 공통 모드 노이즈가 상기 신호에 미치는 영향을 감소시킬 수 있으며, 따라서 터치 컨트롤러가 생성하는 터치점의 위치의 정확성을 향상시킬 수 있다.공통 모드 노이즈 처리장치에 있어서, s개의 감산 처리유닛과 하나의 주파수 스펙트럼 분석모듈을 포함하고, s는 0보다 큰 정수이며; 각각의 상기 감산 처리유닛은 2개의 제1 입력포트, 하나의 감산기(subtractor) 및 하나의 제1 출력포트를 포함하고, 상기 2개의 제1 입력포트는 상기 감산기와 연결되고, 상기 감산기는 상기 제1 출력포트와 연결되며; 상기 주파수 스펙트럼 분석모듈은 s개의 제2 입력포트와 적어도 하나의 주파수 스펙트럼 분석유닛을 포함하고, 상기 s개의 제2 입력포트는 상기 적어도 하나의 주파수 스펙트럼 분석유닛과 연결되고; 상기 감산 처리유닛의 제1 출력포트는 상기 주파수 스펙트럼 분석모듈의 제2 입력포트와 연결되며; 상기 감산 처리유닛의 상기 2개의 제1 입력포트는 터치스크린의 2개의 출력포트가 출력하는 2개의 제1 신호를 수신하기 위한 것이고, 상기 감산기는 상기 2개의 제1 입력포트가 수신한 상기 2개의 제1 신호를 감산하여 상기 2개의 제1 신호의 공통 모드 노이즈를 획득하기 위한 것이며, 상기 제1 출력포트는 상기 주파수 스펙트럼 분석모듈 중 서로 연결된 제2 입력포트로 상기 2개의 제1 신호의 공통 모드 노이즈를 출력하기 위한 것이고, 상기 제1 신호는 상기 터치스크린 상의 터치점의 위치를 결정하기 위한 신호이며; 상기 주파수 스펙트럼 분석모듈의 상기 제2 입력포트는 서로 연결된 제1 출력포트가 출력하는 공통 모드 노이즈(common mode noise)를 수신하기 위한 것이고, 상기 적어도 하나의 주파수 스펙트럼 분석유닛은 상기 제2 입력포트가 수신한 공통 모드 노이즈에 대해 주파수 스펙트럼 분석을 수행하여, 상기 공통 모드 노이즈 중의 적어도 하나의 주파수 성분의 주파수 성분 폭을 획득하기 위한 것을 특징으로 하는 공통 모드 노이즈 처리장치.
COMMON MODE NOISE PROCESSING METHOD AND COMMON MODE NOISE PROCESSING DEVICEA processing method for a common mode noise, a common mode noise processing apparatus and a touch controller are provided. The common mode noise processing apparatus includes s subtraction processing units (210-1 to 210-s) and one spectrum analysis module (220), where s is an integer greater than 0; each of the subtraction processing units (210-1 to 210-s) includes two first input ports (211-1 to 211-s, 212-1 to 212-s), one subtractor (213-1 to 213-s) and one first output port (214-1 to 214-s), where the two first input ports (211-1 to 211-s, 212-1 to 212-s) are connected to the subtractor (213-1 to 213-s), and the subtractor (213-1 to 213-s) is connected to the first output port (214-1 to 214-s); the spectrum analysis module (220) includes s second input ports (221-1 to 221-s) and at least one spectrum analysis unit (222), where the s second input ports (221) are connected to the at least one spectrum analysis unit (222); s first output ports (214-1 to 214-s) of the s subtraction processing units (210-1 to 210-s) are connected to the s second input ports (221-1 to 221-s) of the spectrum analysis module (222) in one-to-one correspondence. The processing method for a common mode noise, the common mode noise processing apparatus and the touch controller are capable of detecting a common mode noise in a signal for determining a location of a touch point and output by a touch screen, so as to reduce impact of the common mode noise on the signal, improving accuracy of the location of the touch point generated by a touch controller.A common mode noise processing apparatus, comprising s subtraction processing units and one spectrum analysis module, wherein s is an integer greater than 0; each of the subtraction processing units comprises two first input ports, one subtractor and one first output port, wherein the two first input ports are connected to the subtractor, and the subtractor is connected to the first output port; the spectrum analysis module comprises s second input ports and at least one spectrum analysis unit, wherein the s second input ports are connected to the at least one spectrum analysis unit; the first output port of the subtraction processing unit is connected to a second input port of the spectrum analysis module; the two first input ports of the subtraction processing unit are configured to receive two first signals output by two output ports of a touch screen, the subtractor is configured to perform a subtraction between the two first signals received by the two first input ports to obtain a common mode noise of the two first signals, the first output port is configured to output the common mode noise of the two first signals to the connected second input port in the spectrum analysis module, and the first signal is a signal for determining a location of a touch point on the touch screen; and the second input port of the spectrum analysis module is configured to receive the common mode noise output by the connected first output port, and the at least one spectrum analysis unit is configured to perform a spectral analysis on the common mode noise received by the second input port to obtain a frequency component amplitude of at least one frequency component of the common mode noise.
1,172
20119
석탄화학계 화합물 및 기타 기초 유기화학 물질 제조업
특허
ko
en
래치식 공기 제어 밸브솔레노이드의 소비 전력 및 발열을 억제하는 개량형 자동 래치식 솔레노이드 구동 밸브. 특히 소형 공기압 밸브에 들어맞는다. 제어 밸브 20의 밸브 부재 74는 보빈 26 내에 배치되는 머리 부분 78과 상기 밸브 본체 58 내에 배치되는 밸브부 80을 가진다. 밸브부 80은 밸브 부재 74가 제1 및 제 2 위치 사이를 슬라이딩하면, 밸브 본체 58의 각 포트 96, 98, 100을 개폐 가능하게 동작하는 시트 결합 부재 82를 가진다. 보빈 26 내에 배치되는 영구자석 122는 크기가 가변의 흡인력 128을 부세 부품 118에 의해 발생하는 부세력 120과는 역방향으로 밸브 부재 74에 더한다. 코일 28에 인가되는 전류의 펄스에 의해 가변의 크기가 변화된다. 흡인력 128이 부세력 120보다 클 때, 영구자석 122는 밸브 부재 74를 제 2 위치로 끌어당기어 흡인력 128이 부세력 120보다 어릴 때, 밸브 부재 74는 제 1위치로 돌아온다.하우징과 상기 하우징 내에 배치되는 보빈과 상기 보빈 주위로 연장되는 코일을 가지며, 상기 하우징은 제1 하우징 단부와 제2 하우징 단부 사이를 길이 방향으로 연장하고 상기 보빈에는 긴 축을 따라 연장되는 솔레노이드 구멍이 형성되는 솔레노이드와 상기 제1 하우징 단부에서 길이 방향으로 연장하고 밸브 본체 구멍이 형성되는 밸브 본체와 상기 밸브 본체 구멍 내에 배치되는 적어도 하나의 시트 부재와 상기 솔레노이드 구멍 내로 슬라이딩 가능하게 배치되는 머리 부분과 상기 밸브 본체 구멍 내로 슬라이딩 가능하게 배치되는 밸브부를 가지는 밸브 부재로서, 상기 밸브 부재의 상기 밸브부는 시트 결합 부재를 가지며, 상기 시트 결합 부재는 외측으로 연장하여 상기 밸브 부재가 상기 솔레노이드 구멍 및 상기 밸브 본체 구멍 내에서 상기 시트 결합 부재가 상기 제1 하우징 단부에서 멀어지는 방향으로 변위한 제 1위치와 상기 시트 결합 부재가 상기 제1 하우징 단부를 향하여 변위한 제 2 위치 사이를 슬라이딩하면, 상기 시트부재와 결합해, 상기 밸브 본체는 포트면과 상기 밸브 부재가 상기 제1 및 상기 제 2 위치 사이를 길이 방향으로 병진하면, 상기 밸브 본체의 상기 포트면에서의 하나 이상의 구멍을 개폐하도록 동작하는 상기 시트 결합 부재를 가지는 밸브 부재와 상기 밸브 부재에 대해, 상기 밸브 부재를 상기 제 1위치를 향하여 가압하는 부세력을 가하도록 동작하는 부세 부품과 적어도 부분적으로 상기 솔레노이드 구멍 내에 배치되고 자장을 가지며, 동작 시에 상기 밸브 부재에 흡인력을 추가하고 이 흡인력이 상기 부세 부품의 상기 부세력과 마주보도록 상기 제2 하우징 단부의 쪽을 향하고 있는 영구자석과 상기 솔레노이드 구멍 내에 설치되고, 길이 방향으로 봐 상기 영구자석과 상기 밸브 부재의 상기 머리 부분 사이에 배치되는 자극편를 가져 상기 영구자석의 상기 흡인력의 크기는 가변이며 상기 코일은 동작 시에 가변한 상기 흡인력의 크기를 변경하는 전류의 펄스를 받아 상기 영구자석의 상기 흡인력은 동작 시, 상기 가변한 흡인력의 크기가 상기 부세 부품의 상기 부세력보다 큰 경우, 상기 밸브 부재를 상기 제 2 위치(분)편에 끌어당기어 상기 부세 부품의 상기 부세력은 동작 시, 상기 가변한 흡인력의 크기가 상기 부세 부품의 상기 부세력보다 작은 경우, 상기 밸브 부재를 상기 제 1위치를 향하여 누르는, 것을 특징으로 하는 래치식 제어 밸브 어셈블리.
LATCHING PNEUMATIC CONTROL VALVETo provide an improved self-latching solenoid actuated valve that decrease the power consumption of a solenoid and heat build-up, especially for small pneumatic valves. A valve member 74 of a control valve 20 has a head portion 78 disposed within a bobbin 26, and a valve portion 80 disposed within a valve body 58. The valve portion 80 has a seat engagement member 82 capable of operating to open and close ports 96, 98, 100 in the valve body 58 when the valve member 74 slides between first and second positions. A permanent magnet 122, disposed within the bobbin 26, applies an attractive force 128 of variable magnitude to the valve member 74 in a direction opposing a biasing force 120 created by a biasing component 118. Pulses of electric current applied to a coil 28 change the variable magnitude. The permanent magnet 122 pulls the valve member 74 to the second position when the attractive force 128 is greater than the biasing force 120, and the valve member 74 returns to the first position when the attractive force 128 is less than the biasing force 120.A housing and a bobbin disposed in the housing and a coil extending around the bobbin, the housing extends in the longitudinal direction between the first housing end and the second housing end. The bobbin extends in the longitudinally extending solenoid holes extending along the longitudinal axis, extending in the longitudinal direction from the first housing end, and the valve main body is formed in the valve body hole. A valve member having at least one sheet member disposed and a head slidably disposed in the solenoid hole, and a valve member which is slidably disposed in the valve body hole, The valve member of the valve member has a sheet engaging member, and the sheet engaging member extends outward, and the valve member has the sheet engaging member in the solenoid hole and the valve body hole. When the first position displaced in the direction away from the first housing end and the sheet engaging member slide between the second position displaced toward the first housing end, the sheet member is engaged with the sheet member. And the valve body translates the port surface and the valve member in the longitudinal direction between the first and second positions, so that one or more pores on the port surface of the valve body are opened and closed. A biasing component operated to add a biasing force to which the valve member is biased toward the first position and a biasing force having the above-described sheet engaging member, and at least a portion to the valve member. Aligned in the solenoid hole and have a magnetic field, and at the time of operation, the suction force is applied to the valve member, and the suction force is opposed to the inserting force of the biasing component so that the second case end A permanent magnet having a permanent magnet or a pole piece disposed between the head portion of the valve member and is disposed in the longitudinal direction and is provided in the solenoid hole. The magnitude of the suction force is variable, and the coil receives the pulse of the current that changes the magnitude of the suction force variable at the time of operation, and the suction force of the permanent magnet operates, the variable suction force If the size of the beveled part is larger than the above-mentioned piper, the valve member is attracted to the second position, and the above-mentioned captive force of the biasing component is operating, and the magnitude of the variable suction force is A latched control valve assembly characterized by pressing the valve member toward the first position if the valve member is pressed towards the first position.
1,173
20321
화학 살균ㆍ살충제 및 농업용 약제 제조업
특허
ko
en
벤질 치환 트리아진 유도체와 이들의 치료적 용도이 발명은 트리아진 화합물과 단백질 키나아제를 조절하는 이들의 사용방법과 이 단백질 키나아제에 의하여 매개되는 질병의 치료방법을 제공한다.다음식(Ⅰ)의 화합물, 또는 이들의 약학적으로 허용할 수 있는 염.W와 Y는 S, O, NR6, 또는 CR6에서 독립적으로 선택하며;R6는 수소 또는 임의로 치환된 C1-4 지방족기에서 독립적으로 선택한다.K는 -NR6, O, 또는 S에서 선택한다.R1은 수소, 할로겐, 히드록시, 아미노, 시아노, 알킬, 시클로알킬, 알켄일, 알킨일, 알킬티오, 아릴, 아릴 알킬, 이종환식, 헤테로아릴, 헤테로시클로알킬, 알킬술폰일, 알콕시카르보닐과 알킬카르보닐을 나타낸다.R2는 다음에서 선택한다:(ⅰ) C1-C6 알킬, C2-C6 알켄일, C2-C6 알킨일, C3-C10 아릴 또는 헤테로아릴,(C3-C7 시클로알킬) C1-C4 알킬, C1-C6 할로알킬, 이들 각각은 할로겐, 히드록시, 시아노, 아미노, -COOH와 옥소에서 독립적으로 선택한 0~4개의 치환기로 치환된다;(ⅱ) 아미노, 알킬 아미노, 아릴 아미노, 헤테로아릴 아미노;(ⅲ) 다음식(Ia)의 기:이식에서,R7는 수소, C1-C4 알킬, 옥소를 나타내고;X는 R8가 수소일때 CH이거나; 또는 X-R8는 O 이거나; 또는 X는 N이고, R8는 수소, C1-C6 알킬, C2-C6 알켄일, C2-C6 알킨일, C3-C10 아릴 또는 헤테로아릴,(C3-C7 시클로알킬) C1-C4 알킬, C1-C6 할로알킬, C1-C6 알콕시, C1-C6 알킬티오, C2-C6 알카노일, C1-C6 알콕시카르보닐, C2-C6 알카노일옥시, 모노-및 디-(C3-C8 시클로알킬)아미노-C0-C4 알킬, (4-내지 7-원환 헤테로사이클) C0-C4 알킬, C1-C6 알킬술폰일, 모노-및 디-(C1-C6 알킬)술폰아미도와, 모노-및 디-(C1-C6 알킬)아미노카르보닐을 나타내고, 이들 각각은 할로겐, 히드록시, 시아노, 아미노, -COOH와 옥소에서 독립적으로 선택한 0~4개의 치환기로 치환된다;R3와 R4는 수소, C1-C6 알킬, C2-C6 알켄일, C2-C6 알킨일, C3-C10 아릴 또는 헤테로아릴,(C3-C7 시클로알킬) C1-C4 알킬, C1-C6 할로알킬, 히드록시, 시아노, 아미노, -COOH와 옥소에서 독립적으로 선택하며;R5는 다음 기에서 독립적으로 선택한 0~5개의 치환기 이다:(ⅰ) 할로겐, 히드록시, 아미노, 아미드, 시아노, -COOH, -SO2NH2, 옥소, 니트로와 알콕시카르보닐;(ⅱ) C1-C6 알킬, C1-C6 알콕시, C3-C10 시클로알킬, C2-C6 알켄일, C2-C6 알킨일, C2-C6 알카노일, C1-C6 할로알킬, C1-C6 할로알콕시, 모노-및 디-(C1-C6 알킬)아미노, C1-C6 알킬술폰일, 모노-및 디-(C1-C6 알킬)술폰아미도와 모노-및 디-(C1-C6 알킬)아미노카르보닐; 페닐 C0-C4 알킬과 (4-내지 7-원환 헤테로사이클)-C0-C4 알킬, 이들 각각은 할로겐, 히드록시, 시아노, 옥소, 이미노, C1-C4 알킬, C1-C4 알콕시와 C1-C4 할로알킬에서 독립적으로 선택한 0~4개의 이차 치환기로 치환된다.
BENZYL SUBSTITUTED TRIAZINE DERIVATIVES AND THEIR THERAPEUTICAL APPLICATIONSThe invention provides triazine compounds and methods of their use to modulate protein kinases and to treat diseases mediated by said protein kinases.A compound of the formula or a pharmaceutically acceptable salt thereof, wherein:W and Y are independently selected from S, O, NR6, or CR6;R6 is independently selected from hydrogen or an optionally substituted C1-4 aliphatic group;K is selected from -NR6, O, or S;Ri represents hydrogen, halogen, hydroxy, amino, cyano, alkyl, cycloalkyl, alkenyl, alkynyl, alkylthio, aryl, arylalkyl, heterocyclic, heteroaryl, heterocycloalkyl, alkylsulfonyl, alkoxycarbonyl and alkylcarbonyl;R2 is selected from:(i) C1-C6 alkyl, C2-C6 alkenyl, C2-C6 alkynyl, C3-Ci0 aryl or heteroaryl, (C3- C7cycloalkyl)C1-C4alkyi, d- C6 haloalkyl, each of which is substituted with from O to 4 substituents independently chosen from halogen, hydroxy, cyano, amino, - COOH and oxo;(ii) amino, alkyl amino, aryl amino, heteroaryl amino; (iii) groups of the formula (Ia): wherein: R7 represents hydrogen, C1-C4 alkyl, oxo; X is CH, when R8 is hydrogen; or X-R8 is O; or X is N, R8 represents groups of hydrogen, C1-C6 alkyl, C2-C6 alkenyl, C2-C6 alkynyl, C3-C10 aryl or heteroaryl, (C3- C7cycioalkyl)C1-C4alkyl, C1- C6 haloalkyl, C1-C6 alkoxy, C1- C6 alkylthio, C2-C6 alkanoyl, C1- C6 alkoxycarbonyl, C2- C6 alkanoyloxy, mono- and di-(C3-C8 cycloalkyl)aminoCo-C4alkyl, (4- to 7- membered heterocycle)C0-C4alkyl, C1-C6 alkylsulfonyl, mono- and di-(C1- C6 aikyl) sulfonamido, and mono- and di(C-ι- C6alkyi)aminocarbonyl, each of which is substituted with from O to 4 substituents independently chosen from halogen, hydroxy, cyano, amino, -COOH and oxo;R3 and R4 are independently selected from: hydrogen, C1-C6 alkyl, C2-C6 alkenyl, C2-C6 alkynyl, C3-C1O aryl or heteroaryl, (C3-C7cycloalkyl)C1-C4alkyl, C1- C6 haloalkyl, hydroxy, cyano, amino, -COOH and oxo;R5 is O to 5 substituents independently chosen from:(i) halogen, hydroxy, amino, amide, cyano, -COOH, -SO2NH2, oxo, nitro and alkoxycarbonyl; and(ii) C1-C6 alkyl, C1-C6alkoxy, C3-C10 cycloalkyl, C2-C6 alkenyl, C2-C6 alkynyl, C2- C6 alkanoyl, C1-C6 haloalkyl, C1-C6 haloalkoxy, mono- and di- (C1- C6alkyl)amino, C1-C6 alkylsulfonyl, mono- and di-(C1-C6alkyl) sulfonamido and mono- and di-(C-ι- C6alkyl)aminocarbonyl; phenylC0-C4alkyl and (4- to 7- membered heterocycle)C0- C4alkyl, each of which is substituted with from O to 4 secondary substituents independently chosen from halogen, hydroxy, cyano, oxo, imino, C1- C4alkyl, C1- C4alkoxy and C1-C4haloalkyl.
1,174
29292
고무, 화학섬유 및 플라스틱 성형기 제조업
특허
ko
en
층별 재료 도포를 통해 3차원 형상의 물체를 제조하는 방법본 발명은, 층별 재료 도포를 통해 3차원 몰드 및 3차원 형상의 물체(1)를 제조하는 방법에 관한 것으로, 상기 형상의 물체(1)에 대한 기하학적 데이터, 상기 3차원 형상의 물체(1)를 지지하는 베이스 표면(3)을 갖는 지지부(2), 응고될 수 있는 제 1 재료(4) 및 제 2 재료(5)가 제공된다. 응고된 상태에서, 제 2 재료(5)는 응고된 제 1 재료(4)보다 큰 강도를 가진다. 상기 응고된 제 1 재료(4)는 용매에 용해될 수 있다. 음의 형상 층(12)의 형성을 위해, 상기 음의 형상 층(12)이 제조될 상기 형상을 갖는 물체(1)의 재료 층의 음의 형상을 가지는 캐비티(13)를 갖도록, 상기 캐비티는 제조될 상기 형상을 갖는 물체(1)의 재료 층의 음의 형상을 갖도록, 상기 유동성 제 1 재료(4)의 재료 부분들이 상기 기하학적 데이터에 따라 베이스 표면(3) 및/또는 이러한 표면 상에 위치된 상기 3차원 형상의 물체(1)의 응고된 재료 층에 도포된다. 상기 음의 형상 층(12)이 응고된다. 상기 형상을 갖는 물체 층(16)의 형성을 위해, 상기 캐비티(13)는 상기 제 2 재료(5)로 충진되며, 그 후 상기 제 2 재료(5)는 응고된다. 상기 베이스 표면(3)으로부터 사전결정된 거리에 배치된 평면을 넘어 돌출된 상기 응고된 음의 형상 층(12) 및/또는 상기 응고된 형상을 갖는 물체 층(16)의 영역들이 기계가공 재료 제거에 의해 제거된다. 상기한 단계들이 적어도 1 회 반복된다. 상기 음의 형상 층들(12)은, 상기 응고된 제 1 재료(4)가 용매에 용해되도록 상기 용매와 접촉된다.층별 재료 도포를 통해 3차원 형상의 물체(1)를 제조하는 방법으로서,상기 형상의 물체(1)에 대한 기하학적 데이터, 상기 3차원 형상의 물체(1)를 지지하는 베이스 표면(3)을 갖는 지지부(2), 응고될 수 있는 액체 또는 유동성 제 1 재료(4), 응고될 수 있는 액체, 유동성, 페이스트형 또는 분말 형태의 제 2 재료(5), 및 용매가 제공되고, 응고된 상태의 제 2 재료(5)는 응고된 제 1 재료(4)보다 큰 강도를 가지며, 상기 응고된 제 1 재료(4)는 상기 용매에 용해될 수 있으며,a) 음의 형상 층(12)의 형성을 위해, 상기 음의 형상 층(12)이 상기 베이스 표면(3)으로부터 멀어지는 표면 상에 적어도 하나의 캐비티(13)를 가지고, 상기 캐비티는 제조될 상기 형상을 갖는 물체(1)의 재료 층의 음의 형상을 갖도록, 상기 유동성 제 1 재료(4)의 재료 부분들이 상기 기하학적 데이터에 따라 베이스 표면(3) 및/또는 이러한 표면 상에 위치된 상기 3차원 형상의 물체(1)의 응고된 재료 층에 도포되며,b) 상기 음의 형상 층(12)이 응고되며,c) 상기 형상을 갖는 물체 층(16)의 형성을 위해, 상기 캐비티는 상기 음의 형상이 양의 형상으로서 상기 형상을 갖는 물체 층(16)에 전달되는 방식으로, 상기 제 2 재료(5)로 충진되며,d) 상기 캐비티(13) 내로 충진된 상기 제 2 재료(5)는 응고되며,e) 상기 베이스 표면(3)으로부터 사전결정된 거리에 배치된 평면을 넘어 돌출된 상기 응고된 음의 형상 층(12) 및/또는 상기 응고된 형상을 갖는 물체 층(16)의 영역들이 기계가공 재료 제거에 의해 제거되며,f) 단계 a) 내지 e)가 적어도 1 회 반복되며,g) 상기 음의 형상 층들(12)은, 상기 응고된 제 1 재료(4)가 상기 용매에 용해되도록 상기 용매와 접촉되는, 방법.
METHOD OF PRODUCING A THREE-DIMENSIONAL SHAPED ARTICLE BY MEANS OF LAYER-BY-LAYER MATERIAL APPLICATIONIn a method of producing a three-dimensional mould and a three-dimensional moulded article (1) by means of layer-by-layer material application, geometric data for the moulded article (1), a carrier part (2) having a base area (3) for accommodating the three-dimensional moulded article (1), a consolidatable first and second material (4, 5) are provided. The second material (5) has a higher strength in the consolidated state than the solidified first material (4). The consolidated first material (4) is dissolvable in the solvent. For formation of a negative mould layer (12), material portions of the free-flowing first material (4) are applied in accordance with the geometric data to the base area (3) and/or a material layer of the three-dimensional moulded article (1) present thereon in such a way that the negative mould layer (12) has at least one cavity (13) that has a negative mould of a material layer of the moulded article (1) to be produced. The negative mould layer (12) is consolidated. For formation of a moulded article layer (16), the cavity (13) is filled with a second material (5) and then the second material (5) is consolidated. By means of a plane disposed at a predetermined distance from the base area (3), protruding regions of the consolidated negative mould layer (12) and/or moulded article layer (16) are removed by material removal. The aforementioned steps are repeated at least once. The negative mould layers (12) are contacted with the solvent in such a way that the consolidated first material (4) dissolves in a solvent.Method for producing a three-dimensional profiled object (1) by layer-by-layer application of material, wherein: -geometric data for a profiled object (1); a carrier part (2) having a base surface (3) for receiving a three-dimensional shaped object (1); a curable liquid or flowable first material (4); a curable liquid, flowable, pasty or powdery second material (5); and a solvent in which the second material (5) has a higher strength in the cured state than the cured first material (4), the cured first material (4) being soluble,a) in order to form a negative mould layer (12), a material portion of a first flowable material (4) is applied to the base surface (3) and/or to a solidified material layer of the three-dimensional molded object (1) on the base surface according to the geometry data, such that the negative mould layer (12) has at least one mould cavity (13) on its surface facing away from the base surface (3), said mould cavity having a negative of the material layer of the molded object (1) to be produced,b) curing the negative mould layer (12),c) for forming a layer (16) to be molded, the cavity (13) is filled with the second material (5) such that the female mold is transferred as a male mold onto the layer (16) to be molded,d) solidifying the second material (5) filled into the cavity (13),e) removing the region of the solidified negative mould layer (12) and/or the solidified object layer (16) protruding from a plane arranged at a predetermined distance from the base surface (3) by means of material removal by cutting,f) wherein steps a) to e) are repeated at least once,g) contacting the negative mould layer (12) with a solvent such that the cured first material (4) is dissolved in the solvent.
1,174
30320
자동차 차체용 신품 부품 제조업
특허
ko
en
특히 자동차의 뒤쪽에 배치된 폐쇄 기능용 로킹 장치본 발명은 키로 작동가능한 폐쇄 실린더(10)를 갖는 로킹 장치에 관한 것이다. 상기 폐쇄 실린더(10)가 릴리스 위치에서 작동될 경우, 상기 폐쇄 실린더(10)는 편심 레버(30)에 의해 폐쇄 부재에 작용한다. 편심 레버(30)에 대해 양축 방향으로 중심 로킹 시스템 레버(40)가 배치되며, 상기 중심 로킹 시스템 레버(40)는 중심 로킹 시스템 장치에 의해 조절될 수 있다. 상기 중심 로킹 시스템 레버(40)와 편심 레버(30)가 회전에 의해 결합될 때, 양 레버는 보호 위치로 전환될 수 있다. 이러한 보호 위치에서 폐쇄 부재는 작동되지 않는다. 실린더 코어(11)는 키에 의해 안전 위치로 계속 회전될 수 있으며, 상기 안전 위치에서 편심 레버(30)는 블록킹되고 키가 실린더 코어(11) 내로 삽입되거나 실린더 코어(11)로부터 빼내질 수 있다. 높은 작동 안전을 달성하고 작동 장애를 제거하기 위해, 중심 로킹 시스템 레버(40)와 편심 레버(30) 사이에는 커플링 부재(55)가 제공되며, 상기 커플링 부재(55)는 보호 위치와 릴리스 위치에서 양 레버(30, 40)를 회전불가능하게 결합시키지만, 안전 위치에서는 분리된다. 또한 실린더 코어(11)와 편심 레버(30) 사이에는 커플 부재가 배치되는데, 상기 커플 부재는 실린더 코어의 역 회전시 편심 레버의 안전 위치로부터 부동작 위치로 리턴된다. 키로 작동가능한 실린더 코어(11, 12)를 갖는 폐쇄 실린더(10), 실린더 코어(11)에 포지티브하게(32, 62, 63) 및/또는 넌-포지티브하게(49, 29; 49', 29')에 연결된, 실린더 코어(11)에 대해 양축 방향으로 배치된 종동부(20), 종동부(20)의 실린더 코어(11)의 키 작동(18, 18')에 의해 관련 폐쇄 부재가 작동되지 않는 보호 위치(30, 31)와 폐쇄 부재를 작동시키는 릴리스 위치(30; 31') 사이에서 전환되는, 종동부(20)에 대해 양축 방향으로 배치된 편심 레버(30), 편심 레버(30)와 함께 회전될 수 있도록 연결된, 종동부(20)에 대해 양축 방향으로 배치된 중심 로킹 시스템 레버(40), 보호 위치와 릴리스 위치(40, 41; 40', 41') 사이에서 중심 로킹 시스템 레버(40)를 전환시키는 중심 로킹 시스템 장치, 및 보호 위치 또는 릴리스 위치(51, 51')로 키를 작동시킨(18, 18') 후에 실린더 코어(11)를 자동으로 그 사이에 놓인 부동작 위치(50)로 리턴시키며, 상기 부동작 위치(50)에서 키가 처음으로 삽입되거나 빼내질 수 있도록 형성된 펄스 스프링(25)을 포함하며, 실린더 코어(11)는 안전 위치(52)로 계속 회전할 수 있으며, 상기 안전 위치(52)에서 편심 레버(30)는 보호 위치(31) 내에 블록킹되고 키가 두 번째로 실린더 코어(11) 내로 삽입되거나 실린더 코어(11)로부터 빼내질 수 있도록 형성된, 자동차의 뒤쪽에 있는 폐쇄 기능용 폐쇄 부재에 있어서, 중심 로킹 시스템 레버(40)와 편심 레버(30) 사이에는 하나의 커플링 부재(55)가 배치되며, 상기 커플링 부재(55)는 보호 위치, 부동작 위치, 그리고 릴리스 위치(31, 41; 50; 31', 41')에서 2개의 레버(30, 40)를 회전불가능하게 연결시키지만, 안전 위치(52)에서는 분리되며, 실린더 코어(11)와 편심 레버(30) 사이에는 커플 부재(60)가 배치되며, 상기 커플 부재(60)는 안전 위치(52)에서 실린더 코어(11)를 회전 불가능하게 편심 레버(30)에 커플링시키고, 중심 로킹 시스템 레버가 릴리스 위치(40', 41')로 제공될 경우에 실린더 코어(11)의 키가 역 회전(18'')할 때 릴리스 위치(30', 31')로 전환되는 것을 특징으로 하는 로킹 장치.
Locking device, esp, for locking functions in rear end of motor vehicleThe invention relates to a locking device having a key-actuated lock cylinder acting upon locking elements by means of an eccentric lever when it is placed in a release position and actuated. A centrally controlled locking system lever that is controlled by a centrally controlled locking system device is mounted coaxially to the eccentric lever. When the centrally controlled locking system lever and the eccentric lever are rotationally connected, both levers can then be moved to a secure position in which the locking members are deactivated. The cylinder core can be further rotated into a safe position using the key, in which the eccentric lever is blocked and the key can be inserted into or pulled out of the cylinder. In order to provide greater operating comfort and preclude operational malfunctions, coupling means are mounted between the centrally control locking system lever and the eccentric lever which rotationally connects both levers in the secure position and the release position but decouples said levers in the safe position. A coupling member is also mounted between the cylinder core and the eccentric lever which moves back the eccentric lever from the safe position to the neutral position when the cylinder core is reversely rotated.Particularly carry out the locker of locking function, comprising at automobile tail:a lock tube (10), this lock tube have an available key operated tube core (11,12),driving member (20) with tube core (11) coaxial arrangement, this driving member and tube core (11) are with shape ways of connecting (32,62,63) and/or with power ways of connecting (49,29; 49 ', 29 ') connect,the eccentric rod of a coaxial arrangement (30), key operated (18, the 18 ') transmission part (20) of this bar by tube core (11) makes the effective unlocked position (30 ' of locking element an invalid locked position of coupler (30,31) that belongs to locking element and one, 31 ') change betweencentral interlock bar (40) with driving member (20) coaxial arrangement, this blocking lever connects and can rotate with eccentric rod (30),a central interlock device, it makes central interlock bar (40) at locked position of coupler and unlocked position (40,41; 40 ', 41 ') conversion between,an impulse spring (25) is at a locked position of coupler or unlocked position (51,51 ') interior key operated (18,18 ') after, this impulse spring back into a therebetween zero position (50) automatically with tube core (11), at this place, can carry out the first time of key inserts and extractsin this case, a tube core (11) can continue to rotate to a home (52), and in this position, eccentric rod (30) is locked in its locked position of coupler (31), and inserts in the tube core (11) second time that can carry out key or extract,it is characterized by:between central interlock bar (40) and eccentric rod (30), be provided with a linkage (55), though this linkage is at locked position of coupler, centre position and unlocked position (31,41; 50; 31 ', 41 ') connect this two bars (30,40) antitorquely, but in home (52), can unclamp them;between tube core (11) and eccentric rod (30), be provided with a connection element (60), be in its unlocked position (40 ' in advance at the central interlock bar, 41 ') time, this connection element makes a core (11) be connected with eccentric rod (30) antitorquely and forwards unlocked position (30 ', 31 ') when the revolution of the key of tube core (11) (18 ') at home (52).
1,175
13219
특수직물 및 기타 직물 직조업
특허
ko
en
원형 편직기 및 원형 편직기의 바늘을 이동시키기 위한 방법원형 편직기는, 바늘 고정 실린더를 포함하고, 상기 바늘 고정 실린더는 바늘 고정 실린더의 중심축(X-X) 주위에 배열된 복수의 종 방향 그루브를 가지며, 각각의 종 방향 그루브에 각각 수용되는 복수의 바늘을 포함한다. 각각의 바늘을 위한 구동 체인은, 바늘 아래에서 각각의 종 방향 그루브내에 삽입되고, 상기 버트가 제1 작동 캠에 의해 형성된 각각의 제1 경로와 연결되고 바늘이 활성화되고 스티치가 형성되도록 버트가 추출되는 작동 위치 및 상기 버트가 상기 제1 경로와 연결되지 않는 비작동 위치사이에서 상기 버트는 반경 방향으로 이동하며, 각각의 바늘 및 작동 캠사이ㅔ 작동가능하게 배열된다. 상기 구동 체인은 상기 서브 바늘 아래에서 각각의 종 방향 그루브 내에서 적어도 부분적으로 미끄럼 가능하며 버트를 갖는 서브 바늘을 포함하고, 버트가 추출되어 제1 작동 캠에 의해 형성되는 각각의 제1 경로와 연결되며 바늘이 활성화되는 작동위치 및 버트가 후퇴되어 상기 제1 경로(비활성 바늘)와 연결되지 않는 비작동위치 사이에서 상기 버트는 반경 방향으로 이동한다. 선택기가 서브 바늘 아래에 배열되고 펀치가 서브 바늘과 선택기 사이에 배열된다. 활성화 부재는 서브 바늘과 선택기 사이의 각각의 종 방향 그루브에 슬라이딩 방식으로 배열되며, 펀치 및 서브에 대해 종 방향으로 이동될 수 있고 서브 바늘과 서브 바늘의 버트를 전환하여 각각의 작동 위치에 유지하기 위해 서브 바늘과 작동 가능하게 결합될 수 있다.원형 편직기로서,바늘 고정 실린더를 포함하고, 상기 바늘 고정 실린더는 바늘 고정 실린더의 중심축(X-X) 주위에 배열된 복수의 종 방향 그루브를 가지며,각각의 종 방향 그루브에 각각 수용되는 복수의 바늘을 포함하고,상기 바늘 고정 실린더 주위에 배열되고 상기 바늘 고정 실린더에 대해 이동할 수 있어서 상기 바늘이 상기 종 방향 그루브 주위에서 운동하거나 운동할 수 있어서 상기 바늘에 의해 스티치가 형성되는 작동 캠을 포함하며,상기 종 방향 그루브 속으로 삽입되고 각각의 바늘 아래에 위치하며 각각의 바늘 및 작동 캠 사이에 배열되어 작동하는 각각의 바늘을 위한 구동 체인을 포함하고, 상기 구동 체인은,바늘 아래에서 각각의 종 방향 그루브 내에서 미끄럼 가능하게 배열되고 버트를 갖는 서브 바늘을 포함하고, 상기 버트가 제1 작동 캠에 의해 형성된 각각의 제1 경로와 연결되고 바늘이 활성화되고 스티치가 형성되도록 버트가 추출되는 작동 위치 및 상기 버트가 상기 제1 경로와 연결되지 않는 비작동 위치사이에서 상기 버트는 반경 방향으로 이동하며,상기 서브 바늘 아래에서 각각의 종 방향 그루브 내에서 적어도 부분적으로 미끄럼 가능하며 적어도 하나의 각각의 버트를 갖는 선택기를 포함하고, 상기 버트는 제2 작동 캠에 의해 형성된 각각의 제2 경로와 연결되도록 추출되는 작동 위치 및 상기 버트가 상기 제2 경로와 연결되지 않도록 후퇴되는 비작동 위치사이에서 상기 버트가 반경 방향으로 이동하며,상기 선택기를 상기 작동 위치 또는 비작동위치로 전환하거나 유지하기 위에 상기 선택기를 제어하는 하나 이상의 선택 장치를 포함하고,서브 바늘과 선택기 사이에서 각각의 종 방향 그루브 내에서 미끄럼가능하게 배열된 펀치를 포함하며, 상기 펀치의 하측 부분이 상기 선택기와 연결되고, 펀치의 상측 부분은 서브 바늘과 연결되며, 상기 펀치는 제3 작동 캠에 의해 형성된 각각의 제3 경로와 연결될 수 있는 각각의 버트를 가지며,구동 체인은 서브 바늘과 선택기 사이에서 각각의 종 방향 그루브 내에서 미끄럼 가능하게 배열된 활성화 요소를 포함하고, 활성화 요소는 펀치 및 서브 바늘에 대하여 종 방향로 이동하며, 서브 바늘과 작동 가능하게 연결되어 서브 바늘의 버트를 각각의 작동 위치로 전환시키거나 유지하는 것을 특징으로 하는 원형 편직기.
A CIRCULAR KNITTING MACHINE AND A METHOD FOR MOVING THE NEEDLES OF A CIRCULAR KNITTING MACHINEA circular knitting machine includes a needle-holding cylinder having plurality of longitudinal grooves arranged around central axis, and plurality of needles, each being housed in longitudinal groove. Drive chain for each needle is inserted into each groove and operatively placed between needle and actuating cams. Drive chain includes sub-needle slidingly arranged in groove and having a butt. Butt is radially movable between operating position, extracted to engage with respective first paths and cause activation of needle and stitch formation, and non-operating position, retracted so as not to engage with first paths. A selector is arranged under sub-needle, and punch is arranged between sub-needle and selector. An activating element is slidingly arranged in groove between sub-needle and selector, can be longitudinally moved with respect to punch and sub-needle, and can be operatively engaged with sub-needle to switch butt of sub-needle into and retain it in operating position.A circular knitting machine, comprising: a needle-holding cylinder having a plurality of longitudinal grooves arranged around a central axis of the needle-holding cylinder;a plurality needles, each being housed in a respective longitudinal groove;actuating cams arranged around the needle-holding cylinder and movable with respect to said needle-holding cylinder around the central axis for causing or allowing the movement of the needles along the longitudinal grooves so as to enable stitch formation by said needles;a drive chain for each needle inserted into the respective longitudinal groove, located below the respective needle and operatively placed between the respective needle and said actuating cams;wherein said drive chain comprises: a sub-needle slidingly arranged in the respective longitudinal groove below the needle and having a butt, wherein the butt is radially movable between an operating position, in which it is extracted so as to engage with respective first paths defined by first actuating cams and cause the activation of the needle and the stitch formation, and a non-operating position, in which it is retracted so as not to engage with said first paths;a selector at least partly slidingly arranged in the respective longitudinal groove below the sub-needle, having at least one respective butt radially movable between an operating position, in which it is extracted so as to engage with respective second paths defined by second actuating cams, and a non-operating position, in which it is retracted so as not to engage with said second paths;at least one selecting device acting under control upon said selector for switching the latter into or retaining it in the operating position or the non-operating position;a punch slidingly arranged in the respective longitudinal groove between the sub-needle and the selector, wherein a lower portion of the punch is engaged with the selector and an upper portion of the punch is engaged with the sub-needle, wherein the punch has a respective butt which can be engaged with respective third paths defined by third actuating cams;wherein the drive chain comprises an activating element slidingly arranged in the respective longitudinal groove between the sub-needle and the selector, wherein the activating element can be longitudinally moved with respect to the punch and with respect to the sub-needle and can be operatively engaged with the sub-needle so as to switch the butt of the sub-needle into and retain it in the respective operating position.
1,177
32091
금속 가구 제조업
특허
ko
en
스윙용 도어 프레임 마운트마운트는 도어 프레임의 상단 수평 부분에 설치된다. 그네는 적어도 두 개의 코드로 마운트에 매달려 있다. 마운트는 2개의 U자형 클램프 프레임을 포함하며, 각각은 도어 프레임 상단 부분의 반대쪽에 수직 벽과 맞물리는 제1 레그와 텔레스코픽으로 서로 맞물리는 제2 레그를 가지고 있다. 서로에 대한 두 클램프의 왕복 운동은 끝없는 나사 축에 의해 제어되며, 축은 핸들에 의해 작동된다. 한 쌍의 틸트-제어 암이 해당 클램프의 웹 주위에 제공되며, 텔레스코픽 다리에 인접하여 그네의 스윙 동작 중에 장착 틸트를 방지하기 위해 도어 프레임 상단 세그먼트의 하단 가장자리와 맞물린다.도어 프레임의 상부 세그먼트에 장착하도록 구성된 스윙 어셈블리로서, 상기 스윙 어셈블리는:상기 도어 프레임 상부 세그먼트에 고정하기 위한 스윙 장착 부재;시트 수단; 및 가요성 서스펜더 부재, 상기 시트 수단을 지면 위에서 자유롭게 매달아 놓음으로써 상기 시트 수단이 상기 도어 프레임에 의해 정의된 구멍을 통해 왕복하도록 구성되고, 상기 도어 프레임은 제1 및 제2 대향면을 정의하며, 상기 스윙 장착 부재는 (a) 제1 및 제2 레그를 정의하는 제1 L자형 관형 부재로서, 상기 제1 레그는 상기 도어 프레임 상부 레그의 상기 제1 면의 상부 부분에 해제 가능하게 인접하기 위한 제1 횡방향 패드 부재를 지지하고, 상기 제2 레그는 정의 상기 제1 관형 부재의 중공 내로 개방되는 단부 마우스;(b) 제1 및 제2 레그를 정의하는 제2 L-형 관형 부재로서, 상기 제1 레그는 상기 제2 횡방향 패드 부재의 상부에 해제 가능하게 접하기 위한 제2 횡방향 패드 부재를 단부방향으로 지지함 상기 도어 프레임 상부 레그의 면;(c) 제1 및 제2 레그를 정의하는 제3 L자 관형 부재, 상기 제1 레그는 외부 및 내부 부분을 정의하고, 상기 외부 포트는 상기 입구를 통해 상기 제1 관형 부재의 제2 다리의 중공 내로 활주식으로 삽입되고, 상기 제3 관형 부재의 상기 내부 부분은 상기 도어 프레임 상부 다리의 상기 제1 면의 하부 부분에 해제 가능하게 접하기 위한 제3 패드 부재를 가로로 지지함 (d) 제4 L자형 관형 부재로서, 제1 레그 및 제2 연장 레그를 정의하고, 상기 제1 레그는 외부 및 내부 부분을 정의하고, 상기 외부 부분은 제4 관형 부재, 상기 제2 관형 부재의 상기 제2 레그는 상기 마우스를 통해 상기 제4 관형 부재의 상기 제1 레그의 외부 부분의 상기 중공 내로 활주식으로 맞물리며, 상기 제4 관형 부재의 제1 레그의 내부 부분은 횡방향으로 제4 지지부를 지지함 상기 도어 프레임 상부 레그의 상기 제2 면의 하부 부분에 해제 가능하게 인접하기 위한 패드 부재 - 상기 제4 관형 부재의 상기 제2 레그는 내부로 개구부를 형성하는 중공, 상기 제3 관형 부재의 상기 제2 레그는 상기 마우스를 통해 상기 제4 관형 부재의 상기 제2 레그의 중공 내로 활주식으로 맞물림 상기 제4 관형 부재의 상기 제2 레그의 중공을 통해 축방향으로 운동;(f) 상기 제4 관형 부재의 상기 연장된 제2 레그에 중간 섹션에서 고정되고 그에 대해 횡방향으로 연장되는 연장된 강성 지지 바아, 상기 가요성 현수 부재 그 주위에서 스윙 운동을 위해 상기 긴 강성 지지 바의 반대 단부에 고정되고; 및(g) 상기 제3 및 제4 관형 부재 각각에 대한 상기 제3 및 제4 패드 부재의 상대적인 움직임을 위한 무릎 관절 수단; 상기 운동 하중으로부터 상기 제1 및 제2 관형 부재를 절연시키면서 상기 도어 프레임에 대항하는 부재.
Door frame mount for swingThe mount is installed to the top horizontal segment of the door frame. The swing hangs from the mount by at least two cords. The mount includes two U-shape clamp frames, each having a first leg engaging vertical walls on opposite sides of the door frame top segment, and a second leg telescopically engaged into one another. Reciprocating motion of the two clamps one relative to the other is controlled by an endless screw shaft, the shaft being actuated by a handle. A pair of tilt-controlling arms are provided about the web of the corresponding clamps, adjacent their telescopic legs, to engage the bottom edge of the door frame top segment so as to prevent mount tilt during swinging motion of the swing.A swing assembly adapted for mounting to a top segment of a door frame, said swing assembly comprising in combination: a swing mount member, for anchoring to said door frame top segment;seat means; andflexible suspender members, hanging said seat means to said mount member freely over a ground whereby said seat means are adapted to reciprocate through an aperture defined by said door frame, said door frame defining first and second opposite faces;wherein said swing mount member consists of;(a) a first L-shape tubular member defining first and second legs, said first leg thereof endwisely carrying a first transverse pad member for releasably abutting an upper portion of said first face of said door frame top leg, said second leg thereof defining an end mouth opening into the hollow of said first tubular member;(b) a second L-shape tubular member, defining first and second legs, said first leg thereof endwisely carrying a second transverse pad member for releasably abutting an upper portion of said second face of said door frame top leg;(c) a third L-shape tubular member, defining first and second legs, said first leg thereof defining an outer and an inner portion, said outer portion thereof being slidingly inserted through said mouth and into the hollow of said first tubular member second leg, said inner portion of said third tubular member transversely carrying a third pad member for releasably abutting a lower portion of said first face of said door frame top leg;(d) a fourth L-shape tubular member, defining a first leg and a second elongated leg, said first leg thereof defining an outer and an inner portion, said outer portion thereof defining a mouth opening into the hollow of said outer portion of the fourth tubular member, said second leg of said second tubular member slidingly engaging through said mouth an into said hollow of said outer portion of said fourth tubular member first leg, said inner portion of the first leg of said fourth tubular member transversely carrying a fourth pad member for releasably abutting a lower portion of said second face of said door frame top leg, said second leg of the fourth tubular member defining a mouth opening into the hollow thereof, said second leg of said third tubular member slidingly engaging through said mouth and into said hollow of said second leg of said fourth tubular member;(e) biasing means, for biasing said second leg of said third tubular member in sliding relative motion axially through the hollow of said second leg of said fourth tubular member;(f) an elongated rigid support bar, anchored at an intermediate section thereof to said elongated second leg of said fourth tubular member and transversely extending relative thereto, said flexible suspended members being anchored at opposite ends of said elongated rigid support bar for swinging motion thereabout; and(g) knee joint means for relative movement of said third and fourth pad members relative to said third and fourth tubular members respectively;wherein said knee joint means is responsive to the swinging motion of said seat means to accordingly tilt said third and fourth tubular members against said door frame while insulating said first and second tubular members from this motion load.
1,177
29230
금속 주조 및 기타 야금용 기계 제조업
특허
ko
en
알루미늄 포일 압연 방법 및 이 방법으로 얻어지는 알루미늄 포일알루미늄 포일을 압연하는 공정에는 첫 번째 라미네이트와 두 번째 라미네이트 사이에 각각 두 개의 알루미늄 포일이 겹쳐져 윤활유를 바르는 공정, 첫 번째 라미네이트와 두 번째 라미네이트 사이의 라미네이트의 한 면을 윤활하는 작업, 첫 번째 라미네이트를 두 번째 라미네이트와 결합시키는 작업이 포함됩니다. 윤활면은 첫 번째 라미네이트와 두 번째 라미네이트 사이의 접촉면이다. 또는 첫 번째 라미네이트와 두 번째 라미네이트 사이의 비접촉면이다. 이 경우 코일이 있는 상처 이중 라미네이트를 얻기 위해 이중 라미네이트를 감는 중간 단계가 제공된다. 한 코일로 감아서 상처 이중 라미네이트를 부분적으로 분리한다. 1차 라미네이트와 2차 라미네이트 중 하나의 알루미늄 포일(foil)을 사용하여 적어도 부분적으로 감긴 이중 라미네이트는 1차 라미네이트와 2차 라미네이트 중 하나의 알루미늄 포일에 각각 해당하는 부분으로 구성된다.알루미늄 포일 압연 방법으로서,a) 서로 겹치고 윤활유가 사이에 개재되는 2개의 알루미늄 포일을 포함하는 제1 적층체(1)를 제공하는 단계;b) 서로 겹치고 윤활유가 사이에 개재되는 2개의 알루미늄 포일을 포함하는 제2 적층체(2)를 제공하는 단계;c) 상기 제1 적층체(1)와 제2 적층체(2) 중 적어도 하나의 적층체의 적어도 하나의 면을 적어도 부분적으로 윤활하는 단계; 및d) 상기 제1 적층체(1)와 제2 적층체(2)를 결합하여, 4개의 알루미늄 포일을 포함하는 이중 적층체(3, 12)를 얻는 단계를 포함하고,- d') 상기 적어도 하나의 윤활 면은 상기 제1 적층체(1)와 상기 제2 적층체(2) 사이의 접촉 면이고, 상기 이중 적층체(3)의 각 알루미늄 포일과 인접 알루미늄 포일 사이에 약간의 윤활유가 개재됨; 또는- d'') 상기 적어도 하나의 윤활 면은 상기 제1 적층체(1)와 상기 제2 적층체(2) 사이의 비접촉 면이고, 상기 이중 적층체(12)는 적어도 하나의 외측 윤활 면을 가지며, 이 경우 다음과 같은 추가의 중간 단계, 즉- - d''') 적어도 하나의 외측 윤활 면을 갖는 상기 이중 적층체(12)를 적어도 부분적으로 권취하여, n개의 코일을 포함하는 적어도 부분적으로 권취된 이중 적층체(13)를 얻는 단계;- - d'''') 상기 제1 적층체와 제2 적층체 중 하나의 두 알루미늄 포일을 적어도 한 코일만큼 권취 해제하여, 상기 적어도 부분적으로 권취된 이중 적층체(13)를 부분적으로 분리하여, 상기 제1 적층체와 제2 적층체 중 하나만의 두 알루미늄 포일의 각각의 일부분으로 구성된 단부(19)를 포함하는 적어도 부분적으로 권취된 이중 적층체(18)를 얻는 단계; 및- - d''''') 상기 적어도 부분적으로 권취된 이중 적층체(18)를 권취 해제하여, 각 알루미늄 포일과 인접 알루미늄 포일 사이에 약간의 윤활유가 개재되어 있는 이중 적층체를 얻는 단계가 제공되며,상기 방법은 또한,e) 각 알루미늄 포일과 인접 알루미늄 포일 사이에 약간의 윤활유가 개재되어 있는 상기 이중 적층체를 적어도 한번 압연하여, 이중 적층체의 두께를 감소시키는 단계; 및f) 감소된 두께를 갖는 상기 이중 적층체를 분리하여, 감소된 두께를 갖는 4개의 알루미늄 포일을 얻는 단계를 포함하고,상기 4개의 알루미늄 포일 중의 2개의 알루미늄 포일(4, 5; 14, 17) 각각은 2개의 불투명한 면을 가지며, 상기 4개의 알루미늄 포일 중의 다른 2개의 알루미늄 포일(6, 7; 15, 16) 각각은 불투명한 면과 밝은 면을 갖는, 알루미늄 포일 압연 방법.
Process for rolling aluminium foils and aluminium foils obtained by said processProcess for rolling aluminum foils includes: providing first and second laminates each having two aluminum foils overlapped one to another between which a lubricant is interposed; lubricating one face of one laminate between the first and second laminate; coupling the first laminate with the second laminate obtaining a double laminate. The lubricated face is a contact face between the first and second laminate; or it is a non-contact face between the first and second laminate, in this case being provided the intermediate steps of winding the double laminate obtaining a wound double laminate having n coils; partially separating the wound double laminate by unwinding, by one coil, both the aluminum foils of one of the first and second laminate, obtaining an at least partially wound double laminate having an end portion constituted by a respective portion of both the aluminum foils of only one of the first and second laminate.A process for rolling aluminum foils comprising the steps of: a) providing a first laminate (1) comprising two aluminum foils overlapped one to another between which a lubricant is interposed;b) providing a second laminate (2) comprising two aluminum foils overlapped one to another between which a lubricant is interposed;c) lubricating, at least partially, at least one face of at least one laminate between said first laminate (1) and said second laminate (2);d) coupling said first laminate (1) with said second laminate (2) thus obtaining a double laminate (3, 12) comprising four aluminum foils,wherein d′) said at least one lubricated face is a contact face between said first laminate (1) and said second laminate (2), some lubricant being interposed between each aluminum foil and an adjacent aluminum foil of said double laminate (3); or whereind″) said at least one lubricated face is a non-contact face between said first laminate (1) and said second laminate (2), said double laminate (12) having at least one outer lubricated face, in this case being provided the further intermediate steps ofd″′) winding, at least partially, said double laminate (12) having at least one outer lubricated face, thus obtaining an at least partially wound double laminate (13) comprising n coils;d″″) partially separating said at least partially wound double laminate (13) by unwinding, at least by one coil, both the aluminum foils of one of said first laminate and said second laminate, thereby obtaining an at least partially wound double laminate (18) comprising an end portion (19) constituted by a respective portion of both the aluminum foils of only one of said first laminate and said second laminate;d″″′) unwinding said at least partially wound double laminate (18) thus obtaining a double laminate in which some lubricant is interposed between each aluminum foil and an adjacent aluminum foil;e) rolling at least once said double laminate in which some lubricant is interposed between each aluminum foil and an adjacent aluminum foil, thus reducing the thickness of said double laminate;f) separating said double laminate with reduced thickness thus obtaining four aluminum foils with reduced thickness, wherein the two aluminum foils (4, 5; 14, 17) among said four aluminum foils each have both faces opaque, and the other two aluminum foils (6, 7; 15, 16) among said four aluminum foils each have an opaque face and a bright face.
1,178
30399
그 외 자동차용 신품 부품 제조업
특허
ko
en
차량의 배터리 시스템을 제어하는 방법본 개시는 차량의 배터리 시스템의 방전 및 충전 중에 배터리 시스템을 제어하는 방법(100)에 관한 것으로, 상기 방법은 일련의 배터리 스택들의 각 배터리 셀의 상태 충전 레벨을 결정하는 단계(110); 배터리 시스템의 방전 중에 일련의 배터리 스택들의 배터리 셀들 중에서 가장 낮은 상태 충전 레벨을 갖는 배터리 셀을 식별하거나, 또는 배터리 시스템의 충전 중에 일련의 배터리 스택들의 배터리 셀들 중에서 가장 높은 상태 충전 레벨을 갖는 배터리 셀을 식별하는 단계(120); 식별된 배터리 셀의 방전 또는 충전을 일시적으로 중단하는 단계(130); 일련의 배터리 스택들의 나머지 배터리 셀의 방전 또는 충전을 지속하는 단계(140); 일련의 배터리 스택들의 나머지 배터리 셀 중에서, 일련의 배터리 스택들의 다른 배터리 스택 각각에 있는 배터리 셀의 방전 또는 충전을 순차적으로 일시적으로 중단하는 단계(150); 배터리 시스템 특성에 기초하여 일련의 배터리 스택들의 다른 배터리 스택 각각에 있는 배터리 셀의 방전 또는 충전을 순차적으로 일시적으로 중단하는 단계의 지속 시간을 제어하는 단계(160); 일련의 배터리 스택들의 배터리 셀의 상태 충전 레벨을 모니터링하는 단계(170); 일련의 배터리 스택들의 다른 배터리 스택의 배터리 셀의 모니터링된 상태 충전 레벨을 대응하는 배터리 스택의 식별된 배터리 셀의 상태 충전 레벨과 비교하는 단계(180); 및 일련의 배터리 스택들의 일련의 배터리 셀들의 나머지 배터리 셀의 상태 충전 레벨이 식별된 배터리 셀의 상태 충전 레벨에 대응할 때, 식별된 배터리 셀의 방전 또는 충전을 재개하는 단계(190);를 포함한다.차량의 배터리 시스템의 방전 및 충전 중에 상기 배터리 시스템을 제어하는 방법(100)에 있어서,상기 배터리 시스템은 병렬로 연결된 일련의 배터리 스택들을 포함하고, 각각의 배터리 스택은 직렬로 연결된 일련의 배터리 셀들을 포함하고,상기 방법(100)은- 상기 일련의 배터리 스택들의 각 배터리 셀의 상태 충전 레벨을 결정하는 단계(110);- 상기 배터리 시스템의 방전 중에 상기 일련의 배터리 스택들의 배터리 셀들 중에서 가장 낮은 상태 충전 레벨을 갖는 배터리 셀을 식별하거나, 또는 상기 배터리 시스템의 충전 중에 상기 일련의 배터리 스택들의 배터리 셀들 중에서 가장 높은 상태 충전 레벨을 갖는 배터리 셀을 식별하는 단계(120);- 상기 식별된 배터리 셀의 방전 또는 충전을 일시적으로 중단하는 단계(130);- 상기 일련의 배터리 스택들의 나머지 배터리 셀의 방전 또는 충전을 지속하는 단계(140);- 상기 일련의 배터리 스택들의 나머지 배터리 셀 중에서, 상기 일련의 배터리 스택들의 다른 배터리 스택 각각에 있는 배터리 셀의 방전 또는 충전을 순차적으로 일시적으로 중단하는 단계(150);- 배터리 시스템 특성에 기초하여 상기 일련의 배터리 스택들의 다른 배터리 스택 각각에 있는 배터리 셀의 방전 또는 충전을 순차적으로 일시적으로 중단하는 단계의 지속 시간을 제어하는 단계(160);- 상기 일련의 배터리 스택들의 배터리 셀의 상태 충전 레벨을 모니터링하는 단계(170);- 상기 일련의 배터리 스택들의 다른 배터리 스택의 배터리 셀의 모니터링된 상태 충전 레벨을 대응하는 배터리 스택의 상기 식별된 배터리 셀의 상태 충전 레벨과 비교하는 단계(180); 및- 상기 일련의 배터리 스택들의 일련의 배터리 셀들의 나머지 배터리 셀의 상태 충전 레벨이 상기 식별된 배터리 셀의 상태 충전 레벨에 대응할 때, 상기 식별된 배터리 셀의 방전 또는 충전을 재개하는 단계(190);를 포함하는 것을 특징으로 하는, 방법(100).
A METHOD OF CONTROLLING A BATTERY SYSTEM IN A VEHICLEThe invention relates to a method (100) of controlling a battery system in a vehicle during discharging or charging thereof, the method comprising the steps of: determining (110) a state charge levelof each battery cell of a set of battery stacks; during discharging of the battery system, identifying (120) a battery cell with a lowest state charge level among the battery cells of the set of battery stacks, or during charging of the battery system, identifying a battery cell with a highest state charge level among the battery cells of the set of battery stacks; temporarily interrupting (130) discharging or charging of the identified battery cell; continuing discharging or charging (140) the remaining battery cells of the set of battery stacks; among the remaining battery cells of the set of battery stacks, sequentially temporarily interrupting discharging or charging (150) a battery cell in each one of the other battery stacks of the set of battery stacks; controlling (160) duration ofthe step of sequentially temporarily interrupting discharging or charging a battery cell in each one of the other battery stacks of the set of battery stacks based on a battery system characteristic;monitoring (170) state charge levels of the battery cells of the set of battery stacks; comparing (180) the monitored state charge levels of the remaining battery cells of the other battery stacks ofset of battery stacks with the state charge level of the identified battery cell of the corresponding battery stack; and resuming discharging or charging (190) of the identified battery cell when state charge levels of the remaining battery cells of the set of battery cells of the set of battery stacks corresponds to the state charge level of the identified battery cell.A method (100) of controlling a battery system in a vehicle during discharging and charging of the battery system, the battery system comprising a set of battery packs connected in parallel, each of the battery packs having a set of battery cells connected in series, characterized in that the method comprises the steps of:-determining (110) a state of charge level of each cell of the set of battery packs;-identifying (120) the cell with the lowest state of charge level of the cells of the group of battery packs during discharging of the battery system or the cell with the highest state of charge level of the cells of the group of battery packs during charging of the battery system;-temporarily interrupting (130) the discharging or charging of the identified battery cell;-continuing to discharge or charge (140) the remaining cells of the group of battery packs;-temporarily interrupting in sequence the discharging or charging (150) of the cells in each of the other battery packs of said group of battery packs among the remaining cells of said group of battery packs;-controlling (160) the duration of the step of temporarily interrupting the discharging or charging of the battery cells in each of the other battery packs of the set of battery packs in sequence based on the battery system characteristics;-monitoring (170) the state of charge level of the cells of the group of battery packs;-comparing (180) the monitored state of charge levels of the cells of the other of the group of battery packs with the identified state of charge levels of the cells of the corresponding battery pack; and-resuming discharging or charging (190) of the identified cell when the state of charge level of the remaining cells of the group of battery packs corresponds to the identified state of charge level of the cell.
1,179
21101
의약용 화합물 및 항생물질 제조업
특허
ko
en
퀴녹살린 유도체 및 양성 및 악성 종양 질병 치료를 위한 이의 용도본 발명은, 특히 다른 활성 화합물에 대한 약물 내성의 경우 및 전이성 암종의 경우에, 바람직하게는 종양 질병의 치료를 위한 약제로서 사용되는 화학식 I의 퀴녹살린을 제공한다. 가능한 적용은 종양 질병으로 제한되지 않는다. 화학식 I화학식 I의 퀴녹살린 유도체 및 이의 생리학적으로 허용되는 염, 수화물 또는 용매화물(여기서, 화학식 I의 화합물 및 이의 염, 수화물 또는 용매화물은 이들의 라세미체, 에난티오머 및/또는 부분입체이성체 형태, 또는 상기 에난티오머들 및/또는 부분입체이성체들의 혼합물 형태, 토오토머 형태 및 이들의 다형 형태로 존재할 수 있다) . 화학식 I[이미지]상기 화학식 I에서, X는 산소 또는 황이고;R1은 (i) 수소,(ii) 치환되지 않거나 치환된 (C1-C12)-알킬,(iii) 시아노, 또는 (iv) 할로겐이고,R2/R3은 (i) 수소, 또는 (ii) 치환되지 않거나 치환된 (C1-C12)-알킬이고,R4는 (i) 수소,(ii) 치환되지 않거나 치환된 (C1-C12)-알킬,(iii) 치환되지 않거나 치환된 사이클로알킬,(iv) 치환되지 않거나 치환된 헤테로사이클릴,(v) 치환되지 않거나 치환된 아릴,(vi) 치환되지 않거나 치환된 헤테로아릴, (vii) 치환되지 않거나 치환된 알킬아릴, 또는 (viii) 치환되지 않거나 치환된 알킬헤테로아릴이고,R5 내지 R8은 (i) 수소,(ii) 치환되지 않거나 치환된 (C1-C12)-알킬,(iii) 치환되지 않거나 치환된 아릴,(iv) 치환되지 않거나 치환된 헤테로아릴,(v) 할로겐,(vi) 시아노,(vii) 하이드록실,(viii) (C1-C12)-알콕시,(ix) 아미노,(x) 카복실, 알콕시카보닐, 카복시알킬 또는 알콕시카보닐알킬, 또는 (xi) 알콕시카보닐아미노 또는 알콕시카보닐아미노알킬이고, 단, 상기 치환체 R5 내지 R8 중 하나 이상은 치환되지 않거나 치환된 아릴 또는 헤테로아릴 라디칼이고,여기서, 상기 치환체는 F, Cl, Br, I, CN, CF3, NH2, NH-알킬, NH-아릴, N(알킬)2, NH-CO-알킬, NH-CO-아릴, NH-CO-헤테로아릴, NH-SO2-알킬, NH-SO2-아릴, NH-SO2-헤테로아릴, NH-CO-NH-알킬, NH-CO-NH-아릴, NH-CO-NH-헤테로아릴, NH-C(O)O-알킬, NH-C(O)O-아릴, NH-C(O)O-헤테로아릴, NO2, SH, S-알킬, OH, OCF3, O-알킬, O-아릴, O-CO-알킬, O-CO-아릴, O-CO-헤테로아릴, O-C(O)O-알킬, O-C(O)O-아릴, O-C(O)O-헤테로아릴, O-CO-NH-알킬, O-CO-N(알킬)2, O-CO-NH-아릴, O-CO-NH-헤테로아릴, OSO3H, OSO2-알킬, OSO2-아릴, OSO2-헤테로아릴, OP(O)(OH)2, 알킬-P(O)(OH)2 CHO, CO2H, C(O)O-알킬, C(O)O-아릴, C(O)O-헤테로아릴, CO-알킬, CO-아릴, CO-헤테로아릴, SO3H, SO2-NH2, SO2-NH-알킬, SO2-NH-아릴, SO2-NH-헤테로아릴, 알킬, 사이클로알킬, 헤테로사이클릴, 아릴 및 헤테로아릴로 이루어진 그룹으로부터 선택되고, 상기 라디칼 "알킬", "사이클로알킬", "헤테로사이클릴", "아릴" 및 "헤테로아릴" 또한 치환될 수 있다.
Quinoxaline derivatives and their use for treating benign and malignant tumour disordersThe invention provides quinoxalines of the general formula I which are used as medicaments preferably for treating tumour disorders, in particular in cases of drug resistance to other active compounds and in cases of metastasic carcinoma. The possible applications are not limited to tumour disorders (Formula I).The quinoxaline derivatives of general formula i and their the acceptable salt of physiology, hydrate, solvolyteformula iwhereinx is: oxygen or sulphur;r 1be: (i) hydrogen,(ii) without substituted or substituted (c 1-c 12)-alkyl, (iii) cyanic acid,(iv) halogen,r 2/ r 3be: (i) hydrogen,(ii) without substituted or substituted (c 1-c 12)-alkyl, r 4be: (i) hydrogen,(ii) without substituted or substituted (c 1-c 12)-alkyl, (iii) without substituted or substituted naphthenic base,(iv) without substituted or substituted heterocyclic radical,(v) without substituted or substituted aryl,(vi) without substituted or substituted heteroaryl,(vii) without substituted or substituted alkylaryl,(viii) without substituted or substituted miscellaneous alkyl aryl,withr 5-r 8be: (i) hydrogen,(ii) without substituted or substituted (c 1-c 12)-alkyl, (iii) without substituted or substituted aryl,(iv) without substituted or substituted heteroaryl,(v) halogen,(vi) cyanic acid,(vii) hydroxyl,(viii) (c 1-c 12)-alkoxyl group, (ix) amino,(x) carboxyl, carbalkoxy, carboxyalkyl or alkoxy carbonyl alkyl,(xi) alkoxycarbonyl amino, alkoxycarbonyl amino alkyl andcondition is said substituent r 5-r 8in at least 1 be without substituted or substituted aryl or heteroaryl residue, and wherein said substituting group is selected from f, cl, br, i, cn, cf 3, nh 2, nh-alkyl, nh-aryl, n (alkyl) 2, nh-co-alkyl, nh-co-aryl, nh-co-heteroaryl, nh-so 2-alkyl, nh-so 2-aryl, nh-so 2-heteroaryl, nh-co-nh-alkyl, nh-co-nh-aryl, nh-co-nh-heteroaryl, nh-c (o) o-alkyl, nh-c (o) o-aryl, nh-c (o) o-heteroaryl, no 2, sh, s-alkyl, oh, ocf 3, o-alkyl, o-aryl, o-co-alkyl, o-co-aryl, o-co-heteroaryl, o-c (o) o-alkyl, o-c (o) o-aryl, o-c (o) o-heteroaryl, o-co-nh-alkyl, o-co-n (alkyl) 2, o-co-nh-aryl, o-co-nh-heteroaryl, oso 3h, oso 2-alkyl, oso 2-aryl, oso 2-heteroaryl, op (o) are (oh) 2, alkyl-p (o) (oh) 2cho, co 2h, c (o) o-alkyl, c (o) o-aryl, c (o) o-heteroaryl, co-alkyl, co-aryl, co-heteroaryl, so 3h, so 2-nh 2, so 2-nh-alkyl, so 2-nh-aryl, so 2-nh-heteroaryl, alkyl, naphthenic base, heterocyclic radical, aryl and heteroaryl, wherein said residue ' alkyl ', ' naphthenic base ', ' heterocyclic radical ', ' aryl ' and ' heteroaryl ' also can be substituted, the compound of its formula of (i) and their salt, hydrate or solvolyte can exist with their form of racemic modification, enantiomer and/or diastereomer; or exist, or exist with their tautomer and polymorphic forms with the form of the mixture of enantiomer and/or diastereomer.
1,180
29299
그 외 기타 특수 목적용 기계 제조업
특허
ko
en
나노 에어로졸용 노즐본 발명은 에어로졸을 방출하기 위한 장치(1)에 관한 것이며, 이 장치(1)는, 원통형 상부 하우징(3)으로서, 원통형 상단 돌출부(30)가 천정면(32)으로부터 아래쪽으로 연장되어 상부 하우징의 내주면과 원통형 천정 돌출부(30)의 외주면 사이에 소정의 공간(34)이 형성되고, 상기 천정 돌출부(30)는 그 바닥 단부(36)로부터 아래쪽으로 돌출되는 볼트(18)를 포함하며, 또한 상부 하우징(3)은 분산된 에어로졸을 방출하기 위한 적어도 하나의 개구부(7)를 포함하는 것인, 상기 원통형 상부 하우징(3)을 구비한다. 또한 바닥면(9)을 가지는 원통형 하부 하우징(2)으로서, 하부 하우징(2)의 바닥면(9)에 있는 바닥 개구부(5), 바닥 개구(5)를 둘러싸고 위쪽으로 돌출하여 하부 하우징(2)의 내측과 원통형 바닥 돌출부(13)의 외측 사이에 소정의 공간(11)을 형성하여 에어로졸을 위한 저장공간을 이루는 원통형 바닥 돌출부(13)와, 하부 하우징(2)의 내측으로 바닥 및/또는 측면에 고정적으로 연결되는 지지 프레임(15); 및 원통형 바닥 돌출부(13)를 덮는 플로트(float)(12)를 포함하는 것인 하부 하우징(2)을 더 구비한다. 상기 플로트(12)의 내부 둘레 형상은 원통형 바닥 돌출부(13)의 외부 형상과 매칭되는 것이고, 여기서 플로트(12)가 지지 프레임(15)에 의해 지지되어 형성되는 바닥 돌출부(13)와 플로트(12) 사이의 이격 거리 C는 본질적으로 전체 둘레에 걸쳐 동일하며, 플로트(12) 내측의 상단 단부에서 바닥 돌출부(13)의 상단 단부까지의 거리 T는 둘레의 대응하는 이격 거리 C 보다 크다.에어로졸을 방출하기 위한 장치(1)에 있어서,원통형 상부 하우징(3)으로서, 상기 상부 하우징(3)의 천정면(32)으로부터 원통형 천정 돌출부(30)가 아래쪽으로 연장되어 상기 상부 하우징(3)의 둘레 내측과 상기 원통형 천정 돌출부(30)의 외측 사이에 소정의 공간(34)이 형성되고, 상기 천정 돌출부(30)는 상기 천정 돌출부의 바닥 단부(36)의 아래쪽으로 돌출되는 볼트(18)를 구비하며, 상기 상부 하우징(3)은 분산된 에어로졸을 방출하기 위한 적어도 하나의 개구부(7)를 포함하는 것인, 상기 원통형 상부 하우징(3)과;바닥면(9)을 갖는 원통형 하부 하우징(2)으로서, 상기 하부 하우징(2)의 상기 바닥면(9)으로 바닥 개구부(5); 상기 바닥 개구부(5)를 둘러싸면서 위쪽으로 돌출되는 원통형 바닥 돌출부(13)로서, 그에 따라 상기 하부 하우징(2)의 내측과 상기 바닥 돌출부(13)의 외측 사이에 소정의 공간(11)을 형성하여 상기 에어로졸을 위한 저장공간을 이루는 것인, 상기 원통형 바닥 돌출부(13); 상기 하부 하우징(2)의 내측으로 바닥 및/또는 측면에 고정적으로 연결되는 지지 프레임(15); 및 상기 원통형 바닥 돌출부(13)를 덮는 플로트(12)로서, 상기 플로트(12)의 내부 둘레 형상은 상기 원통형 바닥 돌출부(13)의 외부 형상과 매칭되고, 상기 플로트(12)는 상기 지지 프레임(15)에 의해 지지되는 것이며, 그에 따라 상기 바닥 돌출부(13)와 상기 플로트(12) 사이의 이격 거리 C는 본질적으로 그 전체 둘레에 걸쳐서 동일하게 되는 상기 플로트를 가지는, 상기 원통형 하부 하우징(2)을 포함하는 장치이며,여기서, 상기 플로트(12) 내측의 상단 단부에서 상기 원통형 바닥 돌출부(13)의 상단 단부까지의 거리 T는, 상기 플로트와 원통형 바닥 돌출부 전체 둘레의 대응하는 이격 거리 C 보다 큰 것을 특징으로 하는, 장치(1).
NOZZLE FOR NANO-AEROSOLThe invention relates to a device (1) for releasing an aerosol, comprising a cylindrical upper housing (3) with a cylindrical top protrusion (30) extends downwards from the top wall (32) so that a predetermined space (34) is formed between the circumferential inner side of the upper housing and the outer side of the cylindrical top protrusion (30), wherein the top protrusion (30) comprises a bolt(18) protruding downwards from the bottom end (36) of the top protrusion, and wherein the upper housing (3) comprises at least one opening (7) for releasing the dispersed aerosol, a cylindrical lowerhousing (2) with a bottom floor (9), further including an bottom opening (5) in the bottom floor (9) of the lower housing (2), a cylindrical bottom protrusion (13) enclosing the bottom opening (5) andprotruding upwards so that a predetermined space (11) is provided between the inner side of the lower housing (2) and the outer side of the cylindrical bottom protrusion (13) forming a reservoir forthe aerosol, a support frame (15) fixedly connected to the inner side of the bottom and/or the side of the lower housing (2); and a float (12) that covers the cylindrical bottom protrusion (13), wherein the inner circumferential shape of the float (12) matches the outer shape of the cylindrical bottom protrusion (13) and wherein the float (12) is supported by the support frame (15) so that the distance C between the bottom protrusion (13) and the float (12) is essentially the same over the whole circumference, wherein the distance T of the top end of the float (12) to the inner side of the topend of the cylindrical bottom protrusion (13) is greater than the respective circumferential distance C.A device (1) for releasing an aerosol, comprising:a cylindrical upper shell (3) havinga cylindrical top protrusion (30) downwardly extending from the top wall (32) to form a predetermined space (34) between a circumferential inner side of the upper case and an outer side of the cylindrical top protrusion (30),wherein the top projection (30) includes a bolt (18) projecting downwardly from a bottom end (36) of the top projection, andwherein the upper housing (3) comprises at least one opening (7) for releasing the dispersed aerosol;a lower cylindrical housing (2) with a base plate (9), and further comprisinga bottom opening (5) in the bottom plate (9) of the lower housing (2);a cylindrical bottom projection (13) surrounding the bottom opening (5) and projecting upwards, so as to provide a predetermined space (11) between the inside of said lower housing (2) and the outside of the cylindrical bottom projection (13), forming a reservoir for the aerosol;a support frame (15) fixedly connected to the inner side of the bottom and/or the side of the lower case (2); anda float (12) covering said cylindrical bottom protrusion (13), wherein the inner circumferential shape of said float (12) matches the outer shape of said cylindrical bottom protrusion (13), and said float (12) is supported by said support frame (15) such that the distance c between said bottom protrusion (13) and float (12) is substantially the same over the entire circumference,it is characterized in that the preparation method is characterized in that,the distance t from the top end of the float (12) to the inside of the top end of the cylindrical bottom projection (13) is greater than the corresponding circumferential distance c.
1,180
24210
비철금속 제련, 정련 및 합금 제조업
특허
ko
en
우수한 내마모성, 크리프 강도, 내부식성 및 가공성을 갖는 경화성 니켈-크롬-철-티타늄-알루미늄 합금매우 우수한 내마모성과 동시에 우수한 크리프 강도, 우수한 고온 내식성 및 우수한 가공성을 갖는 시효 경화 니켈-크롬-철-티타늄-알루미늄 단조 합금(질량%)>18 ~ 26% 크롬, 1.5~3.0% 티타늄, 0.6~2.0% 알루미늄, 7.0~40% 철, 0.005~0.10% 탄소, 0.0005~0.050% 질소, 0.0005~0.030% 인, 최대. 0.010% 황, 최대. 최대 0.020% 산소 0.70% 실리콘, 최대. 2.0% 망간, 최대. 0.05% 마그네슘, 최대. 0.05% 칼슘, 최대. 최대 0.5% 몰리브덴 최대 0.5% 텅스텐 0.2% 니오븀, 최대. 최대 0.5% 구리 0.5% 바나듐, 필요한 경우 0 ~ 15% Co, 필요한 경우 0 ~ 0.20% Zr, 필요한 경우 0.0001 ~ 0.008% 붕소, 여기서 선택적으로 다음 원소도 합금에 포함될 수 있습니다: Y 0-0.20% 및/또는 La 0-0.20% 및/또는 Ce 0-0.20% 및/또는 Ce 혼합 금속 0-0.20% 및/또는 Hf 0-0.20% 및/또는 Ta 0-0.60%, 나머지 니켈 및 통상적인 불가피 불순물, 여기서 관계 우수한 가공성을 얻기 위해서는 Cr+Fe+Co≥25%가 충족되어야 하며 fh=6.49+3.88 Ti+1.36 Al-0.301 Fe+(0.759-0.0209 Co)Co-0.428Cr-28.2C, Ti, Al, Fe, Co, Cr 및 C는 질량%로 해당 원소의 농도이고 fh는 %로 표시되는 더 높은 온도에서 적절한 강도를 달성하기 위해 충족되어야 한다.매우 우수한 내마모성 및 동시에 우수한 크리프 강도, 우수한 고온 내부식성 및 우수한 가공성을 갖는 시효 경화성 니켈-크롬-철-티타늄-알루미늄 단련 합금으로서,상기 합금은 18 초과 내지 31 질량%의 크롬, 1.0 내지 3.0 질량%의 티타늄, 0.6 내지 2.0 질량%의 알루미늄, 3.0 초과 내지 40 질량%의 철, 0.005 내지 0.10 질량%의 탄소, 0.0005 내지 0.050 질량%의 질소, 0.0005 내지 0.030 질량%의 인, 최대 0.010 질량%의 황, 최대 0.020 질량%의 산소, 최대 0.70 질량%의 실리콘, 최대 2.0 질량%의 망간, 최대 0.05 질량%의 마그네슘, 최대 0.05 질량%의 칼슘, 최대 2.0 질량%의 몰리브덴, 최대 2.0 질량%의 텅스텐, 최대 0.5 질량%의 니오븀, 최대 0.5 질량%의 구리, 최대 0.5 질량%의 바나듐, 필요한 경우 0 내지 15 질량%의 Co, 필요한 경우 0 내지 0.20 질량%의 Zr, 필요한 경우 0.0001 내지 0.008 질량%의 붕소, 잔량의 니켈 및 통상적인 공정 관련 불순물을 가지고, 상기 니켈 함량은 35 질량% 초과이고, 하기의 관계식이 만족되는, 시효 경화성 니켈-크롬-철-티타늄-알루미늄 단련 합금:우수한 가공성을 얻기 위하여, (1) Cr + Fe + Co ≥ 25 질량% ; 및더 높은 온도에서 충분한 강도를 얻기 위하여, fh ≥ 0 , 여기서, (2) fh = 6.49 + 3.88 Ti + 1.36 Al - 0.301 Fe + Co - 0.428 Cr - 28.2 C ; 여기서, Ti, Al, Fe, Co, Cr 및 C는 해당 원소의 질량% 농도이고, fh는 %단위로 표시되고,
HARDENING NICKEL-CHROMIUM-IRON-TITANIUM-ALUMINIUM ALLOY WITH GOOD WEAR RESISTANCE, CREEP STRENGTH, CORROSION RESISTANCE AND PROCESSABILITYAge-hardening nickel-chromium-iron-titanium-aluminum wrought alloy with very good wear resistance and at the same time good creep strength, good high-temperature corrosion resistance and good processability, with (in mass-%)>18 to 26% chromium, 1.5 to 3.0% titanium, 0.6 to 2.0% aluminum, 7.0 to 40% iron, 0.005 to 0.10% carbon, 0.0005 to 0.050% nitrogen, 0.0005 to 0.030% phosphorus, max. 0.010% sulfur, max. 0.020% oxygen, max. 0.70% silicon, max. 2.0% manganese, max. 0.05% magnesium, max. 0.05% calcium, max. 0.5% molybdenum, max. 0.5% tungsten, max. 0.2% niobium, max. 0.5% copper, max. 0.5% vanadium, if necessary 0 to 15% Co, if necessary 0 to 0.20% Zr, if necessary 0.0001 to 0.008% boron, wherein optionally the following elements may also be contained in the alloy: Y 0-0.20% and/or La 0-0.20% and/or Ce 0-0.20% and/or Ce mixed metal 0-0.20% and/or Hf 0-0.20% and/or Ta 0-0.60%, remainder nickel and the usual unavoidable impurities, wherein the relationship Cr+Fe+Co≧25% must be satisfied in order to achieve good processability and the relationship fh≧0 with fh=6.49+3.88 Ti+1.36 Al−0.301 Fe+(0.759−0.0209 Co)Co−0.428Cr−28.2C, has to be satisfied in order that an adequate strength is achieved at higher temperatures, wherein Ti, Al, Fe, Co, Cr and C are the concentrations of the elements in question in mass-% and fh is expressed in %.Age-hardening nickel-chromium-iron-titanium-aluminum wrought alloy with very good wear resistance and at the same time good creep strength, good high-temperature corrosion resistance and good processability, with (in mass-%)>18 to 26% chromium, 1.5 to 3.0% titanium, 0.6 to 2.0% aluminum, 7.0 to 40% iron, 0.005 to 0.10% carbon, 0.0005 to 0.050% nitrogen, 0.0005 to 0.030% phosphorus, max. 0.010% sulfur, max. 0.020% oxygen, max. 0.70% silicon, max. 2.0% manganese, max. 0.05% magnesium, max. 0.05% calcium, max. 0.5% molybdenum, max. 0.5% tungsten, max. 0.2% niobium, max. 0.5% copper, max. 0.5% vanadium, if necessary 0 to 15% Co, if necessary 0 to 0.20% Zr, if necessary 0.0001 to 0.008% boron, wherein optionally the following elements may also be contained in the alloy:Y0-0.20% and/orLa0-0.20% and/orCe0-0.20% and/orCe mixed metal0-0.20% and/orHf0-0.20% and/orTa0-0.60%,the rest nickel and the usual unavoidable impurities in contents of max. 0.002% Pb, max. 0.002% Zn, max. 0.002% Sn, wherein the nickel content is greater than 40% and the following relationships must be satisfied: Cr+Fe+Co≧25%  (1)in order to achieve good processability and fh≧0 with  (2a)fh=6.49+3.88Ti+1.36Al−0.301Fe+(0.759−0.0209Co)Co−0.428Cr−28.2C  (2)in order that an adequate strength is achieved at higher temperatures, wherein Ti, Al, Fe, Co, Cr and C are the concentrations of the elements in question in mass-% and fh is expressed in %.
1,181
21210
완제 의약품 제조업
특허
ko
en
심장 보호제(CARDIO-PROTECTIVE AGENT)하기 일반식으로 나타낸 이소퀴놀린술폰아미드 유도체 또는 그의 약제학적 허용가능 산부가염을 함유하는 심근 질환 및/떠는 심근 세포 손상의 예방 및 치료에 사용되는 심장 보호제.[상기식에서 R1은 H, C1 또는 OH를 나타내고, A, R2, R3 및 R4는 R1에 따라 선택되며, A는 임의로 C1∼C10 알킬, 신나밀, 페닐 또는 벤질로 치환된 C2∼C6 알킬렌기를 나타내고, R2는 H 또는 탄소수 6이하의 시클로알킬기를 나타내며, R3는 H, 직쇄 또는 측쇄 C1∼C6 알킬, 신나밀, 페닐 또는 벤질을 나타내거나, 또는 R2 및 R3가 함께 임의로 C1∼C10 알킬, 페닐 또는 벤조일로 치환된 탄소수 4이하의 알킬렌기를 형성하며, R4는 H, 직쇄 또는 측쇄 C1∼C6 알킬, 페닐, 벤질, 벤조일, 신나밀, 신나모일, 푸로일,또는 R3 및 R4가 인접한 질소 원자와 함께 임의로 산소 원자를 함유하는 5 또는 6-원 헤테로시클릭기를 형성하며, R5는 직쇄 또는 측쇄 C1∼C6 알킬을 나타내며, R6 및 R7은 각각 R1에 따라 선택되며, 및 R7은 독립적으로 H 또는 CH3를 나타내거나, 또는 R6 및 R7은 함께 C2∼C4 알킬렌을 형성한다.]하기 일반식(Ⅰ)로 나타낸 이소퀴놀린술폰아미드 유도체 또는 그의 약제학적 허용가능 산부가염의 유효량을 함유함을 특징으로 하는 심근 질환 및/또는 심근 세포 손상의 예방 및 치료에 사용되는 심장 보호제. (상기식에서 R1은 수소 원자, 염소 원자 또는 히드록시기를 나타내고, 여기서, R1이 수소 원자인 경우, A는 비치환되거나 C1∼C10 알킬기, 신나밀기, 페닐기 및 벤질기로 구성된 군으로부터 선택된 1이상의 치환체로 치환된 C2∼C6 알킬렌기를 나타내고, R2는 수소원자 또는 탄소수 6이하의 시클로알킬기를 나타내며, R3는 수소 원자, 직쇄 또는 측쇄의 C1∼C6 알킬기, 신나밀기, 페닐기 또는 벤질기를 나타내거나, 또는 R2 및 R3가 함께 비치환되거나 C1∼C10 알킬기, 페닐기 및 벤조일기로 구성된 군으로부터 선택된 1이상의 치환체로 치환된 탄소수 4이하의 알킬렌기를 형성하며, R4는 수소 원자, 직쇄 및 측쇄의 C1∼C6 알킬기, 페닐기, 벤질기, 벤조일기, 신나밀기, 신나모일기, 푸로일기, 하기 일반식 : (식 중, R5는 직쇄 또는 C1∼C6 알킬기를 나타낸다)으로 나타낸 기 또는 하기 일반식 : (식 중, R6 및 R7는 각각 독립적으로 수소 원자 또는 메틸기를 나타내거나, 또는 R6 및 R7가 함께 C2∼C4 알킬렌기를 형성한다)으로 나타낸 아미디노기를 나타내거나, 또는 R3 및 R4가 인접한 질소 원자와 함께 임의로 산소 원자를 함유하는 5 또는 6-원 헤테로시클릭기를 형성하며, R1이 염소 원자 또는 히디록실기인 경우, A는 비치환되거나 또는 1이상의 C1∼C6 알킬기로 치환된 C2∼C6 알킬렌기를 나타내고, R2 및 R3는 각각, 독립적으로 수소 원자, 직쇄 또는 측수의 C1∼C6 알킬기 또는 탄소수 6이하의 시클로알킬기를 나타내거나, 또는 R2 및 R3가 함께 비치환되거나 또는 1이상의 C1∼C6 알킬기로 치환된 에틸렌기 또는 트리메틸렌기를 형성하고, R4는 수소 원자, C1∼C6 알킬기 또는 하기 일반식 : (식 중, R6 및 R7은 각각 독립적으로 수소 원자 또는 메틸기를 나타낸다)으로 나타낸 아미디노를 나타낸다.
CARDIAC PROTECTIVEA cardiac protective containing an isoquinolinesulfonamide derivative represented by general formula (I) or a salt thereof, suitable for treating myocardial diseases and myocardial cytopathy, wherein R1 represents H, Cl or OH; A, R2, R3, and R4 represent each a group selected dependent on R1; A represents C2-C6 alkylene which may be substituted by alkyl, cinnamyl, phenyl or benzyl; R2 and R3 represent each independently H, C1-C6 alkyl, C6 or lower cycloalkyl, cinnamyl, phenyl or benzyl, or alternatively R2 and R3 may be combined together to represent alkylene which may be alkylated; R4 represents H, C1-C6 alkyl, phenyl, benzyl, benzoyl, cinnamyl, cinnamoyl, furoyl (a) or (b), or alternatively R4 may be combined with R3 to represent a chain which may contain an interposed oxygen atom; R5 represents C1-C6 alkyl; and R6 and R7 are each independently selected dependent on R1 and represent each H or CH3, or alternatively R6 and R7 may be combined together to represent C2-C4 alkylene.A cardio-protective agent for use in prophylaxis and treatment of myocardial disease and/or myocardial cell injury, which comprises an effective amount of an isoquinolinesulfonamide derivative represented by formula (I) or a pharmaceutically acceptable acid addition salt thereof wherein R¹ represents a hydrogen atom, a chlorine atom or a hydroxyl group, and wherein:    when R¹ is a hydrogen atom, A represents a C₂-C₆ alkylene group, which is unsubstituted or substituted with at least one substituent selected from the group consisting of a C₁-C₁₀ alkyl group, a cinnamyl group, a phenyl group and a benzyl group; R² represents a hydrogen atom or a cycloalkyl group having 6 carbon atoms or less; R³ represents a hydrogen atom, a straight or branched C₁-C₆ alkyl group, a cinnamyl group, a phenyl group or a benzyl group; or R² and R³ together form an alkylene group having 4 carbon atoms or less, which is unsubstituted or substituted with at least one substituent selected from the group consisting of a C₁-C₁₀ alkyl group, a phenyl group and a benzoyl group; and R⁴ represents a hydrogen atom, a straight or branched C₁-C₆ alkyl group, a phenyl group, a benzyl group, a benzoyl group, a cinnamyl group, a cinnamoyl group, a furoyl group, a group represented by the formula:    wherein R⁵ represents a straight or branched C₁-C₆ alkyl group, or an amidino group represented by the formula:    wherein each of R⁶ and R⁷ independently represents a hydrogen atom or a methyl group, or R⁶ and R⁷ together form a C₂-C₄ alkylene group; or R³ and R⁴ together with a neighboring nitrogen atom form a 5 or 6-membered heterocyclic group, which group optionally contains an oxygen atom; and    when R¹ is a chlorine atom or a hydroxyl group, A represents a C₂-C₆ alkylene group, which is unsubstituted or substituted with at least one C₁-C₆ alkyl group; each of R² and R³ independently represents a hydrogen atom, a straight or branched C₁-C₆ alkyl group or a cycloalkyl group having 6 carbon atoms or less, or R² and R³ together form an ethylene or a trimethylene group, which is unsubstituted or substituted with at least one C₁-C₆ alkyl group; and R⁴ represents a hydrogen atom, a C₁-C₆ alkyl group or an amidino group represented by the formula:    wherein each of R⁶ and R⁷ independently represents a hydrogen atom or a methyl group.
1,182
58221
시스템 소프트웨어 개발 및 공급업
특허
ko
en
IPV4망과 신규망의 상호 연동 실현 방법 및 시스템 본 발명은 IPV4망과 신규망의 상호 연동 실현 방법 및 시스템을 제공한다. 신규망은 IPV4망과 다른 어드레싱 포맷을 사용하고, 상기 방법은 IPV4망 호스트가 신규망 호스트에 메시지를 발송하는 단계 및 신규망 호스트가 IPV4망 호스트에 메시지를 발송하는 단계를 포함한다. 상기 시스템은 IPV4망 호스트, 상호 연동 기능 유닛 및 신규망 호스트를 포함한다. 본 발명은 또한 제1 네트워크와 제2 네트워크의 상호 연동 실현 방법을 제공한다. 본 발명은 IPV4 어드레싱 멀티플렉싱의 방식을 이용하여 신규망 호스트에 호환성 포맷 어드레스를 할당하여 IPV4망과 상호 연동하는데 사용하며, 상기 호환성 포맷 주소는 IPV4 포맷 주소 및 포트 넘버를 포함하며, 이 IPV4 주소는 IPV4망에서 라우팅할 수 있으므로, 상호 연동을 실현하는 동시에 IPV4 주소가 부족한 문제를 해결한다. 그밖에 신규망의 프로토콜 스택을 업그레이드하기만 하면 신규망 호스트에서 기존의 IPV4 애플리케이션 프로그램에 대한 호환을 실현할 수 있다.IPV4망과 신규망의 상호 연동 실현 방법에 있어서,상기 신규망은 상기 IPV4망과 다른 어드레싱 포맷을 사용하고, 상기 상호 연동 실현 방법은 IPV4망 호스트가 신규망 호스트에 메시지를 발송하는 단계, 또는 신규망 호스트가 IPV4망 호스트에 메시지를 발송하는 단계를 포함하며,상기 IPV4망 호스트가 상기 신규망에 메시지를 발송하는 단계는,A1. 상기 IPV4망 호스트는, 소스 주소가 상기 IPV4망 호스트의 IPV4 주소이며, 목적지 주소가 상기 신규망 호스트의 IPV4 포맷 주소 및 포트 넘버를 포함하는 호환성 포맷 주소인 제1 상호 연동 메시지를 발송하는 단계;A2. 상기 IPV4망 호스트는 상기 IPV4망을 통해 상기 제1 상호 연동 메시지를 상호 연동 기능 유닛에 라우팅하고, 상기 상호 연동 기능 유닛은 상기 제1 상호 연동 메시지를, 소스 주소가 상기 상호 연동 기능 유닛의 신규망 주소이며 목적지 주소가 상기 신규망 호스트의 신규망 주소인 제2 상호 연동 메시지로 인캡슐레이팅하고, 상기 신규망을 통해 상기 신규망 호스트에 라우팅하는 단계;A3. 상기 신규망 호스트의 프로토콜 스택은 상기 제2 상호 연동 메시지를 상기 제1 상호 연동 메시지로 디캡슐레이팅하여 상기 신규망 호스트의 상위 IPV4 애플리케이션에 리포팅하는 단계; 및A4. 상기 신규망 호스트의 상위 IPV4 애플리케이션은 상기 제1 상호 연동 메시지에 대해 처리를 진행하는 단계;를 포함하며,상기 신규망 호스트가 상기 IPV4망 호스트에 메시지를 발송하는 단계는,B1. 상기 신규망 호스트의 상위 IPV4 애플리케이션이 상기 신규망 호스트의 프로토콜 스택에, 소스 주소가 상기 신규망 호스트의 호환성 포맷 주소이며 목적지 주소가 상기 IPV4망의 IPV4 주소인 제1 상호 연동 메시지를 발송하는 단계;B2. 상기 신규망 호스트의 프로토콜 스택은 상기 제1 상호 연동 메시지를, 소스 주소가 상기 신규망 호스트의 새로운 주소이며 목적지 주소가 상기 상호 연동 기능 유닛의 신규망 주소인 제2 상호 연동 메시지로 인캡슐레이팅하는 단계;B3. 상기 제2 상호 연동 메시지는 상기 신규망을 통해 상기 상호 연동 기능 유닛에 라우팅되고, 상기 상호 연동 기능 유닛은 상기 제2 상호 연동 메시지를 상기 제1 상호 연동 메시지로 디캡슐레이팅하는 단계; 및B4. 디캡슐레이팅된 상기 제1 상호 연동 메시지는 상기 IPV4망을 통해 상기 IPV4망 호스트에 라우팅되고, 상기 IPV4망 호스트가 디캡슐레이팅된 상기 제1 상호 연동 메시지에 대해 처리를 진행하는 단계;를 포함하는 것을 특징으로 하는 IPV4망과 신규망의 상호 연동 실현 방법.
IPV4 (Internet Protocol Version 4) network as well as implementation method and system for intercommunicating with new networkThe invention relates to an implementation method for intercommunicating a first network and a second network. The first network and the second network adopt different addressing formats and implements intercommunication through an intercommunication functional unit. The method comprises the following steps of: generating an intercommunication message; encapsulating and transmitting the intercommunication message; decapsulating and transmitting the intercommunication message; and processing and transmitting the intercommunication message. The invention realizes the network intercommunication and application compatibility of different addressing formats by adopting an address multiplexing mode.The implementation method of an ipv4 network and new net interconnecting is characterized in that, described new net adopts the addressing form different with the ipv4 network, and this method comprises the process of ipv4 network host to new host's machine transmission message, and described process may further comprise the steps:a1, ipv4 network host send the first intercommunication message, and its source address is the ipv4 address of ipv4 network host, and destination address is the compatible format address of new host's machine, and described compatible format address comprises ipv4 format address and port numbers;a2, described ipv4 network host are forwarded to intercommunication function unit by the ipv4 network with the described first intercommunication message routing, described intercommunication function unit the described first intercommunication message is encapsulated as the second intercommunication message and by new networking by being forwarded to described new host's machine, the source address of the described second intercommunication message is the new net address of described intercommunication function unit, and destination address is the new net address of described new host's machine;the protocol stack of a3, described new host's machine is descapsulated into the upper strata ipv4 that reports described new host's machine behind the described first intercommunication message with the described second intercommunication message and uses;the upper strata ipv4 of a4, new host's machine uses the described first intercommunication message is handled.
1,182
26111
메모리용 전자집적회로 제조업
특허
ko
en
고밀도 및 강건성을 갖춘 서브문턱 메모리 셀 회로본 발명은 고밀도 및 강건성을 가진 서브문턱 메모리 셀 회로에 관한 것으로, 2개의 PMOS 트랜지스터(P1,P2)와 5개의 NMOS 트랜지스터(N1~N5)로 이루어지고, 2개의 PMOS 트랜지스터와 NMOS 트랜지스터(N3,N4,N5)의 각 베이스 전극은 로컬 그리드 전극에 각각 연결되며; NMOS 트랜지스터(N1,N2)의 베이스 전극은 각각 접지되고, NMOS 트랜지스터(N1)는 PMOS 트랜지스터(P1)와 위상 인버터를 형성하고 NMOS 트랜지스터(N2)는 PMOS 트랜지스터(P2)와 다른 위상 인버터를 형성하며, 2개의 위상 인버터는 차단 NMOS 트랜지스터(N5)를 매개로 하여 교차결합방식으로 서로 연결되고, 위상 인버터(N1,P1)의 출력 엔드는 위상 인버터(N2,P2)의 입력 엔드에 직접 연결되며, 위상 인버터(N2,P2)의 출력 엔드는 차단 NMOS 트랜지스터(N5)를 매개로 하여 위상 인버터(N1,P1)의 입력 엔드에 연결되고, NMOS 트랜지스터(N3)는 위상 인버터(N1,P1)의 라이트 비트라인(WBL)과 연결되며, NMOS 트랜지스터(N4)는 위상 인터버(N2,P2)의 NOT WBL과 리드 워드라인(RWL)에 연결된다.2개의 PMOS 트랜지스터(P1,P2)와 5개의 NMOS 트랜지스터(N1,N2,N3,N4,N5)로 이루어지고, 상기 7개의 모든 트랜지스터가 서브문턱 메모리 셀 회로를 형성하는데; 상기 2개의 PMOS 트랜지스터와 NMOS 트랜지스터(N3,N4,N5)의 각 베이스 전극은 로컬 그리드 전극에 개별적으로 연결되고, NMOS 트랜지스터(N1,N2)의 베이스 전극과 소스 전극은 각각 접지되며, 2개의 PMOS 트랜지스터의 소스 전극은 공급 전압에 연결되고, 상기 NMOS 트랜지스터(N1)의 드레인 전극과 그리드 전극은 상기 PMOS 트랜지스터(P1)의 드레인 전극과 그리드 전극에 각각 연결되어, 위상 인버터를 형성하고; 상기 NMOS 트랜지스터(N2)의 드레인 전극과 그리드 전극은 상기 PMOS 트랜지스터(P2)의 드레인 전극과 그리드 전극에 각각 연결되어, 다른 위상 인버터를 형성하며; 상기 NMOS 트랜지스터(N1)의 드레인 전극과 상기 PMOS 트랜지스터(P1)의 드레인 전극은 상기 NMOS 트랜지스터(N2)의 그리드 전극과 상기 PMOS 트랜지스터(P2)의 그리드 전극에 각각 연결되고, 상기 NMOS 트랜지스터(N1)의 그리드 전극과 상기 PMOS 트랜지스터(P1)의 그리드 전극 사이에 연결 엔드(end) 및 상기 NMOS 트랜지스터(N2)의 드레인 전극과 상기 PMOS 트랜지스터(P2)의 드레인 전극 사이에 연결 엔드는 상기 NMOS 트랜지스터(N5)의 소스 전극 혹은 드레인 전극 중 하나에 각각 연결되며, 상기 NMOS 트랜지스터(N5)의 그리드 전극은 외부 제어 신호의 NOT 리드 워드 라인(NOT RWL)과 연결되고, 상기 NMOS 트랜지스터(N1)의 드레인 전극과 상기 PMOS 트랜지스터(P1)의 드레인 전극 사이에 연결 엔드 및 라이트 비트라인은 상기 NMOS 트랜지스터(N3)의 소스 전극 혹은 드레인 전극 중 하나에 각각 연결되며, 상기 NMOS 트랜지서트(N3)의 그리드 전극은 라이트 워드라인(WWL)에 연결되고, 상기 NMOS 트랜지스터(N2)의 드레인 전극과 PMOS 트랜지스터(P2)의 드레인 전극 사이에 연결 엔드 및 NOT 라이트 비트라인(NOT WBL)과 리드 비트라인(RBL)으로 세어드된 비트라인은 상기 NMOS 트랜지스터(N4)의 소스 전극 혹은 드레인 전극 중 하나에 각각 연결되며, 상기 NMOS 트랜지스터(N4)의 그리드 전극은 라이트 워드라인(WWL)과 리드 워드라인(RWL)로 세어드된 워드라인에 연결되어, 더블-엔드 라이트 및 싱글-엔드 판독 특성에 고밀도 및 강건성을 가진 서브문턱 메모리 셀 회로를 구비하는, 고밀도 및 강건성을 가진 서브문턱 메모리 셀 회로.
Sub-threshold memory cell circuit with high density and high robustnessA high-density and high-robustness sub-threshold memory cell circuit, having two PMOS transistors P1 and P2 and five NMOS transistors N1?N5, wherein, the each base electrode of the two PMOS transistors and NMOS transistors N3, N4, and N5 is connected with the local grid electrode respectively; the base electrode of the NMOS transistors N1 and N2, are grounded respectively; the NMOS transistor N1 form an phase inverter with the PMOS transistor P1, and the NMOS transistor N2 form another phase inverter with the PMOS transistor P2; the two phase inverters are connected with each other in a cross coupling manner via the cut-off NMOS transistor N5, the output end of the phase inverter N1 and P1 directly connected to the input end of the phase inverter N2 and P2, and the output end of the phase inverter N2 and P2 connected to the input end of the phase inverter N1 and P1 via the cut-off NMOS transistor N5; the NMOS transistor N3 is connected with the write bit line (WBL) of the phase inverter N1 and P1, and the NMOS transistor N4 is connected with the NOT WBL and read word line (RWL) of the phase inverter N2 and P2. A high-density and high-robustness sub-threshold memory cell circuit, which is provide with high-density and high-robustness sub-threshold memory cell circuit with double-end writing and single-end reading features, comprising two PMOS transistors P1 and P2 and five NMOS transistors N1, N2, N3, N4, and N5, all of said seven transistors form the said sub-threshold memory cell circuit; wherein, the each base electrode of the two PMOS transistors and NMOS transistors N3, N4 and N5 is connected with the local grid electrode respectively, the base electrode and source electrode of the NMOS transistors N1 and N2 are grounded respectively, the source electrode of the two PMOS transistors are connected with the supply voltage, the drain electrode and grid electrode of the NMOS transistor N1 are connected with the drain electrode and grid electrode of the PMOS transistor P1, respectively, so as to form a phase inverter; the drain electrode and grid electrode of the NMOS transistor N2 are connected with the drain electrode and grid electrode of the PMOS transistor P2 respectively so as to form another phase inverter; the drain electrode of the NMOS transistor N1 and the drain electrode of the PMOS transistor P1 are connected with the grid electrode of the NMOS transistor N2 and the grid electrode of the PMOS transistor P2 respectively, the connection end between the grid electrode of the NMOS transistor N1 and the grid electrode of the PMOS transistor P1 and the connection end between the drain electrode of the NMOS transistor N2 and the drain electrode of the PMOS transistor P2 are connected with either the source electrode or drain electrode of the NMOS transistor N5 respectively, the grid electrode of the NMOS transistor N5 is connected with the NOT read word line (NOT RWL) of external control signal, the connection end between the drain electrode of the NMOS transistor N1 and the drain electrode of the PMOS transistor P1 and the write bit line are connected with either the source electrode or drain electrode of the NMOS transistor N3 respectively, the grid electrode of the NMOS transistor N3 is connected with the write word line (WWL), the connection end between the drain electrode of the NMOS transistor N2 and the drain electrode of the PMOS transistor P2 and the bit line shared by the NOT write bit line (NOT WBL) and the read bit line (RBL) are connected with either the source electrode or drain electrode of the NMOS transistor N4 respectively, the grid electrode of the NMOS transistor N4 is connected with the word line shared by the write word line (WWL) and the read word line (RWL).
1,183
20119
석탄화학계 화합물 및 기타 기초 유기화학 물질 제조업
특허
ko
en
[9,10-디메톡시-3-(2-메틸프로필)-1H,2H,3H,4H,6H,7H,11bH-피리도-[2,1-a]이소퀴놀린-2-일]메탄올 및 그와 관련된 화합물, 조성물 및 방법화학식 I의 구조를 갖는 화합물, 및 그의 입체이성질체 및 제약상 허용되는 염 및 용매화물. <화학식 I> 여기서 R1은 본원에 정의된 바와 같다. 이러한 화합물은 소포성 모노아민 수송체 2 (VMAT2)의 억제제이고, 예를 들어 과다운동성 장애를 치료하는데 유용성을 갖는다. 또한, 이들 화합물을 제약상 허용되는 담체와 조합하여 함유하는 조성물, 뿐만 아니라 그를 필요로 하는 대상체에서의 사용과 관련된 방법이 개시된다.구조 I을 갖는 화합물, 또는 그의 입체이성질체 또는 제약상 허용되는 염 또는 용매화물.<구조 I>여기서R1은 a) 수소; b) -P(=O)(OR3)2; c) 알킬이 R10 및/또는 R20으로 임의로 치환되는 것인 -C(=O)알킬; d) 헤테로시클릴이 R10 및/또는 R20으로 임의로 치환되는 것인 -C(=O)헤테로시클릴; e) 카르보시클릴이 R10 및/또는 R20으로 임의로 치환되는 것인 -C(=O)카르보시클릴; f) 알킬이 R10 및/또는 R20으로 임의로 치환되는 것인 -C(=O)N(R3)알킬; g) 카르보시클릴이 R10 및/또는 R20으로 임의로 치환되는 것인 -C(=O)N(R3)카르보시클릴; h) 알킬이 R10 및/또는 R20으로 임의로 치환되는 것인 -C(=O)O알킬; 또는 i) 알킬이 R10 및/또는 R20으로 임의로 치환되는 것인 알킬이고, 여기서각각의 R3은 독립적으로 수소 또는 알킬이고;각각의 R10은 독립적으로 할로, 할로알킬, 시아노, 니트로, 트리메틸실라닐, -OR30, -SR30, -OC(O)-R30, -N(R30)2, -C(O)R30, -C(O)OR30, -C(O)N(R30)2, -N(R30)C(O)OR31, -N(R30)C(O)R31, -N(R30)C(=NR31)N(R32)2, -N(R30)S(O)tR31 (여기서, t는 1 내지 2임), -S(O)tOR30 (여기서, t는 1 내지 2임), -S(O)pR30 (여기서, p는 0 내지 2임) 또는 -S(O)tN(R30)2 (여기서, t는 1 내지 2임), -OP(=O)(OR30)2이거나, 또는 단일 원자가 2개의 R10 기를 보유하는 경우에 이러한 2개의 R10 기는 함께 옥소를 형성할 수 있고;각각의 R20은 독립적으로 알킬, 알케닐, 아릴, 아르알킬, 시클로알킬, 시클로알킬알킬, 헤테로시클릴, 헤테로시클로알킬, 헤테로아릴 또는 헤테로아릴알킬이거나, 또는 단일 원자가 2개의 R20 기를 보유하는 경우에 이러한 2개의 R20 기는 함께 시클로알킬을 형성할 수 있고, 여기서 각각의 상기 알킬, 알케닐, 아릴, 아르알킬, 시클로알킬, 시클로알킬알킬, 헤테로시클릴, 헤테로시클로알킬, 헤테로아릴 및 헤테로아릴알킬 기는 R10 및/또는 R22로 임의로 치환되고;각각의 R22는 독립적으로 알킬, 알케닐, 아릴, 아르알킬, 시클로알킬, 시클로알킬알킬, 헤테로시클릴, 헤테로시클로알킬, 헤테로아릴 또는 헤테로아릴알킬이고, 여기서 각각의 상기 알킬, 알케닐, 아릴, 아르알킬, 시클로알킬, 시클로알킬알킬, 헤테로시클릴, 헤테로시클로알킬, 헤테로아릴 및 헤테로아릴알킬 기는 R10으로 임의로 치환되고;각각의 R30, R31 및 R32는 독립적으로 수소 또는 알킬이다.
[9,10-DIMETHOXY-3-(2-METHYLPROPYL)-1H,2H,3H,4H,6H,7H,11BH-PYRIDO-[2,1-A]ISOQUINOLIN-2-YL]METHANOL AND COMPOUNDS, COMPOSITIONS AND METHODS RELATING THERETOCompounds having a structure of formula (I), including stereoisomers and pharmaceutically acceptable salts and solvates thereof: wherein R1 is as defined herein. Such compounds are inhibitors of the vesicular monoamine transporter 2 (VMAT2) and have utility for treating, for example, hyperkinetic disorders. Also disclosed are compositions containing these compounds in combination with a pharmaceutically acceptable carrier, as well as methods relating to the use in a subject in need thereof.A compound having structure (I):CDor a stereoisomer or phannaceuiically acceptable salt or solvate thereof,wherein:R1 is a) hydrogen;b) -P(=0)(OR3)2;c) -C(=0)alkyl, wherein alkyl is opiionallv substituted withR10 and/or R20:d) -C(=0}lieteroeyelyl. wherein heterocyclyl is optionally substituted with Ri0 an&'or R20:e) -C(=0)earboeyclyL wherein cai ocyclyl is optionally substituted with Ri0 and or R20;f) alkyl is optionally substituted with Ri0 and/or R20;g) -C(=0)N(R3)earbocyclyt wherein carbocyclyl isoptionally substituted with Ri0 and/or R;'°:h) -C(=0)0alkyl, wherein alkyl is optionally substituted with R10 and/or R ; ori) alkyl, wherein alkyl is optionally substituted with R10 and'Or R20;and wherein,each R3 is independently hydrogen or alkyl: each RKt is independently halo, haloaikyl, cyano, nitro, trimethylsilanyl. -OR30, -SR30, -OC(0)-R30, -N(R30)2, -C(O)R30; -C(0)OR3&, -C(Q)N(R3D)2,-N(R30)C(O)OR31, -N(R30)C(O)R31, -N(R30)C(=NR3i)N(R32)2; -N(R30)S(O)tR3i (where t is 1 to 2), -S(O)i0R3G (where t is I to 2), -S(0)pR30 (where p is 0 to 2) or -S(0 (R¾)2 (where t is 1 to 2), -QP(=O}(ORj0)2, or when a single atom bears two R10 groups such two R50 groups may be taken together to fonn oxo;each R'* is independently alkyL alkenyi, aryl, aralkyl, cycloalkyl, cycloalkylalkyl, heterocyeiyi, heterocyclalkyL heteroaryl or heteroarylalkyl, or when a single atom bear s two R20 groups such two R2& groups may be taken together to form cycloalkyL wherein each of said alkyl, alkenyi, aryL aralkyl, cycloalkyl,cycloalkylaikyL heterocyeiyi, heterocyclalkyL heteroaryl and heteroarylalkyl groups is optionally substituted with R10 and/or R22;each R22 is independently alkyl. alkenyi, aryL aralkyl, cycloalkyl, cycloalkylaikyL heterocyeiyi, heterocyclalkyL heteroaryl or heteroarylalkyl, wherein each of said alkyl, alkenyi, aryi, aralkyl, cycloalkyl, cycloalkylaikyL heterocyeiyi, heterocyclalkyL heteroaryl and heteroarylalkyl groups is optionally substituted with R10: andeach R3°, R35 and R32 is independently hydrogen or alkyl.
1,184
26422
이동 전화기 제조업
특허
ko
en
감소된 메모리 벡터 DSL감소된 메모리 벡터 DSL 시스템은 FEXT 데이터가 전송되고 저장되는 벡터 DSL 시스템에서 대역폭 및 메모리 저장공간 요구를 감소시키는 방법 및 장치를 포함한다. DSLAM과 같은 업스트림 단 장치는 CPE 모뎀들과 같은 복수의 다운스트림 단 장치들과 통신한다. 트레이닝 및/또는 트랙킹 데이터와 같은 테스트 신호 데이터가 상기 DSL 시스템의 FEXT 특성을 결정하기 위해 전송될 때, 에러 신호들은 상기 시스템에서 사용하는 모든 또는 거의 모든 업스트림 및/또는 다운스트림 주파수 밴드 DSL 톤들에서 이용가능하다. 주파수 밴드를 서브밴드들로 분할하여, FEXT 채널 응답, FEXT 채널 계수들 및/또는 FEXT 제거 계수들과 같은, 각 서브밴드 안의 톤들의 서브셋만 FEXT 데이터 유도에 사용한다. 상기 서브밴드 서브셋들 안의 톤들에 대하여, 완전 정밀도 FEXT 데이터 값들은 유도될 수 있다. 다른 톤들에 대하여, 상기 FEXT 데이터의 근사치들만 유도할 수 있다. 또는, FEXT 데이터는 기저점 및 서브밴드 기저점에 대한 값 또는 다른 톤의 값에 대한 값을 사용하는 것에 의해 완전 정밀 및/또는 보다 적은 비트를 갖는 근사치 FEXT 데이터를 정의하는 연관된 차이/증가 값들로서 정의될 수 있다. (상기 DSL 시스템의 업스트림과 다운스트림 종단 사이에서의) 이러한 FEXT 데이터의 전송 뿐만 아니라, 분리된 또는 내부의 벡터화 프로세싱 장치를 이용하여 벡터화를 수행하는 DSLAM과 같은 업스트림 단 장치에서 메모리는 감소된다. 또한 메모리는 상기 DSLAM 또는 다른 업스트림 단 장치의 안 또는 밖의 이러한 FEXT 데이터의 저장공간에 있어서도 감소된다. 디지털 가입자회선(DSL) 시스템은 복수의 DSL 회선들을 이용해 복수의 고객 댁내 장치(CPE) 모뎀들에 결합된 DSL 엑세스 멀티플렉서(DSLAM)를 포함하고, 상기 복수의 CPE 모뎀들은 제1 CPE 모뎀을 포함하고 있는 벡터 디지털 가입자회선(DSL) 시스템을 작동시키는 방법에 있어서, 상기 DSLAM으로부터 상기 복수의 CPE 모뎀들로 데이터를 전송하는 데 사용되는 다운스트림 주파수 밴드 안에서 복수의 DSL 톤 서브밴드들을 확인하는 단계로, 여기서 서브밴드 각각은 복수의 DSL 톤들을 포함하며;각 서브밴드 안에서 상기 DSL 톤들의 서브셋을 확인하는 단계로, 여기서 상기 DSL 톤들의 서브셋 안의 DSL 톤들의 수는 각 서브밴드 안의 DSL 톤들의 수의 절반을 넘지 않으며;상기 복수의 DSL 회선들에서 상기 DSLAM으로부터 테스트 신호 입력 데이터를 전송하는 단계;상기 테스트 신호 입력 데이터에 기초하여 테스트 신호 출력 데이터를 상기 제1 CPE 모뎀에서 수신하는 단계;원단 누화(FEXT) 간섭 계수 Hij(k)를 나타내는 에러 신호를 상기 제1 CPE 모뎀에서 계산하는 단계로, 여기서 Hij(k)는 DSL 톤 k에 대하여 DSL 회선 i에서 전송되는 테스트 신호 입력 데이터 및 DSL 회선 j에 의해 수신되는 테스트 신호 출력 데이터에 기초하며 각 DSL 톤 k는 상기 서브밴드들 중 하나 안에 있는 DSL 톤들의 서브셋들 중 하나 안에 있으며; 상기 계산된 에러 신호를 상기 DSLAM으로 상기 제1 CPE 모뎀에서 전송하는 단계;상기 제1 CPE 모뎀에 의해 전송되는 상기 계산된 에러 신호에 대응하는 완전 정밀도 FEXT 제거 계수치 Gij(k)를 상기 DSLAM에서 생성하는 단계;복수의 완전 정밀도 FEXT 제거 계수치들 Gij(k)에 기초하여 각 서브밴드에 대한 FEXT 제거 계수 함수 G의 근사치를 상기 DSLAM에서 생성하는 단계;대략적인 FEXT 제거 계수치들 Gij(m)을 생성하기 위해, 상기 근사치를 상기 DSLAM에서 사용하는 단계로, 여기서 각 DSL 톤 m은 상기 서브밴드들 중 하나 안에 있는 DSL 톤들의 서브셋들 중 하나 안에 있지 않으며; 및상기 완전 정밀도 FEXT 제거 계수치들 및 대략적인 FEXT 제거 계수치들을 이용해 벡터 DSL 프로세싱을 수행하는 단계를 포함하는 벡터 DSL 시스템을 작동시키는 방법.
REDUCED MEMORY VECTORED DSLA reduced-memory vectored DSL system includes methods and apparatus for reducing the bandwidth and memory storage demands on a vectored DSL system in which FEXT data is transmitted and stored. An upstream-end device such as a DSLAM communicates with a plurality of downstream-end devices such as CPE modems. When test signal data, such as training and/or tracking data, is sent to determine FEXT characteristics of the DSL system, error signals are available for all or substantially all of the upstream and/or downstream frequency band DSL tones used in the system. Dividing a frequency band into sub-bands, only a subset of tones in each sub-band is used for deriving FEXT data, such as a FEXT channel response, FEXT channel coefficients and/or FEXT cancellation coefficients. For tones in the sub-band subsets, full-precision FEXT data values can be derived. For other tones, approximations of the FEXT data can be derived. Alternatively, FEXT data can be defined as a base point and associated differential/incremental values that define full-precision and/or approximation FEXT data with fewer bits by utilizing a Δ value relative to either a sub-band base point or relative to another tone's value. Memory is reduced in both the transmission of such FEXT data (between upstream and downstream ends of the DSL system) as well as within an upstream-end device such as a DSLAM that performs vectoring using a separate or internal vectoring processing apparatus. Memory also is reduced in the storage of such FEXT data in or off of the DSLAM or other upstream-end device.A method for operating a vectored digital subscriber line (DSL) system, wherein the DSL system comprises a DSL access multiplexer (DSLAM) coupled to a plurality of customer premises equipment (CPE) modems using a plurality of DSL lines, wherein the plurality of CPE modems comprises a first CPE modem, the method comprising:identifying a plurality of DSL tone sub-bands in a downstream frequency band used for transmitting data from the DSLAM to the plurality of CPE modems, wherein each sub-band contains a plurality of DSL tones;identifying a subset of the DSL tones in each sub-band, wherein the number of DSL tones in the subset of DSL tones is not more than half of the number of DSL tones in each sub-band;transmitting test signal input data from the DSLAM on the plurality of DSL lines;the first CPE modem receiving test signal output data based on the test signal input data;the first CPE modem computing an error signal representative of a far-end crosstalk(FEXT) interference coefficient Hy(A:), wherein Hy(k) is based on test signal input data sent on DSL line i and test signal output data received by DSL line 7 for DSL tone k, further wherein each DSL tone k is in one of the subsets of DSL tones in one of the sub-bands;the first CPE modem transmitting the computed error signal to the DSLAM;the DSLAM generating a full-precision FEXT cancellation coefficient value G1^k) corresponding to the computed error signal transmitted by the first CPE modem;the DSLAM generating an approximation of a FEXT cancellation coefficient function G for each sub-band based on a plurality of the full-precision FEXT cancellation coefficient values Gy(A');the DSLAM using the approximation to generate approximate FEXT cancellation coefficient values G13Qn), wherein each DSL tone m is not in one of the subsets of DSL tones in one of the sub-bands; andperforming vectored DSL processing using the full-precision FEXT cancellation coefficient values and the approximate FEXT cancellation coefficient values.
1,184
26129
기타 반도체 소자 제조업
특허
ko
en
L 형상 스페이서를 사용하는 비대칭 전계-효과 트랜지스터의 제조 및 구조비대칭 전계-효과 트랜지스터 (102) 의 제조는 반도체 보디의 보디 재료의 채널-존 부분 (284) 위에 있고, 게이트 유전체 층 (300) 에 의해 그 채널-존 부분 (284) 으로부터 수직으로 분리되는 게이트 전극 (302) 을 규정하는 것을 수반한다. 게이트 전극을 도펀트-차단 실드로 사용하여서, 반도체 도펀트를 보디 재료 내에 도입함으로써 더욱 고농도로 도핑된 포켓 부분 (290) 을 규정한다. 스페이서 (304T) 가 게이트 전극을 따라 제공된다. 스페이서는 (i) 게이트 전극을 따라 위치된 유전체 부분 (ii) 반도체 보디를 따라 위치된 유전체 부분, 및 (iii) 다른 두 스페이서 부분들 사이의 공간을 대부분 차지하는 충진재 부분 (SC) 을 포함한다. 게이트 전극과 스페이서를 도펀트-차단 실드로 사용하여서 반도체 도펀트를 반도체 보디 내에 도입함으로써 한 쌍의 메인 소스/드레인 부분들 (280M 및 282M) 을 규정한다. 충진 스페이서 부분을 제거함으로써 스페이서를 L 형상 (304) 으로 변환시킨다. 한 쌍의 전기적 컨택트들 (310 및 312) 이 각각의 메인 소스/드레인 부분들에 대해 형성된다.제 1 전도성 타입의 보디 재료를 가진 반도체 보디로부터 전계-효과 트랜지스터를 포함하는 구조를 제조하는 방법으로서,게이트 전극이 서로 맞은 편의 제 1 측면 측 (first lateral side) 및 제 2 측면 측을 가지도록, 채널 존이 되는 것으로 의도된 보디 재료의 부분 위에 있고, 게이트 유전체 층에 의해서 상기 보디 재료의 부분으로부터 수직으로 분리되는 상기 게이트 전극을 규정하는 단계;상기 게이트 전극 및 상기 게이트 전극의 측면 측들을 따르는 임의의 재료를 도펀트-차단 실드로 사용하여서, 상기 보디 재료의 측면으로 인접한 재료보다 더 고농도로 도핑되고 상기 게이트 전극의 측면 측들 중 오직 제 1 측면 측 아래에서만 실질적으로 확장되는 상기 보디 재료의 전구체 포켓 부분을 규정하도록 상기 보디 재료 내에 상기 제 1 전도성 타입의 포켓 반도체 도펀트를 도입하는 단계;제 1 스페이서가 (i) 상기 게이트 전극을 따라서 위치한 제 1 수직 연장형 유전체 스페이서 부분, (ii) 상기 제 1 수직 연장형 유전체 스페이서 부분과 연속되고 상기 반도체 보디를 따라 위치하는 제 1 측면 연장형 유전체 스페이서 부분, 및 (iii) 상기 제 1 수직 연장형 유전체 스페이서 부분과 상기 제 1 측면 연장형 유전체 스페이서 부분 사이의 공간을 대부분 차지하는 제 1 충진 스페이서 부분을 포함하도록, 상기 게이트 전극의 제 1 측면 측을 따라서 상기 제 1 스페이서를 제공하는 단계;상기 게이트 전극, 상기 제 1 스페이서 및 상기 게이트 전극의 측면 측들을 따르는 임의의 다른 재료를 도펀트-차단 실드로서 사용하여서, 제 2 전도성 타입의 제 1 메인 S/D 부분 및 제 2 메인 S/D 부분을 규정함으로써 (i) 상기 채널 존이 상기 제 1 메인 S/D 부분과 제 2 메인 S/D 부분 사이에 위치되고 (ii) 상기 제 1 전도성 타입의 다른 포켓 부분이 상기 전구체 포켓 부분의 적어도 일부의 재료를 포함하고 상기 제 1 메인 S/D 부분으로 확장되어서 상기 채널 존이 길이방향으로 비대칭이 되도록, 상기 반도체 보디 내에 상기 제 1 전도성 타입과 반대인 상기 제 2 전도성 타입의 메인 소스/드레인 ("S/D") 반도체 도펀트를 후속적으로 도입하는 단계;상기 제 1 충진 스페이서 부분을 후속적으로 대부분 제거하는 단계; 및상기 제 1 메인 S/D 부분 및 상기 제 2 메인 S/D 부분에 대해 한 쌍의 전기적 컨택트를 각각 형성하는 단계를 포함하는, 반도체 구조의 제조 방법.
FABRICATION AND STRUCTURE OF ASYMMETRIC FIELD-EFFECT TRANSISTORS USING L-SHAPED SPACERSFabrication of an asymmetric field-effect transistor (102) entails defining a gate electrode (302) above, and vertically separated by a gate dielectric layer (300) from, a channel-zone portion (284) of body material of a semiconductor body. Semiconductor dopant is introduced into the body material to define a more heavily doped pocket portion (290) using the gate electrode as a dopant-blocking shield. A spacer (304T) is provided along the gate electrode. The spacer includes (i) a dielectric portion situated along the gate electrode, (ii) a dielectric portion situated along the semiconductor body, and (iii) a filler portion (SC) largely occupying the space between the other two spacer portions. Semiconductor dopant is introduced into the semiconductor body to define a pair of main source/drain portions (280M and 282M) using the gate electrode and the spacer as a dopant-blocking shield. The filler spacer portion is removed to convert the spacer to an L shape (304). A pair of electrical contacts (310 and 312) are formed respectively to the main source/drain portions.A method of fabricating a structure comprising a field-effect transistor from a semiconductor body having body material of a first conductivity type, the method comprising: defining a gate electrode above, and vertically separated by a gate dielectric layer from, a portion of the body material intended to be a channel zone such that the gate electrode has opposing first and second lateral sides; introducing pocket semiconductor dopant of the first conductivity type into the body material to define a precursor pocket portion of the body material more heavily doped than laterally adjacent material of the body material and substantially extending below only the first of the gate electrode's lateral sides using the gate electrode and any material along its lateral sides as a dopant-blocking shield; providing a first spacer along the gate electrode's first lateral side such that the first spacer comprises (i) a first vertically extending dielectric spacer portion situated along the gate electrode, (ii) a first laterally extending dielectric spacer portion continuous with the first vertically extending spacer portion and situated along the semiconductor body, and (iii) a first filler spacer portion largely occupying the space between the first vertically and laterally extending spacer portions; subsequently introducing main source/drain ('S/D') semiconductor dopant of a second conductivity type opposite to the first conductivity type into the semiconductor body to define first and second main S/D portions of the second conductivity type using the gate electrode, the first spacer, and any other material along the gate electrode's lateral sides as a dopant-blocking shield such that (i) the channel zone is situated between the main S/D portions and (ii) a further pocket portion of the first conductivity type comprises material of at least part of the precursor pocket portion and extends to the first main S/D portion for causing the channel zone to be longitudinally asymmetric; subsequently largely removing the first filler spacer portion; and forming a pair of electrical contacts respectively to the main S/D portions.
1,185
17909
그 외 기타 종이 및 판지 제품 제조업
특허
ko
en
웨브재용 엠보싱 및 라미네이팅장치본 발명은 팁(1P, 3P)이 형성된 제 1 및 제 2실린더(1,3), 상기 2개의 엠보싱실린더는 그들 사이에 닙(G)을 형성하기 위하여 평행하고 인접한 축을 가지고 배치되고; 각각 상기 엠보실린더 중의 하나와 협동하는 한 쌍의 압력롤(5,7); 상기 제 1엠보싱실린더와 결합된 접착제도포기(17); 및 상기 엠보싱실린더(1,3) 사이의 닙(G)의 하류에 배치되고, 상기 제 1엠보싱실린더(1)와 협동하는 라미네이팅롤(13)을 구비하는 다층웨브재의 엠보싱 및 라미네이팅장치에 관한 것이다. 각 엠보싱실린더의 축(1A,3A) 및 대응하는 압력롤(5,7)의 축(5A,7A)을 포함하는 평면(P3,P5)은 모두 상기 엠보싱실린더의 축(1A,3A)을 포함하는 평면(P2)에 대해 0° 및 90°와는 다른 각도(α,α')로 기울어지고, 상기 제 1엠보싱실린더(1)의 축(1A) 및 각 압력롤(5)의 축(5A)을 포함하는 평면(P3)과 상기 2개의 엠보싱실린더(1,3)의 축(1A,3A)을 포함하고 상기 닙을 향하는 평면(P2)이 이루는 각도는 90°보다 크다. 또한, 상기 2개의 엠보싱실린더(1,3)는 "팁투팁" 또는 "중합"기술을 사용해서 동작을 달성하도록 서로 위상동기될 수 있다.팁(1P, 3P; 101P, 103P)이 형성된 제 1 및 제 2엠보싱실린더(1, 3; 101, 103), 상기 2개의 엠보싱실린더는 평행한 축(1A, 3A; 101A, 103A)을 가지고, 그들 사이에 닙(G)을 형성하기 위하여 서로 인접해서 배치되고, 동일한 원주속도로 서로 반대방향으로 회전하며; 각각 상기 엠보싱실린더 중의 하나와 협동하는 한 쌍의 압력롤(5, 7; 105, 107); 상기 제 1엠보싱실린더와 결합된 접착제도포기(17; 117); 및 상기 엠보싱실린더들(1, 3; 101, 103) 사이의 닙(G)의 하류에 배치되고, 상기 제 1엠보싱실린더(1; 101)와 협동하는 라미네이팅롤(13; 113)을 구비한, 다층의 웨브재를 엠보싱 및 라미네이팅하는 장치에 있어서, -각 엠보싱실린더(1, 3; 101, 103)의 축(1A, 3A; 101A, 103A) 및 대응하는 압력롤(5, 7; 105, 107)의 축(5A, 7A; 105A, 107A)을 포함하는 평면(P3, P5; P103, P105)은 모두 상기 엠보싱실린더의 축(1A, 3A; 101A, 103A)을 포함하는 평면(P2; P102)에 대해 0°및 90°와는 다른 각도(α,α')로 기울어지고; -상기 제 1엠보싱실린더(1; 101)의 축(1A; 101A) 및 각 압력롤(5; 105)의 축(5A; 105A)을 포함하는 평면(P3; P103)과 상기 2개의 엠보싱실린더(1, 3; 101, 103)의 축(1A, 3A; 101A, 103A)을 포함하고 상기 닙을 향하는 평면(P2; P102)이 이루는 각도는 90°보다 크며; -상기 2개의 엠보싱실린더(1,3; 101, 103)는 한 쪽의 엠보싱실린더(1;101)의 팁(1P;101P)을 다른 쪽의 엠보싱실린더(3;103)의 팁(3P;103P)과 대향하게 하거나, 한 쪽의 엠보싱실린더(1;101)의 팁(1P;101P)을 다른 쪽의 엠보싱실린더(3;10)의 팁(3P;103P) 사이에 맞물리도록 서로 위상동기될 수 있는 것을 특징으로 하는 웨브재용 엠보싱 및 라미네이팅장치.
Embossing and laminating device for web materialThe description relates to a device for embossing and laminating a multiple-web web material, comprising: a first and a second embossing cylinder (1, 3), provided with tips (1P, 3P), said two embossing cylinders being arranged with parallel and adjacent axes so as to form a nip (G) between them; a pair of pressure rolls (5, 7), each of which cooperates with one of the embossing cylinders; an adhesive applicator (17) associated with the first embossing cylinder; and a laminating roll (13) arranged downstream of the nip (G) between the embossing cylinders (1, 3) and cooperating with the first embossing cylinder (1). It is also envisaged that the planes (P3, P5) containing the axis (1A, 3A) of each embossing cylinder (1, 3) and the axis (5A, 7A) of the corresponding pressure roll (5, 7) are both inclined with respect to the plane (P2) containing the axes (1A, 3A) of the embossing cylinders at an angle ( alpha , alpha ') different from 0 DEG and 90 DEG ; and that the angle formed by the plane (P3) containing the axis (1A) of the first embossing cylinder (1) and the axis (5A) of respective pressure roll (5) with the plane (P2) containing the axes (1A, 3A) of the two embossing cylinders (1, 3) and directed towards said nip is greater than 90 DEG . Moreover, the two embossing cylinders (1, 3) may be phase-synchronized with each other so as to achieve operation using the 'tip-to-tip' or 'nested' technique.Device that is used for multilayer net material is carried out embossing and lamination, it comprises: first and second impression cylinders (1,3; 101,103), on described cylinder, be provided with projection (1p, 3p; 101p, 103p), the axis of described two impression cylinders (1a, 3a; 101a, 103a) parallel and adjacent mutually, so that form gap (g) between cylinder, and cylinder is with identical peripheral speed, towards opposite direction rotation; a pair of pressure roller (5,7 is arranged; 105,107), each pressure roller acts synergistically with one of impression cylinder respectively; adhesive bringing device (17; 117), interrelate with first impression cylinder; laminating roll (13; 113) be set at impression cylinder (1,3; the downstream part in the gap 101,103) (g), and with first impression cylinder (1; 101) synergy; it is characterized in that:plane (p3, p5; p103, p105) in comprise each impression cylinder (1,3; 101, axis 103) (1a, 3a; 101a, 103a) and corresponding pressure roller (5,7; 105, axis 107) (5a, 7a; 105a, 107a), described plane (p3, p5; p103, p105) with respect to plane (p2; p102) tilt described plane (p2; p102) comprise axis (1a, the 3a of impression cylinder; 101a, 103a), its angle of inclination (α, α ') is 0 °~90 °;by comprising first impression cylinder (1; 101) axis (1a; 101a) with corresponding pressure roller (5; 105) axis (5a; plane (p3 105a); p103) with comprise two impression cylinders (1,3; 101, axis 103) (1a, 3a; 101a, 103a) plane (p2; p102) angle of xing chenging is towards described gap, and this angle is greater than 90 °;two impression cylinders (1,3; 101,103) can have mutual locking phase, so that make an impression cylinder (1; 101) projection (1p; 101p) with another impression cylinder (3; 103) projection (3p; 103p) opposed, perhaps make an impression cylinder (1; 101) projection (1p; 101p) be engaged on another impression cylinder (3; 103) projection (3p; 103p).
1,186
35111
원자력 발전업
특허
ko
en
가압화 푸싱 스프링 로딩형 단부 플러그에 의한 단부 플러그 밀봉 전에 SIC 연료 클래딩 관을 가압하기 위한 방법핵 코어 구성요소의 SiC 클래드 봉을 가압하기 위한 장치 및 방법. 봉의 하부 단부가 하부 단부 플러그로 밀봉되고, 봉의 상부 단부가 클래딩과, 관통 개구부를 갖는 상부 단부 플러그의 외부 단편 사이에서 밀봉되고, 그러한 관통 개구부를 통해서 상부 단부 플러그의 분리된 내부 단편이 연장된다. 상부 단부 플러그의 내부 단편은 초기에, 기밀 밀봉부를 형성하는 상부 위치와 관통 개구부를 통한 기체 경로를 형성하는 하부 위치 사이에서, 관통 개구부 내에서 이동될 수 있다. 봉은 희망 압력까지 가압된 압력 챔버 내에 배치된다. 압력이 압력 챔버 내에서 감소될 때, 봉 내의 내부 압력은 상부 단부 플러그의 내부 단편을 상부 밀봉 위치로 편향시킨다.상부 및 하부 단부를 갖춘 관형 클래딩(12)을 갖는 핵 코어 구성요소를 가압하는 방법이며: 기밀 밀봉부를 형성하도록 구성된 하부 단부 플러그 고정구(16)로 상기 클래딩의 하부 단부를 폐쇄하는 단계; 활성 요소(10)를, 상기 하부 단부 플러그(16) 위에서, 상기 클래딩(12)의 내부의 하부 단부 내로 로딩하여, 상기 활성 요소 위의 클래딩의 내부에 빈 플리넘(18)을 남기는 단계; 스프링(14)을 상기 클래딩(12)의 상부 단부와 상기 활성 요소(10) 사이의 빈 플리넘(18) 내로 삽입하는 단계로서, 상기 스프링은, 상기 클래딩의 상부 단부가 상부 단부 플러그 고정구(16)에 의해서 폐쇄될 때, 상기 활성 요소를 상기 하부 단부 플러그 고정구(16)를 향해서 편향시키도록 구성되는, 단계; 상부 단부 플러그 외부 단편(22) 및 상부 단부 플러그 내부 단편(24)을 포함하는 상부 단부 플러그 고정구(16)로 상기 클래딩(12)의 상부 단부를 폐쇄하는 단계로서, 상기 상부 단부 플러그 내부 단편(24)은 상기 상부 단부 플러그 외부 단편(22) 내의 관통 개구부(26) 내에서 활주되도록 구성되고 하부 단부를 가지며, 상기 하부 단부는, 상기 상부 단부 플러그 고정구(16)가 상기 클래딩(12)과 상기 상부 단부 플러그의 계면에서 기밀 밀봉부를 형성할 때, 상기 활성 요소(10)를 향해서 상기 스프링(14)을 편향시켜, 상기 클래딩의 상부 단부를 적어도 부분적으로 폐쇄하고, 상기 관통 개구부(26) 및 상기 상부 단부 플러그 내부 단편(24)은, 상기 상부 단부 플러그 내부 단편의 상부 부분이 상기 관통 개구부 내에 피팅되도록, 그러나 상기 관통 개구부의 상부 부분을 통과할 수 없고 그 외부로 나갈 수 없도록 구성되고, 상기 스프링(14)은 상기 관통 개구부(26) 내에서 상기 상부 단부 플러그 내부 단편(24)을 지지하도록 구성되고, 상기 상부 단부 플러그 내부 단편 및 상기 관통 개구부는, 상기 관통 개구부를 통한 상기 상부 단부 플러그 내부 단편의 이동의 상한에서 실질적인 기밀 밀봉부를, 그리고 이동의 상한 아래의 지점에서 가스 연통 경로를 형성하도록 구성되는, 단계;상기 상부 단부 플러그 고정구(16) 및 상기 하부 단부 플러그 고정구(16)와 함께 적어도 상기 클래딩(12)의 상부 단부를 압력 챔버(30) 내의 제 위치에 배치하는 단계;진공을 상기 클래딩(12)의 내부에 인가하는 단계; 상기 압력 챔버(30) 내로 충진제 가스를 도입하는 단계;주어진 기간 동안 상기 압력 챔버(30) 내의 충진제 가스의 압력을 미리 선택된 압력까지 높이는 단계; 및상기 상부 단부 플러그 내부 단편(24)을 상기 상부 단부 플러그 외부 단편(22)에 대해서 밀봉하는 단계를 포함하는, 방법.
METHOD TO PRESSURIZE SIC FUEL CLADDING TUBE BEFORE END PLUG SEALING BY PRESSURIZATION PUSHING SPRING LOADED END PLUGAn apparatus and method for pressurizing SiC clad rods of a nuclear core component. A lower end of the rod is sealed with a lower end plug and an upper end of the rod is sealed between the cladding and an external piece of an upper end plug that has a through opening through which a separate internal piece of the upper end plug extends. The internal piece of the upper end plug is initially moveable within the through opening between an upper position that forms a gas tight seal and a lower position that forms a gaseous path through the through opening. The rod is placed in a pressure chamber pressurized to a desired pressure. When the pressure is reduced within the pressure chamber the internal pressure in the rod biases the internal piece of the upper end plug in the upper sealed position.A method of pressurizing a nuclear core component having a tubular cladding (12) with an upper and lower end, comprising the steps of:closing off a lower end of the cladding with a lower end plug fixture (16) configured to form a gas tight seal;loading an active element (10) into the lower end of an interior of the cladding (12) above the lower end plug (16) leaving an empty plenum (18) in the interior of the cladding above the active element;inserting a spring (14) within the empty plenum (18) between the upper end of the cladding (12) and the active element (10), the spring being configured to bias the active element towards the lower end plug fixture (16) when the upper end of the cladding is closed off by an upper end plug fixture (16);closing off the upper end of the cladding (12) with the upper end plug fixture (16) comprising an upper end plug external piece (22) and an upper end plug internal piece (24), the upper end plug internal piece (24) configured to slide within a through opening (26) in the upper end plug external piece (22) and have a lower end that biases the spring (14) towards the active element (10) when the upper end plug fixture (16) forms a gas tight seal at an interface of the cladding (12) and the upper end plug at least partially closing off the upper end of the cladding, the through opening (26) and the upper end plug internal piece (24) configured so an upper portion of the upper end plug internal piece fits within the through opening but cannot pass through and out of an upper portion of the through opening and the spring (14) is configured to support the upper end plug internal piece (24) within the through opening (26), the upper end plug internal piece and the through opening forming a substantially gas tight seal at an upper limit of travel of the upper end plug internal piece through the through opening and a gas communication path at a point below the upper limit of travel;placing at least the upper end of the cladding (12), with the upper end plug fixture (16) and the lower end plug fixture (16) in place, in a pressure chamber (30);applying a vacuum to an interior of the cladding (12);introducing a filler gas into the pressure chamber (30); raising the pressure of the filler gas within the pressure chamber (30) to a preselected pressure for a given period of time; andsealing the upper end plug internal piece (24) to the upper end plug external piece(22).
1,186
21101
의약용 화합물 및 항생물질 제조업
특허
ko
en
낭성 섬유증의 치료를 위한 단독으로의 및 PDE5 억제제와 조합된 sGC 자극제 또는 sGC 활성화제본 발명은 가용성 구아닐레이트 시클라제 (sGC) 및 포스포디에스테라제 (PDE) 및 sGC 자극제, sGC 활성화제 및 PDE 억제제의 약리학에 관한 것이다. 보다 구체적으로, 본 발명은 낭성 섬유증 (CF) 치료용 의약의 제조를 위한 PDE5 억제제와 조합된 sGC 자극제 및 sGC 활성화제의 용도에 관한 것이다.2-[1-(2-플루오로벤질)-1H-피라졸로[3,4-b]피리딘-3-일]-5-(4-모르폴리닐)-4,6-피리미딘디아민 (1), 2-[1-(2-플루오로벤질)-1H-피라졸로[3,4-b]피리딘-3-일]-5-(4-피리디닐)-4-피리미딘아민 (2), 메틸-4,6-디아미노-2-[1-(2-플루오로벤질)-1H-피라졸로[3,4-b]피리딘-3-일]-5-피리미디닐(메틸)카르바메이트 (3), 메틸-4,6-디아미노-2-[1-(2-플루오로벤질)-1H-피라졸로[3,4-b]피리딘-3-일]-5-피리미디닐카르바메이트 (4), 3-(4-아미노-5-시클로프로필피리미딘-2-일)-1-(2-플루오르벤질)1H-피라졸로[3,4-b]피리딘 (4a), 5-클로로-2-(5-클로로티오펜-2-술포닐아미노-N-(4-(모르폴린-4-술포닐)-페닐)-벤즈아미드 나트륨 염 (6), 2-(4-클로로-페닐술포닐아미노)-4,5-디메톡시-N-(4-(티오모르폴린-4-술포닐)-페닐)-벤즈아미드 (7), 및/또는 4-({(4-카르복시부틸)[2-(2-{[4-(2-페닐에틸)벤질]옥시}페닐)에틸]아미노}메틸)벤조산 (5), 1-{6-[5-클로로-2-({4-트랜스-4-}트리플루오로메틸)시클로헥실]벤질}옥시)페닐]피리딘-2-일}-5-(트리플루오로메틸)-1H-피라졸-4-카르복실산 (8), 1-[6-(2-(2-메틸-4-(4-트리플루오로메톡시페닐)벤질옥시)-페닐)피리딘-2-일]-5-트리플루오로메틸-피라졸-4-카르복실산 (9), 1[6-(3,4-디클로로페닐)-2-피리디닐-5-(트리플루오로메틸)-1H-피라졸-4-카르복실산 (10), 1-({2-[3-클로로-5-(트리플루오로메틸)페닐]-5-메틸-1,3-티아졸-4-일}메틸)-1H-피라졸-4-카르복실산 (11), 4-({2-[3-(트리플루오로메틸)페닐]-1,3-티아졸-4-일}메틸)벤조산 (12), 1-({2-[2-플루오로-3-(트리플루오로메틸)페닐]-5-메틸-1,3-티아졸-4-일}메틸)-1H-피라졸-4-카르복실산 (13)을 포함하는 군으로부터 선택된 하나 이상의 sGC 자극제 또는 활성화제와 바르데나필, 실데나필, 타달라필, 우데나필, 다산타필, 아바나필, 미로데나필, 로데나필, UK 369.003, 여기서 조합은 0.01 내지 10 mg의 sGC 자극제 또는 활성화제 및 2.5 내지 20 mg의 PDE5 억제제를 포함하는 조합물.
sGC STIMULATORS OR sGC ACTIVATORS ALONE AND IN COMBINATION WITH PDE5 INHBITORS FOR THE TREATMENT OF CYSTIC FIBROSISThe present invention relates to soluble guanylate cyclase (sGC) and to phosphodiesterases (PDEs) and the pharmacology of sGC stimulators, sGC activators and PDE inhibitors. More particularly, the invention relates to the use of sGC stimulators and sGC activators in combination with PDE5 inhibitors for preparation of medicaments for the treatment of Cystic Fibrosis (CF).A pharmaceutical composition comprising: at least one sGC stimulator or activator selected from the group consisting of 2-[1′-(2-fluorobenzyl)-1H-pyrazolo[3,4-b]pyridine-3-yl]-5-(4-morpholinyl)-4,6-pyrimidinediamine (1), 2-[1-(2-fluorobenzyl)-1H-pyrazolo[3,4-b]pyridine-3-yl]-5-(4-pyridinyl)-4-pyrimidinamine (2), methyl-4,6-diamino-2-[1-(2-fluorobenzyl)-1H-pyrazolo[3,4-b]pyridine-3-yl]-5-pyrimidinyl-(methyl)carbamate (3), methyl-4,6-diamino-2-[1-(2-fluorobenzyl)-1H-pyrazolo[3,4-b]pyridine-3-yl]-5-pyrimidinylcarbamate (4), 3-(4-amino-5-cyclopropylpyrimidine-2-yl)-1-(2-fluorbenzyl)1H-pyrazolo[3,4-b]pyridine (4a), 5-chloro-2-(5-chlorothiophene-2-sulfonylamino-N-(4-(morpholine-4-sulfonyl)-phenyl)-benzamide sodium salt (6), 2-(4-chloro-phenylsulfonylamino)-4,5-dimethoxy-N-(4-(thiomorpholine-4-sulfonyl)-phenyl)-benzamide (7), and/or 4-{(4-carboxybutyl)[2-(2-{[4-(2-phenylethyl)benzyl]oxy}phenyl)ethyl]amino}methyl)benzoic acid (5), 1-{6-[5-chloro-2-({4-trans-4-}trifluoromethyl)cyclohexyl]benzyl}oxy)phenyl]pyridin-2-yl}-5-(trifluoromethyl)-1H-pyrazole-4-carboxylic acid (8), 1-[6-(2-(2-methyl-4-(4-trifluoromethoxyphenyl)benzyloxy)-phenyl)pyridin-2-yl]-5-trifluoromethyl-pyrazole-4-carboxylic acid (9), 1[6-(3,4-dichlorophenyl)-2-pyridinyl-5-(trifluoromethyl)-1H-pyrazole-4-carboxylic acid (10), 1-({2-[3-chloro-5-(trifluoromethyl)phenyl]-5-methyl-1,3-thiazol-4-yl}methyl)-1H-pyrazole-4-carboxylic acid (11), 4-({2-[3-(trifluoromethyl)phenyl]-1,3-thiazol-4-yl}methyl)benzoic acid (12), and 1-({2-[2-fluoro-3-(trifluoromethyl)phenyl]-5-methyl-1,3-thiazol-4-yl}methyl)-1H-pyrazole-4-carboxylic acid (13) and a PDE5 inhibitor selected form the group comprising Vardenafil, Sildenafil, Tadalafil, Udenafil, Dasantafil, Avanafil, Mirodenafil, Lodenafil, UK 369.003, wherein the combination comprises 0.01 to 10 mg of the sGC stimulator or activator and 2.5 to 20 mg of the PDE5 inhibitor.
1,188
20111
석유화학계 기초 화학 물질 제조업
특허
ko
en
전극의 제조 방법집전체의 표면에, 특정 방향족 테트라카르복실산 화합물과 카르복실기를 가지는 디아민을 포함하는 디아민 성분으로부터 얻어지는 폴리아미드산으로 이루어지는 바인더를 함유하는 전극 합제 조성물에 의해 전극 혼합제층을 형성하고, 다음으로 가열 처리하여 용매를 제거함과 함께 폴리아미드산의 이미드화 반응을 실시하여 전극을 제조한다. 전극 합제 조성물이, 에폭시기 또는 옥사졸린기를 더 가지는 가교제를 함유하는 것이 바람직하다. 전극 합제 조성물이, 피리딘류 화합물을 더 함유하는 것도 바람직하다.집전체의 표면에, 적어도 전극 활물질과 하기 화학식(1)로 나타내는 반복 단위를 가지는 폴리아미드산으로 이루어지는 바인더를 함유하는 전극 합제(合劑) 조성물에 의해 전극 혼합제층을 형성하고, 다음으로 가열 처리하여 용매를 제거함과 함께 폴리아미드산의 이미드화 반응을 실시하는 것을 특징으로 하는 전극의 제조 방법. 화학식(1)에서, A는 하기 화학식(2), 하기 화학식(3), 및 하기 화학식(4)로 이루어지는 군으로부터 선택되는 1종류 이상의 4가의 기로 이루어지고, B는 하기 화학식(5), 하기 화학식(6), 하기 화학식(7), 하기 화학식(8) 및 탄소수 4~10의 2가의 포화 탄화수소기로 이루어지는 군으로부터 선택되는 1종류 이상의 2가의 기, 그리고 하기 화학식(9), 및 하기 화학식(10)으로 이루어지는 군으로부터 선택되는 1종류 이상의 2가의 기로 이루어진다. 화학식(8)에서, X는 직접 결합, 산소원자, 황원자, 메틸렌기, 카르보닐기, 술폭실기, 술폰기, 1,1'-에틸리덴기, 1,2-에틸리덴기, 2,2'-이소프로필리덴기, 2,2'-헥사플루오로이소프로필리덴기, 시클로헥실리덴기, 페닐렌기, 1,3-페닐렌디메틸렌기, 1,4-페닐렌디메틸렌기, 1,3-페닐렌디에틸리덴기, 1,4-페닐렌디에틸리덴기, 1,3-페닐렌디프로필리덴기, 1,4-페닐렌디프로필리덴기, 1,3-페닐렌디옥시기, 1,4-페닐렌디옥시기, 비페닐렌디옥시기, 메틸렌디페녹시기, 에틸리덴디페녹시기, 프로필리덴디페녹시기, 헥사플루오로프로필리덴디페녹시기, 옥시디페녹시기, 티오디페녹시기, 술폰디페녹시기 중 어느 하나이다. 화학식(10)에서, Y는 직접 결합, 산소원자, 황원자, 메틸렌기, 카르보닐기, 술폭실기, 술폰기, 1,1'-에틸리덴기, 1,2-에틸리덴기, 2,2'-이소프로필리덴기, 2,2'-헥사플루오로이소프로필리덴기, 시클로헥실리덴기, 페닐렌기, 1,3-페닐렌디메틸렌기, 1,4-페닐렌디메틸렌기, 1,3-페닐렌디에틸리덴기, 1,4-페닐렌디에틸리덴기, 1,3-페닐렌디프로필리덴기, 1,4-페닐렌디프로필리덴기, 1,3-페닐렌디옥시기, 1,4-페닐렌디옥시기, 비페닐렌디옥시기, 메틸렌디페녹시기, 에틸리덴디페녹시기, 프로필리덴디페녹시기, 헥사플루오로프로필리덴디페녹시기, 옥시디페녹시기, 티오디페녹시기, 술폰디페녹시기 중 어느 하나이다.
METHOD FOR PRODUCING ELECTRODEAn electrode is produced by forming an electrode layer on a surface of a current collector using an electrode composition containing a binder including a polyamide acid that is obtained from a specific aromatic tetracarboxylic acid compound and a diamine component containing a diamine having a carboxyl group, and subsequently performing heat treatment to remove a solvent and perform an imidization reaction of the polyamide acid. It is preferable that the electrode composition further contains a crosslinking agent having an epoxy group or an oxazoline group. It is also preferable that the electrode composition further contains a pyridine compound.A method for producing an electrode, the method comprising forming an electrode layer on a surface of a current collector using an electrode composition containing at least an electrode active material and a binder comprising a polyamide acid having a repeating unit represented by a formula (1) below, and subsequently performing heat treatment to remove a solvent and perform an imidization reaction of the polyamide acid, wherein in the formula (1), A comprises at least one tetravalent group selected from the group consisting of tetravalent groups represented by formulae (2), (3), and (4) below, and B comprises at least one divalent group selected from the group consisting of: divalent groups represented by formulae (5), (6), (7), and (8) below and divalent saturated hydrocarbon groups having 4 to 10 carbon atoms; and at least one divalent group selected from the group consisting of divalent groups represented by formulae (9) and (10) below, wherein in the formula (8), X is any of a direct bond, an oxygen atom, a sulfur atom, a methylene group, a carbonyl group, a sulfoxyl group, a sulfone group, a 1,1'-ethylidene group, a 1,2-ethylidene group, a 2,2'-isopropylidene group, a 2,2'-hexafluoroisopropylidene group, a cyclohexylidene group, a phenylene group, a 1,3-phenylenedimethylene group, a 1,4-phenylenedimethylene group, a 1,3-phenylenediethylidene group, a 1,4-phenylenediethylidene group, a 1,3-phenylenedipropylidene group, a 1,4-phenylenedipropylidene group, a 1,3-phenylenedioxy group, a 1,4-phenylenedioxy group, a biphenylenedioxy group, a methylenediphenoxy group, an ethylidenediphenoxy group, a propylidenediphenoxy group, a hexafluoropropylidenediphenoxy group, an oxydiphenoxy group, a thiodiphenoxy group, and a sulfonediphenoxy group, and wherein in the formula (10), Y is any of a direct bond, an oxygen atom, a sulfur atom, a methylene group, a carbonyl group, a sulfoxyl group, a sulfone group, a 1,1'-ethylidene group, a 1,2-ethylidene group, a 2,2'-isopropylidene group, a 2,2'-hexafluoroisopropylidene group, a cyclohexylidene group, a phenylene group, a 1,3-phenylenedimethylene group, a 1,4-phenylenedimethylene group, a 1,3-phenylenediethylidene group, a 1,4-phenylenediethylidene group, a 1,3-phenylenedipropylidene group, a 1,4-phenylenedipropylidene group, a 1,3-phenylenedioxy group, a 1,4-phenylenedioxy group, a biphenylenedioxy group, a methylenediphenoxy group, an ethylidenediphenoxy group, a propylidenediphenoxy group, a hexafluoropropylidenediphenoxy group, an oxydiphenoxy group, a thiodiphenoxy group, and a sulfonediphenoxy group.
1,189
20119
석탄화학계 화합물 및 기타 기초 유기화학 물질 제조업
특허
ko
en
투명체의 제조 방법, 투명체 및 비정질체신규 비닐기 함유 화합물을 사용한, 투명체의 제조 방법, 및 이 제조 방법으로 얻어지는 투명체를 제공한다. 본 발명에 관련된 투명체의 제조 방법은, 하기 일반식 (1) 로 나타내는 비닐기 함유 화합물을 상기 화합물의 융점 이상의 온도에서의 가열에 부여하는 것을 포함한다. 식 중, W1 및 W2 는 하기 일반식 (2)(식 중, 고리 Z 는 방향족 탄화수소 고리, X 는 단결합 또는 -S- 로 나타내는 기, R1 은 단결합 또는 탄소수 1 ∼ 4 의 알킬렌기, R2 는 1 가 탄화수소기 등의 특정 치환기, m 은 0 이상의 정수이다.) 로 나타내는 기, 하기 일반식 (4) 로 나타내는 기 (식 중, 고리 Z, X, R1, R2, 및 m 은 상기와 같다.), 수산기, 또는 (메트)아크릴로일옥시기, 고리 Y1 및 고리 Y2 는 방향족 탄화수소 고리, R 은 단결합 또는 특정 2 가기, R3a 및 R3b 는 시아노기, 할로겐 원자, 또는 1 가 탄화수소기, n1 및 n2 는 0 ∼ 4 의 정수이다. 하기 일반식 (1) 로 나타내는 비닐기 함유 화합물을 상기 화합물의 융점 이상의 온도에서의 가열에 부여하는 것을 포함하는, 투명체의 제조 방법.[화학식 1][이미지](식 중, W1 및 W2 는 독립적으로 하기 일반식 (2) 로 나타내는 기, 하기 일반식 (4) 로 나타내는 기, 수산기, 또는 (메트)아크릴로일옥시기를 나타내고, 단 W1 및 W2 는 동시에 수산기도 하기 일반식 (4) 로 나타내는 기도 아니고, 고리 Y1 및 고리 Y2 는 동일 또는 상이한 방향족 탄화수소 고리를 나타내고, R 은 단결합, 치환기를 가져도 되는 메틸렌기, 치환기를 가져도 되고, 2 개의 탄소 원자 사이에 헤테로 원자를 포함해도 되는 에틸렌기, -O- 로 나타내는 기, -NH- 로 나타내는 기, 또는 -S- 로 나타내는 기를 나타내고, R3a 및 R3b 는 독립적으로 시아노기, 할로겐 원자, 또는 1 가 탄화수소기를 나타내고, n1 및 n2 는 독립적으로 0 ∼ 4 의 정수를 나타낸다.)[화학식 2][이미지](식 중, 고리 Z 는 방향족 탄화수소 고리를 나타내고, X 는 단결합 또는 -S- 로 나타내는 기를 나타내고, R1 은 단결합 또는 탄소수 1 ∼ 4 의 알킬렌기를 나타내고, R2 는 1 가 탄화수소기, 수산기, -OR4a 로 나타내는 기, -SR4b 로 나타내는 기, 아실기, 알콕시카르보닐기, 할로겐 원자, 니트로기, 시아노기, 메르캅토기, 카르복실기, 아미노기, 카르바모일기, -NHR4c 로 나타내는 기, -N(R4d)2 로 나타내는 기, (메트)아크릴로일옥시기, 술포기, 또는 1 가 탄화수소기, -OR4a 로 나타내는 기, -SR4b 로 나타내는 기, 아실기, 알콕시카르보닐기, -NHR4c 로 나타내는 기, 혹은 -N(R4d)2 로 나타내는 기에 포함되는 탄소 원자에 결합한 수소 원자의 적어도 일부가 1 가 탄화수소기, 수산기, -OR4a 로 나타내는 기, -SR4b 로 나타내는 기, 아실기, 알콕시카르보닐기, 할로겐 원자, 니트로기, 시아노기, 메르캅토기, 카르복실기, 아미노기, 카르바모일기, -NHR4c 로 나타내는 기, -N(R4d)2 로 나타내는 기, (메트)아크릴로일옥시기, 메실옥시기, 혹은 술포기로 치환된 기를 나타내고, R4a ∼ R4d 는 독립적으로 1 가 탄화수소기를 나타내고, m 은 0 이상의 정수를 나타낸다.) [화학식 3][이미지](식 중, 고리 Z, X, R1, R2, 및 m 은 상기와 같다.)
Transparent body production method, transparent body, and amorphous bodyProvided are: a transparent body production method that uses a novel vinyl group-containing compound; and a transparent body obtained by this production method. This transparent body production method includes subjecting a vinyl group-containing compound that is represented by formula (1) to heating at a temperature equal to or greater than the melting point of said compound. In formula (1), each of W1 and W2 is the group represented by formula (2) (in the formula, the ring Z is an aromatic hydrocarbon ring, X is a single bond or a group represented by -S-, R1 is a single bond or an alkylene group having 1-4 carbon atoms, R2 is a specific substituent such as a monovalent hydrocarbon group, and m is an integer of 0 or higher), the group represented by formula (4) (in the formula, the ring Z, X, R1, R2, and m are as indicated above), a hydroxyl group, or a (meth)acryloyloxy group, each of the ring Y1 and the ring Y2 is an aromatic hydrocarbon ring, R is a single bond or a specific divalent group, each of R3a and R3b is a cyano group, a halogen group, or a monovalent hydrocarbon group, and each of n1 and n2 is an integer of 0-4.A kind of manufacture method of the transparent body, it include to shown in following formulas (1) containing vinyl compound with the chemical combination the step of the temperature more than fusing point of thing is heated,in formula (1), w1and w2independently represent the group shown in following formulas (2), the group shown in following formulas (4), hydroxyl or (methyl) acryloxy, wherein, w1and w2it is asynchronously hydroxyl or the group shown in following formulas (4), ring y1and ring y2represent identical or different aromatic series hydrocarbon ring, r represent singly-bound, can with the methylene of substituted base, can have substituent group and can be 2 comprising the group shown in heteroatomic ethylidene ,-o-, the group shown in-nh- or the base shown in-s- between individual carbon atom group, r3aand r3bcyano group, halogen atom or 1 valency alkyl are independently represented, n1 and n2 independently represents 0~4 integer,in formula (2), ring z represents aromatic series hydrocarbon ring, and x represents singly-bound or the group shown in-s-, r1represent singly-bound or carbon number 1~4 alkylidene, r2represent 1 valency alkyl, hydroxyl ,-or4ashown group ,-sr4bshown group, acyl group, alkoxy carbonyl, halogen atom, nitro, cyano group, sulfydryl, carboxyl, amino, carbamoyl ,-nhr4cshown group ,-n (r4d)2shown group, (methyl) acryloxy, sulfo group or with 1 valency alkyl ,-or4ashown group ,-sr4bshown group, acyl base, alkoxy carbonyl ,-nhr4cshown group or-n (r4d)2the hydrogen atom of contained carbon atom bonding in shown group at least a portion by 1 valency alkyl, hydroxyl ,-or4ashown group ,-sr4bshown group, acyl group, alkoxy carbonyl, halogen atom, nitro, cyano group, sulfydryl, carboxyl, amino, carbamoyl ,-nhr4cshown group ,-n (r4d)2shown the group that group, (methyl) acryloxy, mesyloxy or sulfo group replace, r4a~r4dindependently represent 1 valency alkyl, m tables show more than 0 integer,in formula (4), ring z, x, r1、r2and m is as described above.
1,190
21101
의약용 화합물 및 항생물질 제조업
특허
ko
en
항암제본 발명은 의약, 특히 항암제에 관한 것이다. 더욱 상세하게는, 본 발명은 특정한 화학구조식을 보유하는 ω-히드록시지방산 또는 그 염 또는 그 에스테르, 히드록시옥소지방산 또는 그들의 염류 또는 그들의 에스테르류, 락톤화합물, 거대 환상 케톤 또는 거대 환상 디에스테르의 1종 또는 2종 이상을 유효성분으로 하는 항암제에 관한 것이다. 본 발명에 사용되는 상기 여러 가지 화합물은 암살상작용과 아울러, 암전이저해작용이 매우 우수하고, 또한 암재발방지와 발암방지효과가 있고, 또한 세포독성도 매우 낮은 것 및 미량에서 효과가 있는 등 부작용이 매우 적은 항암제를 제공할 수 있다. 또한 이미 알려진 항악성종양제와의 병용에 의해서 암전이의 저해활성을 한층 높일 수 있다. 또한, 본 발명의 항암제 중, ω-히드록시지방산은 역시 본 발명의 항암제인 락톤화합물의 가수분해반응에 의해서 매우 간편하게, 또한 고순도로 이것을 얻을 수 있다. 하기 일반식(1) HO-R1-COOH (1) (식중, R1은 측쇄로서 임의의 위치에 탄소수 1∼4의 알킬기 또는 알킬렌기 또는 수산기가 결합하여도 좋은, 이중결합의 수가 0 또는 1인 탄소수 10∼25의 직쇄탄소쇄를 나타냄)로 표시되는 ω-히드록시지방산 또는 그 염 또는 그 에스테르 및 하기 일반식 (2) R2-COOH (2) (식중, R2는 측쇄로서 임의의 위치에 탄소수 1∼4의 알킬기 또는 알킬렌기가 결합하여도 좋은, 1개 이상의 카르보닐기 및 1개 이상의 수산기를 보유하는 탄소수 9∼25의 포화의 직쇄탄소쇄를 나타냄)으로 표시되는 히드록시옥소지방산 또는 그 염 또는 그 에스테르 및 하기 일반식(3) [이미지] (식중, R3는, 측쇄로서 임의의 위치에 탄소수 1∼4의 알킬기 또는 알킬렌기가 결합하여도 좋은, 탄소수 2∼24의 포화 또는 탄소-탄소 이중결합을 임의의 위치에 1개 이상 보유하는 불포화의 탄소쇄, 또는 임의의 위치에 1개 이상의 산소를 보유하는 탄소수 8∼24의 포화 또는 탄소-탄소 이중결합을 임의의 위치에 1개 이상 보유하는 불포화의 탄소쇄를 나타내고, 식중, R4는 수소 또는 수산기 또는 임의의 위치에 탄소수 1∼4의 알킬기 또는 알킬렌기가 결합하여도 좋은, 탄소수 1∼30의 포화 또는 탄소-탄소 이중결합을 임의의 위치에 1개 이상 보유하는 불포화의 탄소쇄를 나타내고, 파선부는 단결합 또는 이중결합을 나타냄)으로 표시되는 락톤화합물, 및 하기 일반식(4) [이미지] (식중, R5는 수소 또는 탄소수 1∼4의 알킬기 또는 알킬렌기를 나타내고, 식중 R6는 측쇄로서 임의의 위치에 탄소수 1∼4의 알킬기 또는 알킬렌기가 결합하여도 좋은, 탄소수 7∼17의 포화 또는 탄소-탄소 이중결합을 임의의 위치에 1개 이상 보유하는 불포화의 탄소쇄를 나타내고, R7은 수소 또는 탄소수 1∼4의 알킬기 또는 알킬렌기를 나타내고, 파선부는 단결합 또는 이중결합을 나타냄)으로 표시되는 거대 환상 케톤 및 하기 일반식(5) [이미지] (식중, R8은 임의의 위치에 탄소수 1∼4의 알킬기 또는 알킬렌기가 결합하여도 좋은, 탄소수 2∼10의 포화, 또는 탄소-탄소 이중결합을 임의의 위치에 1개 이상 보유하는 불포화의 탄소쇄를 나타내고, R9는 탄소수 8∼20의 포화 또는 탄소-탄소 이중결합을 임의의 위치에 1개 이상 보유하는 불포화의 탄소쇄를 나타냄)으로 표시되는 거대 환상 디에스테르에서 선택되는 1종 또는 2종 이상의 혼합물을 유효성분으로 하는 것을 특징으로 하는 항암제.
Anticancer agentsDrugs, in particular, anticancer agents. More particularly speaking, anticancer agents which contain as the active ingredient(s) one or more compounds selected from among omega -hydroxyfatty acids having a specific chemical structural formula and salts and esters thereof, hydroxyoxofatty acids and salts and esters thereof, lactone compounds, macrocyclic ketones and macrocyclic diesters. The above-described compounds have a carcinostatic effect, an extremely high effect of preventing cancer metastasis, an effect of preventing recurrence of cancer, an effect of preventing the occurrence of cancer and little cytotoxicity. Moreover, these compounds are efficacious only in a small dose. Owing to these characteristics, these compounds enable the provision of anticancer agents with little side effect. The effect of preventing cancer metastasis can be further enhanced by the combined use with known anti-malignant tumor agents. Among the anticancer agents as described above, omega -hydroxyfatty acids can be very conveniently obtained at a high purity by hydrolyzing lactone compounds which are also the anticancer agents as described herein.A kind of anticarcinogen, it is characterized in that, with one or more the mixture in the macrocyclic diester of the macrocyclic ketone of the lactone compound of the hydroxyl oxygen fat subsitutes acid of ω-hydroxy fatty acid of being selected from following general formula (1) expression or its salt or its ester, following general formula (2) expression or its salt or its ester, following general formula (3) expression, following general formula (4) expression and following general formula (5) expression as effective ingredientho-r 1-cooh?????????????????????????(1) in the formula, r 1expression double key number order is the straight chain carbochain of 0 or 1 carbon number 10 to 25, as side chain can be at an arbitrary position in conjunction with alkyl or the alkylidene or the hydroxyl of carbon number 1 to 4, r 2-cooh????????????????????????????(2) in the formula, r 2expression has the saturated straight chain carbochain of carbon number 9 to 25 of at least 1 carbonyl and at least 1 hydroxyl, as side chain can be at an arbitrary position in conjunction with the alkyl or the alkylidene of carbon number 1 to 4, in the formula, r 3the saturated carbon chains of expression carbon number 2 to 24 or at an arbitrary position on have the unsaturated carbon chains of 1 above carbon-to-carbon double bond, perhaps have at an arbitrary position 1 above oxygen carbon number 8 to 24 saturated carbon chains or at an arbitrary position on have the unsaturated carbon chains of 1 above carbon-to-carbon double bond, as side chain can be at an arbitrary position in conjunction with the alkyl or the alkylidene of carbon number 1 to 4, r in the formula 4expression hydrogen, hydroxyl or can be at an arbitrary position in conjunction with the saturated carbon chains of the carbon number 1~30 of the alkyl of carbon number 1 to 4 or alkylidene or have the unsaturated carbon chains of 1 above carbon-to-carbon double bond at an arbitrary position, dotted portion is represented singly-bound or two key, in the formula, r 5the alkyl or the alkylidene of expression hydrogen or carbon number 1 to 4, r in the formula 6the saturated carbon chains of expression carbon number 7 to 17 or have the unsaturated carbon chains of 1 above carbon-to-carbon double bond at an arbitrary position, as side chain can be at an arbitrary position in conjunction with the alkyl or the alkylidene of carbon number 1 to 4, r 7the alkyl or the alkylidene of expression hydrogen or carbon number 1 to 4, dotted portion is represented singly-bound or two key, in the formula, r 8the saturated carbon chains of expression carbon number 2 to 10 or have the unsaturated carbon chains of 1 above carbon-to-carbon double bond at an arbitrary position, can be at an arbitrary position in conjunction with the alkyl or the alkylidene of carbon number 1 to 4, r 9the saturated carbon chains of expression carbon number 8 to 20 or at an arbitrary position on have the unsaturated carbon chains of 1 above carbon-to-carbon double bond.
1,191
30392
자동차용 신품 제동장치 제조업
특허
ko
en
차량용 브레이크 액압 제어 장치본 발명에 따른 차량용 브레이크 액압 제어 장치는, 캘리퍼압 센서를 갖추면서도 기체의 소형화를 도모한다. 입구 포트 및 출구 포트가 기체의 상부에 배치되고, 기체의 하부에 리저버가 배치되며, 한 쌍의 펌프는 입구 포트와 리저버 사이에 배치되고, 증압 밸브와, 감압 밸브와, 차단 밸브 및 캘리퍼압 센서는 펌프의 중심축을 따르는 방향으로 각각 일렬로 배치되며, 증압 밸브는 펌프의 중심축(Y1)보다 위쪽에 배치되고, 감압 밸브는 펌프의 중심축보다 아래쪽에 배치되며, 차단 밸브는 증압 밸브와 감압 밸브 사이에 배치되고, 기체의 단부에 배치되는 증압 밸브 및 감압 밸브보다도 기체의 중앙부 부근에 배치되며, 흡입 밸브는, 펌프의 중심축을 따르는 방향으로 병설된 감압 밸브 중 펌프의 회전 중심축을 지나는 상하의 기준선보다도 기체의 단부 측에서 나란하게 있는 감압 밸브들 사이에 배치되고 한 쌍의 차단 밸브와 연통되어 있으며, 마스터압 센서는 기체의 기준선 상에 배치했다.기체와, 복수의 증압 밸브와, 복수의 감압 밸브와, 마스터압 센서와, 한 쌍의 리저버와, 한 쌍의 차단 밸브와, 한 쌍의 펌프와, 한 쌍의 기계식 흡입 밸브와, 한 쌍의 캘리퍼압 센서와, 모터를 구비하고,상기 기체의 한쪽의 면을, 상기 복수의 증압 밸브와, 상기 복수의 감압 밸브와, 상기 한 쌍의 차단 밸브와, 상기 한 쌍의 캘리퍼압 센서가 부착되는 밸브 부착면으로 하며, 상기 기체의 한쪽의 면의 배면 측이 되는 상기 기체의 다른 쪽의 면을, 상기 모터가 부착되는 모터 부착면으로 하고,상기 복수의 증압 밸브가, 상기 펌프의 중심축을 따르는 방향으로 일렬로 배치되며, 상기 복수의 감압 밸브가, 상기 펌프의 중심축을 따르는 방향으로 일렬로 배치되고, 상기 한 쌍의 차단 밸브 및 상기 한 쌍의 캘리퍼압 센서가, 상기 펌프의 중심축을 따르는 방향으로 일렬로 배치되어 있으며,상기 펌프의 중심축을 따르는 방향 및 상기 모터의 회전 중심축과 직교하는 방향을 상하 방향으로 했을 때에, 액압원으로부터의 입구 포트 및 복수의 차륜 브레이크에 이르는 복수의 출구 포트가 상기 기체의 상부에 배치되고, 상기 한 쌍의 리저버가 상기 펌프의 중심축을 사이에 두고서 상기 상부의 반대쪽으로 되는 상기 기체의 하부에 배치되어 있으며,상기 한 쌍의 펌프는, 상기 입구 포트와 상기 리저버 사이에 각각 배치되고,상기 복수의 증압 밸브는, 상기 펌프의 중심축보다 위쪽에 각각 배치되며,상기 복수의 감압 밸브는, 상기 복수의 증압 밸브보다도 아래쪽에 각각 배치되고,상기 한 쌍의 차단 밸브는, 상기 증압 밸브와 상기 감압 밸브 사이에 각각 배치되며, 상기 기체의 단부에 배치되는 상기 증압 밸브 및 상기 감압 밸브보다도 상기 기체의 중앙부 부근에 배치되고,상기 한 쌍의 기계식 흡입 밸브는, 상기 펌프의 중심축을 따르는 방향으로 병설된 상기 복수의 감압 밸브 중 상기 회전 중심축을 지나는 상하의 기준선보다도 상기 기체의 단부 측에서 나란하게 있는 상기 감압 밸브들 사이에 배치되며, 상기 한 쌍의 차단 밸브와 각각 연통되어 있고,상기 마스터압 센서는, 상기 펌프의 중심축을 따르는 방향으로 병설된 상기 복수의 증압 밸브 중 상기 기체의 중앙부에 있어서 인접하는 상기 증압 밸브들의 위쪽에서 상기 상하의 기준선 상에 배치되어 있는 것을 특징으로 하는 차량용 브레이크 액압 제어 장치.
Vehicular brake hydraulic pressure control apparatusInlet and outlet ports are in the top part of a base; a reservoir is in the bottom part of the base; pumps are between the inlet port and the reservoir; a pressure booster valve, a depressurizing valve, a cut valve, and a caliper pressure sensor are along the center axis of each pump; the pressure booster valve is above the center axis; the depressurizing valve is below the center axis; the cut valve is between the pressure booster valve and the depressurizing valve and is nearer the middle of the base than the pressure booster valve and the depressurizing valve; and a suction valve is between the depressurizing valves aligned nearer the end of the base than a vertical reference line passing through the rotational center axes of the pumps. The suction valve communicates with cut valves, and a master pressure sensor is on the reference line.A vehicular brake hydraulic pressure control apparatus, comprising: a base body;a plurality of pressure-increasing valves;a plurality of pressure-reducing valves;a master-pressure sensor;a pair of reservoirs;a pair of cut valves;a pair of pumps;a pair of mechanical suction valves;a pair of caliper-pressure sensors; anda motor; whereinone side of the base body is a valve-mounting side on which the plurality of pressure-increasing valves, the plurality of pressure-reducing valves, the pair of cut valves, and the pair of caliper-pressure sensors are mounted, and another side of the base body opposite to the one side is a motor-mounting side on which the motor is mounted;the plurality of pressure-increasing valves are arranged in a line in a direction of center axes of the pumps, the plurality of pressure-reducing valves are arranged in a line in the direction of the center axes of the pumps, and the pair of cut valves and the pair of caliper-pressure sensors are arranged in a line in the direction of the center axes of the pumps;when a direction perpendicular to the direction of the center axes of the pumps and a rotational center axis of the motor is a vertical direction, an inlet port from a hydraulic pressure source and a plurality of outlet ports to be connected to a plurality of wheel brakes are arranged in an upper portion of the base body, and the pair of reservoirs are arranged in a lower portion of the base body, where the lower portion is located opposite to the upper portion with respect to the center axes of the pumps;the pair of pumps are respectively arranged between the inlet port and the reservoirs;the plurality of pressure-increasing valves are respectively arranged above the center axes of the pumps;the plurality of pressure-reducing valves are respectively arranged below the plurality of pressure-increasing valves;the pair of cut valves are respectively arranged between the pressure-increasing valves and the pressure-reducing valves, and are located nearer to a central portion of the base body than ones of the pressure-increasing valves and ones of the pressure-reducing valves located on edge sides of the base body;the pair of the mechanical suction valves are each arranged between ones of the pressure-reducing valves which are arrayed in the direction of the center axes of the pumps on an edge side of a vertical reference line passing through the rotational center axis, and the pair of mechanical suction valves respectively communicate with the pair of cut valves; andthe master-pressure sensor is arranged on the vertical reference line above ones of the pressure-increasing valves located adjacent to each other, in the direction of the center axes of the pumps, in a central portion of the base body.
1,191
30392
자동차용 신품 제동장치 제조업
특허
ko
en
공압 브레이크 부스터(PNEUMATIC BRAKE BOOSTER)본 발명은 대칭축선(X-X')을 가지고서 이동가능한 벽구조물(12)에 의해 기밀식으로 전방챔버(14)와 후방챔버(16)으로 나누어지는 케이싱(10)을 포함하고 있는 공압 브레이크 부스터에 관한 것으로, 이 전방챔버가 저압원에 영속적으로 연결되고, 이 후방챔버가 반작용 디스크(58)에 고정된 푸쉬로드의 후방면상에서 플런저(28)의 전방면을 경유하여 가압할 수 있는 제어로드(30)에 의해 작용되는 3-웨이 밸브 수단(36)에 의해 선택적으로 전방챔버(14)에 또는 고압원에 연결되며, 이 플런저가 이동가능한 벽(12)의 보어(26)에서 미끄럼하고, 이 3-웨이 밸브(36)가 이동가능한 벽(12)의 관형후방부(22)에서 위치된 밸브요소(36)를 포함하고 환경전방면(40)을 경유하여 플런저(28)상에 형성된 제1환형밸브시트(28a)와 그리고 이동가능한 벽(12)상에 형성된 제2환형밸브시트(20a)와 상호작용하고, 제1밸브시트(28a)가 제2밸브시트(20a)와 동심이고 소직경이며, 이 밸브요소(36)의 환형전방면(40)이 이동가능한 벽(12)의 관형후방부(22)에서 이동할 수 있고 이동가능한 벽에서 외부 에지와 내부에지를 통해서 밀봉되어 있고 이 밸브(36)의 환형전방면(40)이 적어도 하나의 개구(46)를 포함하여서 밸브(36)의 환형전방면(40) 뒤에 위치된 챔버(44)가 제1밸브시트(28a)와 제2밸브시트(20a) 사이에 위치된 공간(35)과 연통하도록 되어 있다. 본 발명에 따라, 플런저(28)상에 적용된 저압과 고압에 의해 발생되는 합성력이 영속적으로 제로 또는 무시해도 좋을 정도로 되어 있다.본 발명은 대칭축선(X-X')을 가지고서 이동가능한 벽구조물(12)에 의해 기밀식으로 전방챔버(14)와 후방챔버(16)으로 나누어지는 케이싱(10)을 포함하고 있는 공압 브레이크 부스터로서, 이 전방챔버가 저압원에 영속적으로 연결되고, 이 후방챔버가 반작용 디스크(58)에 고정된 푸쉬로드의 후방면상에서 플런저(28)의 전방면을 경유하여 가압할 수 있는 제어로드(30)에 의해 작용되는 3-웨이 밸브 수단(36)에 의해 선택적으로 전방챔버(14)에 또는 고압원에 연결되며, 이 플런저가 이동가능한 벽(12)의 보어(26)에서 미끄럼하고, 이 3-웨이 밸브(36)가 이동가능한 벽(12)의 관형후방부(22)에서 위치된 밸브요소(36)를 포함하고 환경전방면(40)을 경유하여 플런저(28)상에 형성된 제1환형밸브시트(28a)와 그리고 이동가능한 벽(12)상에 형성된 제2환형밸브시트(28a)와 상호작용하고, 제1밸브시트(28a)가 제2밸브시트(20a)와 동심이고 소직경이며, 이 밸브요소(36)의 환형전방면(40)이 이동가능한 벽(12)의 관형후방부(22)에서 이동할 수 있고 이동가능한 벽에서 외부에지와 내부에지를 통해서 밀봉되어 있고 이 밸브(36)의 환형전방면(40)이 적어도 하나의 개구(46)를 포함하여서 밸브(36)의 환형전방면(40) 뒤에 위치된 챔버(44)가 제1밸브시트(28a)와 제2밸브시트(20a) 사이에 위치된 공간(35)과 연통하도록 되어 있는 공압 브레이크 부스터에 있어서, 플런저(28)는 이동가능한 벽(12)의 보어(26)내에서, 플런저(28)의 전방면이 이동하는 공간(52)을 구획형성하는 시일(56)에 의해 이동가능한 벽(12)의 보어(26)내측에는 기밀적으로 미끄럼하고, 공간(52)에 작용하는 압력은 플런저(28)상에 힘을 적용시켜 플런저(28)상 작용된 저압과 고압에 의해 발생되는 합성력이 영속적으로 제로 또는 무시해도 좋을 정도로 되도록 되어 있는 것을 특징으로 하는 공압 브레이크 부스터.
Pneumatic brake servoPneumatic brake servo comprising a housing (10) with an axis of symmetry (X-X') sealingly separated by a movable wall structure (12) into a front chamber (14) permanently linked to a low pressure source and a rear chamber (16) selectively linked to the front chamber (14) or to a high pressure source by a three-way valve (36) operated by a control rod (30) capable of being in contact, through the front face of a plunger (28), with the rear face of a thrust rod (56) integral with a reaction disc (58), the plunger sliding in a bore (26) within the movable wall (12). The three-way valve (36) includes a valve (36) disposed in a rear tubular part (22) of the movable wall (12), cooperating at an annular front face (40) with a first annular valve seat (28a) formed on the plunger (28) and a second annular valve seat (20a) formed on the movable wall (12), the first valve seat (28a) being concentric with the second valve seat (20a) and having a smaller diameter, the front annular face (40) of the valve (36) being movable in the tubular rear part (22) of the movable wall (12) and being sealed therein by its outer edge and by its inner edge, the front annular face (40) of the valve (36) including at least one opening (46) by which a chamber (44) located behind the annular front face (40) of the valve (36) communicates with a space (35) located between the first (28a) and second (20a) valve seats. According to the invention, the resultant of the forces produced by the low pressure and high presure acting on the plunger (28) is permanently nil or negligible.Pneumatic brake servo, it comprises a shell (10) with diad (x-x '), be separated into front chamber (14) and rear chamber (16) by a removable wall structure (12) with sealing means, front chamber such as end are connected with low pressure source, rear chamber is connected with front chamber (14) or high-voltage power supply selectively by triple valve (36), triple valve starts with joystick (30), joystick can withstand on the back of the push rod (56) that connects together with reaction plate (58) by the front of plunger (28), plunger slides in the hole (26) of removable wall (12), triple valve (36) has a valve part (36), place the tubulose rear portion (22) of removable wall (12), and cooperate with first ring-shaped valve seats (28a) and second ring-shaped valve seats (20) by its forward annular face (40), first seat is gone up at plunger (28) and is formed, second seat gone up at removable wall (12) and formed, first valve seat (28a) is coaxial with second valve seat (20a), and first valve-seat diameter is less than second valve seat, the forward annular face (40) of valve part (36) is movable in the tubulose rear portion (22) of removable wall (12), and by in it, outward flange and tubulose rear seal, the forward annular face (40) of valve (36) has a hole (46) at least, it makes the chamber (44) that is positioned at behind valve (36) the forward annular face (40) communicate with the space (35) that is positioned between first (28a) and second (20a) valve seat, it is characterized in that, plunger (28) slides in the hole (26) of removable wall (12) with sealing means by seal ring (50), seal ring defines the scope of volume (52) in the hole (26) of removable wall (12), move in volume (52) front of plunger (28), pressure in the volume (52) applies a power to plunger (28), so that what be applied to that low pressure on the plunger (28) and high pressure produce is zeroly maybe can ignore with withing joint efforts always.
1,192