eduagarcia commited on
Commit
f838dd7
1 Parent(s): 1bd1dae

Add projecte-aina/aguila-7b to eval queue

Browse files
projecte-aina/aguila-7b_eval_request_False_float16_Original.json ADDED
@@ -0,0 +1 @@
 
 
1
+ {"model": "projecte-aina/aguila-7b", "base_model": "", "revision": "main", "private": false, "precision": "float16", "params": 6.855, "architectures": "RWForCausalLM", "weight_type": "Original", "status": "PENDING", "submitted_time": "2024-02-05T23:14:53Z", "model_type": "\ud83c\udd8e : language adapted models (FP, FT, ...)", "source": "script", "job_id": -1, "job_start_time": null}