patent_number
stringlengths
0
9
section
stringclasses
4 values
raw_text
stringlengths
0
954k
summary
052951677
abstract
A pole storage caddy system includes a pole storage caddy for storing a set of service poles, a pole assembly work station, a motorized monorail pole hoist and a detachable auxiliary personnel work platform, all mounted on a rail truck-mounted rigid frame. The hoist is used to convey the pole sections between the storage caddy and work station during assembly and to support the weight of the pole assembly for in-vessel work. The pole assembly work station includes a two-position slotted keyway plate, the first position providing lateral restraint during torquing operations and the second position providing vertical support during pole assembly.
059075880
claims
1. In a reactor having a reactor pressure vessel, a device for collecting core melt from the reactor pressure vessel, comprising: a) a prechamber disposed below the reactor pressure vessel; b) a spreading chamber disposed laterally next to the reactor pressure vessel for receiving the core melt; c) a channel connecting said spreading chamber to said prechamber; and d) a base unit forming a bottom region at least of said prechamber, said base unit made of a material having such a high thermal conductivity that a part of the core melt forms a crust on said base unit after arrival of the core melt on said base unit and a remaining part of the core melt flows over a surface of the crust towards said spreading chamber. 2. The device according to claim 1, wherein said base unit is made of metal. 3. The device according to claim 1, wherein said base unit is composed of subunits. 4. The device according to claim 1, wherein said base unit is constructed as a crucible at said prechamber. 5. The device according to claim 1, wherein said base unit includes a cooling device. 6. The device according to claim 1, including packing units filling said prechamber. 7. The device according to claim 1, including packing units filling said channel. 8. The device according to claim 1, wherein said channel has a bottom surface formed by cooled bottom elements. 9. The device according to claim 1, wherein said spreading chamber has a bottom surface formed by cooled bottom elements. 10. The device according to claim 1, wherein said channel and said spreading chamber have bottom surfaces formed by cooled bottom elements. 11. The device according to claim 8, wherein said bottom elements have connection locations with tongue and groove connections. 12. The device according to claim 9, wherein said bottom elements have connection locations with tongue and groove connections. 13. The device according to claim 10, wherein said bottom elements have connection locations with tongue and groove connections. 14. The device according to claim 8, wherein said bottom elements have connection locations with a stepped connection. 15. The device according to claim 9, wherein said bottom elements have connection locations with a stepped connection. 16. The device according to claim 10, wherein said bottom elements have connection locations with a stepped connection. 17. The device according to claim 8, including a flexible seal interposed between said bottom elements. 18. The device according to claim 9, including a flexible seal interposed between said bottom elements. 19. The device according to claim 10, including a flexible seal interposed between said bottom elements.
description
This application is a National Phase of PCT/EP2010/054778, filed Apr. 13, 2010, entitled, “PACKAGING DEVICE FOR STORING AND/OR WAREHOUSING RADIOACTIVE PRODUCTS”, and which claims priority of French Patent Application No. 09 52433, filed Apr. 14, 2009, the contents of which are incorporated herein by reference in their entirety. The present invention generally relates to the field of the transport and/or storage of a radioactive medium generating flammable gases and/or explosives via radiolysis, such as hydrogen. These may be radioactive media of various kinds, such as for example: a radioactive liquid medium; a powder of plutonium oxide (PuO2) or mixed uranium-plutonium oxide (UO2-PUO2), also called MOX powder, with a moisture content higher than 0.5% for example; technological waste comprising organic compounds and possibly water, this waste possibly being contaminated with uranium or plutonium imparting a radioactive nature to such materials; nuclear fuel rods that are not sealed, irradiated or fresh, possibly containing water; sections of nuclear fuel rods comprising resin to entrap the radioactive material. In manner known per se, said radioactive medium is usually placed in an inner storage space defined by a canister. When several canisters are filled and then sealed by plugs they are then arranged on a canister basket and inserted in a cavity defined by an overpack. The assembly obtained, called a package for storage and transport of radioactive materials may for example comprise ten canisters distributed within the canister basket. A liquid radioactive medium, which usually comprises plutonium, is liable to generate flammable gases and/or explosives such as hydrogen via radiolysis. This can be attributed to the fact that the radioactive medium, whether it is a liquid in which the radioactive material is in ionic form and/or in the form of solid particles dispersed in the liquid, forms an emitter of α-particles which, in particular, have the particularity of dissociating the hydrogenated molecules to release flammable gaseous compounds. In this respect, it is noted that the molecules decomposed by radiolysis may be part of the medium and/or of the constituent material of the canister. In either case, when their concentration exceeds a limit value called the <<flammability threshold>>, the flammable gases produced by radiolysis, in the presence of other gases such as air, form an explosive mixture. The flammability threshold varies depending on the type of flammable gas and depending on temperature and pressure conditions. In the particular case of hydrogen, the flammability threshold in air is in the region of 4%. This means that when the concentration of hydrogen in air exceeds this threshold, a source of heat or a spark would be sufficient to ignite the mixture or to produce a violent explosion within the inner storage space, this space effectively only being partly filled with the radioactive medium and completed with a gaseous headspace. Yet, various studies have shown that the concentration of flammable gases, such as hydrogen, produced by radiolysis in a canister comprising a plutonium-containing aqueous medium, may sometimes reach values of around 4% after a few days. However, canisters frequently remain sealed for much longer periods before being opened. There is therefore a real accident risk since a spark caused by impacts or friction may occur during transport within the inner space of the canister containing the gaseous headspace. In addition, this risk subsists during canister opening operations. To confront this problem, the volume of radioactive medium placed in the canister can be reduced. This allows the obtaining of a larger volume of gaseous headspace in which the flammability threshold of the flammable gases is reached at a later stage. Nonetheless, this solution does not prove to be satisfactory from an economic viewpoint, since it multiplies transport operations. Another solution could consist of maintaining the same volume of radioactive medium in the canister, but enlarging the inner storage space so as to increase the volume of gaseous headspace. However, this leads to manufacturing canisters of very large size, which makes the use thereof more difficult in particular during the loading phases of the radioactive medium into the canister, which are generally conducted in glove boxes. This type of drawback also arises with the other radioactive media mentioned above, if they are liable to generate flammable gases and/or explosives via radiolysis. At all events, it is always the water contained in the canister which is radiolysed, except when resin is used when it is this same resin which undergoes radiolysis. The objective of the invention is therefore to overcome the above-mentioned disadvantages at least in part, which relate to prior art solutions. For this purpose, the first subject of the invention is a packaging device for the transport and/or storage of a radioactive medium generating flammable gases and/or explosives via radiolysis, the said device comprising at least one canister intended to contain the radioactive medium, the said canister defining an inner storage space accessible via an opening through which the medium is filled, on which plug-forming means are mounted. According to the invention, the said device also comprises a chamber-forming structure, and means for placing in communication allowing a first fluid communication to be set up between the said inner storage space and the said chamber. Therefore, the flammable gases and/or explosives produced by radiolysis during storage and/or transport of the radioactive medium are able to pervade not only the non-filled part of the inner storage space of the canister, called the gaseous headspace, but also the volume of the chamber through the presence of the said first fluid communication. Since the gases generated by radiolysis are able to be diluted in a larger volume than the mere volume of the gaseous headspace alone, each canister on this account can contain a greater quantity of radioactive medium without the risk of reaching the flammability threshold of these gases. This increase in the filling level of the canisters involves major economic savings since, for a given quantity of medium, it reduces the number of transport operations required. In addition, the invention also allows the transport/storage time to be increased without the risk of reaching the flammability threshold of the gases generated by radiolysis, again on account of the fact that the gases are able to be diluted within a larger volume. Also, each canister may continue to be of restricted size, facilitating easier handling thereof, in particular for filling operations of the medium into the inner storage space which are usually conducted in a glove box. It is only after filling and placing the plugging means in position that the inner storage space and the chamber are placed in fluid communication. In this respect, it is noted that if provision is made so that each canister is preferably arranged outside the chamber, preferably being removably mounted on the structure, it is also possible to make provision so that each canister is housed inside the chamber with which its inner storage space communicates. In addition, for cases when the canisters are arranged outside the chamber, they may alternatively be positioned at a distance away from the chamber-forming structure, without being mechanically mounted thereupon. The invention applies to the packaging of liquid radioactive media, but also to the packaging of all other radioactive media liable to generate flammable gases and/or explosives via radiolysis. According to a first preferred embodiment of the present invention: the canister additionally comprises a first orifice opening into the inner storage space, the said chamber-forming structure comprises a second orifice opening into the said chamber, and the said first and second orifices form the two opposite ends of the said first fluid communication. This first embodiment corresponds to the case when the canister is designed to be arranged outside the chamber, preferably being removably mounted on the structure. The said first fluid communication may integrate any element between the first and second orifices, in particular controllable means allowing these first and second orifices alternately to be released/closed. In this respect, the said means for placing in communication preferably comprise a first member mobile between an open position in which it sets up the said first fluid communication, and a closed position in which it shuts the said second orifice, the said first mobile member being mounted on the said chamber-forming structure. This first mobile member may indifferently form an actuating member intended to set up the first fluid communication, or it may form a follower member of this actuating member. In both cases, the actuating member can be driven manually by an operator or it can be set in movement in automated manner in response to a signal activated by an operator. According to a second preferred embodiment of the present invention: the canister further comprises a third orifice opening into the inner storage space, the said chamber-forming structure comprises a fourth orifice opening into the said chamber, and the said means for placing in communication allow a second fluid communication to be set up between the said inner storage space and the said chamber, the said third and fourth orifices forming the two opposite ends of the said second fluid communication. With this second embodiment, largely similar to the first, the flammable gases and/or explosives produced by radiolysis during transport and/or storage of the radioactive medium are able to pervade the volume of the chamber by passing through both the said first fluid communication and the said second fluid communication. In addition, a number of fluid communications greater than two could be provided between the chamber and the inner storage space of the canister, without departing from the scope of the invention. According to a third preferred embodiment of the present invention: the canister further comprises a third orifice opening into the inner storage space, the said chamber-forming structure comprises a fourth orifice opening into the said chamber, the said means for placing in communication allow a second fluid communication to be set up between the said inner storage space and the said chamber, the said third and fourth orifices forming the two opposite ends of the said second fluid communication, and a fifth and a sixth orifice are provided in the chamber-forming structure, and communicate with each other via a connecting duct forming an integral part of the said means for placing in communication. This third preferred embodiment is specially adapted so that it is possible to achieve inerting of the different components of the packaging device. Preferably, the said means for placing in communication comprise a first mobile member and a second mobile member, each mounted on the said chamber-forming structure and able to be moved between an open position and a closed position, the said first mobile member being designed so that: in open position, firstly it places in communication the said first orifice with the said fifth orifice, and secondly it sets up the said second fluid communication by placing the said third orifice in communication with the said fourth orifice; in closed position, firstly it ensures the placing in communication of the fourth orifice with the said fifth orifice, and secondly it prohibits the communication of each of the fourth and fifth orifices with the outside of the said chamber, and in that the said second mobile member is designed so that: in open position, firstly it places the said sixth orifice in communication with the outside of the said chamber, and secondly it places the said second orifice in communication with the outside of the said chamber; in closed position, firstly it ensures the placing in communication of the sixth orifice with the said second orifice, and secondly it prohibits the communication of each of the second and sixth orifices with the outside of the said chamber. Therefore, by adopting adequate combining of the positions of the two mobile members, it is alternately possible to achieve inerting of the canister and the chamber, inerting of the chamber alone, to set up the first/second fluid communication, and to place the chamber in closed circuit. Here too the first mobile member may indifferently form an actuating member intended to set up the first fluid communication, or it may form a follower member of this actuating member. In both cases, this actuating member may be driven manually by an operator, or it can be set in movement in automated manner in response to a signal activated by an operator. In this respect, irrespective of the envisaged embodiment, the said canister preferably comprises an additional first mobile member, mobile between an open position in which it sets up the said first fluid communication, and a closed position in which it shuts the said first orifice, either one of the first mobile member and additional first mobile member being an actuating member and the other a follower member of the actuating member, so that the movement of the actuating member from its closed position to its open position leads the said follower member also to move from its closed position to its open position, and conversely. In other words, the first mobile member is dedicated to closing/releasing the second orifice opening into the chamber, whilst the additional first mobile member is dedicated to closing/releasing the first orifice opening into the inner storage space of the canister, either one of these members possibly forming an actuating member, preferably able to be manually actuated by an operator, and leading the other of these two members. Preferably, the said actuating member also forms a mechanical connection member of the said canister on the chamber-forming structure, this mechanical connecting function therefore being additional to the function of setting up/breaking the first fluid communication. Preferably, the actuating member is designed so that the movement thereof from its closed position to its open position, with the said canister bearing upon this first mobile member, ensures mechanical connection of the canister, and such that the movement from its open position to its closed position ensures the mechanical disconnection of this canister. As a result, a single action on this actuating member simultaneously allows the generation of effects on the mechanical connection and effects on the fluid communication. Preferably, the said actuating member forms a male or female bayonet mechanical connection. Preferably, the device comprises a plurality of canisters each associated with means for placing in communication allowing a first fluid communication between its inner space and the said chamber. On this account, several canisters share the same chamber, entailing optimisation of the device in terms of weight and volume. Preferably, in the third preferred embodiment, the means for placing in communication of all the canisters share the same second orifice, and the said second mobile member is designed so that: in open position, firstly it places in communication each of the sixth orifices with the outside of the said chamber, and secondly it places the said single second orifice in communication with the outside of the said chamber; and in closed position, firstly it ensures the placing in communication of each of the sixth orifices with the said single second orifice, and secondly it prohibits the communication of the single second orifice and each of the sixth orifices with the outside of the said chamber. As a result, the sole actuating of the second mobile member allows an effect to be had on all the canisters simultaneously, giving rise to simplification of the controls. The invention also relates to an assembly comprising the said packaging device in any of the above-described forms. In this assembly, each canister houses in its inner storage space a given volume of radioactive medium, defining a level forming a horizontal boundary with a gaseous headspace completing this inner storage space, the said means for placing in communication associated with the said canister having a first orifice opening into the said inner storage space and arranged such that, at all times, it is in communication with the gaseous headspace, irrespective of the spatial orientation of the said canister integrating the said given volume of medium. Here, the invention applies more particularly to liquid radioactive media, and more generally to any medium whose consistency allows a level to be defined forming the horizontal boundary with the gaseous headspace. In other words, irrespective of the spatial position of the canister, at least one part of the through end of the first orifice is not bathed in the medium so that it is possible at all times to allow the gases produced by radiolysis to escape in the direction of the chamber. Therefore, in the event of accidental conditions in which the device no longer lies in normal transport/storage position, namely vertically, the fluid communication between the gaseous headspace of the canister and the chamber is maintained. This avoids risks of sudden exceeding of the flammability threshold of the gases in the gaseous headspace of the canister. Preferably, this first orifice is provided at least in part with a duct projecting inwardly inside the said inner storage space. In addition, it preferably opens in the vicinity of a baric centre of the said inner storage space. A further subject of the invention is a package for the transport and/or storage of a radioactive medium, comprising an overpack forming a cavity inside which a said assembly such as above-described is housed. The invention also relates to a container for transporting and/or storing a radioactive medium, comprising an overpack forming a cavity inside which a packaging device such as described above is housed. This container differs from the package previously mentioned by the fact that the packaging device is empty i.e. it does not contain the radioactive medium. Finally, the invention also concerns a method for packaging a radioactive medium in a packaging device for the transport and/or storage of a radioactive medium in which: the radioactive medium is loaded into the inner storage space of the canister; the canister is sealed using plug-forming means, and the said first fluid communication is set up between the said inner storage space and the said chamber. Other advantages and characteristics of the invention will become apparent from the detailed, non-limiting description given below. First, with reference to FIG. 1, a package for transport and/or storage of a radioactive medium can be seen, according to a first preferred embodiment of the invention. This radioactive medium is preferably a liquid in which the radioactive material is in ionic form and/or in the form of solid particles dispersed in the liquid. The package 1 comprises a packaging device 2 for the transport and/or storage of the radioactive medium, this device also being the subject of the present invention. As is schematically illustrated in FIG. 1, the packaging device 2, optionally covered with a vinyl sleeve (not illustrated) is housed in a cask 4 closed by a lid 4a. The cask 4 is then itself housed in the cavity 5 of an overpack 6, closed by a lid 6a, to form the package 1. The packaging device 2 has the particularity of comprising a plurality of canisters 8 and a structure 10 forming a chamber 12. Each canister 8 defines an inner storage space in which the radioactive medium is placed, this spaced being closed by plug-forming means 14, via which the canister is mechanically and removably connected to the structure 10. In this respect, the structure 10 assumes a general cylindrical shape of axis 16 and of circular cross-section, which has cut-outs 18 distributed circumferentially to house the canisters 8. As can be seen in FIG. 1, each cut-out 18 which can be likened to an imprint, opens radially outwards and axially downwards, having slightly larger dimensions than the canister 8 which it receives. The canisters 8 arranged parallel and around the axis 16 may be inserted in their respective cut-outs via axial and/or radial movement. Therefore, five canisters for example can be installed on the structure 10 outside the chamber 12, in the cut-outs 18 provided in identical number. With reference now to FIG. 1a, one of the canisters 8 can be seen mounted removably on the structure 10 forming a chamber 12, by means of its plug-forming means 14. These means 14 shut off a filling opening 20 provided in the upper part of the inner storage space 22 of the canister 8, this opening 20 allowing the prior filling of the radioactive medium (not illustrated) in the space 22, conducted in a glove box. Here, the diameter of the opening 20 is substantially identical to the mean diameter of the canister, and is also of general cylindrical shape with circular cross-section. The canister may nevertheless assume any other shape such as a case or box, preferably in metal. The plug-forming means 14 comprise a first shutoff part 24 for example screwed onto the upper end of the side body 26 of the canister, a part through which a first through orifice 30a is provided which opens into the inner storage space 22. It is this part 24 which covers the opening 20 defined by the side body 26. The means 14 further comprise a second shutoff part 32 for example mounted in rotation on the first shutoff part 24, and whose essential function is to shut/release the first through orifice 30a. To do so, the part 32 also comprises a through passage 34 which can be aligned or off-centred from the first orifice 30a, in relation to the relative angular position between the two shutoff parts 24, 32. The structure 10, in the form of a wall delimiting the chamber 12, integrates a second through orifice 30b, which opens into the chamber 12. This second orifice 30b is provided in the part of the structure 10 which defines the upper end of the imprint 18, opposite the plug-forming means 14 of the canister. A first mobile member 36 is mounted outwardly on the structure 10 directly above the second orifice 30b, so that it is possible in particular to ensure the shutting/release of this orifice 30b. For this purpose, the mobile member 36 also comprises a through passage 38 able to aligned with or off-centred from the second orifice 30b, in relation to the relative angular position between the mobile member 36 and the structure 10. In the configuration illustrated in FIG. 1a, the canister 8 is not only mechanically mounted on the structure 10 in a manner described below, but a first fluid communication 40 is also set up between the space 22 and the chamber 12. This communication 40 is initiated by the first orifice 30a, is extended by the passages 34 and 38 lying in the continuity of each other, and then ends in the second orifice 30b. Therefore, any gas located in the space 22 is able to transit in sealed manner towards the chamber 12 via the first fluid communication 40 forming a channel, and conversely. This of particular importance since the flammable gases and/or explosives, produced by radiolysis during storage and/or transport, are able to move into the inner storage space 22, and also into the volume of the chamber 12. Here, the mobile member 36 also fulfils the function of actuating member, able to be driven by an operator, for example using a handle or lever 42. By causing this member 36 to pivot, the operator is effectively able to move it from its open position shown in FIG. 1a in which it sets up the first fluid communication 40, to a closed position shown in FIGS. 1b and 1b′, in which it shuts the second orifice 30b, which leads to breaking the communication 40. When the canister 8 is mounted on the structure 10, lying flat against the mobile actuating member 36, this member is coupled in rotation with the second shutoff part 32, for example by means of pins 44 arranged on the interface, carried by either one of the members 32, 36. Therefore, during the movement of the mobile actuating member 36 from its open position to its closed position, this member 36 drives with it and in rotation the shutoff part 32. This latter part 32 thus moves simultaneously from its open position shown in FIG. 1a in which it sets up the first fluid communication 40, to its closed position shown in FIG. 1b in which it shuts off the first orifice 30a, which also leads to breaking the communication 40. Owing to the driving in rotation to which it is subject, the shutoff part 32 forming an additional mobile member is termed a follower member. It is noted that sealing means (not illustrated) of sealing gasket type are preferably provided so that the closed position of the additional follower mobile member 32 ensures the sealed closing of the inner storage space 22, and so that the closed position of the mobile actuating member 36 ensures the sealed closing of the chamber 12. To change from the closed position of the members 32, 36 to the open position, the actuating member 36 is driven manually in reverse direction to the closing direction. In this respect, the design of the packaging device 2 is such that the actuating member 36 also forms a mechanical connection member of the canister 8 on the structure 10. Here, the actuating member 36 forms a male part of a bayonet mechanical connection, having for example two pins 46 of inverted-T cross-section projecting downwardly as shown in FIGS. 1a, 1b′ and 1c. The female part of the mechanical bayonet connection is then formed by the first shutoff part 24 of the plug-forming means 14 by means of grooves 48 open on the upper surface of this part, and each having a widened end 48a which can be seen in FIGS. 1b′ and 1c. Outside this widened end 48a, the shape of each groove 48 mates with the shape of its associated pin 48, namely with a cross-section of inverted T-shape opened upwardly. Therefore, to ensure the desired mechanical connection between the canister 8 and the structure 10, the canister is inserted in its cut-out 18 so that its first shutoff part 24 lies flat against the mobile actuating member 36, with the inverted heads of the Ts 46 housed in their respective widened ends 48a of the grooves 48. Then, when the member 36 is moved by the operator from its closed position to its open position, for example corresponding to a quarter turn, the inverted heads of the Ts 46 move along the grooves 48 in which they are retained by means of their narrowed openings relative to the respective ends 48a. In open position of the mobile member 36, illustrated in FIG. 1a, each inverted head 46 then lies in its groove 48 at the opposite end to the widened end 48a, entailing mechanical connection of the canister 8 on the structure 10. Next, when the canister 8 must be mechanically disconnected from the structure 10, the mobile member is again moved by the operator to its closed position illustrated in FIG. 1b′, again by performing a quarter turn, the effect of which is to return the inverted heads of the Ts 46 to their respective widened ends 48a. The canister 8, mechanically disconnected from the structure 10, then only needs to be displaced axially and downwardly so that it can be extracted from the packaging device 2. Evidently, this principle of mechanical connection/disconnection and breaking/setting-up of the first fluid communication is applied for each of the canisters equipping the packaging device. In this respect, the device can be used with only some of the cut-outs 18 respectively filled with canisters, the others remaining free, with the associated actuating member 36 placed in closed position so as sealingly to close the corresponding second orifice 30b. Alternatively, each cut-out 18 may house a canister, optionally covered with a vinyl sleeve, but with one or more of these canisters non-filled with radioactive medium. This allows an even further increase in the volume of the packaging device in which the flammable gases and/or explosives can be diluted, since the canisters communicate with each other via the chamber. According to one alternative of the first embodiment shown in FIG. 1d, it is the second shutoff part 32 belonging to the plug-forming means 14 which fulfils the function of actuating member able to be driven by the operator by means of its lever 42, and the first mobile member 36 mounted outwardly on the structure 10 fulfils the role of follower member of the actuating member 32. Nonetheless, the functioning is similar to the functioning described above, in particular with the actuating member 32 also forming a mechanical connection member of the canister 8 on the structure 10. The actuating member 32 here also forms a male part of a bayonet mechanical connection, having two pins 46 for example of T-shaped cross-section projecting upwardly as shown in FIG. 1d. The female part of the bayonet mechanical connection is then formed by the structure 10, by means of grooves 48 opened downwardly, and each having a widened end 48a, as described above. FIGS. 2a and 2b illustrate a packaging device 1 in the form of a second preferred embodiment of the present invention, similar to the first embodiment described above. In this respect, in the figures the parts carrying the same reference numbers designate identical or similar parts. Therefore, it can be seen that this second embodiment reproduces all the characteristics of the first preferred embodiment, to which others have been added so that it is possible to set up/break a second fluid communication between the inner storage space 22 of the canister and the chamber 12 defined by the structure 10. As can be seen in FIG. 2a, the second fluid communication 49 is initiated by a third orifice 30c opening into the inner storage space 22, is extended by passages 50 and 52 respectively provided on the second shutoff part 32 and the first mobile member 36, and ends in a fourth orifice 30d opening into the chamber 12. In general, the second fluid communication 49 allows doubling of the communication already provided, and is based on the same design. In addition, the setting up of the first and second communications 40, 49 is obtained simultaneously by simple actuation of the first mobile member 36, and similarly the breaking of these first and second communications 40, 49 is simultaneously obtained also by actuating the first mobile member 36. With reference to FIG. 3a, a packaging device 1 can be seen, in the form of a third preferred embodiment of the present invention. In this third embodiment, the second fluid communication 49, provided in the second embodiment, has been maintained. On the other hand, the first fluid communication 40 is modified as follows. It is still initiated by the first orifice 30a of the first shutoff part 24 of the plug-forming means, and is then extended by the through passages 34 and 38 which lie in succession. It is then continued by a fifth orifice 30e opening into the chamber, precisely corresponding to the second orifice 30b of the preceding embodiments. The first fluid communication 40 is extended by a connecting duct 56 passing through the chamber 12 and which is therefore connected to the fifth orifice 30e at one of its ends, and connected at the other of its ends to a sixth orifice 30f provided in the structure 10 forming a wall of the chamber. As can be seen in FIG. 3a, this sixth orifice 30f preferably lies on an upper part of the structure 10. Then, a second mobile member 60, taking up a closed position such as the one illustrated in this figure, allows the sixth orifice 30f to be placed in communication with a second adjacent orifice 30b provided in the structure, and opening into the chamber 12. The first fluid communication 40 therefore finishes with the second orifice 30b. Therefore, to obtain the two fluid communications 40, 49, the first mobile actuating member 36 must take up its open position described with reference to the preceding embodiments, whilst the second mobile member 60 must take up its closed position in which it ensures the placing in communication of the second and sixth orifices 30b, 30f, and on the other hand prohibits the communication of each of these orifices 30b, 30f with the outside of the chamber. To do so, the second mobile member 60 is mounted outwardly on the structure 10, directly above the orifices 30b, 30f, and comprises an inner U-shaped passage 62 connecting these two orifices 30b, 30f in sealed manner when it takes up its closed position. The configuration show in FIG. 3a is adopted during the transport/storage of the radioactive medium present in the canisters. Therefore, the flammable gases and/or explosives generated by radiolysis in the inner storage space of the canisters are able to pass through the two fluid communications 40, 49, in order to reach the chamber 12 in which they can be diluted. The second mobile member 60, mounted in rotation on the structure, can also be driven by an operator, for example by means of a handle or lever 66. By causing this member 60 to pivot, the operator is able to move it from its closed position shown in FIG. 3a in which it sets up the first fluid communication 40, to an open position shown in FIG. 3b in which it permits inerting of the canister 8 and of the chamber 12. In this open position, obtained for example by performing a quarter turn from the closed position, the second mobile member 60 allows the aligning of the two orifices 30b, 30f with two respective through passages 68, 70 provided therein, independently of the inner U-shaped passage 62 which becomes inactive, and therefore allowing each of the second and sixth orifices to be placed in communication with the outside of the chamber. Therefore, in this configuration in FIG. 3b, it is possible to inject an inerting gas via the through passage 70 of the second mobile member 60, this gas then being led to inside the storage space 22 by passing through the sixth orifice 30f, the connecting duct 56, the fifth orifice 30e, the passages 38, 34, and the first orifice 30a. The inerting gas can then extract itself from the inner storage space via the second fluid communication to enter into the chamber 12, and extract itself therefrom via the second orifice 30b and the passage 68, and can finally be collected outside the chamber. In this third preferred embodiment, the open position of the first mobile member 36 is identical to the position in the second preferred embodiment. On the other hand, when this mobile member 36 is moved by the operator to its closed position such as shown in FIGS. 3c and 3c′, it does not come to block the two orifices 30d, 30e which it covers, but it ensures the placing in communication of these two orifices via an inner U-shaped passage 72 which it defines. It also prohibits the communication of each of these orifices 30d, 30e with the outside of the chamber, so that the two fluid communications are broken at this first mobile actuating member 36. The chamber is therefore closed in sealed manner at the orifices 30d, 30e by the first mobile actuating member 36, which allows inerting of this chamber alone. It is effectively possible to inject an inerting gas via the through passage 70 of the second mobile member 60, this gas then passing through the sixth orifice 30f, the connecting duct 56, the fifth orifice 30e, the inner U-shaped passage 72 of the member 36, and the fourth orifice 30d from which it can enter the chamber. The inerting gas can then extract itself therefrom via the second orifice 30b and the passage 68, and can finally be collected outside the chamber. Evidently, in this configuration of FIGS. 3c and 3c′ in which the first mobile member 36 is in closed position, the canister 8 is mechanically disconnected from the structure 10, and can therefore be removed from the packaging device, as is shown in FIG. 3d. In this figure, the second mobile member 60 has been returned to closed position, so that the chamber 12 becomes sealingly closed at the orifices 30b, 30f, 30d, 30e of the structure 10. It is possible to make provision for a second mobile member 60 to be allocated to each canister 8 of the packaging device. Nevertheless, for ease of handling and lesser volume, it is alternatively possible to make provision so that all the canisters 8 are associated with one same second mobile member 60, as is illustrated in FIGS. 3e and 3f. Here, each canister is linked via its associated connecting duct 56 with a sixth orifice 30f which is particular thereto. On the other hand, only one single second orifice 30b is provided associated with all the canisters, the sixth orifices 30f being arranged for example around this second orifice 30b. In addition, the structure 10 integrates a fixed wall extension 80 placing in permanent communication all the sixth orifices 30f via an annular groove 82 into which the open. In open position of the second mobile member 60, shown in FIG. 3e, the sixth orifices 30f are in communication with the outside of the chamber by means of the aligning of the passage 70 of the member 60 with an outlet orifice 84 provided in the extension 80 and opening into the annular groove 82 forming a collector. Also, the passage 68 of the member 60 places the single second orifice 30b in communication with the outside of the chamber, via the aligning between the passage 68 and the extension 86 of the orifice 30b provided in the wall extension 80. This configuration is indeed the configuration allowing inerting of the canisters and of the chamber. On the other hand, in closed position of the second mobile member 60, shown in FIG. 3f, the inner U-shaped passage 62 ensures the placing in communication of each of the sixth orifices 30f with the single second orifice 30b, by connecting in sealed manner the extension 86 of orifice 30b with the outlet orifice 84 opening into the annular groove 82 associated with the sixth orifices 30f. Also, the mobile member 60 prohibits the communication of the single second orifice 30b and each of the sixth orifices 30f with the outside of the chamber. Irrespective of the envisaged preferred embodiment, the first orifice 30a can be formed differently, in the manner shown in FIGS. 4a and 4b. In FIG. 4a, part of an assembly 2a is shown comprising the packaging device 2 described above, each canister 8 housing in its inner storage space 22 a given volume of radioactive medium 90. The assembly 2a is illustrated in normal vertical position in which the axes of the canisters and of the packaging device 2 are substantially orthogonal to the supporting surface 92 of the latter. The given volume of radioactive medium 90 defines a level forming a horizontal boundary 94 with a gaseous headspace 96 completing the inner storage space 22. Here, the first orifice 30a is initiated in the first shutoff part 24, then extended in a duct 98 projecting from this same part 24 inside the inner storage space 22, in the vicinity of a baric centre of the latter. More precisely, the first orifice 30a is arranged so that it is always in communication with the gaseous headspace 96, irrespective of the spatial orientation of the canister 8 integrating the given volume of medium 90. Therefore, irrespective of the position of the canister 8, at least part of the open end of the first orifice 30a is not bathed in the medium 90, so that it is possible at all times to allow the gases produced by radiolysis to escape in the direction of the chamber. As a result, in the event of accidental conditions in which the device is no longer in normal position for transport/storage, such as shown in FIG. 4b as an example, the fluid communication between the gaseous headspace 96 of the canister 8 and the chamber remains maintained, thereby avoiding risks of sudden exceeding of the flammability threshold of the gases contained in the gaseous headspace 96 of the canister. By way of indication, the maximum ratio between the given volume of medium and the total volume of storage space in which it is contained may be of the order of 0.5. Also, the ratio between the sum of the volumes of the storage spaces of all the canisters of the packaging device, and the volume of the chamber may be between 0.4 and 0.6. Evidently, various modifications may be made by persons skilled in the art to the invention just described in non-limiting examples.
abstract
Conventionally, defect data outputted by an inspection system comprised only characteristic quantitative data, such as coordinate data, area, and projected length, and only the coordinate data for moving to a defect location could be utilized effectively. By contrast, by using image data in addition to characteristic quantitative data as the defect data for an inspection system, the retrieval of image data via an outside results confirmation system is made possible. Further, for defect data of a plurality of substrates, it is possible to display a defect image during inspection by the fact that similar defects are retrieved via images and retrieval results are displayed as trends, which makes it possible to display a defect image during inspection by searching similar defects on images and displaying them as a trend, and designating a substrate on the trend, thereby displaying the defect map thereof and designating a defect on the defect map.
description
This patent application claims the priority of U.S. application Ser. No. 10/896,596, filed Jul. 22, 2004 now U.S. Pat. No. 7,053,383, further claiming priority from U.S. provisional patent application No. 60/519,046, filed Nov. 11, 2003. application Ser. No. 10/896,596 is incorporated into this divisional application by reference. The use of focused ion-beam (FIB) microscopes has become common for the preparation of specimens for later analysis in the transmission electron microscope (TEM). The structural artifacts, and even some structural layers, in the device region and interconnect stack of current integrated-circuit devices can be too small to be reliably detected with the secondary electron imaging in a Scanning Electron Microscope (SEM), or FIB, which offers a bulk surface imaging resolution of approximately 3 nm. In comparison, TEM inspection offers much finer image resolution (<0.1 nm), but requires electron-transparent (<100 nm thick) sections of the sample mounted on 3 mm diameter grid disks. Techniques were later developed for cutting out and removing specimens for examination that required little or no preliminary mechanical preparation of the initial semiconductor die sample before preparation in the FIB. These lift-out techniques include an “ex-situ” method that is performed outside the FIB chamber, and “in-situ” methods performed inside the FIB. The process of in-situ lift-out can be simplified into three successive steps. The first is the excision of the sample using focused ion-beam milling and extraction of the sample from its trench. The second is the “holder-attach” step, during which the sample is translated on the probe-tip point to the TEM sample holder. Then it is attached to the TEM sample holder (typically with ion beam-induced metal deposition) and later detached from the probe-tip point. The third and final step is the thinning of the sample into an electron-transparent thin section using focused ion beam milling. A significant portion of the total time involved in completing a TEM sample with in-situ lift-out is spent during the holder-attach step. The relative amount of time involved depends on the amount of time required to mechanically isolate the lift-out sample from the initial bulk sample (ion beam milling rate), but will vary between 30% to 60% of the total time for TEM sample preparation. In order to eliminate the holder-attach step, it would be desirable to directly join the probe-tip point with the sample attached to the material that will form the TEM sample holder. The preferred embodiment includes a novel method and apparatus for adjoining a probe tip with attached sample to a TEM sample holder that replaces the holder-attach step of the conventional method. In the preferred embodiment, this mechanical process is performed outside the vacuum chamber, although it could be performed inside the FIB chamber as well. In the preferred embodiment, the first step of the in-situ lift-out procedure (the excision of the sample) is completed in the FIB, and the probe-tip point with the sample attached is then removed from the FIB chamber. This removal can be accomplished by a number of means, including but not limited to, removal of the probe tip and attached sample through the sample door of a FIB equipped with a door, translation of the probe tip and attached sample through a vacuum airlock on the nano-manipulator device, or the translation of the probe-tip point and attached sample in a cassette that passes through a vacuum airlock on the FIB chamber. All but the first means listed do not require that the FIB vacuum chamber be vented to atmosphere, which offers cycle time reduction and long-term equipment reliability advantages. In the preferred embodiment, the probe-tip point (160) of a nano-manipulator probe (150) is attached to a TEM coupon (100) by a combined mechanical forming and cutting operation. As shown in FIG. 1, the TEM coupon (100) is a sheet of material of approximately the same thickness as the final sample holder (170). The TEM coupon (100) contains the shape of the final sample holder (170) (the “TEM pre-form”), although this pre-form has not yet been completely mechanically isolated. Most of the final shape of the typical 3 mm TEM sample holder (170) can be created in the sheet in advance, as consumable coupons (100). The pre-form is still attached to the coupon (100) with tabs, lands, or other sections of the sample holder material (120). The pre-form has a ring (180) that will be a part of the final TEM sample holder (170). The ring (180) is thus defined by a C-shaped hole (135) in the coupon (100). The mouth of the C-shaped hole (135) is the attaching land (120). Other enclosing shapes, such as rectangles, may also be used. The holder material is preferably soft copper, but may also be molybdenum, aluminum, gold, silver, nickel or beryllium, if appropriate to the application. The coupon (100) orients the sample holder form (170) and holds it in place during the mechanical steps of the isolation process, described below. FIG. 2 shows a nano-manipulator probe tip (150) placed across the coupon (100). The probe (150) has a probe-tip point (160) that holds a sample (140) for analysis. Typically, the probe-tip point (160) is a fine tungsten needle. The TEM coupon (100) may also be fabricated from a material harder than copper, such as molybdenum or it may have a surface structure that facilitates the mechanical embedding of the probe-tip point (160) in the coupon material. A good example is a surface structure with corrugations (175) that have a period approximately the same or less than the probe-tip point (160) diameter. FIGS. 7 and 17 show cross-sections of a corrugated structure. In FIG. 7, the corrugation period is about half the diameter of the probe-tip point (160). The corrugations (175) may be periodic, such as continuous rows or ridges roughly aligned in the direction of the probe-tip point, rows of individual posts, or non-periodic free-form elevations. These structures can be easily deformed to lock the probe-tip point (160) in place. The remaining tabs, or lands (120) of the coupon material, which connect the partially formed TEM sample holder (170) to the coupon (100), are severed during the combined mechanical forming and cutting operation, described below. The TEM sample holder (170) is preferably produced in the shape of a ring (180) with a circumferential gap (190) to enable later FIB ion milling of either top or bottom surface of the sample (140) in the plane of the TEM sample holder (170), thus producing an electron-transparent thin section that would be approximately parallel to the plane of the TEM sample holder (170). Other shapes that allow for a circumferential gap (190) in the ring (180) of the formed TEM sample holder (170) may also be used. FIGS. 19 and 20, for example, shows a TEM sample holder (170) having two gaps (190), where the shape of the TEM sample holder (170) is rectangular. FIGS. 4, 5, and 19 show TEM sample holders (170) with probe-tip points (160) mounted for top-side ion milling of samples (140). FIGS. 16 and 20 show TEM sample holders (170) with probe-tip points (160) mounted for back-side milling of samples (140). The probe-tip point (160) with the sample (140) attached can be joined to the material that will form the TEM sample holder (170), so as to preserve the attachment between the sample (140) and the probe-tip point (160), and prevent the probe-tip point (160) and sample (140) from separating from the TEM sample holder (170) during transportation, storage or inspection in the TEM. The assembly should not interfere with the normal operation of the TEM, or other intended analytical instrument, and should survive well in the internal environment of the TEM, or other intended analytical instrument. FIGS. 6–9 and 18 show methods for joining the probe-tip point (160) to the TEM coupon (100). FIG. 6 is a cut-away view of mechanical deformation of the material of the coupon (100) or probe-tip point (160), or both. FIG. 7 depicts electrical or thermal bonding (320), such as welding, of the probe-tip point (160) to the coupon (100). FIG. 7 also shows corrugations (175) in the TEM sample holder material; in this case the corrugation period is about the same as the diameter of the probe-tip point (160). FIG. 8 shows bonding the probe-tip point (160) to the TEM sample holder (170) material with a suitable glue or adhesive (330). FIG. 9 shows bonding the probe-tip point (160) to the TEM sample holder (170) material with a CVD or evaporated material (340). Once the TEM sample holder (170) with one or more probe-tip points (160) with samples (140) attached to it has been created, it can be returned to the FIB for the final thinning operation, during which the desired portion of the lift-out sample (140) or samples is thinned to electron transparency (typically 50–250 nm). This final thinning can be performed in an off-line FIB to maximize throughput of the in-line FIB and to take advantage of the efficiency, expertise and dedicated resources of the off-line FIB lab that can be located outside the clean room. However, if the apparatus for attaching a sample to a TEM sample holder is located inside the FIB, the final thinning operation can be performed immediately. In an alternative method, the final thinning step can be performed in the FIB after the lift-out step and before the probe-tip point (160) with the sample (140) attached is removed from the FIB for attachment to the TEM sample holder outside the FIB. In this method, it is not required to return the mechanically formed TEM sample holder (170) with the sample (140) attached, to the FIB for final thinning. However, the final thinning process requires the additional time in the initial FIB. In this method, the probe-tip point (160) with the sample (140) attached is translated to a suitable location in the FIB, and the ion beam in the FIB is then used to perform the final thinning step. Then, the probe-tip point (160) with the thinned sample (140) attached is removed from the FIB and attached to the TEM sample holder (170) using the mechanical forming and cutting process described above. It is recommended, but not required, to stabilize the probe-tip point (160) mechanically to reduce any vibration in the probe-tip point (160) relative to the FIB chamber to an acceptable level, or to reduce any mechanical drift of the probe-tip point (160) relative to the FIB chamber. The probe-tip point (160) with the sample (140) attached can be mechanically stabilized by making mechanical contact between the probe-tip point (160) and a suitably stable surface in the FIB, or between the sample (140) and a suitable surface or object in the FIB. For example, the edge or a corner of a mechanical structure attached to the FIB stage, and the probe-tip point (160) can be brought together into mechanical contact. Or, the bottom edge of the sample (140) can be brought into mechanical contact with the surface of the sample stage or any stable mechanical object attached to the sample stage (e.g., the surface of the wafer). The stable object can be rigid, or can be deformable by plastic or elastic deformation, to accept the shape of the probe-tip point (160) or lift-out sample (140) and further dampen any relative mechanical vibration in the probe-tip point (160). In another alternative method, the final thinning step can be performed in the FIB after the lift-out step and before the probe-tip point (160) with sample (140) attached is joined to the TEM sample holder inside the FIB vacuum chamber. In this method, the probe-tip point (160) with the lift-out sample (140) attached is translated to a suitable location in the FIB, and the ion beam in the FIB is then used to perform the final thinning step. Then, the probe-tip point (160) with the thinned sample (140) can be attached to the TEM sample holder (170) inside the FIB vacuum chamber using the mechanical forming and cutting process described above. In this method, the apparatus for attaching a sample to a TEM sample holder is located inside the same FIB vacuum chamber. Hence, the in-situ lift-out, the attachment of a probe-tip point with a sample attached to it to a TEM sample holder, and the final thinning operation can be performed as steps of one process inside the FIB vacuum chamber. FIGS. 1 and 2 show a TEM coupon (100), as described above. The land (120) that connects the sample holder portion (170) of the coupon (100) to the rest of the coupon (100) will be severed to form the TEM sample holder (170) during the cutting and forming operation. The thickness of the coupon (100) is determined by the thickness required to embed and mechanically lock the probe-tip point (160) in the coupon (100) material and still provide for sufficient mechanical strength of the final sample holder (170) to prevent unwanted folding or separation of the TEM sample holder (170) at the probe-tip point (160) embedding location. For example, for the case of a 125 μm (0.005″) diameter tungsten probe-tip point (160), a thickness of 250–500 μm (0.010–0.020″) of copper is appropriate for the coupon (100). Both the sample holder (170) material and the surrounding coupon (100) material are slightly recessed in a probe-tip point cut-off zone (130) to allow space for the cutting surfaces to cut the probe-tip point (160) without leaving any portion of the severed probe-tip point (160) extending beyond the 3 mm outside diameter of a standard TEM sample holder (170) or extending beyond the outside border of a standard TEM sample holder (170) of any other suitable shape. Alignment holes (110) are included to permit alignment of the coupon (100) in the mechanical apparatus that performs the cutting and forming operation. In the case of a C-shaped TEM sample holder (170), the probe-tip point clearance slot (125) (FIG. 3) is a straight slot through the coupon (100), radiating outward from the center of the TEM sample holder (170) beyond the outer diameter of the TEM sample holder (170), that provides clearance for the probe-tip point (160) to permit alignment of the probe-tip point (160) along the surface of the TEM sample holder (170) before the cutting and forming operation. During the cutting and forming operation, a TEM sample holder (170) is cut from the coupon (100) (FIG. 4). As discussed above, the TEM sample holder (170) can be produced in a C-shape form, or other shape having a circumferential gap (190) to enable later FIB ion milling of the lift-out sample in the plane of the TEM sample holder (170) to produce an electron-transparent thin section approximately parallel to the TEM sample holder (170) plane, or in any other shape allowing the same process. For later milling of the top surface of a sample (140), the gap (190) can be cut from the form at the mouth of the C-shaped hole (135), defined by the land (120) connecting the form to the coupon (100). For later milling of the bottom surface of a sample (140), the gap can be cut from the form at a location approximately opposite the mouth of the hole (135). During the cutting and forming operation, the harder tungsten probe-tip point (160) is pressed into the softer material of the TEM sample holder (170), and the portion of the probe-tip point (160), extending outside the outer diameter of the 3 mm TEM sample holder shape (170), is cut off. The TEM sample holder (170) material is induced to plastically deform so that the copper material mechanically surrounds the probe-tip point (160) to lock it in place (FIG. 6). FIGS. 10–13 show a typical process for the cutting and forming operation. The operator places the TEM coupon (100) on the outer die (280) (this operation can be performed by hand, if this operation is performed outside the FIB, or automatically, if it is performed inside the same FIB vacuum chamber) and aligns every probe-tip point (160) in such a way, that every probe-tip point (160) is aligned with the probe-tip point clearance slot (125), and the sample (140), attached to a probe-tip point (160), is oriented parallel to the plane of the TEM sample holder (170). The inner die (290) and the outer die (280) both support the sample holder (170) and the probe-tip point (160). Once every probe tip is secured, the operator positions by hand or automatically the probe tip or probe tips, TEM coupon (100), and all supporting hardware under the main mounting block (220), and actuates a pneumatic switch (310), causing the main mounting block (220) and attached hardware to travel downward under the action of an actuator (300) located above the main mounting block (220). The actuator (300) is preferably pneumatic, but hydraulic or electrical actuators may also be used. There is also the exhaust line (305) for pneumatic actuators. FIGS. 11–13 show the forming and cutting operation as the main mounting block (220) moves downwards. The former rod (250) contacts every probe-tip point (160) and presses it down into the TEM sample holder (170) material. This continues until the TEM sample holder and the probe-tip point interface build up enough resistance to overcome the force of the hold down spring (230). The hold down spring (230) force is set with a spring adjustment screw (240) to the desired force to ensure that every probe-tip point (160) is pressed fully into the TEM coupon (100). The former rod (250) includes one or more teeth (260) that flow the holder material around the probe-tip point (160) encasing it as it is pressed down (FIG. 14). Once resistance to the spring (230) is overcome and the former rod (250) movement is stopped, the shear punch (270) continues its travel downward, using the support of both the inner die (290) and the outer die (280) to shear every probe-tip point (160) at the desired length, sever the tab (120) connecting the TEM sample holder (170) from the rest of the TEM coupon (100) and create the C-shaped opening, or the opening of any other suitable shape, in the holder (170). The operator then releases a pneumatic switch to return the main mounting block (220) and attached hardware to its original position, leaving the TEM sample holder (170) separated from the TEM coupon (100) and containing one or more probe-tip points (160) with the samples (140) attached. Since those skilled in the art can modify the specific embodiments described above, I intend that the claims be interpreted to cover such modifications and equivalents.
041918886
description
DETAILED DESCRIPTION OF THE INNVENTION Referring first to FIG. 1 which shows the prior art three-electrode system, a neutral highly ionized plasma 10 upstream of the electrodes is contained within a discharge chamber (not shown) and held at +V.sub.1 volts, usually several hundred to several thousand volts. The three electrodes downstream of the plasma source each have apertures in axial alignment and include in the following order a screen grid 11, an accel grid 12 and a decel grid 13. The screen grid 11 is also held at +V.sub.1 volts, but the accel grid 12 is held at -V.sub.2 volts, also several hundred to several thousand volts. Thus, an electric field is set up by the screen grid 11 and the accel grid 12 which extracts an ion beam 14 from the plasma boundary 15 and focuses it through the accel grid hole. The third or decel grid 13 is held at zero volts or ground potential and minimizes the beam spread or divergence after the ion beam passes through the accel grid hole. The accel grid has a relatively small aperture in the range of less than 50% to approximately 10% the size of the aperture of the screen grid. As previously mentioned, the small aperture size of the accel grid minimizes the emission of the un-ionized atoms from the discharge plasma. Now with reference to FIG. 2 wherein the same reference numerals as used in FIG. 1 designate identical parts, the present invention utilizes the same three-electrode system as before except that the accel grid 16 has a relatively large drilled accel grid hole, on the order of 2.083 mm diameter in a preferred working embodiment. Thin foils 17 and 18 are secured to both faces of the accel grid 16 so as to cover the large, drilled hole. In a preferred embodiment, the foil used was 0.0127 mm thick tantalum spot welded on a 0.79 mm thick accel electrode. The ion beam 14 etches only through the thin foils 17 and 18 so that the etching time is much shorter than for a solid plate. For the example described, the holes were etched in approximately 11/2 hours with a total extraction voltage of one thousand volts (V.sub.1 =+500 volts, V.sub.2 =-500 volts) at a 2 mA/cm.sup.2 ion current density (argon ions). It will, of course, be appreciated that the foregoing example represents but one combination of extraction voltage and current density. A larger aperture will be generated by lower voltage and/or higher current density, and a smaller aperture generated by a higher voltage and/or lower current density. As can be clearly seen in FIG. 2, with the accel grid sputter site 19 being the doughnut-shaped volume defined by the interior surfaces of the foils 17 and 18 and the barrel of the drilled hole, the foils 17 and 18 act as a sputter shield, thereby preventing much of the emission of sputtered grid accel material that would normally occur with the prior art three-electrode system shown in FIG. 1. If the electrode system shown in FIG. 2 is disassembled and reassembled, the run-in time before direct accel impingement disappears is much less than that for the solid plate SHAG electrode 12 shown in FIG. 1 because only the foils 17 and 18 have to be etched. A simple modification of the inventon shown in FIG. 2 is to fabricate the accel grid with only the foil 17 on the downstream face. This modification has little effect on the ion optics and shortens even further the milling time required to generate the accel grid aperture. An alternative fabrication technique employing plating and etching processes can be used to make the accel grid. Specifically, a thin layer of nickel is first plated over a solid copper accel electrode. The thin nickel plate is ion beam etched to provide the small aperture on the upstream side of the accel grid. Using the ion beam-etched nickel as a mask, the copper is chemically etched to form the interior volume of the grid and expose the nickel on the downstream surface of the accel grid. The nickel plate is then etched by the ion beam to form the downstream small aperture. The nickel plate forms the foil attached to the faces of the accel grid, and the copper forms the body of the grid with the large hole apertures.
abstract
Provided is a radiation-shielding glass, including a glass composition in % by mass of 10 to 35% SiO2, 55 to 80% PbO, 0 to 10% B2O3, 0 to 10% Al2O3, 0 to 10% SrO, 0 to 10% BaO, 0 to 10% Na2O, and 0 to 10% K2O, in which the radiation-shielding glass has a total light transmission at a wavelength of 400 nm at a thickness of 10 mm of 50% or higher. Also provided is a radiation-shielding glass which has the similar glass composition and can be used for a gamma-ray shielding glass for a PET examination.
054901855
abstract
A refueling system for a nuclear power plant utilizing a data network to provide for automatic control and enhanced monitoring of the entire fuel transfer process. The refueling system of this invention provides integrated control of the refueling machine within the containment building, the spent fuel handling machine within the fuel storage building, and the fuel transfer system between the buildings. The system provides supervisory control of the overall system operation via a remote control station, while at the same time, having the capability for local on-line control of each element of the system in the event of network failure. The system may automatically identify individual fuel assemblies with an optical scanner. An in-mast sipper connected to the network automatically tests for leaking fuel assemblies. A revised core load pattern and a revised sequence plan can be calculated by the system in the event of the discovery of a leaking fuel assembly. The system may also include a fuel loading guide to facilitate the automatic loading of bowed fuel assemblies. Human interface with the system may be provided at a plurality of locations, including for example, a refueling control station and a remote status panel. The reactivity of the reactor core may be monitored by the system during core loading, and corrective action taken automatically if a predetermined setpoint is exceeded. Core spacing verification may also be performed automatically.
summary
044774109
abstract
The annular space (19) delimited by the main vessel (3) and an internal shell (16) is in communication with the zone (15) of the reactor vessel, in which the cold primary liquid is located. The annular space (20) delimited by the shell (16) and by an internal shell (17) is in communication with the lower part of the core (7) via tubes (31). Thus, the cold primary liquid is injected into the space (20), where it circulates from bottom to top, and flows into the space (19), where it circulates from top to bottom while at the same time cooling the main vessel (3).. The invention applies, in particular, to fast fission nuclear reactors cooled by liquid sodium.
048636711
abstract
A plasma confinement system comprising a toroidal vacuum chamber, a toroidal coil which generates a magnetic field in a toroidal direction within the vacuum chamber, current transformer coils which are wound in the toroidal direction, equilibrium magnetic field coils which are wound in the toroidal direction in order to control a plasma, alternating current coils which are wound mainly in the toroidal direction and through which alternating currents are caused to flow for enabling forming and rotating of a deformed magnetic surface and for causing rotation of the plasma in a poloidal direction, and power sources which cause currents to flow through the various coils.
052788830
abstract
A low pressure drop spacer for positioning and retaining the fuel rods of a nuclear fuel assembly in which a plurality of upper and lower spring forks which extend through apertures in the side wall of the spacer into the assembly and through apertures in grid members which divide the assembly. The intersection and superposition of the spring forks, side wall and grid members form fuel rod passageways through which the fuel rods extend.
summary
abstract
A specimen enclosure assembly (100) for use in an electron microscope and including a rigid specimen enclosure dish (102) having an aperture (122) and defining an enclosed specimen placement volume (125), an electron beam permeable, fluid impermeable, cover (114) sealing the specimen placement volume (125) at the aperture (122) from a volume outside the enclosure and a pressure controller communicating with the enclosed specimen placement volume (125) and being operative to maintain the enclosed specimen placement volume (125) at a pressure, which exceeds a vapor pressure of a liquid sample (123) in the specimen placement volume (125) and is greater than a pressure of a volume outside the enclosure, whereby a pressure differential across the cover (114) does not exceed a threshold level at which rupture of the cover (114) would occur.
abstract
The nuclear fuel assembly means a group of nuclear fuel rods disposed in a substantially regular array together with a support skeleton (5), the assembly having: two nozzles; guide tubes interconnecting the nozzles; and spacer grids secured to the guide tubes for holding the rods. The assembly includes at least one support skeleton reinforcing device disposed between two successive spacer grids and secured to guide tubes. The reinforcing device is disposed inside the group of rods and presents a transverse extent that is smaller than the transverse extent of the array of nuclear fuel rods.
summary
048719137
claims
1. A signal processing method in autoradiography for determining base sequence of DNA or DNA fragment, employing at least four groups of base-specific synthetic products which are complementary to the DNA or DNA fragment and labeled with a radioactive element, said at least four groups comprising: (1) base-specific synthetic products including at least guanine-specific synthetic products; (2) base-specific synthetic products including at least adenine-specific synthetic products; (3) base-specific synthetic products including at least thymine-specific synthetic products; and (4) base-specific synthetic products including at least cytosine-specific synthetic products; and being resolved one-dimensionally in parallel relation to each other to form resolved rows on a support medium, which comprises a process including: (1) determining on each of the resolved rows a scanning line for signal processing; (2) detecting on each of the resolved rows sampling points on said scanning line; and (3) comparing and identifying the positions of said sampling points on the scanning lines among the resolved rows to obtain locational information on guanine, adenine, thymine and cytosine; said process being applied to digital signals corresponding to an autoradiograph having the locational information on the radioactively labeled synthetic products, said digital signals being obtained by causing a stimulable phosphor sheet to absorb radiation energy emitted by the radioactively labeled synthetic products in the support medium to record the autoradiograph of the radioactively labeled synthetic products on the phosphor sheet, irradiating said phosphor sheet with an electromagnetic wave to release at least a portion of the radiation energy stored in the phosphor sheet as stimulated emission, and detecting the stimulated emission photoelectrically. (1) guanine-specific synthetic products; (2) adenine-specific synthetic products; (3) thymine-specific synthetic products; and (4) cytosine-specific synthetic products. (1) base-specific synthetic products including at least guanine-specific synthetic products; (2) base-specific synthetic products including at least adenine-specific synthetic products; (3) base-specific synthetic products including at least thymine-specific synthetic products; and (4) base-specific synthetic products including at least cytosine-specific synthetic products; and being resolved one-dimensionally in parallel relation to each other to form resolved rows on a support medium, which comprises: (I) processes including: (1) determining on each of the resolved rows a scanning line for signal processing; (2) detecting on each of the resolved rows sampling points on said scanning line; and (3) comparing and identifying the positions of said sampling points on the scanning lines among the resolved rows to obtain locational information on guanine, adenine, thymine and cytosine; said process being applied to digital signals corresponding to an autoradiograph having the locational information on the radioactively labeled synthetic products, said digital signals being obtained by causing a stimulable phosphor sheet to absorb radiation energy emitted by the radioactively labeled synthetic products in the support medium to record the autoradiograph of the radioactively labeled synthetic products on the phosphor sheet, irradiating said phosphor sheet with an electromagnetic wave to release at least a portion of the radiation energy stored in the phosphor sheet as stimulated emission, and detecting the stimulated emission photoelectrically, and (II) a process for reproducing the visible image from the electric signals or digital signals corresponding to said autoradiograph obtained by detecting the stimulated emission photoelectrically. (1) guanine-specific synthetic products; (2) adenine-specific synthetic products; (3) thymine-specific synthetic products; and (4) cytosine-specific synthetic products. (1) base-specific synthetic products including at least guanine-specific synthetic products; (2) base-specific synthetic products including at least adenine-specific synthetic products; (3) base-specific synthetic products including at least thymine-specific synthetic products; and (4) base-specific synthetic products including at least cytosine-specific synthetic products; and being resolved one-dimensionally in parallel relation to each other to form resolved rows on a support medium, which comprises a process including: (1) determining on each of the resolved rows a scanning line for signal processing; (2) detecting on each of the resolved rows sampling points on said scanning line; and (3) comparing and identifying the positions of said sampling points on the scanning lines among the resolved rows to obtain locational information on guanine, adenine, thymine and cytosine; said process being applied to digital signals corresponding to an autoradiograph having the locational information on the radioactively labeled synthetic products, said digital signals being obtained by exposing a radiosensitive material to radiation emitted by the radioactively labeled synthetic products in the support medium to record the autoradiograph of the radioactively labeled synthetic products on the radiosensitive material, and reading out the autoradiograph photoelectrically. (1) guanine-specific synthetic products; (2) adenine-specific synthetic products; (3) thymine-specific synthetic products; and (4) cytosine-specific synthetic products. 2. The signal processing method in autoradiography as claimed in claim 1, in which said base-specific synthetic products complementary to the DNA or DNA fragment include at least four groups consisting of: 3. The signal processing method in autoradiography as claimed in claim 1, in which all points on said scanning lines at which the signal exhibits a maximum level are assigned to sampling points in the step (2). 4. A signal processing method in autoradiography for determining base sequence of DNA or DNA fragment, employing at least four groups of base-specific synthetic products which are complementary to the DNA or DNA fragment and labeled with a radioactive element, said at least four groups comprising: 5. The signal processing method in autoradiography as claimed in claim 4, in which said base-specific synthetic products complementary to the DNA or DNA fragment include at least four groups consisting of: 6. The signal processing method in autoradiography as claimed in claim 4, in which all points on said scanning lines at which the signal exhibits a maximum level are assigned to sampling points in the step (2). 7. A signal processing method in autoradiography for determining base sequence of DNA or DNA fragment, employing at least four groups of base-specific synthetic products which are complementary to the DNA or DNA fragment and labeled with a radioactive element, said at least four groups comprising: 8. The signal processing method in autoradiography as claimed in claim 7, in which said base-specific synthetic products complementary to the DNA or DNA fragment include at least four groups consisting of: 9. The signal processing method in autoradiography as claimed in claim 7, in which all points on said scanning lines at which the signal exhibits a maximum level are assigned to sampling points in the step (2).
summary
039909417
claims
1. A nuclear reactor pressure vessel installation comprising a vertical reactor pressure vessel made of metal, a concrete wall surrounding said vessel and forming an annular spaced therearound, steel beams vertically positioned in said space so as to form therebetween vertically extending coolant flow passages, and means for flowing coolant through said passages; said beams having webs and inner and outer flanges and being positioned close together with their said inner flanges substantially edge-to-edge to form a substantially circumferentially continuous inner steel wall facing said vessel. 2. The installation of claim 1 in which a pressure-resistant layer is interposed between and in pressure-transmitting relation with said inner steel wall and said vessel, and said outer flanges are in pressure-transmitting relation with said concrete wall. 3. The installation of claim 2 in which a metal skin is interposed between said steel wall and said layer. 4. The installation of claim 3 in which to form said means, an annular manifold connects with the bottoms of said passages and means are provided for supplying a coolant to said manifold.
056235291
summary
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to an exposure apparatus which uses synchrotron radiation for, for example, manufacturing devices. 2. Description of the Related Art An X-ray exposure apparatus has been proposed in which a mask pattern is transferred onto a wafer in close proximity thereto by exposure using X-rays as illumination light in the manufacture of semiconductor integrated circuits having a high degree of integration. In the manufacture of semiconductor integrated circuits, the exposure process is performed in a plurality of lines in order to increase the productivity, and a plurality of the same X-ray masks are prepared. The pattern to be formed on a mask is generally formed by a method of drawing by an electron beam drawing apparatus. However, the time required for drawing a circuit pattern having a degree of integration on a 256 Mbit-DRAM scale is several minutes, and sometimes reaches several hours, and much time is thus required for forming a plurality of masks. On the other hand, there is known a method of duplicating an original pattern by proximity X-ray exposure, or life-size exposure, using an original mask formed by the electron beam drawing apparatus to form a plurality of X-ray masks. The X-ray exposure apparatus can employ a wide exposure region, and exhibits a shorter time required for exposure than that in electron beam drawing. Thus, this method can significantly improve the productivity of masks. In order to absorb X-rays, an absorber pattern made of gold or the like and having a thickness of about 0.7 .mu.m is formed on the X-ray mask by plating or etching. Although the electron beam drawing method uses a multi-layer photosensitive material for obtaining a thick absorber layer, the proximity X-ray exposure method can expose a photosensitive material having a sufficient thickness because a resolvable depth is large, and can employ the exposure process using a single layer of photosensitive material. The X-ray exposure method can therefore sometimes form X-ray masks at a cost lower than that in the electron beam drawing method. Considering the above advantageous conditions, attention is paid to the method of forming X-ray masks for wafer exposure by using the X-ray exposure apparatus. SUMMARY OF THE INVENTION In proximity exposure, the parallelism of illumination light significantly affects the distortion caused in transfer. Namely, the illumination light is applied to portions of an exposure region at different incident angles unless the illumination light is not completely parallel, and a deviation between a mask image and a transferred image is represented by a value obtained by multiplying the incident angle by a gap dimension between a mask and a substance to be exposed. If the gap dimension is not constant, therefore, a deviation between the mask image and the transferred image is not constant. In the X-ray exposure apparatus which uses a synchrotron radiation source as an illumination light source, for example, when the mask is disposed at a distance of about 10 m from the light source in order to ensure an exposure region of about 30 mm, illumination light having a divergence angle of 3 mrad can be obtained. In this case, if the setting precision of the gap is, for example, 3 .mu.m, a deviation of 9 nm occurs between the mask image and the transferred image. When the wafer is exposed, for example, since the superposition precision required for exposure with a resolution of 0.2 .mu.m is about 50 nm, a deviation of 9 nm between images is permissible. However, the precision is a problem when a mask is duplicated. Although the sensitivity of a photosensitive material to X-rays is several tens of mJ/c, the illumination light in an amount of about 2 times the sensitivity of the photosensitive material is applied to the masks in view of the energy of illumination light absorbed by a mask substrate. Most of the illumination light absorbed by the mask is converted into thermal energy and transmitted to the wafer through gases present between the mask and the wafer. The thermal energy transmitted from the mask to the wafer and the thermal energy generated by the illumination light absorbed by the photosensitive material and the wafer are dissipated to a wafer chuck which adheres to the wafer. However, with a low heat transfer rate of such a heat transfer passage or a high intensity of illumination light, thermal distortion occurs due to a temperature rise of the wafer and the mask during exposure, thereby causing deterioration in resolving power. In the X-ray exposure apparatus, therefore, means such as cooling means or the like is provided on the wafer chuck, and the intensity of the illumination light is increased within a permissible range of resolving power so that the productivity is increased. When a mask is duplicated by using such an X-ray exposure apparatus, there are not only the demand for resolving power higher than that for exposure of the wafer but also structural conditions more disadvantageous than those in exposure of the wafer. A mask substrate to be exposed has a portion which is previously back-etched for forming a pattern therein. Since this portion of the substrate generally has a thickness of about 1 to 2 .mu.m, the back of the portion is difficult to adhere directly to the chuck. The heat transfer rate of the heat transfer passage to the chuck is thus lower than that in exposure of the wafer. This increases the thermal distortion with the same intensity of the illumination light, and makes it difficult to obtain higher resolving power than that for exposure of the wafer. It is an object of the present invention to solve the above problems, an object which is achieved by providing an SOR exposure system and exposure apparatus which are suitable for duplicating X-ray masks and which enable formation of masks with high precision and at low cost. In accordance with a preferred embodiment of the present invention, an SOR exposure system comprises a plurality of X-ray exposure apparatus connected to beam lines extended from a common SOR light source, wherein an exposure apparatus which can be used for duplicating masks is connected to at least one beam line. In accordance with another preferred embodiment of the present invention, a duplicate mask duplicated by transferring a pattern by proximity exposure using an original mask has a pattern having a higher aspect ratio than that of the original mask. In accordance with a further preferred embodiment of the present invention, an exposure apparatus for manufacturing duplicate masks comprises a lock mechanism for connecting first holding means for an original mask and second holding means for a duplicate mask substrate so as to prevent relative movement between the original mask and the duplicate mask substrate during exposure transfer. Other objects and embodiments of the present invention will be made clear in the description of the preferred embodiments below.
055568980
abstract
Radiation resistant, gadolinium oxide modified, thermoplastic polymers which may be used for containing nuclear waste.
040424553
summary
BACKGROUND OF THE INVENTION The invention described herein relates to nuclear reactors and more particularly to a process for dissolving into coolant normally circulated through the reactor, corrosion from the reactor internal surfaces which contain radioactive products. During operation of a nuclear reactor, the fission process occurring in reactor fuel generates radioactive fission gases and radioactive fission products such as iodine 131 and 133, cesium 134 and 137, molybdenum 99, xenon 133 and activates reactor structural materials, such as nickel to form cobalt 58, and the like, which desirably must be removed from the coolant before reactor refueling can take place. As the reactor coolant temperature and pressure are reduced in preparation for refueling, these fission gases and products are released to the coolant and such release terminates soon after the cool-down procedure has been completed. The reactor coolant system must then be purged of fission gases before removing the reactor closure head to preclude the possibility of fission gas release to the atmosphere. Likewise, fission product removal is necessary to minimize contamination of the reactor cavity water and the associated system components. Since standard procedures are followed to effect such gas and fission product removal, techniques have been established for capturing the fission gases, and the ionic fission products are adequately removed by known ion exchange purification processes. After the coolant is depressurized, reduced in temperature and oxygen added to the coolant water, cobalt 58, which is generated by activation of nickel in a high radiation field during reactor operation, is released from the internal surfaces of the reactor, and rapidly dissolves in the cold oxygenated cooling water. This high intensity radioactive isotope makes refueling time-consuming because protective measures must be taken to effect its removal. It must therefore be reduced to a very low level prior to actual commencement of the fuel transfer operations. Unlike some other species of radioactivity, cobalt 58 is released into the coolant most readily when the water is cool and contains a small amount of oxygen. The methods currently used to oxygenate coolant in a closed reactor involves reducing the hydrogen level therein to about 4 cc per kg, or less, and draining the reactor to about 1/3 of full volume while charging nitrogen into the space left void by the withdrawn coolant. Air is then pumped through the void space to transfer as much oxygen as possible to the water coolant. This action transfers oxygen into the water to achieve a reasonable degree of oxygenation which then causes the nickel and cobalt to dissolve in the solution. The primary disadvantage of this method is that approximately twenty-four hours are required to obtain adequate oxygenation because the air contacts only a small portion of the coolant during the oxygenation process and circulation of the coolant throughout the system is not possible once partial draining has taken place. This time period is significant, particularly when the process is carried out on those reactors designed to a refueling schedule of 7 days or less. Coolant oxygenation and removal of cobalt 58, nickel and other radioactive species, consume approximately 25% of the time allotted for reactor refueling. It therefore is apparent that time reduction in the radioactive species removal process will significantly affect reactor down time which in turn helps minimize the electric utility's costs, and further, can provide the potential for increased revenue which flows to the utility when the reactor is in operation. Alternatively, the injection of air or pure oxygen directly into the reactor coolant system for oxygenation purposes rather than flowing it across the water, may be acceptable for long time and relatively relaxed refueling schedules since a longer time period is required to achieve the desired degree of oxygenation. However, bubbles may appear under the reactor head or in pumps or other apparatus and form air locks which are objectionable from an operating standpoint. Since hydrogen is present in the system, the introduction of gaseous oxygen may also present a dangerous combustible mixture when vented to the atmosphere and then accidentally exposing the combined mixture to ignitable conditions. SUMMARY OF THE INVENTION Briefly stated, the above disadvantages of the prior art are eliminated in accordance with the teachings of this invention by injecting a solution, rather than gas, of high oxygen content into the reactor coolant after it is reduced in temperature and pressure in preparation for undertaking reactor refueling or repairs. Oxygen in the solution accelerates the release of cobalt 58 and other radioactive products from the internal reactor surfaces for dissolution into the cold oxygenated coolant early in the plant cool down procedures, thus permitting its removal by ion exchange apparatus before draining of the reactor takes place. By practicing this process, the savings in time of approximately 1/2 day in the refueling time of a 7-day refueling schedule is made possible. It therefore is an object of the invention to provide a process which will cause the rapid and thorough oxygenation of reactor coolant during a cold plant shut down to effect the release of radioactive products into the coolant prior to opening the reactor for refueling or repairs. Another object of the invention is the provision of a process which includes injecting a solution of high oxygen content into reactor coolant for quickly oxygenating the coolant to accelerate the release of solubilized cobalt 58 and other radioactive corrosion products into the cold reactor coolant. Still another object of the invention is to provide a process which injects hydrogen peroxide in controlled amounts and strength into a closed reactor system prior to draining coolant from the reactor system for the purpose of accelerating the release of cobalt 58 and other radioactive corrosion products into the coolant.
summary
summary
062755684
summary
BACKGROUND OF THE INVENTION The invention relates to an X-ray examination apparatus for forming X-ray images of an object, which apparatus includes an X-ray source for generating an X-ray beam, PA1 an X-ray filter which is provided with filter elements which are arranged to contain an adjustable quantity of X-ray absorbing liquid in order to adjust an intensity profile on an object, and with a supply duct for connecting the filter elements to a reservoir for the X-ray absorbing liquid, PA1 an X-ray detector for receiving a part of the X-ray beam, having traversed the object, in order to detect an X-ray image. The invention also relates to an X-ray filter for use in an X-ray examination apparatus of this kind. An X-ray examination apparatus of the kind set forth is known from international patent application WO 96/13040. The X-ray filter in the known X-ray examination apparatus is used to limit the dynamic range of an X-ray image of an object which is formed on the X-ray detector, for example a human or animal body to be examined. The filter elements of the X-ray filter are constructed as capillary tubes, one end of which communicates with the X-ray absorbing liquid present in the reservoir. The X-ray absorbing liquid contains, for example aqueous solutions of salts of, for example lead, cesium or tungsten. The quantity of X-ray absorbing liquid can be adjusted by way of electrowetting. To this end, the tubes are provided with an electrical conductor which serves as an electrode. Furthermore, an electrically insulating coating layer is provided on the electrode. In the context of the present application the term "electrowetting" is to be understood to mean an adjustable adhesion of the X-ray absorbing liquid to the electrically insulating coating layer, which adhesion is dependent inter alia on the value of an electric voltage applied across the electrically conductive layer and the X-ray absorbing liquid. As a result, the filling of each of the capillary tubes can be adjusted by variation of the electric voltage value so that an X-ray absorption profile of the X-ray filter is adjusted within a short period of time, for example 0.4 seconds. In order to form an image of the desired organs in the object, the X-ray detector is arranged opposite the X-ray source on a first axis with a part of the object to be imaged, and the X-ray filter is situated on this first axis between the X-ray source and the object, an entrance face of the X-ray filter then being oriented transversely of the first axis. The arrangement is functional if the first axis is directed vertically during operation. It is a drawback of the known X-ray examination apparatus that, when the first axis is directed horizontally, the adjustment of the quantity of X-ray absorbing liquid in the capillary tubes is susceptible to an uneven hydrostatic pressure distribution in the supply duct. SUMMARY OF THE INVENTION It is an object of the invention to provide an X-ray examination apparatus in which the susceptibility of the adjustment of the quantity of X-ray absorbing liquid in the capillary tubes to the uneven hydrostatic pressure distribution is reduced. To this end, an X-ray examination apparatus according to the invention is characterized in that the supply duct includes sub-ducts, and that each of the sub-ducts connects at least one of the filter elements to the reservoir. When the X-ray filter is arranged in the X-ray examination apparatus in such a manner that, a longitudinal axis of the sub-channels is directed horizontally during operation, the uneven hydrostatic pressure distribution, which is due to the fact that the capillary ducts are situated above one another in this condition, is counteracted by the taking up of the hydrostatic pressure by partitions between the sub-ducts. Attractive embodiments of the X-ray examination apparatus are defined in the dependent claims. A special embodiment of the invention is characterized in that the sub-ducts are arranged so as to extend parallel to one another. Orienting all sub-ducts so that they extend substantially in parallel minimizes the uneven pressure distribution in the sub-ducts when the sub-ducts are directed horizontally. A further embodiment of the invention is characterized in that the X-ray examination apparatus is provided with adjusting means for keeping the X-ray source, the X-ray filter and the detector oriented along a first axis and for adjusting an orientation of the first axis relative to a horizontal plane, the X-ray examination apparatus also including means for rotating the X-ray filter about the first axis. As a result of the addition of such adjusting means, a projection image of the object can be formed at different angles. Rotation of the X-ray filter about the first axis enables the sub-ducts to be oriented in such a manner that the gravitational force component along the sub-ducts amounts to substantially zero and the uneven pressure distribution in a sub-duct is minimum. The rotation of the X-ray filter can be realized by arranging a rotatable X-ray filter in a collimator, or by mounting the collimator so as to be rotatable about the first axis in the X-ray examination apparatus. A further embodiment of the X-ray examination apparatus according to the invention is characterized in that the X-ray examination apparatus is provided with means for generating a signal which represents an angle of inclination between a longitudinal axis of the sub-ducts and a horizontal plane. As a result of these steps, an operator or an automatic control system can orient the longitudinal axis of the sub-ducts in dependence on the signal upon a change of orientation of the first axis. A further embodiment according to the invention is characterized in that the means for generating the signal representing the angle of inclination include a roll-independent inclinometer. Such an inclinometer is insensitive to a rolling motion about the axis with to respect to which the inclination relative to the horizontal plane is determined. Such an inclinometer can be used for an arbitrary orientation of the first axis. Another embodiment according to the invention is characterized in that the means for rotating the X-ray filter include an electrically controllable drive and that the X-ray examination apparatus is provided with control means which are arranged to generate control signals for the electrically controllable drive in order to orient the sub-ducts horizontally in dependence on the signal representing the angle of inclination. A further embodiment according to the invention is characterized in that the X-ray filter contains the reservoir which is arranged outside the X-ray beam to be generated, the reservoir containing chambers and each chamber being connected to at least one of the sub-ducts. In order to avoid the necessity of long supply and discharge ducts between the reservoir and the sub-ducts, the reservoir can be mounted in the X-ray filter. In order to counteract an uneven hydrostatic pressure distribution in the sub-ducts, the reservoir is subdivided into chambers, each chamber being connected to at least one sub-duct. The number of sub-ducts connected to a chamber of the reservoir amounts to, for example, three in practice. A further embodiment of the X-ray examination apparatus according to the invention is characterized in that the X-ray examination apparatus is provided with means for generating a control signal whereby the adjustable quantity of X-ray absorbing liquid in the filter elements is adjusted. A further embodiment of the X-ray examination apparatus according to the invention is characterized in that the X-ray examination apparatus is provided with means for generating a compensation signal which is dependent on the orientation of the X-ray filter, and with means for correcting the control signal by way of the compensation signal. It is thus possible in practice to compensate hydrostatic pressure differences which are due to several capillary tubes being situated above one another. A maximum magnitude of such a compensation voltage can be determined experimentally. The value to be adjusted for the compensation voltage is dependent on the orientation of the X-ray filter. An X-ray filter according to the invention is defined in claim 11. These and other aspects of the invention are apparent from and will be elucidated by way of example, with reference to the embodiments described hereinafter and the accompanying drawing.
summary
description
The disclosure herein relates to X-ray detectors, particularly relates to semiconductor X-ray detectors. X-ray detectors may be devices used to measure the flux, spatial distribution, spectrum or other properties of X-rays. X-ray detectors may be used for many applications. One important application is imaging. X-ray imaging is a radiography technique and can be used to reveal the internal structure of a non-uniformly composed and opaque object such as the human body. Early X-ray detectors for imaging include photographic plates and photographic films. A photographic plate may be a glass plate with a coating of light-sensitive emulsion. Although photographic plates were replaced by photographic films, they may still be used in special situations due to the superior quality they offer and their extreme stability. A photographic film may be a plastic film (e.g., a strip or sheet) with a coating of light-sensitive emulsion. In the 1980s, photostimulable phosphor plates (PSP plates) became available. A PSP plate may contain a phosphor material with color centers in its lattice. When the PSP plate is exposed to X-ray, electrons excited by X-ray are trapped in the color centers until they are stimulated by a laser beam scanning over the plate surface. As the plate is scanned by laser, trapped excited electrons give off light, which is collected by a photomultiplier tube. The collected light is converted into a digital image. In contrast to photographic plates and photographic films, PSP plates can be reused. Another kind of X-ray detectors are X-ray image intensifiers. Components of an X-ray image intensifier are usually sealed in a vacuum. In contrast to photographic plates, photographic films, and PSP plates, X-ray image intensifiers may produce real-time images, i.e., do not require post-exposure processing to produce images. X-ray first hits an input phosphor (e.g., cesium iodide) and is converted to visible light. The visible light then hits a photocathode (e.g., a thin metal layer containing cesium and antimony compounds) and causes emission of electrons. The number of emitted electrons is proportional to the intensity of the incident X-ray. The emitted electrons are projected, through electron optics, onto an output phosphor and cause the output phosphor to produce a visible-light image. Scintillators operate somewhat similarly to X-ray image intensifiers in that scintillators (e.g., sodium iodide) absorb X-ray and emit visible light, which can then be detected by a suitable image sensor for visible light. In scintillators, the visible light spreads and scatters in all directions and thus reduces spatial resolution. Reducing the scintillator thickness helps to improve the spatial resolution but also reduces absorption of X-ray. A scintillator thus has to strike a compromise between absorption efficiency and resolution. Semiconductor X-ray detectors largely overcome this problem by direct conversion of X-ray into electric signals. A semiconductor X-ray detector may include a semiconductor layer that absorbs X-ray in wavelengths of interest. When an X-ray photon is absorbed in the semiconductor layer, multiple charge carriers (e.g., electrons and holes) are generated and swept under an electric field towards electrical contacts on the semiconductor layer. Cumbersome heat management required in currently available semiconductor X-ray detectors (e.g., Medipix) can make a detector with a large area and a large number of pixels difficult or impossible to produce. Disclosed herein is an apparatus suitable for detecting x-ray, comprising: an X-ray absorption layer comprising an electrode; a first voltage comparator configured to compare a voltage of the electrode to a first threshold; a second voltage comparator configured to compare the voltage to a second threshold; a counter configured to register a number of X-ray photons absorbed by the X-ray absorption layer; a controller; wherein the controller is configured to start a time delay from a time at which the first voltage comparator determines that an absolute value of the voltage equals or exceeds an absolute value of the first threshold; wherein the controller is configured to activate the second voltage comparator during (including the beginning and the expiration) the time delay; wherein the controller is configured to cause the number registered by the counter to increase by one, if the second voltage comparator determines that an absolute value of the voltage equals or exceeds an absolute value of the second threshold. The first voltage comparator and the second voltage comparator may be the same component. When a voltage comparator determines whether an absolute value of a voltage equals or exceeds an absolute value of a threshold, the voltage comparator does not necessarily compare the absolute values. Instead, when the voltage and the threshold are both negative, the voltage comparator may compare the actual values of the voltage and the threshold; when the voltage is equally or more negative than the threshold, the absolute value of voltage equals or exceeds the absolute value of the threshold. According to an embodiment, the apparatus further comprises a capacitor module electrically connected to the electrode, wherein the capacitor module is configured to collect charge carriers from the electrode. According to an embodiment, the controller is configured to activate the second voltage comparator at a beginning or expiration of the time delay. According to an embodiment, the controller is configured to deactivate the first voltage comparator at the beginning of, or during the time delay. According to an embodiment, the controller is configured to deactivate the second voltage comparator at the expiration of the time delay or at the time when the second voltage comparator determines that the absolute value of the voltage equals or exceeds the absolute value of the second threshold. According to an embodiment, the apparatus further comprises a voltmeter and the controller is configured to cause the voltmeter to measure the voltage upon expiration of the time delay. According to an embodiment, the controller is configured to determine an X-ray photon energy based on a value of the voltage measured upon expiration of the time delay. According to an embodiment, the controller is configured to connect the electrode to an electrical ground. The electrical ground may be a virtual ground. A virtual ground (also known as a “virtual earth”) is a node of a circuit that is maintained at a steady reference potential, without being connected directly to the reference potential. According to an embodiment, a rate of change of the voltage is substantially zero at expiration of the time delay. According to an embodiment, a rate of change of the voltage is substantially non-zero at expiration of the time delay. According to an embodiment, the X-ray absorption layer comprises a diode. According to an embodiment, the X-ray absorption layer comprises silicon, germanium, GaAs, CdTe, CdZnTe, or a combination thereof. According to an embodiment, the apparatus does not comprise a scintillator. According to an embodiment, the apparatus comprises an array of pixels. Disclosed herein is a system comprising the apparatus described above and an X-ray source, wherein the system is configured to perform X-ray radiography on human chest or abdomen. According to an embodiment, the system comprises the apparatus described above and an X-ray source, wherein the system is configured to perform X-ray radiography on human mouth. Disclosed herein is a cargo scanning or non-intrusive inspection (NII) system, comprising the apparatus described above and an X-ray source, wherein the cargo scanning or non-intrusive inspection (NII) system is configured to form an image using backscattered X-ray. Disclosed herein is a cargo scanning or non-intrusive inspection (NII) system, comprising the apparatus described above and an X-ray source, wherein the cargo scanning or non-intrusive inspection (NII) system is configured to form an image using X-ray transmitted through an object inspected. Disclosed herein is a full-body scanner system comprising the apparatus described above and an X-ray source. Disclosed herein is an X-ray computed tomography (X-ray CT) system comprising the apparatus described above and an X-ray source. Disclosed herein is an electron microscope comprising the apparatus described above, an electron source and an electronic optical system. Disclosed herein is a system comprising the apparatus described above, wherein the system is an X-ray telescope, or an X-ray microscopy, or wherein the system is configured to perform mammography, industrial defect detection, microradiography, casting inspection, weld inspection, or digital subtraction angiography. Disclosed herein is a method comprising: starting a time delay from a time at which an absolute value of a voltage of an electrode of an X-ray absorption layer equals or exceeds an absolute value of a first threshold; activating a second circuit during (including the beginning and expiration of) the time delay; if an absolute value of the voltage equals or exceeds an absolute value of a second threshold, increasing a count of X-ray photon incident on the X-ray absorption layer by one. According to an embodiment, the method further comprises connecting the electrode to an electrical ground. According to an embodiment, the method further comprises measuring the voltage upon expiration of the time delay. According to an embodiment, the method further comprises determining an X-ray photon energy based on a value of the voltage at expiration of the time delay. According to an embodiment, a rate of change of the voltage is substantially zero at expiration of the time delay. According to an embodiment, a rate of change of the voltage is substantially non-zero at expiration of the time delay. According to an embodiment, activating the second circuit is at a beginning or expiration of the time delay. According to an embodiment, the second circuit is configured to compare the absolute value of the voltage to the absolute value of the second threshold. According to an embodiment, the method further comprises deactivating a first circuit at a beginning the time delay. According to an embodiment, the first circuit is configured to compare the absolute value of the voltage to the absolute value of the first threshold. The first circuit and the second circuit may be the same circuit. Disclosed herein is a system suitable for phase-contrast X-ray imaging (PCI), the system comprising: the apparatus described above, a second X-ray detector, a spacer, wherein the apparatus and the second X-ray detector are spaced apart by the spacer. According to an embodiment, the apparatus and the second X-ray detector are configured to respectively capture an image of an object simultaneously. According to an embodiment, the second X-ray detector is identical to the apparatus. Disclosed herein is a system suitable for phase-contrast X-ray imaging (PCI), the system comprising: the apparatus described above, wherein the apparatus is configured to move to and capture images of an object exposed to incident X-ray at different distances from the object. FIG. 1A schematically shows a semiconductor X-ray detector 100, according to an embodiment. The semiconductor X-ray detector 100 may include an X-ray absorption layer 110 and an electronics layer 120 (e.g., an ASIC) for processing or analyzing electrical signals incident X-ray generates in the X-ray absorption layer 110. In an embodiment, the semiconductor X-ray detector 100 does not comprise a scintillator. The X-ray absorption layer 110 may include a semiconductor material such as, silicon, germanium, GaAs, CdTe, CdZnTe, or a combination thereof. The semiconductor may have a high mass attenuation coefficient for the X-ray energy of interest. The X-ray absorption layer 110 may include one or more diodes (e.g., p-i-n or p-n) formed by a first doped region 111, one or more discrete regions 114 of a second doped region 113. The second doped region 113 may be separated from the first doped region 111 by an optional the intrinsic region 112. The discrete portions 114 are separated from one another by the first doped region 111 or the intrinsic region 112. The first doped region 111 and the second doped region 113 have opposite types of doping (e.g., region 111 is p-type and region 113 is n-type, or region 111 is n-type and region 113 is p-type). In the example in FIG. 1A, each of the discrete regions 114 of the second doped region 113 forms a diode with the first doped region 111 and the optional intrinsic region 112. Namely, in the example in FIG. 1A, the X-ray absorption layer 110 has a plurality of diodes having the first doped region 111 as a shared electrode. The first doped region 111 may also have discrete portions. FIG. 1B shows a semiconductor X-ray detector 100, according to an embodiment. The semiconductor X-ray detector 100 may include an X-ray absorption layer 110 and an electronics layer 120 (e.g., an ASIC) for processing or analyzing electrical signals incident X-ray generates in the X-ray absorption layer 110. In an embodiment, the semiconductor X-ray detector 100 does not comprise a scintillator. The X-ray absorption layer 110 may include a semiconductor material such as, silicon, germanium, GaAs, CdTe, CdZnTe, or a combination thereof. The semiconductor may have a high mass attenuation coefficient for the X-ray energy of interest. The X-ray absorption layer 110 may not include a diode but includes a resistor. When an X-ray photon hits the X-ray absorption layer 110 including diodes, it may be absorbed and generate one or more charge carriers by a number of mechanisms. An X-ray photon may generate 10 to 100000 charge carriers. The charge carriers may drift to the electrodes of one of the diodes under an electric field. The field may be an external electric field. The electrical contact 119B may include discrete portions each of which is in electrical contact with the discrete regions 114. In an embodiment, the charge carriers may drift in directions such that the charge carriers generated by a single X-ray photon are not substantially shared by two different discrete regions 114 (“not substantially shared” here means less than 5%, less than 2% or less than 1% of these charge carriers flow to a different one of the discrete regions 114 than the rest of the charge carriers). In an embodiment, the charge carriers generated by a single X-ray photon can be shared by two different discrete regions 114. FIG. 2 shows an exemplary top view of a portion of the device 100 with a 4-by-4 array of discrete regions 114. Charge carriers generated by an X-ray photon incident around the footprint of one of these discrete regions 114 are not substantially shared with another of these discrete regions 114. The area around a discrete region 114 in which substantially all (more than 95%, more than 98% or more than 99% of) charge carriers generated by an X-ray photon incident therein flow to the discrete region 114 is called a pixel associated with that discrete region 114. Namely, less than 5%, less than 2% or less than 1% of these charge carriers flow beyond the pixel. By measuring the drift current flowing into each of the discrete regions 114, or the rate of change of the voltage of each of the discrete regions 114, the number of X-ray photons absorbed (which relates to the incident X-ray intensity) and/or the energies thereof in the pixels associated with the discrete regions 114 may be determined. Thus, the spatial distribution (e.g., an image) of incident X-ray intensity may be determined by individually measuring the drift current into each one of an array of discrete regions 114 or measuring the rate of change of the voltage of each one of an array of discrete regions 114. The pixels may be organized in any suitable array, such as, a square array, a triangular array and a honeycomb array. The pixels may have any suitable shape, such as, circular, triangular, square, rectangular, and hexangular. The pixels may be individually addressable. When an X-ray photon hits the X-ray absorption layer 110 including a resistor but not diodes, it may be absorbed and generate one or more charge carriers by a number of mechanisms. An X-ray photon may generate 10 to 100000 charge carriers. The charge carriers may drift to the electrical contacts 119A and 119B under an electric field. The field may be an external electric field. The electrical contact 119B includes discrete portions. In an embodiment, the charge carriers may drift in directions such that the charge carriers generated by a single X-ray photon are not substantially shared by two different discrete portions of the electrical contact 119B (“not substantially shared” here means less than 5%, less than 2% or less than 1% of these charge carriers flow to a different one of the discrete portions than the rest of the charge carriers). In an embodiment, the charge carriers generated by a single X-ray photon can be shared by two different discrete portions of the electrical contact 119B. Charge carriers generated by an X-ray photon incident around the footprint of one of these discrete portions of the electrical contact 119B are not substantially shared with another of these discrete portions of the electrical contact 119B. The area around a discrete portion of the electrical contact 119B in which substantially all (more than 95%, more than 98% or more than 99% of) charge carriers generated by an X-ray photon incident therein flow to the discrete portion of the electrical contact 119B is called a pixel associated with the discrete portion of the electrical contact 119B. Namely, less than 5%, less than 2% or less than 1% of these charge carriers flow beyond the pixel associated with the one discrete portion of the electrical contact 119B. By measuring the drift current flowing into each of the discrete portion of the electrical contact 119B, or the rate of change of the voltage of each of the discrete portions of the electrical contact 119B, the number of X-ray photons absorbed (which relates to the incident X-ray intensity) and/or the energies thereof in the pixels associated with the discrete portions of the electrical contact 119B may be determined. Thus, the spatial distribution (e.g., an image) of incident X-ray intensity may be determined by individually measuring the drift current into each one of an array of discrete portions of the electrical contact 119B or measuring the rate of change of the voltage of each one of an array of discrete portions of the electrical contact 119B. The pixels may be organized in any suitable array, such as, a square array, a triangular array and a honeycomb array. The pixels may have any suitable shape, such as, circular, triangular, square, rectangular, and hexangular. The pixels may be individually addressable. The electronics layer 120 may include an electronic system 121 suitable for processing or interpreting signals generated by X-ray photons incident on the X-ray absorption layer 110. The electronic system 121 may include an analog circuitry such as a filter network, amplifiers, integrators, and comparators, or a digital circuitry such as a microprocessors, and memory. The electronic system 121 may include components shared by the pixels or components dedicated to a single pixel. For example, the electronic system 121 may include an amplifier dedicated to each pixel and a microprocessor shared among all the pixels. The electronic system 121 may be electrically connected to the pixels by vias 131. Space among the vias may be filled with a filler material 130, which may increase the mechanical stability of the connection of the electronics layer 120 to the X-ray absorption layer 110. Other bonding techniques are possible to connect the electronic system 121 to the pixels without using vias. FIG. 3A and FIG. 3B each show a component diagram of the electronic system 121, according to an embodiment. The electronic system 121 may include a first voltage comparator 301, a second voltage comparator 302, a counter 320, a switch 305, a voltmeter 306 and a controller 310. The first voltage comparator 301 is configured to compare the voltage of an electrode of a diode 300 to a first threshold. The diode may be a diode formed by the first doped region 111, one of the discrete regions 114 of the second doped region 113, and the optional intrinsic region 112. Alternatively, the first voltage comparator 301 is configured to compare the voltage of an electrical contact (e.g., a discrete portion of electrical contact 119B) to a first threshold. The first voltage comparator 301 may be configured to monitor the voltage directly, or calculate the voltage by integrating an electric current flowing through the diode or electrical contact over a period of time. The first voltage comparator 301 may be controllably activated or deactivated by the controller 310. The first voltage comparator 301 may be a continuous comparator. Namely, the first voltage comparator 301 may be configured to be activated continuously, and monitor the voltage continuously. The first voltage comparator 301 configured as a continuous comparator reduces the chance that the system 121 misses signals generated by an incident X-ray photon. The first voltage comparator 301 configured as a continuous comparator is especially suitable when the incident X-ray intensity is relatively high. The first voltage comparator 301 may be a clocked comparator, which has the benefit of lower power consumption. The first voltage comparator 301 configured as a clocked comparator may cause the system 121 to miss signals generated by some incident X-ray photons. When the incident X-ray intensity is low, the chance of missing an incident X-ray photon is low because the time interval between two successive photons is relatively long. Therefore, the first voltage comparator 301 configured as a clocked comparator is especially suitable when the incident X-ray intensity is relatively low. The first threshold may be 5-10%, 10%-20%, 20-30%, 30-40% or 40-50% of the maximum voltage one incident X-ray photon may generate in the diode or the resistor. The maximum voltage may depend on the energy of the incident X-ray photon (i.e., the wavelength of the incident X-ray), the material of the X-ray absorption layer 110, and other factors. For example, the first threshold may be 50 mV, 100 mV, 150 mV, or 200 mV. The second voltage comparator 302 is configured to compare the voltage to a second threshold. The second voltage comparator 302 may be configured to monitor the voltage directly, or calculate the voltage by integrating an electric current flowing through the diode or the electrical contact over a period of time. The second voltage comparator 302 may be a continuous comparator. The second voltage comparator 302 may be controllably activate or deactivated by the controller 310. When the second voltage comparator 302 is deactivated, the power consumption of the second voltage comparator 302 may be less than 1%, less than 5%, less than 10% or less than 20% of the power consumption when the second voltage comparator 302 is activated. The absolute value of the second threshold is greater than the absolute value of the first threshold. As used herein, the term “absolute value” or “modulus” |x| of a real number x is the non-negative value of x without regard to its sign. Namely,  x  = { x , if ⁢ ⁢ x ≥ 0 - x , if ⁢ ⁢ x ≤ 0 . The second threshold may be 200%-300% of the first threshold. The second threshold may be at least 50% of the maximum voltage one incident X-ray photon may generate in the diode or resistor. For example, the second threshold may be 100 mV, 150 mV, 200 mV, 250 mV or 300 mV. The second voltage comparator 302 and the first voltage comparator 310 may be the same component. Namely, the system 121 may have one voltage comparator that can compare a voltage with two different thresholds at different times. The first voltage comparator 301 or the second voltage comparator 302 may include one or more op-amps or any other suitable circuitry. The first voltage comparator 301 or the second voltage comparator 302 may have a high speed to allow the system 121 to operate under a high flux of incident X-ray. However, having a high speed is often at the cost of power consumption. The counter 320 is configured to register a number of X-ray photons reaching the diode or resistor. The counter 320 may be a software component (e.g., a number stored in a computer memory) or a hardware component (e.g., a 4017 IC and a 7490 IC). The controller 310 may be a hardware component such as a microcontroller and a microprocessor. The controller 310 is configured to start a time delay from a time at which the first voltage comparator 301 determines that the absolute value of the voltage equals or exceeds the absolute value of the first threshold (e.g., the absolute value of the voltage increases from below the absolute value of the first threshold to a value equal to or above the absolute value of the first threshold). The absolute value is used here because the voltage may be negative or positive, depending on whether the voltage of the cathode or the anode of the diode or which electrical contact is used. The controller 310 may be configured to keep deactivated the second voltage comparator 302, the counter 320 and any other circuits the operation of the first voltage comparator 301 does not require, before the time at which the first voltage comparator 301 determines that the absolute value of the voltage equals or exceeds the absolute value of the first threshold. The time delay may expire before or after the voltage becomes stable, i.e., the rate of change of the voltage is substantially zero. The phase “the rate of change of the voltage is substantially zero” means that temporal change of the voltage is less than 0.1%/ns. The phase “the rate of change of the voltage is substantially non-zero” means that temporal change of the voltage is at least 0.1%/ns. The controller 310 may be configured to activate the second voltage comparator during (including the beginning and the expiration) the time delay. In an embodiment, the controller 310 is configured to activate the second voltage comparator at the beginning of the time delay. The term “activate” means causing the component to enter an operational state (e.g., by sending a signal such as a voltage pulse or a logic level, by providing power, etc.). The term “deactivate” means causing the component to enter a non-operational state (e.g., by sending a signal such as a voltage pulse or a logic level, by cut off power, etc.). The operational state may have higher power consumption (e.g., 10 times higher, 100 times higher, 1000 times higher) than the non-operational state. The controller 310 itself may be deactivated until the output of the first voltage comparator 301 activates the controller 310 when the absolute value of the voltage equals or exceeds the absolute value of the first threshold. The controller 310 may be configured to cause the number registered by the counter 320 to increase by one, if, during the time delay, the second voltage comparator 302 determines that the absolute value of the voltage equals or exceeds the absolute value of the second threshold. The controller 310 may be configured to cause the voltmeter 306 to measure the voltage upon expiration of the time delay. The controller 310 may be configured to connect the electrode to an electrical ground, so as to reset the voltage and discharge any charge carriers accumulated on the electrode. In an embodiment, the electrode is connected to an electrical ground after the expiration of the time delay. In an embodiment, the electrode is connected to an electrical ground for a finite reset time period. The controller 310 may connect the electrode to the electrical ground by controlling the switch 305. The switch may be a transistor such as a field-effect transistor (FET). In an embodiment, the system 121 has no analog filter network (e.g., a RC network). In an embodiment, the system 121 has no analog circuitry. The voltmeter 306 may feed the voltage it measures to the controller 310 as an analog or digital signal. The system 121 may include a capacitor module 309 electrically connected to the electrode of the diode 300 or the electrical contact, wherein the capacitor module is configured to collect charge carriers from the electrode. The capacitor module can include a capacitor in the feedback path of an amplifier. The amplifier configured as such is called a capacitive transimpedance amplifier (CTIA). CTIA has high dynamic range by keeping the amplifier from saturating and improves the signal-to-noise ratio by limiting the bandwidth in the signal path. Charge carriers from the electrode accumulate on the capacitor over a period of time (“integration period”) (e.g., as shown in FIG. 4, between t0 to t1, or t1-t2). After the integration period has expired, the capacitor voltage is sampled and then reset by a reset switch. The capacitor module can include a capacitor directly connected to the electrode. FIG. 4 schematically shows a temporal change of the electric current flowing through the electrode (upper curve) caused by charge carriers generated by an X-ray photon incident on the diode or the resistor, and a corresponding temporal change of the voltage of the electrode (lower curve). The voltage may be an integral of the electric current with respect to time. At time t0, the X-ray photon hits the diode or the resistor, charge carriers start being generated in the diode or the resistor, electric current starts to flow through the electrode of the diode or the resistor, and the absolute value of the voltage of the electrode or electrical contact starts to increase. At time t1, the first voltage comparator 301 determines that the absolute value of the voltage equals or exceeds the absolute value of the first threshold V1, and the controller 310 starts the time delay TD1 and the controller 310 may deactivate the first voltage comparator 301 at the beginning of TD1. If the controller 310 is deactivated before t1, the controller 310 is activated at t1. During TD1, the controller 310 activates the second voltage comparator 302. The term “during” a time delay as used here means the beginning and the expiration (i.e., the end) and any time in between. For example, the controller 310 may activate the second voltage comparator 302 at the expiration of TD1. If during TD1, the second voltage comparator 302 determines that the absolute value of the voltage equals or exceeds the absolute value of the second threshold at time t2, the controller 310 causes the number registered by the counter 320 to increase by one. At time te, all charge carriers generated by the X-ray photon drift out of the X-ray absorption layer 110. At time ts, the time delay TD1 expires. In the example of FIG. 4, time ts is after time te; namely TD1 expires after all charge carriers generated by the X-ray photon drift out of the X-ray absorption layer 110. The rate of change of the voltage is thus substantially zero at ts. The controller 310 may be configured to deactivate the second voltage comparator 302 at expiration of TD1 or at t2, or any time in between. The controller 310 may be configured to cause the voltmeter 306 to measure the voltage upon expiration of the time delay TD1. In an embodiment, the controller 310 causes the voltmeter 306 to measure the voltage after the rate of change of the voltage becomes substantially zero after the expiration of the time delay TD1. The voltage at this moment is proportional to the amount of charge carriers generated by an X-ray photon, which relates to the energy of the X-ray photon. The controller 310 may be configured to determine the energy of the X-ray photon based on voltage the voltmeter 306 measures. One way to determine the energy is by binning the voltage. The counter 320 may have a sub-counter for each bin. When the controller 310 determines that the energy of the X-ray photon falls in a bin, the controller 310 may cause the number registered in the sub-counter for that bin to increase by one. Therefore, the system 121 may be able to detect an X-ray image and may be able to resolve X-ray photon energies of each X-ray photon. After TD1 expires, the controller 310 connects the electrode to an electric ground for a reset period RST to allow charge carriers accumulated on the electrode to flow to the ground and reset the voltage. After RST, the system 121 is ready to detect another incident X-ray photon. Implicitly, the rate of incident X-ray photons the system 121 can handle in the example of FIG. 4 is limited by 1/(TD1+RST). If the first voltage comparator 301 has been deactivated, the controller 310 can activate it at any time before RST expires. If the controller 310 has been deactivated, it may be activated before RST expires. FIG. 5 schematically shows a temporal change of the electric current flowing through the electrode (upper curve) caused by noise (e.g., dark current, background radiation, scattered X-rays, fluorescent X-rays, shared charges from adjacent pixels), and a corresponding temporal change of the voltage of the electrode (lower curve), in the system 121 operating in the way shown in FIG. 4. At time t0, the noise begins. If the noise is not large enough to cause the absolute value of the voltage to exceed the absolute value of V1, the controller 310 does not activate the second voltage comparator 302. If the noise is large enough to cause the absolute value of the voltage to exceed the absolute value of V1 at time t1 as determined by the first voltage comparator 301, the controller 310 starts the time delay TD1 and the controller 310 may deactivate the first voltage comparator 301 at the beginning of TD1. During TD1 (e.g., at expiration of TD1), the controller 310 activates the second voltage comparator 302. The noise is very unlikely large enough to cause the absolute value of the voltage to exceed the absolute value of V2 during TD1. Therefore, the controller 310 does not cause the number registered by the counter 320 to increase. At time te, the noise ends. At time ts, the time delay TD1 expires. The controller 310 may be configured to deactivate the second voltage comparator 302 at expiration of TD1. The controller 310 may be configured not to cause the voltmeter 306 to measure the voltage if the absolute value of the voltage does not exceed the absolute value of V2 during TD1. After TD1 expires, the controller 310 connects the electrode to an electric ground for a reset period RST to allow charge carriers accumulated on the electrode as a result of the noise to flow to the ground and reset the voltage. Therefore, the system 121 may be very effective in noise rejection. FIG. 6 schematically shows a temporal change of the electric current flowing through the electrode (upper curve) caused by charge carriers generated by an X-ray photon incident on the diode or the resistor, and a corresponding temporal change of the voltage of the electrode (lower curve), when the system 121 operates to detect incident X-ray photons at a rate higher than 1/(TD1+RST). The voltage may be an integral of the electric current with respect to time. At time t0, the X-ray photon hits the diode or the resistor, charge carriers start being generated in the diode or the resistor, electric current starts to flow through the electrode of the diode or the electrical contact of resistor, and the absolute value of the voltage of the electrode or the electrical contact starts to increase. At time t1, the first voltage comparator 301 determines that the absolute value of the voltage equals or exceeds the absolute value of the first threshold V1, and the controller 310 starts a time delay TD2 shorter than TD1, and the controller 310 may deactivate the first voltage comparator 301 at the beginning of TD2. If the controller 310 is deactivated before t1, the controller 310 is activated at t1. During TD2 (e.g., at expiration of TD2), the controller 310 activates the second voltage comparator 302. If during TD2, the second voltage comparator 302 determines that the absolute value of the voltage equals or exceeds the absolute value of the second threshold at time t2, the controller 310 causes the number registered by the counter 320 to increase by one. At time te, all charge carriers generated by the X-ray photon drift out of the X-ray absorption layer 110. At time th, the time delay TD2 expires. In the example of FIG. 6, time th is before time te; namely TD2 expires before all charge carriers generated by the X-ray photon drift out of the X-ray absorption layer 110. The rate of change of the voltage is thus substantially non-zero at th. The controller 310 may be configured to deactivate the second voltage comparator 302 at expiration of TD2 or at t2, or any time in between. The controller 310 may be configured to extrapolate the voltage at te from the voltage as a function of time during TD2 and use the extrapolated voltage to determine the energy of the X-ray photon. After TD2 expires, the controller 310 connects the electrode to an electric ground for a reset period RST to allow charge carriers accumulated on the electrode to flow to the ground and reset the voltage. In an embodiment, RST expires before te. The rate of change of the voltage after RST may be substantially non-zero because all charge carriers generated by the X-ray photon have not drifted out of the X-ray absorption layer 110 upon expiration of RST before te. The rate of change of the voltage becomes substantially zero after te and the voltage stabilized to a residue voltage VR after te. In an embodiment, RST expires at or after te, and the rate of change of the voltage after RST may be substantially zero because all charge carriers generated by the X-ray photon drift out of the X-ray absorption layer 110 at te. After RST, the system 121 is ready to detect another incident X-ray photon. If the first voltage comparator 301 has been deactivated, the controller 310 can activate it at any time before RST expires. If the controller 310 has been deactivated, it may be activated before RST expires. FIG. 7 schematically shows a temporal change of the electric current flowing through the electrode (upper curve) caused by noise (e.g., dark current, background radiation, scattered X-rays, fluorescent X-rays, shared charges from adjacent pixels), and a corresponding temporal change of the voltage of the electrode (lower curve), in the system 121 operating in the way shown in FIG. 6. At time t0, the noise begins. If the noise is not large enough to cause the absolute value of the voltage to exceed the absolute value of V1, the controller 310 does not activate the second voltage comparator 302. If the noise is large enough to cause the absolute value of the voltage to exceed the absolute value of V1 at time t1 as determined by the first voltage comparator 301, the controller 310 starts the time delay TD2 and the controller 310 may deactivate the first voltage comparator 301 at the beginning of TD2. During TD2 (e.g., at expiration of TD2), the controller 310 activates the second voltage comparator 302. The noise is very unlikely large enough to cause the absolute value of the voltage to exceed the absolute value of V2 during TD2. Therefore, the controller 310 does not cause the number registered by the counter 320 to increase. At time te, the noise ends. At time th, the time delay TD2 expires. The controller 310 may be configured to deactivate the second voltage comparator 302 at expiration of TD2. After TD2 expires, the controller 310 connects the electrode to an electric ground for a reset period RST to allow charge carriers accumulated on the electrode as a result of the noise to flow to the ground and reset the voltage. Therefore, the system 121 may be very effective in noise rejection. FIG. 8 schematically shows a temporal change of the electric current flowing through the electrode (upper curve) caused by charge carriers generated by a series of X-ray photons incident on the diode or the resistor, and a corresponding temporal change of the voltage of the electrode (lower curve), in the system 121 operating in the way shown in FIG. 6 with RST expires before te. The voltage curve caused by charge carriers generated by each incident X-ray photon is offset by the residue voltage before that photon. The absolute value of the residue voltage successively increases with each incident photon. When the absolute value of the residue voltage exceeds V1 (see the dotted rectangle in FIG. 8), the controller starts the time delay TD2 and the controller 310 may deactivate the first voltage comparator 301 at the beginning of TD2. If no other X-ray photon incidence on the diode or the resistor during TD2, the controller connects the electrode to the electrical ground during the reset time period RST at the end of TD2, thereby resetting the residue voltage. The residue voltage thus does not cause an increase of the number registered by the counter 320. FIG. 9A shows a flow chart for a method suitable for detecting X-ray using a system such as the system 121 operating as shown in FIG. 4. In step 901, compare, e.g., using the first voltage comparator 301, a voltage of an electrode of a diode or an electrical contact of a resistor exposed to X-ray, to the first threshold. In step 902, determine, e.g., with the controller 310, whether the absolute value of the voltage equals or exceeds the absolute value of the first threshold V1. If the absolute value of the voltage does not equal or exceed the absolute value of the first threshold, the method goes back to step 901. If the absolute value of the voltage equals or exceeds the absolute value of the first threshold, continue to step 903. In step 903, start, e.g., using the controller 310, the time delay TD1. In step 904, activate, e.g., using the controller 310, a circuit (e.g., the second voltage comparator 302 or the counter 320) during the time delay TD1 (e.g., at the expiration of TD1). In step 905, compare, e.g., using the second voltage comparator 302, the voltage to the second threshold. In step 906, determine, e.g., using the controller 310, whether the absolute value of the voltage equals or exceeds the absolute value of the second threshold V2. If the absolute value of the voltage does not equal or exceed the absolute value of the second threshold, the method goes to step 910. If the absolute value of the voltage equals or exceeds the absolute value of the second threshold, continue to step 907. In step 907, cause, e.g., using the controller 310, the number registered in the counter 320 to increase by one. In optional step 908, measure, e.g., using the voltmeter 306, the voltage upon expiration of the time delay TD1. In optional step 909, determine, e.g., using the controller 310, the X-ray photon energy based the voltage measured in step 908. There may be a counter for each of the energy bins. After measuring the X-ray photon energy, the counter for the bin to which the photon energy belongs can be increased by one. The method goes to step 910 after step 909. In step 910, reset the voltage to an electrical ground, e.g., by connecting the electrode of the diode or an electrical contact of a resistor to an electrical ground. Steps 908 and 909 may be omitted, for example, when neighboring pixels share a large portion (e.g., >30%) of charge carriers generated from a single photon. FIG. 9B shows a flow chart for a method suitable for detecting X-ray using the system such as the system 121 operating as shown in FIG. 6. In step 1001, compare, e.g., using the first voltage comparator 301, a voltage of an electrode of a diode or an electrical contact of a resistor exposed to X-ray, to the first threshold. In step 1002, determine, e.g., with the controller 310, whether the absolute value of the voltage equals or exceeds the absolute value of the first threshold V1. If the absolute value of the voltage does not equal or exceed the absolute value of the first threshold, the method goes back to step 1001. If the absolute value of the voltage equals or exceeds the absolute value of the first threshold, continue to step 1003. In step 1003, start, e.g., using the controller 310, the time delay TD2. In step 1004, activate, e.g., using the controller 310, a circuit (e.g., the second voltage comparator 302 or the counter 320) during the time delay TD2 (e.g., at the expiration of TD2). In step 1005, compare, e.g., using the second voltage comparator 302, the voltage to the second threshold. In step 1006, determine, e.g., using the controller 310, whether the absolute value of the voltage equals or exceeds the absolute value of the second threshold V2. If the absolute value of the voltage does not equal or exceed the absolute value of the second threshold, the method goes to step 1010. If the absolute value of the voltage equals or exceeds the absolute value of the second threshold, continue to step 1007. In step 1007, cause, e.g., using the controller 310, the number registered in the counter 320 to increase by one. The method goes to step 1010 after step 1007. In step 1010, reset the voltage to an electrical ground, e.g., by connecting the electrode of the diode or an electrical contact of a resistor to an electrical ground. The semiconductor X-ray detector 100 may be used for phase-contrast X-ray imaging (PCI) (also known as phase-sensitive X-ray imaging). PCI encompasses techniques that form an image of an object at least partially using the phase shift (including the spatial distribution of the phase shift) of an X-ray beam caused by that object. One way to obtain the phase shift is transforming the phase into variations in intensity. PCI can be combined with tomographic techniques to obtain the 3D-distribution of the real part of the refractive index of the object. PCI is more sensitive to density variations in the object than conventional intensity-based X-ray imaging (e.g., radiography). PCI is especially useful for imaging soft tissues. According to an embodiment, FIG. 10 schematically shows a system 1900 suitable for PCI. The system 1900 may include at least two X-ray detectors 1910 and 1920. One or both of the two X-ray detectors 1910 is the semiconductor X-ray detector 100 described herein. The X-ray detectors 1910 and 1920 may be spaced apart by a spacer 1930. The spacer 1930 may have very little absorption of the X-ray. For example, the spacer 1930 may have a very small mass attenuation coefficient (e.g., <10 cm2g−1, <1 cm2g−1, <0.1 cm2g−1, or <0.01 cm2g−1). The mass attenuation coefficient of the spacer 1930 may be uniform (e.g., variation between every two points in the spacer 1930 less than 5%, less than 1% or less than 0.1%). The spacer 1930 may cause the same amount of changes to the phase of X-ray passing through the spacer 1930. For example, the spacer 1930 may be a gas (e.g., air), a vacuum chamber, may comprise aluminum, beryllium, silicon, or a combination thereof. The system 1900 can be used to obtain the phase shift of incident X-ray 1950 caused by an object 1960 being imaged. The X-ray detectors 1910 and 1920 can capture two images (i.e., intensity distributions) simultaneously. Because of the X-ray detectors 1910 and 1920 are separated by the spacer 1930, the two images are different distances from the object 1960. The phase may be determined from the two images, for example, using algorithms based on the linearization of the Fresnel diffraction integral. According to an embodiment, FIG. 11 schematically shows a system 1800 suitable for PCI. The system 1800 comprises the semiconductor X-ray detector 100 described herein. The semiconductor X-ray detector 100 is configured to move to and capture images of an object 1860 exposed to incident X-ray 1850 at different distances from the object 1860. The images may not necessarily be captured simultaneously. The phase may be determined from the images, for example, using algorithms based on the linearization of the Fresnel diffraction integral. FIG. 12 schematically shows a system comprising the semiconductor X-ray detector 100 described herein. The system may be used for medical imaging such as chest X-ray radiography, abdominal X-ray radiography, etc. The system comprises an X-ray source 1201. X-ray emitted from the X-ray source 1201 penetrates an object 1202 (e.g., a human body part such as chest, limb, abdomen), is attenuated by different degrees by the internal structures of the object 1202 (e.g., bones, muscle, fat and organs, etc.), and is projected to the semiconductor X-ray detector 100. The semiconductor X-ray detector 100 forms an image by detecting the intensity distribution of the X-ray. FIG. 13 schematically shows a system comprising the semiconductor X-ray detector 100 described herein. The system may be used for medical imaging such as dental X-ray radiography. The system comprises an X-ray source 1301. X-ray emitted from the X-ray source 1301 penetrates an object 1302 that is part of a mammal (e.g., human) mouth. The object 1302 may include a maxilla bone, a palate bone, a tooth, the mandible, or the tongue. The X-ray is attenuated by different degrees by the different structures of the object 1302 and is projected to the semiconductor X-ray detector 100. The semiconductor X-ray detector 100 forms an image by detecting the intensity distribution of the X-ray. Teeth absorb X-ray more than dental caries, infections, periodontal ligament. The dosage of X-ray radiation received by a dental patient is typically small (around 0.150 mSv for a full mouth series). FIG. 14 schematically shows a cargo scanning or non-intrusive inspection (NII) system comprising the semiconductor X-ray detector 100 described herein. The system may be used for inspecting and identifying goods in transportation systems such as shipping containers, vehicles, ships, luggage, etc. The system comprises an X-ray source 1401. X-ray emitted from the X-ray source 1401 may backscatter from an object 1402 (e.g., shipping containers, vehicles, ships, etc.) and be projected to the semiconductor X-ray detector 100. Different internal structures of the object 1402 may backscatter X-ray differently. The semiconductor X-ray detector 100 forms an image by detecting the intensity distribution of the backscattered X-ray and/or energies of the backscattered X-ray photons. FIG. 15 schematically shows another cargo scanning or non-intrusive inspection (NII) system comprising the semiconductor X-ray detector 100 described herein. The system may be used for luggage screening at public transportation stations and airports. The system comprises an X-ray source 1501. X-ray emitted from the X-ray source 1501 may penetrate a piece of luggage 1502, be differently attenuated by the contents of the luggage, and projected to the semiconductor X-ray detector 100. The semiconductor X-ray detector 100 forms an image by detecting the intensity distribution of the transmitted X-ray. The system may reveal contents of luggage and identify items forbidden on public transportation, such as firearms, narcotics, edged weapons, flammables. FIG. 16 schematically shows a full-body scanner system comprising the semiconductor X-ray detector 100 described herein. The full-body scanner system may detect objects on a person's body for security screening purposes, without physically removing clothes or making physical contact. The full-body scanner system may be able to detect non-metal objects. The full-body scanner system comprises an X-ray source 1601. X-ray emitted from the X-ray source 1601 may backscatter from a human 1602 being screened and objects thereon, and be projected to the semiconductor X-ray detector 100. The objects and the human body may backscatter X-ray differently. The semiconductor X-ray detector 100 forms an image by detecting the intensity distribution of the backscattered X-ray. The semiconductor X-ray detector 100 and the X-ray source 1601 may be configured to scan the human in a linear or rotational direction. FIG. 17 schematically shows an X-ray computed tomography (X-ray CT) system. The X-ray CT system uses computer-processed X-rays to produce tomographic images (virtual “slices”) of specific areas of a scanned object. The tomographic images may be used for diagnostic and therapeutic purposes in various medical disciplines, or for flaw detection, failure analysis, metrology, assembly analysis and reverse engineering. The X-ray CT system comprises the semiconductor X-ray detector 100 described herein and an X-ray source 1701. The semiconductor X-ray detector 100 and the X-ray source 1701 may be configured to rotate synchronously along one or more circular or spiral paths. FIG. 18 schematically shows an electron microscope. The electron microscope comprises an electron source 1801 (also called an electron gun) that is configured to emit electrons. The electron source 1801 may have various emission mechanisms such as thermionic, photocathode, cold emission, or plasmas source. The emitted electrons pass through an electronic optical system 1803, which may be configured to shape, accelerate, or focus the electrons. The electrons then reach a sample 1802 and an image detector may form an image therefrom. The electron microscope may comprise the semiconductor X-ray detector 100 described herein, for performing energy-dispersive X-ray spectroscopy (EDS). EDS is an analytical technique used for the elemental analysis or chemical characterization of a sample. When the electrons incident on a sample, they cause emission of characteristic X-rays from the sample. The incident electrons may excite an electron in an inner shell of an atom in the sample, ejecting it from the shell while creating an electron hole where the electron was. An electron from an outer, higher-energy shell then fills the hole, and the difference in energy between the higher-energy shell and the lower energy shell may be released in the form of an X-ray. The number and energy of the X-rays emitted from the sample can be measured by the semiconductor X-ray detector 100. The semiconductor X-ray detector 100 described here may have other applications such as in an X-ray telescope, X-ray mammography, industrial X-ray defect detection, X-ray microscopy or microradiography, X-ray casting inspection, X-ray non-destructive testing, X-ray weld inspection, X-ray digital subtraction angiography, etc. It may be suitable to use this semiconductor X-ray detector 100 in place of a photographic plate, a photographic film, a PSP plate, an X-ray image intensifier, a scintillator, or another semiconductor X-ray detector. While various aspects and embodiments have been disclosed herein, other aspects and embodiments will be apparent to those skilled in the art. The various aspects and embodiments disclosed herein are for purposes of illustration and are not intended to be limiting, with the true scope and spirit being indicated by the following claims.
050911414
claims
1. In a heat exchanger comprising a bundle of tubes (2) bent into a U shape, each of said tubes having two straight legs whose ends are fixed in holes passing through a tube plate (5) and a curved part (4) joining said two straight legs and, on one side of said tube plate (5), a water box (7) bounded by a face (5a) of said tube plate onto which ends of said tubes (3) open out, a device for inserting and positioning a tool inside a tube (3) comprising, outside said water box (7), a means (10) for pulling and pushing a guide conduit (12) connected to an exit end of said means for pulling and pushing (10), said guide conduit entering said water box (7) and being connected to a device (13) for positioning said guide conduit (12) in concordance with an end of any tube (3) opening out of said tube plate (5) and a transmission member (14) of elongate shape engaged in said means (10) for pulling and pushing to move it inside said guide conduit (12) and a tube (3) of said bundle comprising a component (16) for coupling to said tool (20) at an end of said tool, and wherein said elongate transmission member (14, 20) comprises a central metal cable (31), a flexible peripheral sheath (32) having an external diameter smaller than an internal diameter of a tube of said bundle and a plurality of annular members (33) having an internal diameter larger than an external diameter of said sheath (32) and an external diameter smaller than said internal diameter of a tube of said bundle (3), said annular members being unjoined and being threaded in sequence onto said flexible sheath (32) of said transmission member in a number such that a total length of said annular members (33) when placed end to end is smaller than a length of said flexible sheath (32) by a length which is substantially equal to a length of a single said annular member (33). 2. Device according to claim 1, wherein said annular members (33) are all identical. 3. Device according to claim 2, wherein said annular members (33) consist of tube sections bounded by vertical cross-section planes. 4. Device according to claim 2, wherein said annular members (48) consist of cylindrical tubular components which have one end (49) consisting of a convex spherical surface and an opposite end (50) consisting of a concave spherical surface of a same radius as said convex spherical surface. 5. Device according to claim 1, wherein said annular members (36, 38, 39, 40, 42, 43, 45, 46) are of two different type and are arranged in an alternating manner along the length of said elongate transmission member (30). 6. Device according to claim 5, wherein said annular members (36) of a first type consist of cylindrical tubular components having concave chamfered ends (37) and said annular members of a second type (38) of spherical balls through which a bore passes in a radial direction. 7. Device according to claim 5, wherein said annular members (39) of a first type consist of spherical balls through which a bore passes in a radial direction and said annular members (40) of a second type consist of spherical balls through which a bore passes in a radial direction and comprising, around the central bore, two engaging parts in a shape of a concave spherical cap (41). 8. Device according to claim 5, wherein said annular members (42) of a first type consist of rings comprising a frusto-conical engagement surface (44) on each of their faces and said annular members of a second type consist of tubular components (43) comprising chamfered end parts capable of engaging in facing engagement surfaces (44) of two members (42) of said first type. 9. Device according to claim 5, wherein said annular members (45) of a first type (45) consist of rings comprising a frusto-conical engagement surface (47) of each of the faces and said annular members of a second type (46) consist of spherical balls through which a bore passes in a radial direction. 10. Device according to claim 1, wherein said annular members (33, 36, 38, 39, 40, 42, 43, 45, 46, 48) are made of rigid plastic material.
052992446
abstract
A plurality of fuel rods containing fissile material are arranged in triangle lattices. A plurality of water rods groups are arranged among the fuel rods. Each of the water rods groups includes no fuel rod but a plurality of water rods which are arranged adjacently each other in triangle lattices having substantially same pitch as the fuel rods. The water rods groups are arranged not adjacently each other, and are surrounded with the fuel rods. the outer diameter of the water rod is smaller than the pitch. The fuel assembly is able to suppress increment of pressure loss because of formation of coolant path among adjacent water rods. Further, void reactivity coefficient is able to be small.
abstract
An apparatus and method for automatically loading drums into a drum container, in which, when the drums filled with radioactive waste are loaded into the drum container, the drums are fed to a designated position, and placed at a fixed position, reducing loading time and manpower as well as minimizing radiation exposure risk associated with radioactive waste treatment. The apparatus includes a drum feeder having conveyor modules transferring the drums and a turntable rotating a drum transferred to an orientation where the drum can be gripped, for sequentially loading the drums, a support frame on which a lid of the drum container is placed when the drums are being loaded, and a crane that grips and transfers the drum or the drum container lid.
claims
1. A handling pole for use in a nuclear reactor, the handling pole comprising:a pole section;a pole adapter;an upper sleeve;a spade member;a lower sleeve; anda nut assembly;wherein the pole adapter is connected to a first end of the pole section,wherein the upper sleeve is disposed around the connection of the pole adapter to the first end of the pole section,wherein the spade member is connected to a second end of the pole section,wherein the lower sleeve is disposed around the connection of the spade member to the second end of the pole section,wherein the nut assembly is disposed around the spade member,wherein the nut assembly is configured to connect adjoining poles,wherein the pole adapter is configured to connect the pole section of the handling pole to a spade member of a second handling pole,wherein the spade member is configured to connect the pole section of the handling pole to a pole adapter of a third handling pole, andwherein the pole adapter includes a J-shaped slot that receives and interlocks with a corresponding pin on the second handling pole. 2. The handling pole of claim 1, wherein the upper sleeve surrounds the pole adapter, andwherein the lower sleeve surrounds the spade member and the pole section. 3. The handling pole of claim 1, wherein the upper sleeve is stainless steel, andwherein the lower sleeve is stainless steel. 4. The handling pole of claim 1, wherein the pole adapter is made from an aluminum material,wherein the spade member is made from the aluminum material, orwherein the pole adapter and the spade member are made from the aluminum material. 5. A handling pole for use in a nuclear reactor, the handling pole comprising:a pole section;a pole adapter;an upper sleeve;a spade member;a lower sleeve;a nut assembly; anda pair of stainless steel upper pins welded in the pole adapter;wherein the pole adapter is connected to a first end of the pole section,wherein the upper sleeve is disposed around the connection of the pole adapter to the first end of the pole section,wherein the spade member is connected to a second end of the pole section,wherein the lower sleeve is disposed around the connection of the spade member to the second end of the pole section,wherein the nut assembly is disposed around the spade member,wherein the nut assembly is configured to connect adjoining poles,wherein the pole adapter is configured to connect the pole section of the handling pole to a spade member of a second handling pole,wherein the spade member is configured to connect the pole section of the handling pole to a pole adapter of a third handling pole. 6. The handling pole of claim 1, further comprising:a pair of stainless steel lower pins welded in the spade member and the pole section. 7. The handling pole of claim 1, wherein the spade member includes a stainless steel spade pin to slidably engage into a corresponding J-shaped slot on the third handling pole. 8. The handling pole of claim 7, wherein the spade pin is welded to the spade member at both sides of the spade member. 9. A handling pole for use in a nuclear reactor, the handling pole comprising:a pole section;a pole adapter;an upper sleeve;a spade member;a lower sleeve; anda nut assembly;wherein the pole adapter is connected to a first end of the pole section,wherein the upper sleeve is disposed around the connection of the pole adapter to the first end of the pole section,wherein the spade member is connected to a second end of the pole section,wherein the lower sleeve is disposed around the connection of the spade member to the second end of the pole section,wherein the nut assembly is disposed around the spade member,wherein the nut assembly is configured to connect adjoining poles,wherein the pole adapter is configured to connect the pole section of the handling pole to a spade member of a second handling pole,wherein the spade member is configured to connect the pole section of the handling pole to a pole adapter of a third handling pole, andwherein the nut assembly includes:an upper nut; anda lower nut. 10. The handling pole of claim 9, wherein the lower nut is threaded around the spade member, andwherein the upper nut is threaded around the lower nut. 11. The handling pole of claim 5, wherein the upper sleeve surrounds the pole adapter, andwherein the lower sleeve surrounds the spade member and the pole section. 12. The handling pole of claim 5, wherein the upper sleeve is stainless steel, andwherein the lower sleeve is stainless steel. 13. The handling pole of claim 5, wherein the pole adapter is made from an aluminum material,wherein the spade member is made from the aluminum material, orwherein the pole adapter and the spade member are made from the aluminum material. 14. The handling pole of claim 5, wherein the spade member includes a stainless steel spade pin to slidably engage into a corresponding J-shaped slot on the third handling pole. 15. The handling pole of claim 14, wherein the spade pin is welded to the spade member at both sides of the spade member. 16. The handling pole of claim 9, wherein the upper sleeve surrounds the pole adapter, andwherein the lower sleeve surrounds the spade member and the pole section. 17. The handling pole of claim 9, wherein the upper sleeve is stainless steel, andwherein the lower sleeve is stainless steel. 18. The handling pole of claim 9, wherein the pole adapter is made from an aluminum material,wherein the spade member is made from the aluminum material, orwherein the pole adapter and the spade member are made from the aluminum material. 19. The handling pole of claim 9, wherein the spade member includes a stainless steel spade pin to slidably engage into a corresponding J-shaped slot on the third handling pole. 20. The handling pole of claim 19, wherein the spade pin is welded to the spade member at both sides of the spade member.
abstract
A method for hoisting and transporting assemblies in an underground nuclear power plant, the method including: 1) pouring concrete onto a reactor cavern to form a rock anchor beam; hoisting a circular bridge crane to the reactor cavern through a hoist shaft on a top of the reactor cavern; mounting the circular bridge crane on the rock anchor beam by using a truck crane; 2) installing a containment cylinder and a track beam of a polar crane in the reactor cavern using the circular bridge crane; hoisting a gantry crane on one end of a polar crane girder and sending the polar crane girder to the reactor cavern; hoisting the other end of the polar crane girder using the circular bridge crane; allowing the polar crane girder to be horizontal; and mounting the polar crane girder on the track beam.
050193252
abstract
A method for removing and installing a control rod drive from a drive housing mounted in the vessel of a boiling water reactor. The method comprises having a carriage supported by and movable along the service rails normally present in the undervessel cavity, and a cradle pivotally connected to the carriage and having a length substantially less than the length of a control rod drive. The pivot point between the cradle and the carriage is chosen such that the length of the carriage is subsumed within the length of the cradle when the cradle is pivoted into a horizontal position. The pivoting movement is accomplished by means of a hydraulic cylinder connected between the upwardly swinging end of the cradle. The carriage includes a lifting and lowering mechanism formed by the combination of a leadscrew drive and a hydraulic cylinder. The hydraulic cylinder is connected to the cradle so that it is movable between a recess within the cradle when not in use, to a position directly beneath and in tandem with the control rod drive when in use. The lifting and lowering mechanism can move a control rod drive an installed position within a drive housing without the need for installation of special end effectors or other components.
abstract
In accordance with the invention, there is a method of fabricating a material for transmission electron microscopy comprising removing a first portion from a material having a thickness of (d1) to form a thinned material having a thickness of (d2), contacting the thinned material to a sacrificial layer having a thickness of (s1), and removing a second portion from the thinned material so the thinned material has a thickness of (d3), wherein (d3)<(d2).
044951361
abstract
A blanket assembly for a nuclear reactor core perimeter region having at least two regions within the assembly of different H/U ratios and rod enrichments.
summary
abstract
A canister apparatus, basket apparatus and combinations thereof for transporting and/or storing high level radioactive waste, such as spent nuclear fuel. In one embodiment, the invention can be a basket apparatus for supporting a plurality of spent nuclear fuel rods within a containment structure, the basket apparatus comprising a plurality of disk-like grates, each disk-like grate having a plurality of cells formed by a gridwork of beams; and means for supporting the disk-like grates in a spaced arrangement with respect to one another and so that the cells of the disk-like grates are aligned.
summary
abstract
A method for fabrication of diffractive optics by batch processing is disclosed, having applicability to high resolution ultra-high aspect ratio Fresnel Zone Plates for focusing of X-rays or gamma-rays having energies up to hundreds of keV. An array of precursor forms is etched into a planar substrate. Sidewalls of the forms are smoothed to a required surface roughness. A sequence of alternating layers of different complex refractive index, for binary or higher order diffractive optics, are deposited on the precursor forms by atomic layer deposition (ALD), to provide diffractive line patterns. Thinnest layers may have nanometer thicknesses. After front surface planarization and thinning of the substrate to expose first and second surfaces of the diffractive line patterns of the diffractive optic, the height h in the propagation direction provides a designed absorption difference and/or phase shift difference between adjacent diffractive lines. Optionally, post-processing enhances mechanical, thermal, electrical and optical properties.
summary
060305878
summary
BACKGROUND OF THE INVENTION This invention is born out of a need for a process to destroy the hazardous wastes, including explosives, fuels, propellants, solvents, and other inorganic and organic materials produced by the military and by industry, without the need for polluting the atmosphere, such as do open burning and open detonation. Also required is a disposal means which does not generate or transform the host materials into other compounds whose safety is either unknown or whose disposal is equally as difficult as the Waste treatment companies around the world are confronting decreasing waste disposal options and accompanying rising costs. For example, sludge ocean dumping in the United States was eliminated in 1988, land filling, and incineration is receiving greater scrutiny due to increasingly stringent state and federal regulations, and public sentiment to reduce pollution such as pathogens, heavy metals, methane gas, and a host of other noxious materials has been on the rise for decades. The disappearance of available land fill sites and the tightening of disposal regulations are driving up disposal costs. Incineration, requiring oxidation of waste at high temperatures with high volumes of air, followed by separation of the effluent gases from the produced ash and the entrained particulate matter, becomes involved, complicated. Various methods of destroying waste are being employed today to avoid the use of unacceptable disposal techniques. Sludge refuse, for example, is being treated by lime encapsulation, drying and in-vessel composting and similar technologies. New technologies are being developed to destroy waste or at least render the waste safe for disposal. Among those technologies showing promise is supercritical wet oxidation (SCWO). In recent years, this new method of supercritical water oxidation, has started to be developed. The process achieves substantially complete oxidation of waste by using considerably more compact equipment, thus becoming an excellent candidate for elimination of waste, even on site. Supercritical water oxidation also has the advantage of producing a clean water product suitable for process recycle, thereby facilitating waste minimization Supercritical water oxidation (SCWO) raises the water borne waste to a pressure greater than 3,200 psia, and to temperatures greater than 702.degree. F. Under these conditions, water acts as an excellent solvent for both organic compounds and air. The oxygen in the air oxidizes the organic matter converting them to the harmless inert products of oxidation-carbon dioxide and water. Many researchers have demonstrated the effectiveness and efficiencies of supercritical water oxidation in destroying organic waste demonstrating destruction efficiencies reaching virtually 100%. The solvent properties of supercritical water are different from those of liquid water in that non-polar lipophilic compounds are soluble and salts are less soluble. The majority of hazardous wastes which it is desired to destroy will be soluble in supercritical water. Because complete mixing is possible in the single-phase supercritical region, reaction kinetics are not diffusion limited. In contrast, in two-phase systems, concentration gradients across phase boundaries limit the extent to which compounds can be destroyed. It is expected that the supercritical oxidation of the present invention will result in conversion of hazardous materials to relatively benign substances and/or to substances which are easily treatable such that they are rendered fit for ultimate disposal into the environment. The products of the inventive process are expected to be primarily CO, CO.sub.2, H.sub.2 O, N.sub.2, N.sub.2 O, H.sub.2, and certain salts. Though oxidation in the medium of supercritical water is similar to combustion, it takes place at lower temperatures than incineration and thus is expected to produce only trace amounts of NO.sub.x, thus solving the significant air pollution problem of NO.sub.x emission. Though the process will produce N.sub.2 O, which is a "greenhouse effect" gas, N.sub.2 O is considered to be a much less serious problem than NO.sub.x. In addition, N.sub.2 O in the atmosphere will not tend to form NO.sub.x but will tend to break down into N.sub.2 and O.sub.2. The inventive process is contained and thus its effluents can be completely controlled, in contrast to open burning. Because water is the reaction medium, the process can be used for a variety of wastes containing water or for contaminated water. There appear to be no technological upper or lower limit to the concentrations of waste material which can be destroyed. The process can be applied to difficult problems such as cleaning contaminated soil from a polluted site, destruction of armaments, such as solid fuel in a missile, and various types of radioactive waste. The prior art is replete with means to implement wet oxidation of wastes. Supercritical oxidation is described in U.S. Pat. Nos. 4,338,199, and 4,543,190, issued both to Modell. U.S. Pat. No. 4,822,497, to Hong et al., discloses high destruction efficiencies and is typical of the supercritical water oxidation designs being commercialized. Most systems described in the literature use either pure oxygen or oxygen in air. Others use liquid oxidant such as hydrogen peroxide as taught by Welch et al in U.S. Pat. No. 4,861,497. U.S. Pat. No 5,133,877, to Rofer et al., describes a process for treating waste without the need for an oxidant material. U.S. Pat. No. 5,591,415, to Dassel, et al. describes a pressure vessel containing a reactor whose walls provide a barrier between the harsh chemical environment in the reactor and the pressure vessel itself. However, nearly all of the current approaches to applying this method are continuous feed, or steady-state flow reactors. That is, materials are constantly being added to, and removed from, the reactor, in such a way as to avoid buildup of either reactants or products. These types of reactors are large, expensive and complex. They also tend to be fixed facilities due to their size limiting their most effective deployment to only those sites with major cleanup inventories. What is need therefore is a simpler method for treating much smaller quantities of waste. In particular, a mobile, or semi-mobile, batch reactor is needed for application to widely dispersed or remote waste sites SUMMARY OF THE INVENTION The invention relates to an improved reactor apparatus and method for initiating and sustaining reactions, particularly oxidation reactions in an aqueous media. The invention finds preferable use in the field of supercritical reactor applications, wherein one or more reactants introduced into the reactor's reaction zone of a reactor containing water as a carrier fluid which is heated and pressurized to a supercritical state. In the preferred embodiment of the invention, the reactants comprise one or more hazardous waste materials and a source of oxygen, with supercritical water employed as a carrier fluid for the oxygen. The hazardous waste is to be reduced or neutralized to harmless by-products by an oxidation-reduction reaction. It is an object of the present invention to provide a means and method of utilizing supercritical oxidation to permit highly efficient oxidation of waste materials, particularly hazardous wastes such as explosives. It is another object of the present invention to exploit the reaction conditions of a supercritical reactor to permit system features which dramatically enhance the operating efficiency of a batch reactor. It is still another object of this invention to provide a means and method of utilizing supercritical oxidation for thoroughly and safely eliminating or neutralizing hazardous waste, and in particular, to eliminate waste explosives, propellants, and some otherwise stable organic polymers. It is another object of the invention to provide a supercritical oxidation reactor which utilizes supercritical water whose temperature can be controlled, though the use of external heaters, so as to maintain supercritical conditions within a zone generally near the center of the reactor. It is yet another object of this invention to provide a batch reactor capable of sustaining the extreme temperature and pressures typically encountered with supercritical oxidation reactions while maintaining the reactor wall temperatures much below those of supercritical conditions. It is another object of the invention to provide a reactor which is small and easily transportable and one which does not require high pressure pumps, compressors, valves, heat exchangers or other process equipment.
042645409
summary
BACKGROUND TO THE INVENTION This invention relates to the production of nuclear fuel pellets. Nuclear fuel pellets may be produced from powdered oxides of uranium, plutonium or thorium, or mixtures of these oxides, by granulation of the powders followed by pressing of the granules into compacts and sintering the compacts. Additives are often mixed with the oxide powders and for a variety of reasons for example, to control the porosity of the sintered pellets, to act as a binder for the compacts or to aid sintering. Additives may also be used to control grain size in the sintered pellets, particularly to increase it, and this is now considered desirable because it increases gas atom diffusion length and, hence, reduces gaseous fission product release from the fuel pellets during irradiation. STATEMENT OF THE INVENTION According to the invention in the production of nuclear fuel pellets consisting essentially of oxides of uranium, or mixtures of these oxides with oxides of thorium or plutonium, formed into compacts and sintered, niobium pentoxide is included in the oxide compact in sufficient quantity to encourage grain growth in the oxide compact during sintering, and the sintering and other process parameters are adjusted so that no impurities are trapped in the sintering pellets which would prevent a high matrix density and grain size being obtained. Retention of some impurities, notably carbon, which form gaseous compounds on reacting within the pellets, leads to the development of porosity within the pellets which will prevent high matrix density being attained, reduces the grain size which is achievable and may lead to pellet fragmentation. Since niobium pentoxide as well as being a grain growth promoter also increases the sintering rate the time before closure of pores during sintering may be insufficient for impurity removal and although complete elimination of the impurity is not essential removal down to very low levels is desirable, say less than ten parts per million by weight. The sintering rate and the impurity removal process may be controlled by control of the sintering atmosphere, in particular the oxygen potential and the heating rate during sintering. The level at which the oxygen potential of the sintering atmosphere is controlled may affect both matrix density and grain size, and also the reproducibility of results. The extent of the effect depends not only on the absolute oxygen potential but on the density of compacts before sintering, the size of the compacts and the heating rate during sintering, indicating an impurity trapping mechanism is operating. It is an important effect of the addition of niobium pentoxide in accordance with the invention that it does not significantly increase the diffusion rate of the fission products, notably the gases, in the ceramic matrix of the fuel pellets. Such an increase would, of course, offset the advantage which can be achieved by larger grain sizes. With hydrogen as the sintering atmosphere the oxygen potential may be supplied by water vapour or by carbon dioxide (which leads to the production of water vapour).
046684662
summary
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates generally to fuel assemblies for nuclear reactors and, more particularly, is concerned with an apparatus and method for measuring the spring force imposed on a fuel rod when disposed through a cell in the support grid of the fuel assembly. 2. Description of the Prior Art In most nuclear reactors, the reactor core is comprised of a large number of elongated fuel assemblies. Conventional designs of these fuel assemblies include a plurality of fuel rods held in an organized array by a plurality of grids spaced axially along the fuel assembly length and attached to a plurality of elongated control rod guide thimbles of the fuel assembly. Top and bottom nozzles on opposite ends of the fuel assembly are secured to the guide thimbles which extend slightly above the below the ends of the fuel rods. The grids as well known in the art are used to precisely maintain the spacing between the fuel rods in the reactor core, prevent rod vibration, provide lateral support for the fuel rods, and, to some extent, frictionally retain the rods against longitudinal movement. Conventional designs of grids include a multipicity of interleaved straps having an egg-crate configuration designed to form cells which individually accept the fuel rods and control rod guide thimbles. The cells of each grid which accept and support the fuel rods at a given axial location therealong typically use relatively resilient springs and relatively rigid protrusions (called dimples) formed into the metal of the interleaved straps. The springs and dimples of each grid cell frictionally engage or contact the respective fuel rod extending through the cell. Additionally, outer straps are attached together and peripherally enclose the grid straps to impart strength and rigidity to the grid. In view that the opeation of the reactor core involves irradiation of the fuel rods, it is desirable that the supporting forces imposed by the grid on the fuel rods fall within such a range that the grid adequately supports the fuel rods but does not promote lengthwise distortion thereof over time. Also, in view that the grids are irradiated along with the fuel rods they support, the grids inherently degrade somewhat over time as a result. In particular, notwithstanding improvements in grid construction, such as illustrated and described in U.S. Pat. No. 4,474,730 issued Oct. 2, 1984 and assigned to the assignee of the present invention, over the life of the fuel assembly the metal of the interleaved straps forming the grid, and thereby the springs and dimples formed therein, are subject to stress relaxation due to irradiation. In light of the above conditions, it is necessary to initially carry out grid spring force measurements during the manufacture of the grid. Then, although the strap material does not ordinarily suffer a significant loss of resiliency when exposed to intense and prolonged irradiation, it is also desirable to carry out periodic checks of the forces imposed by the springs on the fuel rods during the life of the grid in order to monitor and detect the degree of degradation of the grid in terms of its ability to continue to provide adequate fuel rod support. One present technique for initially measuring grid forces during manufacture is to select a typical spring taken from a strip of formed strap material and characterize it by force/deflection curves. Other techniques include the use of a solid bar acting on a grid spring in a grid assembly to provide similar force/deflection curves which take into account some of the spring/cell boundary conditions. From the above techniques, grid spring forces for a given size fuel rod are interpolated from a set of curves based on statistically averaged values. However, with these techniques it is not possible to evaluate by direct means the interaction of the dimples and strap joints opposing the forces imposed by the grid spring, nor the flexibility of the grid strap as an individual cell wall of a total grid assembly containing numerous cells. Another techniques for measuring grid spring forces is illustrated and described in U.S. Pat. No. 4,246,783 to Steven et al. The Steven et al measuring device uses a flexible beam on the force measuring plug having a diameter equal to the diameter of the fuel rod to be inserted into the spacer gird. A strain gage is disposed on the flexible beam and electrically picks up bending of the flexible beam as the device is inserted into the grid cell. However, it is necessary to have available different plugs with the proper dimensions for measuring different sizes of fuel rod diameters and different spacer geometries. Consequently, a need exists for a technique to measure grid spring forces in a manner which more nearly replicates real-life conditions, that is to say, the actual forces experienced by fuel rods as they are supported within the cells of the grid by the springs and dimples and to be able to carry out such measurements with relative ease, speed and accuracy, SUMMARY OF THE INVENTION The present invention provides a grid cell spring force measurement apparatus and method designed to satisfy the aforementioned needs. The apparatus of the present invention includes a pair of hinged bars being adaptable to simulate fuel rods of different outside diameter sizes. The apparatus further utilizes a strain gauge attached to one of the bars to sense the force applied to the spring and electrical contacts on the bars which go from a "closed" to "open" condition at the instance the applied force becomes marginally greater than the spring reaction force causing the spring to deflect. Further, the apparatus electrically records the strain gauge reading at this instance and hence provides a determination of the spring force for the preselected fuel rod outside diameter. By repeating the procedure for different preset dimensions of the pair of bars of the apparatus, the spring rate of the spring/dimple cell system in the grid can be determined. In such manner, rapid readout of forces is obtained with minimum apparatus setup requirements and thus individual grid cells can be characterized with repeatability, speed and accuracy not previously attainable using prior techniques. Accordingly, the present invention is directed to an apparatus and method for measuring the spring force imposed on a fuel rod when disposed through a cell in a support grid of the fuel assembly which contains at least one spring-like element, comprising the operative steps of: (a) generating an increasing force at a first location external of the grid cell; (b) transferring the increasing force from the first location and applying the increasing force at a second location displaced from the first location and internal of the grid cell to the spring-like element disposed in the cell; and (c) measuring the level of the increasing force at the instance the application of the force causes deflection of the spring-like element to occur. More particularly, the spring force measurement apparatus, comprises (a) a pair of front and rear elongated members, each having a mid-section and upper and lower end portions extending in opposite directions from the mid-section, the members being pivotally connected together at their mid-sections such that as the upper end portions of the members being juxtaposed in spaced apart relation to one another are moved toward and away from each other the lower end portions of the members also being juxtaposed in spaced apart relation to one another are moved away from and toward each other; (b) means disposed on the lower end portion of at least one of the members and being operable to coact with the lower end portion of the other of the members to preset a minimum displacement between the members at the respective lower end portions thereof and thereby a minimum combined cross-sectional dimension of the members at their lower end portions such that when the lower end portions of the members are inserted into a grid cell they simulate a fuel rod disposed through the cell having a predetermined outside diameter; (c) means coupling the upper end portions of the members together and being operable to apply a progressively increasing force so as to draw the upper end portions toward one another and thereby, via the pivotal connection of the members, push the lower end portions apart from one another when deflection of the spring-like element positioned within the grid cell in engagement with at least one of the lower end portions of the members occurs; (d) means coupled to at least one of the members for sensing the level of the increasing force being applied to the spring-like element within the grid cell; (e) means coupled to at least one of the members for sensing when the deflection of the spring-like element occurs; and (f) means coupled to the force level sensing means and the spring deflection sensing means for indicating the level of force at the instance the deflection of the spring-like element occurs. Still further, the measuring apparatus comprises an adjustable stop attached to one of the members for engaging the grid so as to provide correct positioning of the lower end portions of the members in the grid cell for application of the increasing force to the spring-like element in the cell. Also, the measuring apparatus includes guide means coupled between the upper end portions of the elongated members to assist in maintaining alignment of the members with one another as they are pivotally moved relative to one another. Finally, the measuring apparatus has limit means connected to the upper end portion of one of the elongated members and extending transversely toward the upper end portion of the other of the elongated members for engagement therewith upon relative pivotal movement of the elongated members toward one another, the limit means being adjustable for presetting the minimum displacement between the upper end portions of the elongated members and thereby defining a maximum force which can be applied at the lower end portions of the elongated members to the sprng-like element in the grid cell. These and other advantages and attainments of the present invention will become apparent to those skilled in the art upon a reading of the following detailed description when taken in conjunction with the drawings wherein there is shown and described an illustrative embodiment of the invention.
062051962
summary
BACKGROUND OF THE INVENTION The present invention relates to a reactor core and a control rod system of a boiling water type nuclear reactor for power generation. A core of an advanced boiling water type nuclear reactor (hereunder, referred to as ABWR) which is the newest of the boiling water type nuclear reactors used for power generation is constructed of fuel assemblies 2 arranged in a lattice form and control rods 4 between the fuel assemblies 2, as shown in FIG. 8. The fuel assemblies 2 each are constructed of a plurality of fuel rods and a channel box 1. The control rods 4 are used for reactivity control at time of operation, emergency shutdown of the reactor (scram) and reactor shutdown. The control rods 4 are inserted between channel boxes which are outer walls of the above-mentioned fuel assemblies 2 by a driving mechanism arranged at a lower portion of the nuclear reactor pressure vessel. FIGS. 9 and 10 show a construction of a control rod 4. The control rod 4 is formed of a body and control rod blades 3 extending from the body in 4 directions. Each control rod blade 3 has neutron absorbers 8 inserted therein, and the control rod blades 3 are inserted inside the core to absorb excessive neutrons, thereby to control excessive reactivity. JP A 6-174874 discloses a technique that a fuel assembly is large-sized while maintaining thermal margin and reactor shutdown margin, whereby the fuel assemblies loaded in the core are made large in size and the number of the fuel assemblies is reduced, thereby to effect labor-saving for fuel exchange. As shown in FIG. 11B, in a large-sized fuel assembly core, positions of the control rods 4 are the same as in the conventional lattice core, but the control rods are rotated by 45.degree. and the large-sized fuel assemblies are arranged inside the control rods. Therefore, the large-sized fuel assembly corresponds to 2 conventional fuel assemblies. Further, form a point of view of securing a reactor shutdown margin, the blades 3 of the control rod are made large-sized, and arranged between the channel boxes 1 on the diagonal of the fuel assemblies. However, the above-mentioned conventional technique has the following problems which need to be solved. That is, although the number of fuel assemblies is reduced by making the fuel assemblies into a large size, the number of control rods is nearly equal to that in a conventional plant. In order to sufficiently secure a shutdown margin of the large-sized fuel assemblies, the blade length of the control rod is increased, whereby a cost of a control rod is raised, so that the plant as whole becomes high in cost. Further, in order to advance making higher the burning degree and the saving of Uranium, an amount of loaded fuel and the number of Gd fuel rods increase and a reactor shutdown margin decreases. SUMMARY OF THE INVENTION An object of the present invention is to provide a boiling water type nuclear reactor core which is provided with control rods enabling to secure sufficient control rod worth without widely changing equipment and making higher a manufacturing cost and an operation method thereof. According to the present invention, in order to achieve the above object, in a boiling water type nuclear reactor core in which a plurality of fuel assemblies each enclosed in a channel box are loaded and a plurality of control rods each having control blades are arranged between the channel boxes, long blade control rods each having control rod blades which extend in 4 directions latitudinal are arranged between channel boxes on diagonals of square bundle regions each formed by a plurality of fuel assemblies, and short blade control rods each having a control rod blade length in a latitudinal direction of about one half of the width of a square bundle region are arranged between the channel boxes in the center of each of the square bundle regions. According to the present invention, in the long blade control rod, a region in which the long blade control rod covers the fuel assembles increases by an increment in the blade latitudinal length, whereby the control rod worth as a single control rod increases. Accordingly, since the control rod itself becomes large in size, there is left a problem of securing a reactor shutdown margin in a case where one control rod can not be inserted. To solve the problem, change in the reactivity when one of the long blade control rods is pulled out is analytically obtained. FIGS. 3A and 3B each show an uncontrollable region of fuel assemblies when one 6, 4 of the control rods was pulled out, in comparison with the conventional lattice. In FIGS. 3A, 3B, the control rod 6, 4 which was pulled out in this example corresponds to a stuck control rod (failed to be inserted) or a control rod pulled out by mistake. These cases are substantially the same as each other from a viewpoint of reactor shutdown margin Since the large-sized lattice fuel assembly of the present invention corresponds to four of the conventional fuel assemblies and the large-sized fuel assembly is divided into 4 blocks (hereunder, referred to as mini bundles), that is, since a square bundle region defined by latitudinal long control rod blades is constituted of 4 of the large-sized fuel assemblies and each large-sized fuel assembly is divided into the four mini-bundles, an uncontrollable region by the control rods is shown on the mini bundles by half tone expression. Numbers 1, 2, 3, 4 given on each mini-bundle express fuel at the first cycle, the second cycle, the third cycle and the fourth cycle after loading. An example of a fuel loading pattern is shown by the numbers 1-4. This pattern is an example of a practical fuel arrangement in view of the following point. Rearrangement of the mini-bundles forming a fuel assembly is not conducted to avoid an increase in labor for fuel exchange; and concentration of bundles of the same cycle (under a severe condition) around one control rod should be avoided. In the conventional lattice, an uncontrollable region is a rhombic region including the control rod. The present invention, however, includes 4 small rhombic regions (shown by half-tone) other than the above-mentioned rhombic region, and the shutdown margin seems to be reduced. However, since the small rhombic regions are surrounded by latitudinal short blade control rods 7 adjacent thereto and latitudinal long blade control rods 6, it is expected that the regions are influenced by those short control rod blades and long control rod blades and the effect of reduction of reactor shutdown margin is small in the regions. According to the analytic result, compared with the conventional lattice, a reduction amount of the reactor shutdown margin in the present invention is 1%.DELTA.k or less, the reactor shutdown margin is almost the same as the conventional lattice, and it is found that the reactor shutdown margin can be secured. Therefore, use of the latitudinal long blade control rod enables increase in control rod worth as a single rod, so that the number of the control rods and the number of control rod driving devices can be reduced largely by the number corresponding to an increment of the control rod worth and a cost can be reduced. Further, the control system can be simplified by reduction of both the number of the control rods and the number of the control rod driving devices.
053533232
summary
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to an X-ray exposure apparatus which exposes a semiconductor wafer or the like using X-rays that are generated by the radiation of a synchrotron (SOR) or electron-ray excitation, or X-rays that are generated by plasma or the like. 2. Description of the Related Art Recent semiconductor devices are designed with higher integration so that the minimum line width of the pattern of a VLSI (Very Large Scale Integration) circuit reaches the order of submicrons. Exposure apparatuses, which are used in fabricating VLSI devices, expose a semiconductor wafer or the like using X-rays that are generated by the radiation of a synchrotron (SOR) or electron-ray excitation, or X-rays that are generated by plasma or the like. Such an X-ray exposure apparatus is designed in such a way that X-rays, which are generated from an X-ray source placed in vacuum and are led into a chamber filled with gaseous helium through a window formed by a beryllium foil, transfers a mask pattern on a wafer, placed in the air, through an X-ray mask. Since the attenuation of X-rays is significant in this X-ray exposure apparatus, it is necessary to keep the low-attenuation atmosphere for X-rays (helium atmosphere) at high purity. As the X-ray mask are very thin, the difference between the pressure in the chamber and the atmospheric pressure should be controlled at high precision in order to prevent those members from being deformed or damaged. A helium chamber for an X-ray exposure apparatus, as disclosed in Jpn. Pat. Appln. KOKAI Publication No. 1-181518 and Jpn. Pat. Appln. KOKAI Publication No. 1-181521, has been proposed as one conventional technique of controlling the chamber pressure. In this conventional technique, the difference between the chamber pressure and atmospheric pressure can be controlled to about +3 mmH.sub.2 O or +0.2 mmHg due to the difference in specific weight between H.sub.2 O and Hg being 1:13.6). However, the present inventors have conducted various experiments and simulation and found that with the difference between the chamber pressure and atmospheric pressure being 0.2 mmHg, a typical X-ray mask, e.g., an X-ray mask having a membrane thickness of 1 .mu.m and a size of about 25 mm on each side, causes deformation of about 15 .mu.m. In the X-ray exposure apparatus, it is considered to perform a close exposure with the gap between the mask and the wafer being set to about 30 .mu.m. If the mask deforms 15 .mu.m for the 30-.mu.m gap, accurate exposure will not be accomplished. In a pressure control of about 0.2 mmHg, as described above, since the amount of mask deformation is too large, an accurate exposure cannot be realized. For this reason, finer control on the pressure difference is demanded. Also, in a case of monitoring oxygen concentration, if the oxygen concentration in the chamber is measured by an oxygen monitor with a suction pump for sucking sample gas, the pressure in the chamber varies. For this reason, it is difficult to satisfy the above demand for the pressure control. SUMMARY OF THE INVENTION It is therefore an object of the present invention to provide an X-ray exposure apparatus which will control the pressure in a chamber at high precision. It is another object of the present invention to provide an X-ray exposure apparatus which will substantially eliminate the difference between the chamber pressure and atmospheric pressure. To achieve the foregoing objects, according to one aspect of this invention, there is provided an X-ray exposure apparatus comprising a chamber, filled with an x-ray low attenuation gas, for guiding X-rays, generated from an X-ray source, to an X-ray window; a gas supplying portion, provided to supply an X-ray low attenuation gas into the chamber and having a portion with a small-diameter passage cross section formed at least at a part thereof; a gas discharging portion, provided to discharge gas from the chamber and having a portion with a small-diameter passage cross section formed at least at a part thereof; and flow-rate control means for controlling a flow rate of the gas to be supplied to the gas supplying portion to thereby control pressure in the chamber, whereby pressure in the chamber is made equal to or slightly higher than atmospheric pressure by setting the small-diameter portion of the gas supplying portion smaller than that of the gas discharging portion. According to another aspect of this invention, there is provided an X-ray exposure apparatus comprising a chamber, for guiding X-rays, generated from an X-ray source, to an X-ray attenuation atmosphere from an X-ray window to a mask; a gas supplying portion for supplying an X-ray low attenuation gas into the chamber; and a gas discharging portion, provided to discharge gas from the chamber and having a gas outlet port formed at substantially the same height as a mask for making pressure in the chamber substantially equal to atmospheric pressure. Additional objects and advantages of the invention will be set forth in the description which follows, and in part will be obvious from the description, or may be learned by practice of the invention. The objects and advantages of the invention may be realized and obtained by means of the instrumentalities and combinations particularly pointed out in the appended claims.
summary
abstract
Exemplary embodiments provide automated nuclear fission reactors and methods for their operation. Exemplary embodiments and aspects include, without limitation, re-use of nuclear fission fuel, alternate fuels and fuel geometries, modular fuel cores, fast fluid cooling, variable burn-up, programmable nuclear thermostats, fast flux irradiation, temperature-driven surface area/volume ratio neutron absorption, low coolant temperature cores, refueling, and the like.
046481060
abstract
A controlled flow of X-ray attenuating gas such as helium is provided to an upper portion of a beam exposure chamber. A vent tube (21) extends from a lower portion of the chamber adjacent a mask to an exterior exit orifice (23) positioned at mask level to prevent ingress of air to the chamber and prevent mask membrane deflecton and change in the mask-to-silicon wafer substrate gap distance. The substrate (20) is positioned below the mask membrane and is surrounded by a mask-to-wafer zone into which is flowed a substrate fabrication process gas which is vented either by a gas flange (25) in spaced gapped relation to the mask holder and mask, or by a vent tube (46) extending from the zone to an orifice end (46a) approximate the level of the mask. There is then no pressure differential on the top and bottom surfaces of the mask membrane affecting the mask-to-wafer gap distance (8) during substrate fabrication operations.
abstract
A projection objective provides a light path for a light bundle from an object field in an object plane to an image field in an image plane. The projection objective includes a first mirror (S1), a second mirror (S2), a third mirror (S3), a fourth mirror (S4), a fifth mirror (S5), a sixth mirror (S6), a seventh mirror (S7), and an eighth mirror (S8). The light bundles includes light with a wavelength in a range of 10-30 nm. The light is provided via the eight mirrors, and in the light path exactly one intermediate image of the object field is provided.
052788776
abstract
A process for dismantling equipment that is buried, unsheltered, at risk of contamination and possibly is irradiating, and a vessel for implementing this process. According to the invention, buried equipment at risk of contamination, for example, an off-line effluent pipe, is dismantled in sections under cover of a movable vessel (124) placed above the section to be dismantled (12, 14). The invention also concerns a vessel (124) that has a self-supporting structure built around a deck (126) equipped with an elongated central opening (148) giving access to the section to be dismantled (12, 14).
052876764
claims
1. A device for handling liquid radioactive waste, comprising: a main heater for heating and drying liquid radioactive waste being poured into a container; a pallet for receiving the container; a ground vehicle for transporting said pallet; and a supplementary heater being part of said pallet. 2. The device according to claim 1, wherein said pallet is formed of metal. 3. The device according to claim 1, wherein said ground vehicle is a hovercraft transporter having a compressed-air-actuated friction wheel for moving said hovercraft transporter along a floor. 4. The device according to claim 3, including means for driving said hovercraft transporter with externally generated compressed air. 5. The device according to claim 3, wherein said hovercraft transporter has an operating panel. 6. The device according to claim 4, wherein said hovercraft transporter has an operating panel. 7. The device according to claim 1, wherein said supplementary heater is disposed on said pallet for heating a bottom of the container, and said main heater has a movable heating mantle to be applied to a side wall of the container. 8. The device according to claim 7, including a drying station, said heating mantle having two shells being movable in a horizontal plane and being mounted in said drying station for fitting said pallet between said shells in a swiveled-open position of said shells. 9. The device according to claim 1, including two drying stations being disposed next to one another, and another pallet, each of said pallets being disposed at a respective one of said drying stations and being served by said ground vehicle. 10. The device according to claim 1, including a drying station having a filling adapter to be mounted at an opening in a lid of the container. 11. The device according to claim 10, wherein said filling adapter includes an inlet tube, a fill level gauge, and a suction apparatus for vapors. 12. The device according to claim 1, including a drive for transporting at least parts of lids, and a motor-actuatable screwing apparatus associated with said drive, for sealing the container. 13. The device according to claim 12, wherein said drive and said screwing apparatus are actuatable with the same driving energy. 14. The device according to claim 12, wherein said drive and said screwing apparatus are actuatable with compressed air. 15. The device according to claim 12, wherein said drive is an actuating drive.
description
This application is a divisional of U.S. application Ser. No. 13/768,600, filed Feb. 15, 2013, which claims priority from Japanese Patent application serial no. 2012-037424, filed on Feb. 23, 2012, the disclosures of which are expressly incorporated by reference herein. [Technical Field] The present invention relates to a nuclear power plant and more particularly to a nuclear power plant applicable to a boiling water nuclear power plant having comparatively low thermal power. [Background Art] In the nuclear power plant (for example, the boiling water nuclear power plant), even after the operation stop, it is necessary to supply cooling water to a core in a reactor pressure vessel and cool a plurality of fuel assemblies loaded in the core to remove decay heat generated in a nuclear fuel material included in the fuel assemblies. Generally, after the operation stop of the nuclear power plant, a part of the cooling water in the reactor pressure vessel is discharged into a pipe connected to the reactor pressure vessel, and the discharged cooling water is cooled by heat-exchanging it with seawater in a heat exchanger connected to the pipe, and is returned to the reactor pressure vessel through a return pipe of the cooling water that is cooled. As mentioned above, after the operation stop of the nuclear power plant, the cooling water in the reactor pressure vessel is heat-exchanged with seawater, thus the decay heat of the nuclear fuel material is removed. Such a nuclear power plant uses a motor-driven pump to supply the cooling water in the reactor pressure vessel to the heat exchanger and supply seawater to the heat exchanger, and electricity for driving the motor-driven pump is necessary to remove the decay heat after the stop of the nuclear power plant. When an abnormal event of external power loss occurs at the time of stop of the nuclear power plant, an emergency generator is driven, and the motor-driven pump is driven, and the decay heat when the nuclear power plant is not in operation is removed. A reactor cooling system where, when a loss-of-coolant accident occurs, the safety of the core can be ensured by forces of nature without using a dynamic device and both removal of the decay heat in the reactor and a water injection function are achieved by a same facility, is proposed in Japanese Patent Laid-Open No. 62(1987)-182697. In this reactor cooling system, a tank including a body filled with water disposed at a higher position than the reactor pressure vessel and a pipe passing through longitudinally in the body is disposed at a higher position than the reactor pressure vessel. At the time of a loss-of-coolant accident, the steam in the reactor pressure vessel is discharged and condensed in the water in the body and the water in the body is injected into the reactor pressure vessel. At the time of an anticipated operational occurrence that a main condenser cannot be used due to a turbine trip, the steam in the reactor pressure vessel is introduced into the pipe and is cooled by the water in the body, and the steam is condensed in the pipe by this cooling and the generated condensed water is injected into the reactor pressure vessel. In the reactor cooling system described in Japanese Patent Laid-Open No. 62(1987)-182697, it is possible to cool the steam in the reactor pressure vessel and inject the condensed water into the reactor pressure vessel by gravity both in a loss-of-coolant accident and at an anticipated operational occurrence. Japanese Patent Laid-open No. 2011-58866 describes a nuclear power plant having a reactor isolation condenser for cooling the fuel assemblies in the core when a station blackout occurs and the reactor enters an isolation state, and a gravity-driven cooling system. The reactor isolation condenser is provided with a condenser pool disposed above the reactor pressure vessel for storing cooling water, a condenser heat exchanger installed in the cooling water in the condenser pool, a steam supply pipe connected into a steam space in the reactor pressure vessel and connected to the condenser heat exchanger, and a condensed-water return pipe connected to the condenser heat exchanger, and the reactor pressure vessel. The gravity-driven cooling system is provided with a gravity-driven cooling system pool which is disposed above the core in the reactor pressure vessel and is filled with cooling water, and an injection pipe for connecting the gravity-driven cooling system pool and the reactor pressure vessel. When a station blackout occurred and the reactor entered an isolation state, the steam in the reactor pressure vessel is introduced to the condenser heat exchanger through the steam supply pipe and is condensed by the cooling water in the condenser pool. The condensed water generated by the condensation is returned to the reactor pressure vessel through the condensed-water return pipe. The cooling of the core in the reactor pressure vessel is enabled by the reactor isolation condenser even if a station blackout occurs and the reactor enters an isolation state. Further, when a loss-of-coolant accident occurs, the cooling water in the gravity-driven cooling system pool is supply to the core through the injection pipe. [Patent Literature 1] Japanese Patent Laid-Open No. 62(1987)-182697 [Patent Literature 2] Japanese Patent Laid-open No. 2011-58866 In the reactor isolation condenser and the gravity falling reactor cooling system which are described in Japanese Patent Laid-Open No. 2011-58866, even when a station blackout occurs, the cooling of the core in the reactor pressure vessel is enabled. The reactor cooling system described in Japanese Patent Laid-Open No. 62(1987)-182697 can inject condensed water into the reactor pressure vessel by gravity and if a valve installed on each pipe for connecting the reactor pressure vessel and tank is structured so as to open and close by a battery, the cooling of the core in the reactor pressure vessel is enabled even if a station blackout occurs. However, an isolation condenser described in Japanese Patent Laid-Open No. 62(1987)-182697, as described above, can perform non-power operation, though the cooling water quantity filled in the pool is limited, so that to continuously cool the core in the reactor pressure vessel for a long period of time, cooling water needs to be supplied from the outside of the nuclear power plant. An object of the present invention is to provide a nuclear power plant capable of cooling a core over a longer period of time without supplying cooling water from outside of a nuclear power plant. A feature of the present invention for accomplishing the above object is a nuclear power plant comprising a first reactor containment vessel internally having a dry well and a pressure suppression chamber mutually isolated, the pressure suppression chamber forming a suppression pool being filled with cooling water; a reactor pressure vessel disposed in the dry well in the first reactor containment vessel; a second reactor containment vessel surrounding the first reactor containment vessel and forming a cooling water pool being filled with cooling water at a bottom, the cooling water pool in the second reactor containment vessel adjoining the suppression pool with the first reactor containment vessel intervening between these pools; a steam discharge apparatus; and a reactor cooling apparatus, wherein the steam discharge apparatus has a steam discharge pipe connected to the reactor pressure vessel and immersed in the cooling water in the suppression pool, and a first open/close valve installed in the steam discharge pipe; and the reactor cooling apparatus has an evaporator installed in the reactor pressure vessel for evaporating a cooling medium, a condenser disposed above the cooling water pool between the first reactor containment vessel and the second reactor containment vessel for condensing steam of the cooling medium generated by the evaporator, a first pipe path connected to the evaporator and the condenser by passing through a side wall of the reactor pressure vessel and a side wall of the first reactor containment vessel, the first pipe path introducing the steam of the cooling medium generated in the evaporator, a second pipe path connected to the condenser and the evaporator by passing through the side wall of the reactor pressure vessel and the side wall of the first reactor containment vessel, the second pipe path introducing a liquid of the cooling medium generated in the condenser to the evaporator, and a second open/close valve installed in either the first pipe path or the second pipe path. It is preferable that the nuclear power plant is provided with a water injection apparatus having a cooling water vessel being filled with cooling water, a cooling water injection pipe connected to the cooling water vessel and the reactor pressure vessel, and an injection valve installed on the cooling water injection pipe. According to the present invention, the cooling of the core can be executed over a longer period of time without supplying cooling water from the outside of the nuclear power plant. The decay heat generated by a nuclear fuel material included in a fuel assembly loaded in the core in the reactor pressure vessel after stop of a nuclear power plant becomes initially large due to a short-half-life radionuclide included in the nuclear fuel material as shown in FIG. 2, and is slowly reduced in process of time because the short-half-life radionuclide is reduced in process of time from the stop time of the nuclear power plant. Based on this result, the inventors concluded that it is desirable to remove the initial decay heat after the stop of the nuclear power plant using water having latent heat due to phase change and a high heat capacity and, in the long run, construct a hybrid type reactor cooling system for removing the heat continuously by air. This will ensure that, when the power of the nuclear power plant is lost, the reactor can be cooled continuously over a long period of time without support from the outside of the nuclear power plant such as supply of cooling water. The embodiments of the present invention reflecting the aforementioned study results will be explained below. [Embodiment 1] A nuclear power plant which is a preferred embodiment of the present invention will be explained by referring to FIG. 1. A nuclear power plant 1 of the present embodiment is a boiling water nuclear power plant and is provided with a reactor pressure vessel 2 having a core (not shown) disposed in the reactor pressure vessel 2 and loaded with a plurality of fuel assemblies (not shown), a reactor containment vessel 3 (hereinafter referred to as a first reactor containment vessel) made of steel, a water injection apparatus 9, and a reactor cooling apparatus 14. The reactor pressure vessel 2 is disposed in a dry well 4 of the reactor containment vessel 3. The reactor containment vessel 3 includes the dry well 4 and a pressure suppression chamber 5 isolated from the dry well 4. In the pressure suppression chamber 5, a suppression pool 6 filled with cooling water is formed. An opening formed at a lower end portion of a vent path (not shown) with an upper end portion communicated with the dry well 4 is immersed in cooling water of the suppression pool 6. A steam discharge pipe 20 connected to the reactor pressure vessel 2 and having an open/close valve 21 reaches inside the pressure suppression chamber 5. An opening formed at a lower end portion of the steam discharge pipe 20 is immersed in the cooling water of the suppression pool 6. The steam discharge pipe 20 having an open/close valve 21 structures a steam discharge apparatus. The open/close valve 21 is opened or closed by supply of electricity from a normal power source (or an outside power source and an emergency power source). In preparation for loss of these power sources, the open/close valve 21 is connected to a battery 13C with a wire via a first switch (not shown). The reactor containment vessel 3 is installed in a reactor containment vessel (or a reactor building) 7 (hereinafter referred to as a second reactor containment vessel). In the second reactor containment vessel 7 and on a bottom thereof, a cooling water pool 8 filled with cooling water is formed. The cooling water pool 8 adjoins the suppression pool 6 with the first reactor containment vessel 3 intervening therebetween. An air supply pipe 30 provided with an open/close valve 31 is installed on a side wall of the second reactor containment vessel 7 above a liquid surface of the cooling water pool 8 and below a condenser 16. A plurality of air supply pipes 30 provided with the open/close valve 31 as necessary are attached to the side wall of the second reactor containment vessel 7 at intervals in the circumferential direction of the second reactor containment vessel 7. An air discharge pipe 32 provided with an open/close valve 33 is attached to a ceiling of the second reactor containment vessel 7. The water injection apparatus 9 has a water tank 10 filled with cooling water, an injection valve 11, and an injection pipe 12. The injection pipe 12 provided with the injection valve 11 connects the water tank 10 and the reactor pressure vessel 2. The injection valve 11 is opened or closed by supply of the electricity from the normal power source (or the outside power source and the emergency power source). In preparation for loss of these power sources, the injection valve 11 is connected to a battery 13A with a wire via a second switch (not shown). The reactor cooling apparatus 14 has an evaporator 15 including heat exchanger tubes, the condenser 16 including heat exchanger tubes, a steam pipe (a first pipe path) 18, and a liquid pipe (a second pipe path) 19. The evaporator 15 and the condenser 16 are a kind of heat exchanger. In the nuclear power plant 1 shown in FIG. 1, a plurality of reactor cooling apparatuses 14 are installed, though only one of them is shown. The evaporator 15 is disposed in the reactor pressure vessel 2 and the condenser 16 is disposed in an inner space 22 in the second reactor containment vessel 7 outside the first reactor containment vessel 3. The inner space 22 is formed between the first reactor containment vessel 3 and the second reactor containment vessel 7. The steam pipe 18 connects each outlet of the heat exchanger tubes of the evaporator 15 and each inlet of the heat exchanger tubes of the condenser 16 and the liquid pipe 19 connects each outlet of the heat exchanger tubes of the condenser 16 and each inlet of the heat exchanger tubes of the evaporator 15. The condenser 16 is disposed at a higher position than the evaporator 15. Therefore, the steam pipe 18 and the liquid pipe 19 penetrating the reactor pressure vessel 2 and the first reactor containment vessel 3 are disposed at a slope from the condenser 16 toward the evaporator 15. An open/close valve 17 is installed on the liquid pipe 19. The open/close valve 17 is opened or closed by supply of the electricity from the normal power source (or the outside power source and the emergency power source). In preparation for loss of these power sources, the open/close valve 17 is connected to a battery 13B with a wire via a third switch (not shown). The open/close valve 17 may be installed on the steam pipe 18 instead of the liquid pipe 19. Consider a situation in which the operation of the nuclear power plant 1 is stopped and the normal power source, the outside power source, and the emergency power source are lost. At this time, an operator closes the first switch, thus electricity is supplied from the battery 13C to the open/close valve 21, and the open/close valve 21 is opened. The steam in the reactor pressure vessel 2 is discharged in the cooling water in the suppression pool 6 through the steam discharge pipe 20 and condensed by the cooling water. The steam in the reactor pressure vessel 2 is discharged into the suppression pool 6, so that the pressure in the reactor pressure vessel 2 drops. At an early stage after the stop of the nuclear power plant, the steam in the reactor pressure vessel 2 is discharged into the suppression pool 6 and is condensed by the cooling water, thus the decay heat generated in each fuel assembly loaded in the core in the reactor pressure vessel 2 can be removed by sensible heat and latent heat of the cooling water in the suppression pool 6 at an early stage after the stop of the nuclear power plant. The temperature of the cooling water in the suppression pool 6 rises due to the condensation of the steam. However, the quantity of heat possessed by the cooling water in the suppression pool 6 is transmitted to the cooling water in the cooling water pool 8 via the side wall of the first reactor containment vessel 3 made of steel. Therefore, the decay heat generated from each fuel assembly can be removed by the sensible heat and latent heat of the cooling water in the cooling water pool 8. The operator closes the second switch simultaneously with opening of the open/close valve 21 (or after the pressure in the reactor pressure vessel 2 drops due to discharge of steam into the suppression pool 6), thus the electricity is supplied from the battery 13A to the injection valve 11 and the injection valve 11 is opened. The cooling water in the water tank 10 is injected into the reactor pressure vessel 2 by gravity falling through the injection pipe 12. Each fuel assembly loaded in the core in the reactor pressure vessel 2 is cooled by the cooling water injected by the injection pipe 12. The cooling water quantity in the reactor pressure vessel 2 can be reserved by injection of cooling water from the water tank 10 and the submergence of the core can be maintained. When the predetermined time elapses after the stop of the nuclear power plant, the operator closes the third switch, thus the electricity is supplied from the battery 13B to the open/close valve 17, and the open/close valve 17 is opened. Furthermore, the operator opens the first switch, and the open/close valve 21 is closed totally due to stop of the electricity from the battery 13C. The heat exchanger tubes of evaporator 15, the heat exchanger tubes of the condenser 16, the steam pipe 18, and the liquid pipe 19 are filled with a cooling medium (for example, water). In the heat exchanger tubes of the evaporator 15, the cooling medium is heated by high-temperature cooling water (or steam) in the reactor pressure vessel 2 and evaporated to become steam. The steam of the cooling medium ascends in the steam pipe 18 and reaches the heat exchanger tubes of the condenser 16. The steam of the cooling medium in the heat exchanger tubes of the condenser 16 is cooled by the air in the inner space 22 existing above the cooling water pool 8 between the first reactor containment vessel 3 and the second reactor containment vessel 7 and become a liquid of the cooling medium. The liquid of the cooling medium descends in the liquid pipe 19 and flows into the heat exchanger tubes of the evaporator 15. In the heat exchanger tubes of the evaporator 15, as described above, the cooling medium of the liquid is heated to become steam by high-temperature cooling water (or steam) in the reactor pressure vessel 2. And, the steam of the cooling medium is condensed in the heat exchanger tubes of the condenser 16. The cooling medium is circulated through the heat exchanger tubes of the evaporator 15, the steam pipe 18, the heat exchanger tubes of the condenser 16, the liquid pipe 19, and the heat exchanger tubes of the evaporator 15, transmits the heat of the cooling water in the reactor pressure vessel 2 to the air in the inner space 22, and cools the cooling water in the reactor pressure vessel 2. Namely, as described above, the cooling medium cools the cooling water in the reactor pressure vessel 2 by circulating between the evaporator 15 and the condenser 16 and the decay heat generated by the fuel assemblies in the core is discharged into the inner space 22 by the reactor cooling apparatus 14. In the state where the operation of the nuclear power plant 1 is stopped and the normal power source, the outside power source, and the emergency power source are lost, the open/close valves 31 and 33 are opened by the electricity supplied from the batteries (not shown). By doing this, the inner space 22 and an outside of the second reactor containment vessel 7 are connected by an air supply pipe 30 and an air discharge pipe 32. Therefore, air existing in an outside region of the second reactor containment vessel 7 is supplied to the inner space 22 from the air supply pipe 30. The air in the inner space 22 is heated by the heat discharged from the condenser 16, ascends in the inner space 22, and is discharged the outside region of the second reactor containment vessel 7 through the air discharge pipe 32. Therefore, the cooling medium in the reactor cooling apparatus 14 is circulated between the reactor pressure vessel 2 and the inner space 22, thus the decay heat generated in the fuel assemblies in the core can be discharged continuously outside the second reactor containment vessel 7. Further, the open/close valves 31 and 33 are totally closed at the time of normal operation of the nuclear power plant 1. In the present embodiment, at an early stage after the stop of the nuclear power plant in the state where the normal power source, the outside power source, and the emergency power source are lost, the steam in the reactor pressure vessel 2 is discharged into the cooling water of the suppression pool 6 through the steam discharge pipe 20, so that the steam generated by the decay heat of the nuclear fuel material in the fuel assembly can be removed by the cooling water in the suppression pool 6. Therefore, the aforementioned decay heat generated at an early stage after the stop of the nuclear power plant can be removed by water cooling of the cooling water of the suppression pool 6. If the temperature of the cooling water of the suppression pool 6 rises, the cooling water of the suppression pool 6 is cooled by the cooling water of the cooling water pool 8. This results in that the decay heat is cooled by the cooling water of the cooling water pool 8. The injection valve 11 is opened simultaneously with opening of the open/close valve 21 or after the pressure in the reactor pressure vessel 2 drops due to discharge of steam, so that at an early stage after the stop of the nuclear power plant, the cooling water in the water tank 10 can be injected into the reactor pressure vessel 2 by gravity falling. By doing this, the core loading a plurality of fuel assemblies can be submerged in the cooling water and these fuel assemblies can be cooled by the cooling water. As shown in FIG. 2, when a certain period elapses from the time of stop of the nuclear power plant, the generation quantity of the decay heat generated by the nuclear fuel material included in the fuel assemblies in the core is reduced. At this time, the decay heat can be removed by air cooling, not by water cooling. The reactor cooling apparatus 14 using a cooling medium is used to remove the decay heat by air cooling. In the evaporator 15, the cooling medium is heated by high-temperature cooling water (or steam) in the reactor pressure vessel 2 and is evaporated to become steam and in the condenser 16, the steam of the cooling medium is cooled by the air in the inner space 22 to become a liquid of the cooling medium. This way, since the cooling medium is circulated between the evaporator 15 and the condenser 16, the decay heat generated by the nuclear fuel material in the core can be removed over a long period of time. In the present embodiment, as described above, the decay heat is removed by the water cooling using the steam discharge apparatus and the water injection apparatus 9 at an early stage where the heat quantity of the decay heat is large and furthermore, the decay heat is removed by the air cooling by the reactor cooling apparatus 14 at the subsequent stage where the heat quantity of the decay heat is reduced. Therefore, the cooling of the core in the reactor pressure vessel 2 can be executed continuously over a longer period of time without supplying cooling water from the outside of the nuclear power plant. Furthermore, the present embodiment uses the batteries 13A, 13B, and 13C, so that even when the operation of the nuclear power plant 1 is stopped and the normal power source, the outside power source, and the emergency power source are lost, the injection valve 11 and the open/close valves 17 and 21 can be opened by the batteries 13A, 13B, and 13C and as described above, the cooling of the core in the reactor pressure vessel 2 can be executed continuously over a longer period of time. Further, after the stop of the nuclear power plant, when the electricity is supplied from any power source among the normal power source, the outside power source, and the emergency power source, the injection valve 11 and the open/close valves 13B and 13C are opened by this electricity and the aforementioned cooling is executed. [Embodiment 2] A nuclear power plant according to embodiment 2 which is another preferred embodiment of the present invention will be explained by referring to FIG. 3. A nuclear power plant 1A of the present embodiment has a structure that in the nuclear power plant 1 of embodiment 1, the evaporator 15 is disposed above a water surface 34 forming water level (NWL) at the time of normal operation in the reactor pressure vessel 2. The other structures of the nuclear power plant 1A are the same as those of the nuclear power plant 1 of embodiment 1. The nuclear power plant 1A of the present embodiment is a boiling water nuclear power plant. The present embodiment can obtain each effect generated in embodiment 1. Furthermore, according to the present embodiment, the evaporator 15 is disposed in steam space having high dryness in the reactor pressure vessel 2 and compared with the case that it is disposed in cooling water, the heat transfer property to the reactor cooling apparatus 14 is excellent, so that the area required for the transfer can be reduced and the evaporator 15 can be made compact. [Embodiment 3] A nuclear power plant according to embodiment 3 which is other preferred embodiment of the present invention will be explained by referring to FIG. 4. A nuclear power plant 1B of the present embodiment is a boiling water nuclear power plant and has a structure that in the nuclear power plant 1 of embodiment 1, the liquid pipe 19 of the reactor cooling apparatus 14 is disposed in the cooling water pool 8 and the suppression pool 6. The other structures of the nuclear power plant 1B are the same as those of the nuclear power plant 1 of embodiment 1. In the present embodiment, the liquid pipe 19 connected to each outlet of the heat exchanger tubes of the condenser 16 disposed in the inner space 22 is disposed in the inner space 22 outside the first reactor containment vessel 3, is extended toward the bottom of the second reactor containment vessel 7, is disposed in the cooling water pool 8, is extended in the cooling water of the cooling water pool 8 along the bottom, and is disposed in the cooling water of the suppression pool 6. The liquid pipe 19 is further extended in the cooling water of the suppression pool 6 toward the dry well 4 along the bottom of the suppression pool 6, is extended upward in the dry well 4, and is connected to each inlet of the heat exchanger tubes of the evaporator 15 in the reactor pressure vessel 2. The present embodiment can obtain each effect generated in embodiment 1. In the present embodiment, the liquid pipe 19 is disposed in the cooling water of each of the cooling water pool 8 and the suppression pool 6, so that the liquid of the cooling medium can be cooled by each of the cooling water pool 8 and the suppression pool 6 and the temperature of the liquid of the cooling medium can be further reduced. If the temperature of the liquid of the cooling medium flowing in the liquid pipe 19 falls, the flow rate of the steam of the cooling medium generated by the evaporator 15 is reduced and the steam flow velocity in the steam pipe 18 is reduced. The frictional loss in the liquid pipe 19 is increased, though in the pressure loss of the entire reactor cooling apparatus 14, the frictional loss in the steam pipe 18 is dominant. Therefore, the reduction in the steam flow velocity in the steam pipe 18 makes the pressure loss of the entire reactor cooling apparatus 14 smaller and improves the heat transport limit in the reactor cooling apparatus 14. [Embodiment 4] A nuclear power plant according to embodiment 4 which is other preferred embodiment of the present invention will be explained by referring to FIG. 5. A nuclear power plant 1C of the present embodiment is a boiling water nuclear power plant and has a structure that a compressor 23 and a turbo-motor 24 are added to the nuclear power plant 1 of embodiment 1. The other structures of the nuclear power plant 1C are the same as those of the nuclear power plant 1 of embodiment 1. The compressor 23 coupled to the turbo-motor 24 is installed on the steam pipe 18. A steam pipe 26 provided with an open/close valve 25 is connected to a steam inlet of the turbo-motor 24. A steam discharge pipe 27 connected to a steam outlet of the turbo-motor 24 is extended into the cooling water of the suppression pool 6. The open/close valve 25 is opened or closed by supply of the electricity from the normal power source (or the outside power source and emergency power source). In preparation for loss of these power sources, a battery 13D is connected to the open/close valve 25. In the present embodiment, an expansion valve 28 to which the battery 13B is connected is installed on the liquid pipe 19. Consider a situation in which the operation of the nuclear power plant 1 is stopped and the normal power source, the outside power source, and the emergency power source are lost. After the operation of the nuclear power plant 1C is stopped, the open/close valve 21 is opened by the electricity from the battery 13C at an early stage similarly to embodiment 1 and the steam in the reactor pressure vessel 2 is discharged into the suppression pool 6 through the steam discharge pipe 20 and is condensed. In addition, simultaneously with opening of the open/close valve 21 (or after the pressure in the reactor pressure vessel 2 drops due to discharge of steam into the suppression pool 6), the injection valve 11 is opened by the electricity from the battery 13A and the cooling water in the water tank 10 is injected into the reactor pressure vessel 2. When the predetermined time elapses after the stop of the nuclear power plant, the electricity is supplied from the battery 13B to the expansion valve 28, and the expansion valve 28 is opened. Furthermore, the electricity is supplied to the open/close valve 25 from the battery 13D, and the open/close valve 25 is opened. The heat exchanger tubes of the evaporator 15, the steam pipe 18, the heat exchanger tubes of the condenser 16 and the liquid pipe 19 are filled with a cooling medium and similarly to embodiment 1, the cooling medium is evaporated to become steam in the heat exchanger tubes of the evaporator 15. The steam of the cooling medium ascends in the steam pipe 18 and reaches the condenser 23. Meanwhile, the steam in the reactor pressure vessel 2 is supplied to the turbo-motor 24 through the steam pipe 26 and the turbo-motor 24 rotates. The rotation of the turbo-motor 24 is transferred to the compressor 23 and the compressor 23 also rotates. The steam discharged from the steam outlet of the turbo-motor 24 is discharged into the cooling water of the suppression pool 6 through the steam discharge pipe 27 and is condensed. When the pressure in the reactor pressure vessel 2 is high (for example, 1 MPa or higher), the turbo-motor 24 is driven by the steam in the reactor pressure vessel 2 and rotates the compressor 23. When the pressure in the reactor pressure vessel 2 is reduced to, for example, less than 1 MPa, the rotation of the turbo-motor 24 is stopped. At this time, the cooling medium in the reactor cooling apparatus 14 is circulated through the heat exchanger tubes of the evaporator 15, the steam pipe 18, the heat exchanger tubes of the condenser 16, the liquid pipe 19, and the heat exchanger tubes of the evaporator 15 similarly to embodiment 1. The steam of the cooling medium flowing in the steam pipe 18 passes through the compressor 23 and reaches the condenser 16. In this way, the heat of the cooling water in the reactor pressure vessel 2 is transmitted to the air in the inner space 22 and the cooling water in the reactor pressure vessel 2 is cooled. The steam of the cooling medium reaching into the compressor 23 is compressed by the rotation of the compressor 23 and rises in temperature. The steam of the cooling medium rising in temperature is introduced to the condenser 16, and then the steam is cooled and condensed by the air in the inner space 22 in the condenser 16. The liquid of the cooling medium generated by compression passes through the liquid pipe 19, is expanded by the expansion valve 28, falls in temperature, and is supplied to the evaporator 15. The liquid of the cooling medium becomes steam again in the evaporator 15. The present embodiment can obtain each effect generated in embodiment 1. Furthermore, in the present embodiment, the compressor 23 can raise the temperature of the steam of the cooling medium, so that the difference between the temperature of the steam of the cooling medium in the condenser 16 and the temperature of the air in the inner space 22, that is, the heat drop, can be increased and the radiant heat transfer effect is increased. As a result, the radiation rate from the steam of the cooling medium to the inner space 22 can be increased. Further, the pressure of the liquid of the cooling medium after passing through the expansion valve 28 can be made smaller, so that the difference between the pressure of the liquid of the cooling medium flowing into the evaporator 15 and the pressure in the reactor pressure vessel 2 is increased, thus the heat transfer property of the evaporator 15 can be improved and the evaporator 15 can be miniaturized. 1, 1A, 1B, 1C: nuclear power plant, 2: reactor pressure vessel, 3: first reactor containment vessel, 4: dry well, 5: pressure suppression chamber, 6: suppression pool, 7: second reactor containment vessel, 8: cooling water pool, 9: water injection apparatus, 10: water tank, 11: injection valve, 12: injection pipe, 13A, 13B, 13C, 13D: battery, 15: evaporator, 16: condenser, 17, 21, 25: open/close valve, 18: steam pipe, 19: liquid pipe, 20, 27: steam discharge pipe, 23: compressor, 24: turbo-motor, 28: expansion valve.
046844910
description
DESCRIPTION OF THE PREFERRED EMBODIMENT Referring to FIGS. 1 and 2 there is illustrated a portion a nuclear steam generator vessel 10 have a generally part-spherical bottom wall 11 closed at the top thereof by a horizontally disposed circular tube sheet 12. The upper end of the vessel 10 (not shown) comprises a cylindrical side wall 13 which is continuous with the bottom wall 11 and houses a tube bundle. A vertical divider plate 14 extends diametrically across the space beneath the tube sheet 12 and divides it into two plena 15, one of which is illustrated. The tube bundle includes a plurality of generally inverted U-shaped tubes 17, having the lower ends thereof disposed through complementary bores in the tube sheet 12. The vertical portions of each tube 17 respectively communicate with the plena 15. Also, respectively communicating with the plena 15 and projecting outwardly from the bottom wall 11 are two nozzles 18 (one shown) adapted to be secured to an associated conduit which extends to an associated nuclear reactor vessel (not shown). Also formed in the bottom wall 11 are two manways (one shown), respectively providing access to the plena 15. A nozzle seal or dam 20 is disposed in the nozzle 18, and may be of any desired type, but as illustrated is similar to that disclosed in the aforementioned copending U.S. application Ser. No. 605,354. The nozzle dam 20 includes a seal assembly 21 which extends across and closes the nozzle 18 in sealing engagement therewith around the entire circumference thereof, and an anchor assembly 22 which is adapted for frictional engagement of the inner surface of the nozzle 18 securely to anchor the nozzle dam 20 in place. The nozzle dam 20 may include a hub portion 23 which projects axially inwardly from the seal assembly 21. Also formed on the inner surface of the seal assembly 21 are bearing pads 24 (see FIG. 1). The seal assembly 21 is disposed in wedging engagement with a frustoconical portion of the inner surface of the nozzle 18. Therefore, it will be appreciated that an elevated pressure inside the plenum 15 will tend to urge the seal assembly 21 into even firmer sealing engagement with the nozzle wall. However, in the event of high pressure on the nozzle side of the seal assembly 21, it is possible that the pressure differential could serve to unseat the seal assembly 21 sufficiently to permit leakage of irradiated coolant into the plenum 15, despite the anchoring action of the anchor assembly 22. It is just such pressure conditions which obtain when the steam generator vessel 10 is drained for maintenance purposes. In order securely to retain the nozzle dam 20 against such unseating or other inward displacement, there is provided a retaining assembly 30 in accordance with the present invention. Referring also to FIGS. 3-6, the retaining assembly 30 includes two elongated arcuate beams 31 and 40 which are of similar construction and which have a curvature similar to that of the bottom wall 11. Each of the beams 31 and 40 is of hollow construction, substantially rectangular in transverse cross section. Secured to one end of the beam 31 is a coupling clevis 32 having a pair of clevis legs 32a (see FIG. 5) with aligned apertures 33 therethrough. Interconnecting the legs 32a beneath the aperture 33 is a retaining a pin 34. A hole 35 in one of the legs 32a has coupled thereto a short tether cable (not shown) which tethers a pivot pin 37, adapted to fit through the apertures 33. Fixedly secured to the other end of the beam 31, as by welding, is a coupling block 38 provided with an elongated rectangular groove 39 in the outer surface thereof. The beam 40 is also provided at one end thereof with a coupling clevis 42 which is constructed substantially as a mirror image of the coupling clevis 32 and is provided with a pivot pin 47. Secured to the other end of the beam 40 is a coupling block 48 to which is integrally connected a cylindrical bearing pin 49 arranged so that, in use, its axis will be disposed substantially vertically. Referring to FIGS. 1, 2, 5 and 6, the ends of the beams 31 and 40 which carry the coupling clevises 32 and 42 are respectively adapted to be coupled to anchors 50 and 60 which are of similar construction, so that only one will be described in detail. The anchor 50 has a flat rectangular base plate 51 carrying a plurality of aligned rows of upwardly projecting rectangular lugs 52. Formed through the base plate 51 are a plurality of bores 53 and internally threaded sockets or recesses 54. Extending horizontally into the base plate 51 from one end thereof is an internally threaded bore 55 in which is threadedly received a bearing stud 56. Integral with the base plate 51 and depending therefrom is a flat hook 57 having a hook slot or notch 58 formed in one side thereof and having a circular bore 59 extending therethrough above the slot 58. The anchor 60 is substantially identical to the anchor 50 except that it has a base plate 61 in which the lugs 52, the bores 53 and the recesses 54 are arranged in a different pattern than on the base plate 51 because of the different orientations of the anchors 50 and 60 in use, as will be explained more fully below. Each of the anchors 50 and 60 includes a plurality of upstanding guide pins 62 threadedly engaged in associated ones of the recesses 54. Each anchor 50 and 60 also includes one or more cam locks 63, each of which has a bushing 64 mounted in a corresponding one of the bores 53 and through which is axially received an elongated stud 65, threadedly coupled at the upper end thereof to an end lug 66 and extending coaxially through an expansible sleeve 67 which is fitted between the bushing 64 and the end lug 66. Threadedly engaged with the lower end of the stud 65 is a manually rotatable handle 68. Rotation of the handle 68 retracts the stud 65 for axially compressing and radially expanding the sleeve 67. In use, each of the anchors 50 and 60 is mounted to the underside of the tube sheet 12 in a predetermined location adjacent to the outer periphery thereof. The guide pins 62 and the cam locks 63 are fitted up into corresponding ones of the tubes 17 until the lugs 52 bear against the bottom of the tube sheet 12, and the cam lock 63 are operated to expand the sleeves 67 into firm frictional engagement with the inner surfaces of the corresponding tubes 17, firmly to lock the anchor 50 or 60 in place. The beams 31 and 40 are, respectively, coupled to the anchors 50 and 60 by receiving the hook 57 between the clevis legs 32(a), with the retaining pins 34 received in the hook slots 58, and with the hook bores 59 aligned with the clevis apertures 33 for receiving the pivot pins 37 and 47 therethrough. When the anchors 50 and 60 are thus secured to the tube sheet 12 and coupled to the beams 31 and 40, the beams 31 and 40 depend from the anchors 50 and 60 and generally follow the curvature of the bottom wall 11. The retaining assembly 30 is positioned in the plenum 15 so that the beam 31 extends substantially diametrically across the nozzle 18 while the beam 40 extends adjacent and substantially parallel to the divider plate 14. Thus, the anchor 50 is fitted to the tube sheet 12 near its junction with the bottom wall 11, as illustrated in FIG. 1, so that the beam 31 will be disposed substantially in a vertical plane which extends diametrically across the nozzle 18 and through the vertical center line of the divider plate 14. The anchor 60 is positioned in an upper corner of the plenum 15 at the junction of the bottom wall 11, the tube sheet 12 and the divider plate 14. The tubes 17 are arranged in perpendicular columns and rows which are substantially parallel to the edges of the base plate 61 in its mounted position. Because of the angled orientation of the anchor 50, the edges of its base plate 51 will be inclined with respect to the columns and rows of the tubes 17, necessitating the different arrangement of the lugs 52, the bores 53 and the recesses 54 in the base plate 51. The inner or lower ends of the beams 31 and 40 are interconnected by a thrust assembly 70, which is disposed in use at the junction of the bottom wall 11 with the divider plate 14 at the bottommost portion of the vessel 10. Referring to FIG. 4, the thrust assembly 70 includes a generally rectangular frame 71 having bearing pads 72 adapted to bear against the divider plate 14 and bearing pad 73 adapted to bear against the bottom wall 11. The frame 71 has a generally horizontally extending internal bore 74 extending therethrough, having a enlarged-diameter counterbore portion 75 and a still further enlarged counterbore portion 76. An internally threaded insert sleeve 77 is received in the counterbore portion 75 and is secured in place by a set screw 78. A jacking screw 79 is received into the bore 74 and threadedly engaged in the sleeve 77. Slidably received in the counterbore portion 76 is an elongated hollow cylinder 80 closed at both ends thereof and provided with an elongated shallow groove 81 in the outer surface thereof. A set screw 82 is seated in the groove 81 to prevent the cylinder 80 from rotating. The cylinder 80 is provided with a circular slot or recess 83 in its inner end for receiving the inner end of the jacking screw 79. The outer end of the cylinder 80 is provided with an end cap 84 having an arcuate bearing recess 85. It will be appreciated that the jacking screw 79 can be operated to drive the cylinder 80 axially outwardly to vary the length thereof projecting from the frame 71. Integral with the frame 71 and projecting from one side thereof are a pair of spaced-apart parallel clevis lugs 86 having vertically aligned holes 87 therethrough. A generally rectangular alignment plate 90 spans the cleves lugs 86 and is fixedly secured thereto, as by fasteners 91, the alignment plate 90 having an elongated slot 92 therethrough centrally thereof. A coupling block 93 has an elongated rectangular rib 94 projecting therefrom and is provided with a pair of parallel, spaced-apart clevis lugs 95, which are respectively disposed along the inner sides of the clevis lugs 86, and respectively have openings (not shown) therethrough disposed in alignment with the clevis holes 87 for receiving therethrough a pivot pin 96, locked in place as by a set screw 97. A stud 98 is threadedly engaged with the coupling block 93 and projects outwardly therefrom through the slot 92 in the alignment plate 90. It will be appreciated that the coupling block 93 is pivotally movable with respect to the frame 71, the pivotal movement being limited by the length of the stud 98. A spacer block 100 is engaged with the coupling block 93. More particularly, the spacer block 100 is generally rectangular in shape and is provided with an elongated rectangular rib 101 projecting from one side thereof and has a rectangular elongated channel 102 formed in the other side thereof. In use, the rib 101 is adapted to be received in the groove 39 in the coupling block 38 of the beam 31, while the channel 102 is adapted to receive therein the rib 94 of the coupling block 93, so that the spacer block 100 occupies the space between the inner end of the beam 31 and the thrust assembly 70. In use, the rib 94 of the coupling block 93 is disposed vertically, so that the spacer block 100 can be dropped into position. In this regard, the spacer block 100 is provided with horizontally aligned stop pins 103 and 104 at the upper end thereof projecting, respectively, from the rib 101 and into the channel 102 for engagement, respectively, with the upper ends of the rib 94 and the coupling block 38 to limit the depth of insertion of the spacer block 100. A generally C-shaped spacer bracket 105 straddles the beam 40 intermediate the ends thereof and is provided with an elongated bearing screw 106 which is threadedly engaged therewith and extends through a complementary opening (not shown) in the beam 40. Once the assembly 30 has been tensioned into the position, the bearing screw 106 is extended until it bears against the adjacent portion of the bottom wall 11, as illustrated in FIG. 2, to provide the central support for the beam 40. There is also provided a coupling clamp 110 which is generally in the form of a hollow trapezoidal frame, through which the beam 31 is slidably extended. More specifically, referring to FIG. 3, the coupling clamp 110 includes a pair of spaced-apart trapezoidal side plates 111 and 112 interconnected at the upper ends thereof by a rectangular top plate 113, and interconnected and the lower ends thereof by a pair of spaced-apart rectangular foot plates 114. Elongated threaded studs 115 are respectively threadedly engaged with the foot plates 114 substantially perpendicular thereto, and projecting upwardly through elongated slots in the beam 31. The lower ends of the studs 115 are respectively coupled to feet 116 which bear against the bearing pads 24 on the nozzle dam 20. A clamp lever 117 is disposed beneath the top plate 113 and is pivotally coupled thereto by a pivot pin (not shown), the clamp lever 117 extending laterally outwardly through an elongated inclined cam slot 119 in the side plate 111. In installation of the retaining assembly 30, the anchors 50 and 60 are first installed in the predetermined positions on the tube sheet 12. Then the beam 31 is placed in the plenum 15 and hooked onto the anchor 50 and the pivot pin 37 is installed. Next, the beam 40 is placed in the plenum 15 and hooked to the anchor 60, and the lower end of and the pivot pin 47 is installed. The thrust assembly 70 with the cylinder 80 fully retracted, is placed in the plenum 15 at the bottom thereof along the divider plate 14. The beam 31 is then centered over the nozzle 18. Next the thrust assembly 70 is pushed over along the divider plate 14 toward the beam 31 until the alignment plate 90 touches the side of the beam 31 with the thrust assembly frame 71 still in engagement with the divider plate 14. Graduations may be provided on the alignment plate 90 which can be remotely read to determine the required dimension of spacer block 100 required to fill the gap between the coupling block 93 on the thrust assembly 70 and the coupling block 38 on the beam 31. The proper size spacer block 100 is then dropped into place. The jacking screw 79 is then operated to move the end cap 84 of the cylinder 80 into engagement with the bearing pin 49 on the beam 40. The screw 79 is then tightened to a predetermined torque to tighten the entire retaining assembly 30. The clamp lever 117 of the coupling clamp 110 is then released and the clamp 110 is adjusted to center the studs 115 over the bearing pads 24 on the nozzle dam 20. The clamp lever 117 is then relocked by moving it to the lower end of the cam slot 119 and into camming engagement with the upper surface of the beam 31 for cooperation with the foot plates 114 firmly to clamp the beam 31 therebetween. The bearing screw 106 on the spacer bracket 105 is tightened against the vessel bottom wall 11 to a predetermined torque, and then the studs 115 are also tightened against the bearing pads 24. Finally, the bearing studs 56 on the anchors 50 and 60 are tightened against the bottom wall 11 and the cam locks 63 may then be removed, if desired. With the retaining assembly 30 thus installed in place, any inwardly-directed forces on the nozzle dam 20 will be transmitted into the beams 31 and 40. From the beams 31 and 40, radial loads are transmitted directly to the vessel bottom wall 11 through the bearing studs 56, vertical loads are transferred to the tube sheet 12 by the lugs 52 of the anchors 50 and 60, and horizontal loads are transferred to the divider plate 14 through the thrust assembly 70. It is significant that the retaining assembly 30 weighs only about half as much as existing restraint beam devices, thereby facilitating remote installation by suitable tools from outside the vessel 10. By use of the auxiliary beam 40 and anchoring to the tube sheet 12 at spaced-apart locations, the assembly 30 is more secure and retaining forces are distributed and the arcuate design of the beams 31 and 40 gives rise to a greater rigidity of the overall structure. While the size of spacer block 100 can be determined from gradations on the alignment plate 90, it is desirable if this dimension, as well as the proper mounting locations for the anchors 50 and 60, can be predetermined before installation of the retaining assembly 30. Referring to FIGS. 7-10, there is provided for this purpose a positioning assembly 120 which includes a locking mechanism 121 adapted to be mounted on the tube sheet 12. More specifically, referring to FIGS. 9 and 10, the locking mechanisms 121 includes a flat rectangular base plate 122 which receives through a complementary aperture therein the long stem of a T-bar 123. The distal end of the stem is adapted for threaded engagement with a nut 124 for cooperation with a washer 125 to trap an expansible sleeve 126 between the washer 125 and the base plate 122. Disposed beneath the base plate 122 is a right angle cam plate 127 having a thickened leg 128 and provided with a slot 128a therethrough at the angle thereof for receiving the T-bar 123 therethrough. Integral with the thin leg of the cam plate 127 and projecting therefrom parallel to the thick leg 128 is a coupling pin 129. In use, the locking mechanism 121 is first arranged with the thin leg of the camp plate 127 disposed between the base plate 122 and the cross of the T-bar 123. Then the expansible sleeve 126 is inserted up into a selected one of the tubes 17 until the base plate 122 bears against the lower end of the tube 17 or the tube sheet 12. Then, while the locking mechanism 121 is held in this position, the cam plate 127 is flipped down to the position illustrated in FIG. 9, moving the thick leg 128 between the base plate 122 and the cross of the T-bar 123. This cams the T-bar 123 downwardly for axially compressing and radially expanding the sleeve 126 into frictional engagement with the inner surface of the tube 17, thereby securely mounting the locking mechanism 121 in place. The positioning assembly 120 also includes an elongated, preferably hollow beam 130 provided at one end thereof with a lug 131 carrying a bushing 132. Mounted for pivotal movement alongside the beam 130 by a pivot pin 133 is a retaining plate 134 provided with a laterally outwardly extending handle 135. Also secured to the beam 130 and projecting laterally therefrom is a stop pin 136. The other end of the beam 130 is secured to a coupling clamp 137, which is provided with a depending sleeve 138. Also secured to the coupling clamp 137 is one end of an elongated hollow beam 140, the distal end of which is provided with an extensible telescoping scale 141 mounted with its axis disposed at a predetermined angle to the axis of the beam 140. In operation, the locking mechanism 121 is first installed in a selected tube 17 at a nominal position on the tube sheet 12 which will correspond to the location where the anchor 50 is to be mounted. The selected tube 17 will be known from prior experience. Then, the assembly of the beams 130 and 140 and the coupling clamp 137 is inserted in the plenum 15, and the beam 130 is coupled to the locking mechanism 121. More particularly, the bushing 132 is slipped over the free end of the coupling pin 129. Then the retaining plate 134 is pivoted to its retaining position, illustrated in FIGS. 9 and 10, wherein one end of the retaining plate 134 is stopped against the stop pin 136 and the other end thereof is disposed over the inner end of the coupling pin 129 closely adjacent thereto, the retaining plate 134 being held in this position by gravity. It can be seen that the retaining plate 134 effectively prevents the bushing 132 and the beam 130 from being slipped back off the coupling pin 129. Then, the sleeve 138 of the coupling clamp 137 is fitted down over the hub 23 of the nozzle dam 20, encircling same as illustrated in FIG. 8, with the free end of the beam 140 lying along the bottom wall 11 of the vessel 10. The mounting position of the locking mechanism 121 will be such that when the parts are thus assembled, the beams 130 and 140 lie in a plane which extends diametrically across the nozzle 18 and through the vertical center line of the divider plate 14. If the parts do not fall precisely in this orientation, the locking mechanism 121 may be repositioned. It will be appreciated that the positioning assembly 120 is dimensioned and arranged so that the coupling clamp 137 corresponds to the coupling clamp 110 of the retaining assembly 30, while the beams 130 and 140 correspond to those portions of the beam 31 which respectively lie above and below the coupling clamp 110. Thus, when the positioning assembly 120 is mounted in position, as described above, the lower or distal end of the beam 140 will be disposed at a predetermined point along the bottom wall 11 which corresponds to the point at which the inner end of the beam 31 would fall. When thus arranged, the extensible scale 141 will be disposed with its axis substantially perpendicular to the divider plate 14 and it is then extended until it touches the divider plate 14. The scale 141 carries gradations (not shown) which are calibrated to take account of the angle between the scale 141 and the beam 140, and from which can be determined the size of spacer block 100 which must be utilized in installation of the retaining assembly 30. The positioning assembly 120 is then removed and the retaining assembly 30 is installed, the guide pins 62 of the anchor 50 being disposed in tubes 17 which bear a predetermined positional relationship to the tube in which the locking mechanism 121 was installed. A significant aspect of the invention is that the positioning assembly 120 is very lightweight, preferably weighing only five or ten pounds, so that it can very easily be remotely installed and maneuvered in the plenum 15. From the foregoing, it can be seen that there has been provided an improved retaining assembly for retaining a nozzle seal in place, the assembly being characterized by improved rigidity and security, with forces being transmitted to the tube sheet and vessel wall at spaced locations, the assembly being lightweight and capable of remote installation and being adjustable to accommodate dimensional tolerances in the steam generator vessel and the nozzle dam and variations in the positioning of the assembly. There has also been provided a unique lightweight positioning assembly to predetermine the mounting positions and adjustment dimensions for the retaining assembly.
048200581
abstract
A nuclear reactor includes a plurality of upstanding guide thimbles and a plurality of control rods received in the guide thimbles and supported for movement relative to the thimbles between inserted and withdrawn positions. The control rods each include a tubular cladding member and an end plug attached to a lower end of the member. The improvement relates to the end plug having a stabilizing configuration which reduces lateral vibratory motion and contact between the control rod and its respective guide thimble. The end plug has an asymmetrical shape which places its terminal tip end in a position offset to one side of the central axis of the control rod and its end plug. As a result of interaction of the asymmetrical plug tip with coolant flowing along the control rod, a lateral steady-state force is imparted to the end plug which maintains the control rod end plug pressed against the wall of tis respective guide thimble. Several different asymmetrical configurations can be employed on the end plug.
047740515
description
DESCRIPTION OF THE PREFERRED EMBODIMENTS For attaining this object, a sintered nuclear fuel compact of the above-described type is characterized in accordance with the invention in that the neutron poison has the chemical compound form UB.sub.x ; where x=2; 4 and/or 12 and/or B.sub.4 C. Boron is also a neutron poison that can be burned up in terms of neutron physics. While the burn-up characteristic of gadolinium is optimal for 12-month fuel element cycles, the burn-up characteristic of boron is optimal for 18-month fuel element cycles. The boron content in the sintered matrix is advantageously a maximum of 5% by weight. Favorably, it is in the range from 100 ppm to 1% by weight. For producing a sintered nuclear fuel compact according to the invention, advantageously a compact of a mixture of at least one of the mixture components UO.sub.2, PuO.sub.2, ThO.sub.2, (U, Pu)O.sub.2 and (U, Th)O.sub.2 powder with UB.sub.x powder, where x=2; 4 and/or 12 and/or B.sub.4 C powder, is produced and subsequently sintered. It has been found that when these boron compounds are used the boron virtually does not escape at all during the sintering but instead remains in the sintered matrix of the sintered nuclear fuel compact obtained. The chemical compound forms UB.sub.x and B.sub.4 C are favorably distributed over the entire sintered matrix of the sintered nuclear fuel compact. This distribution is favorably homogeneous. It is also favorable to use mixture components UO.sub.2, PuO.sub.2, ThO.sub.2, (U, Pu)O.sub.2 and (U, Th)O.sub.2 powder in which the total fluorine content is less than 100 ppm. Because of the low fluorine content, an escape of the boron during sintering is suppressed still further. It is also advantageous if the mixture components UO.sub.2, PuO.sub.2, ThO.sub.2, (U, Pu)O.sub.2 and (U, Th)O.sub.2 powder have a mean particle diameter of 5 .mu.m to 100 .mu.m. A powder of this kind is particularly pourable and thereby promotes the homogeneous incorporation of the UB.sub.x and B.sub.4 C powders. The sintering may be performed, by way of example, by the method mentioned above and described in the German Pat. No. 31 44 684 and related U.S. Pat. No. 4,512,939, in a reducing sintering atmosphere, such as in a pure hydrogen atmosphere. However, the sintering may also be performed in accordance with the method described in German Pat. No. 31 42 447 and related U.S. Pat. No. 4,578,229 and to subject the compact, which also contains UB.sub.x and/or B.sub.4 C powder, to a heat treatment at a treatment temperature in the range from 1000.degree. C. to 1400.degree. C., initially in an oxidizing gas atmosphere and then in a reducing gas atmosphere. Carbon dioxide is for example suitable as an oxidizing gas atmosphere, and hydrogen is suitable as a reducing gas atmosphere. If the compact contains B.sub.4 C, then when CO.sub.2 is used as an oxidizing gas atmosphere, boron losses during sintering are avoided quite particularly reliably. From U.S. Pat. No. 3,427,222 (Example I), a sintered nuclear fuel compact of UO.sub.2 having boron as the neutron poison is known; however, this boron is not incorporated in the sintered matrix of the sintered nuclear fuel compact but instead is located in a surface layer which contains boron compounds and is applied to the sintered nuclear fuel compact, for example, by spray deposition. The application of this surface layer to the sintered nuclear fuel compact is an additional method step, however, which is very expensive because a predetermined layer thickness must be adhered to very precisely. The surface layer is also very porous and must therefore be protected against the absorption of moisture by means of a special protective coating. The invention and its advantages will now be described in greater detail in terms of two exemplary embodiments. UO.sub.2 powder having a mean particle diameter of 15 .mu.m and having a fluorine content of 60 ppm is obtained in accordance with the ammonium uranyl method described in the Gmelin Handbuch der anorgaischen Chemie, Uran [Gmelin, Handbook of Inorganic Chemistry, Uranium], supplemental volume A3, pages 101-104, 1981, by suitably selecting the dwell time of the powder under pyrohydrolysis conditions. With this UO.sub.2 powder, 2% by weight of UB.sub.4 powder having particle sizes in the range from 2 to 100 .mu.m are intimately mixed. The powder mixture is then compressed into compacts having a density of 5.6 g/cm.sup.3. These compacts are subjected in a sintering furnace in a sintering atmosphere of pure hydrogen to a heat treatment at a temperature of 1700.degree. C. for two hours. By this means, sintered nuclear fuel compacts having a density of 10.5 g/cm.sup.3 and a boron content of 3000 ppm are obtained from the compacts. The boron is uniformly distributed everywhere in the sintered matrix of the sintered nuclear fuel compacts. For the further exemplary embodiment, the same UO.sub.2 powder having a fluorine content of 60 ppm and a mean particle diameter of 15 .mu.m is intimately mixed with 300 ppm of B.sub.4 C powder, the particle sizes of which are in the range from 2 to 100 .mu.m. The powder mixture is again compressed into compacts having a density of 5.6 g/cm.sup.3. The compacts are then initially sintered in a sintering furnace in an oxidizing sintering atmosphere comprising CO.sub.2 for two hours at 1150.degree. C. Then the sintered compacts produced from the compacts are subjected in the sintering furnace, while maintaining their temperature of 1150.degree. C., for a one-half-hour heat treatment in a reducing gas atmosphere comprising pure hydrogen. The density of the sintered nuclear fuel compacts finally obtained thereby is 10.5 g/cm.sup.3. The sintered nuclear fuel compacts contain 235 ppm of boron in homogeneous distribution in the entire sintered matrix. The foregoing is a description corresponding, in substance, to German application No. P 36 10 899.5, dated Mar. 24, 1986, international priority of which is being claimed for the instant application and which is hereby made part of this application. Any material discrepancies between the foregoing specification and the specification of the aforementioned corresponding German application are to be resolved in favor of the latter.
abstract
In one embodiment, functional system elements are added to an autonomic manager to enable automatic online sample interval selection. In another embodiment, a method for determining the sample interval by continually characterizing the system workload behavior includes monitoring the system data and analyzing the degree to which the workload is stationary. This makes the online optimization method less sensitive to system noise and capable of being adapted to handle different workloads. The effectiveness of the autonomic optimizer is thereby improved, making it easier to manage a wide range of systems.
050380478
claims
1. A multi-layered pliant hood comprising lead impregnated material adapted to cover a wearer's head and neck, two openings in said material in locations corresponding to a wearer's eyes, and eye flaps of the same lead impregnated material releasably secured to said hood to selectively cover or uncover said openings. 2. A hood as set forth in claim 1 wherein said eye flaps are secured by Velcro. 3. A hood as set forth in either of claims 1 or 2 and further comprising a third opening in said material in a location corresponding to a wearer's mouth. 4. A hood as set forth in claim 3 and further comprising a mouth guard of lead impregnated material releasably secured to said hood so as to cover said third opening. 5. A hood as set forth in claim 4 wherein said mouth guard is secured to said hood by Velcro. 6. A hood as set forth in claim 3 and further comprising a neck closure comprising two closure bands between said third opening and a bottom edge of said hood, said closure bands being mutually engageable so as to selectively cover or expose a wearer's thyroid gland. 7. A hood as set forth in claim 6 wherein said closure bands comprise Velcro.
abstract
A spectrometer includes a rigid body having a first planar face with an orientation and a second planar face with a different orientation than the first planar face. The first and second planar faces are configured to position Bragg diffraction elements, and the orientation of the first planar face and the different orientation of the second planar face are arranged to convey a predetermined spectral range of the electromagnetic radiation to non-overlapping regions of the sensor location via the diffraction elements.
047679301
summary
BACKGROUND OF THE INVENTION The invention relates to the irradiation of matter with a charged particle beam. In particular, it relates to a method and an apparatus for manipulating an electron beam of small cross section so that it can cover a relatively large area. Various techniques have been developed to diffuse an electron beam (which usually has a diameter of about one millimeter) to irradiate areas having diameters exceeding 20 centimeters. In Electromedica No. 3-4 (1977) pages 101 to 106, there is disclosed a linear electron accelerator (LINAC) in which a set of consecutive scattering foils creates an enlarged circular cross section with a homogeneous intensity distribution. The foils have a number of disadvantages: the average beam energy is decreased; the energy spectrum is widened; the energy level and/or the field size cannot be changed easily; and undesirable X-ray radiation is produced in both foils. One way to prevent X-rays is to spread or scan the pencil-like beam along a fan axis perpendicular to the beam axis, i.e. by a magnetic or electrostatic lens, and to move the matter to be irradiated across the fan axis. This technique, which is described in more detail in U.S. Pat. No. 2,866,902, is used for sterilizing and preserving food, but has not yet been used for radiotherapy of humans. This is because it is not easy to ensure that a predetermined area of a laterally moved body is irradiated with an even intensity distribution. The patient can be kept in a stationary position if the beam is transversely enlarged along both main axes. This is achieved, as disclosed in U.S. Pat. No. 3,120,609, by sending the beam through a quadrupole magnet. The magnet is designed so that the beam is defocused along one axis and along the other axis first focused and then, after the cross over of the beam particles, fanned out. Such an approach makes it difficult to obtain a homogeneous intensity distribution and, in particular, an accurate field limitation. In Medical Physics 11 (1984) pages 105 to 127, section "Scanned Pencil Beams", there is mentioned a further alternative in which the electron beam passes two scanning magnets placed orthogonal to each other. By varying their magnetic fields, a raster or spiral scan can be provided. This scan technique is capable of providing treatment fields which are uniform and arbitrarily variable. Disadvantageous is however, that the beam needs a relatively long time to sweep the whole area and requires complex control and monitoring circuits to avoid "hot spots". It is an object of this invention to provide a method and means for distributing the intensity of a charged particle beam over a relatively large area such that this area is irradiated with a substantially uniform current intensity. It is another object of this invention to provide a method and means for distributing a charged particle beam so that the initial energy spectrum of the beam particles is not significantly altered. It is a further object of the invention to provide a method and means for distributing a charged particle beam without creating detrimental X-rays. It is yet another object of this invention to provide a method and means for distributing a charged particle beam so that the area to be irradiated and/or the energy level of the charged particle beam can be varied. It is still another object of this invention to provide a method and means for distributing a charged particle beam in a mechanically and electrically simple manner. It is a further object of this invention to provide a method and means for distributing a charged particle beam over a relatively large area so that the whole area is covered within a short time. Still another object of this invention is to improve on the existing methods and means to enlarge charged particle beams. SUMMARY OF THE INVENTION In one form of the invention, there is provided a method for irradiating a relatively large area with a charged particle beam. This method comprises the following steps: a pencil-like beam having a relatively small cross section is generated and emitted along a beam axis. This beam is then spread along a fan axis which is perpendicular to, and rotates around, the beam axis. This way the beam eventually covers a circular area. According to a more specific aspect of the present invention, the pencil beam is spread so that it becomes more intensive with increasing distance from the beam axis. In another form of this invention, there is provided an apparatus for irradiating a relatively large area with a charged particle beam. This apparatus has a source for generating a pencil-like charged particle beam and a guiding system for emitting this beam along the beam axis. There is furthermore provided a lens system for spreading the emitted beam along a fan axis perpendicular to the beam axis. In addition, the apparatus has a means for moving the fan axis around the beam axis so that the beam sweeps a circular area. According to a more specific aspect, the lens system comprises a set of n divergent lenses, each tending to spread the beam along a specific fan axis. The fan axes lie in a plane perpendicular to the beam axis, intersecting each other in the beam axis, with an angle of 360.degree./n between adjacent fan axes. The spreading power of the individual lenses is varied according to a periodic function, with a phase shift of 360.degree./n between consecutive lenses. Advantageously, the number of lenses is three. In a preferred embodiment, the lens system is non-linear in the sense that it deflects charged particles which are close to the beam center, to a higher degree than charged particles more remote from the center. The foregoing and other objects, features and advantages of the invention will be apparent from the following more particular description of preferred embodiments of the invention, as illustrated in the accompanying drawings.
abstract
A support clamp assembly for mechanically securing a thermal sleeve to an elbow conduit in a jet pump of a nuclear reactor vessel, the support clamp assembly including: a tension shaft having a first end extendable through an opening in a sidewall of the elbow conduit and an opposite end with a head; a cruciform assembly having a base with an opening to receive the tension shaft and to abut the head of the shaft, wherein the cruciform assembly seats in the thermal sleeve; a boss slidable over the first end of the tension shaft and having a curved surface seating an outside surface of the elbow conduit, and a coupling device engaging the first end of the tension shaft and abutting the boss, wherein the coupling device places the tension shaft under tension to secure the cruciform assembly to the thermal sleeve and the boss to the elbow conduit.
description
This disclosure relates in general to lithography systems, and more particularly, to techniques for maintaining components of a lithography system. During the operation of a CO2 laser in a conventional extreme ultraviolet (EUV) lithography system, a radiation pulse is emitted by the CO2 laser. The radiation pulse strikes a tin droplet, and upon striking the tin droplet, the radiation pulse transforms into a radiation portion having a different wavelength that is in the EUV spectrum. Further, upon striking the droplet, the radiation pulse evaporates substantially all of the droplet. However, if the radiation pulse does not evaporate all of the droplet, then a byproduct is produced. The byproduct travels toward the reflective surface of a collector mirror, and impinges on the reflective surface. Over time, the byproduct collects on the reflective surface, and/or may damage the reflective surface. In a conventional EUV lithography system, once a certain amount of byproduct collects on the reflective surface, or after the byproduct causes a certain amount of damage to the reflective surface, the collector mirror must be replaced. Replacement of the collector mirror can constitute up to 90% of the repair and maintenance costs of an EUV lithography system. Further, replacement of a collector mirror may take as long as four days, and the EUV lithography system is inoperable during that time. Although this preexisting approach has been generally adequate for its intended purposes, it has not been satisfactory in all respects. One of the broader forms of the invention involves an apparatus having: a material source configured to provide a quantity of a material; a radiation source configured to emit a radiation beam that strikes the quantity of material, causing substantially all of the quantity of material to evaporate; and structure having first and second surface portions, and having first and second operational modes, wherein in the first operational mode a greater quantity of a byproduct of the evaporation impinges on the first surface portion than on the second surface portion, and in the second operational mode a greater quantity of the byproduct impinges on the second surface portion than on the first surface portion. According to another of the broader forms of the invention, a method includes: emitting a radiation beam toward a quantity of material; striking the quantity of material with the radiation beam, causing substantially all of the quantity of material to evaporate; operating a structure having first and second surface portions in a first operational mode in which a greater quantity of a byproduct of the evaporation impinges on the first surface portion than on the second surface portion; and thereafter operating the structure in a second operational mode in which a greater quantity of the byproduct impinges on the second surface portion than on the first surface portion. The present disclosure relates generally to an extreme ultraviolet (EUV) lithography system, and more particularly, to an EUV laser. It is understood, however, that specific embodiments are provided as examples to teach the broader inventive concept, and one of ordinary skill in the art can easily apply the teaching of the present disclosure to other methods or apparatus. Also, it is understood that the methods and apparatus discussed in the present disclosure include some conventional structures and/or processes. Since these structures and processes are well known in the art, they will only be discussed in a general level of detail. Furthermore, reference numbers are repeated throughout the drawings for sake of convenience and example, and such repetition does not indicate any required combination of features or steps throughout the drawings. FIG. 1 is a diagrammatic sectional side view of an EUV lithography system 10. The EUV lithography system 10 includes an EUV laser 11. A CO2 laser 12 is a component of the EUV laser 11. Upon receiving a trigger pulse, the CO2 laser 12 emits a focused radiation pulse 13 having a wavelength of approximately 10.6 μm. The CO2 laser 12 is a known component in the art, and the structure of the CO2 laser 12 is not described herein in detail. In the embodiment shown in FIG. 1, the CO2 laser 12 is an axial-flow RF-pumped Master Oscillator Power Amplifier (“MOPA”) configuration with multiple stages of amplification. However, other CO2 laser configurations may be used in place of the CO2 laser 12. The CO2 laser 12 directs the radiation pulse 13 toward a mirror 14. The mirror is positioned at about a 45 degree angle with respect to the path of the radiation pulse 13. Upon striking the mirror 14, the radiation pulse 13 is reflected at an approximately 90 degree angle along a path that extends toward a focal point located at an impact location 15 within a housing 16. The CO2 laser 12 and the mirror 14 are both positioned external to the housing 16. The chamber of the housing is maintained under a vacuum by a vacuum pump (not shown). The vacuum pump progressively draws out a gaseous mixture. The vacuum pump is capable of evacuating the chamber to approximately 10−6 Pa. A side of the housing 16 that faces the mirror 14 has a window 17 that is transmissive to the radiation pulse 13, and has no optical power. The path of the radiation pulse 13 passes through the window 17. On the side opposite the window 17, the housing 16 has an aperture 18. Within the chamber of the housing 16, there is a collector mirror 19. The collector mirror 19 includes an aperture 20 and a continuous reflective surface 21. The aperture 20 is located approximately at the center of the surface 21, and extends from the surface 21 to a back surface of the collector mirror that faces the window 17. The surface 21 includes a reflective surface portion 22 and a reflective surface portion 24. Further, the surface 21 is ellipsoidal, and is shaped to focus radiation to a focal point 25. Alternatively, the continuous surface 21 could have various other shapes that are suitable for this application. The continuous reflective surface 21 is super-polished and coated in a known manner using a known deposition tool and a known technology that is based on direct current (DC) magnetron sputtering that is compatible with large-area collector coating. Further, the continuous reflective surface 21 is coated with a graded high-temperature-stable coating with interface-engineered multi-layers to provide high EUV reflectivity at varying angles of incidence. The coating includes approximately 500 sacrificial layers, while still providing full EUV reflectivity. With respect to EUV radiation, the coating acts as a spectral purity filter that only reflects light having a wavelength that is approximately 13.5 nm. A drive mechanism 26 is coupled to the collector mirror 19. The drive mechanism 26 supports the collector mirror 19 for movement. The movement includes rotation of the collector mirror 19 about an axis 28. The axis 28 passes through the aperture 20 and the window 17. Further, the axis 28 is coincident with the path of the radiation pulse 13 after it has been reflected by the mirror 14. The axis 28 is also coincident with the major axis of the ellipsoidal surface 21. The drive mechanism 26 is capable of rotating the collector mirror 19 any number of degrees clockwise and/or counterclockwise. The EUV laser 11 also includes a material source 30, which is positioned inside the chamber of the housing 16. In other embodiments, the material source 30 may be replaced with a material source that is located external to the housing. The material source 30 holds a material. The material of the embodiment shown in FIG. 1 is tin. However, in other embodiments, the material source 30 may be replaced with a material source that holds a different material, including SnH4 and Indium. Upon receiving a trigger pulse, the material source 30 releases a quantity of material 34, which falls to the impact location 15. The quantity of material is a droplet having a diameter of approximately 40 to 150 μm. In another embodiment, the droplet may be a droplet that has a diameter of approximately 17 μm. The material source 30 provides tin droplets at a rate of approximately 18 to 402 kHz. In another embodiment, the material source 30 is replaced with a material source that releases droplets at a controllable frequency in the approximately 20 to 200 kHz range. In yet another embodiment, the material source 30 is replaced with a material source that includes a 10 μm nozzle, which enables the material source to generate 17 μm diameter droplets at a 550 kHz repetition rate. A control unit 38 is coupled to the CO2 laser 12 via a control line 42, to the drive mechanism 26 via a control line 44, and to the material source 30 via a control line 46. The operation of the EUV laser 11 of FIG. 1 will now be described. The control unit 38 controls the operation of various components of the EUV laser 11. For example, the control unit 38 triggers the emitting of the radiation pulse 13 by the CO2 laser. Furthermore, the control unit 38 controls the material source 30 via control line 46. The control unit 38 triggers the release of the quantity of material 34 that drops to the impact location 15. The control unit 38 also controls the timing of the emitting of the radiation pulse 13 and the release of the quantity of material 34 so that the quantity of material 34 and the radiation pulse 13 reach the impact location 15 at approximately the same time. The EUV laser 11 has a first operational mode and a second operational mode. During the first operational mode, the CO2 laser 12 emits a radiation pulse 13 toward the mirror 14. Upon striking the mirror 14, the radiation pulse 13 is directed along a path toward the window 17. The path is coincident with the axis 28. The path also passes through the window 17 and the aperture 20 of the collector mirror 19, and is directed toward the impact location 15. The surface portion 22 and the surface portion 24 are spaced from the path. The radiation pulse 13 strikes the quantity of material 34 when it reaches the impact location 15. Upon striking the quantity of material 34, the radiation pulse 13 evaporates substantially all of the quantity of material 34. The vacuum pump (not shown) progressively draws the evaporated quantity of material 34 from the chamber of the housing 16. Further, upon striking the quantity of material 34, the radiation pulse 13 transforms from a radiation pulse 13 having a wavelength of approximately 10.6 μm into a radiation portion 50 having a wavelength that is in the EUV spectrum (approximately 13.5 nm). A plasma front with highly excited species is formed when the radiation pulse 13 strikes the quantity of material 34, and the radiation portion 50 includes 13.5 nm wavelength photons that are radiated by the plasma front in a plurality of directions. The radiation portion 50 radiates toward the continuous surface 21. When the radiation portion 50 strikes the continuous surface 21, the continuous surface 21 reflects the radiation portion 50, and focuses the reflection of the radiation portion 50 toward the focal point 25. One ray of the focused radiation is identified by reference numeral 54. If the radiation pulse 13 does not evaporate all of the quantity of material 34, then a byproduct 47 is produced. The byproduct 47 includes high-energy ions, neutral atoms and/or clusters of target material. The byproduct 47 travels toward the surface portion 24 in a direction shown by arrow 48. Other byproduct portions (not shown) travel in other directions. Upon reaching the surface portion 24, the byproduct 47 impinges on the surface portion 24. In the first operational mode, a greater quantity of the byproduct 47 impinges upon the surface portion 24 than on the surface portion 22. Over time, the byproduct 47 collects on the surface portion 24, and/or may damage the surface portion 24. After a predetermined amount of time, for example three months or a specified number of hours of laser operation, the control unit 38 sends a pulse to the drive mechanism 26, via control line 44. Upon receiving the pulse, the drive mechanism 26 initiates rotation of the collector mirror 19 about the axis 28. The drive mechanism 26 rotates the collector mirror 19 approximately 180 degrees, so that the surface portions 22 and 24 exchange positions. This is just one example of how the drive mechanism 26 may rotate the collector mirror 19, and it should be understood that the drive mechanism 26 may rotate the collector mirror 19 any number of degrees about the axis 28. In another embodiment, the control unit 38 may be replaced with a control unit that uses other criteria to determine when a collector mirror should rotate. For example, a control unit could monitor a collector mirror, and send the pulse to the drive mechanism 26 after a certain amount of byproduct has collected upon the surface portion 24, or after the surface portion 24 exhibits a certain level of physical damage. After rotating the collector mirror 19, the second operational mode begins. The operation of the EUV laser 11 during the second operational mode is similar to the operation during the first operational mode described above. However, in the second operational mode, if the radiation pulse 13 does not evaporate all of the quantity of material 34, then the byproduct 47 impinges primarily upon the surface portion 22 instead of the surface portion 24 (because they have exchanged positions). After a predetermined amount of time, the second operational mode ends. At the end of the second operational mode, byproduct 47 will have impinged upon each of the surfaces portions 22 and 24. At this time, operation of the EUV laser 11 is halted, and the collector mirror 19 is replaced with an identical collector mirror (not shown) that has a surface that is clean and undamaged. Rotation of the collector mirror 19 may enable the collector mirror 19 to be used approximately more than 50% longer than a collector mirror used in a conventional EUV laser that does not rotate the collector mirror. Further, the repair and maintenance costs of the EUV laser 11 may be more than 40% less than a conventional EUV laser 11, because of the increased lifetime of the collector mirror 19 that results from the ability to rotate the collector mirror 19. In addition, the ability to rotate the collector mirror 19 may result in less downtime, because the collector mirror 19 does not have to be replaced as often as a collector mirror in an EUV laser that does not rotate the collector mirror. As the result, the EUV laser 11 may be at least 5% more productive than a conventional EUV laser that does not rotate the collector mirror. FIG. 2 is a diagrammatic sectional side view of a portion of an EUV laser 70 that is an alternate embodiment of the EUV laser 11 shown in FIG. 1. Components in FIG. 2 that are identical or equivalent to components in FIG. 1 are identified with the same reference numerals. The discussion that follows will focus on the differences between the embodiments shown in FIGS. 1 and 2. The EUV laser 70 includes three collector mirrors 74-76. Alternatively, however, the laser 70 could have a larger or smaller number of collector mirrors. In the embodiment shown in FIG. 2, each of the collector mirrors 74-76 is identical to the collector mirror 19 shown in FIG. 1, and includes a continuous surface 85-87 respectively. Referring back to the embodiment shown in FIG. 2, a drive mechanism 72 supports each of the collector mirrors 74-76 for movement. The movement includes rotation of the collector mirrors 74-76 about an axis 95 that is perpendicular to the plane of FIG. 2, and that intersects axis 28. The axis 95 is also transverse to the path of the radiation pulse 13 after reflection by the mirror 14. The drive mechanism 72 is capable of simultaneously rotating all of the collector mirrors 74-76 any number of degrees clockwise and/or counterclockwise about the axis 95. In FIG. 2, the collector mirror 75 is at an active position 97, and the collector mirrors 74 and 76 are at respective inactive positions 99 and 98. The operation of the EUV laser 70 of FIG. 2 will now be described. The EUV laser 70 includes three operational modes. In the first operational mode, collector mirror 74 begins at active position 97, and collector mirror 75 begins at inactive position 98. If the radiation pulse 13 does not evaporate all of the quantity of material 34 upon striking the quantity of material 34, then the byproduct 47 travels toward the surface 85 in a direction shown by arrow 48. Upon reaching the surface 85, the byproduct 47 impinges on the surface 85. In the first operational mode, the byproduct 47 impinges upon the surface 85, but not the surfaces 86-87. After a predetermined amount of time, the control unit 38 sends a pulse to the drive mechanism 72, via control line 44. Upon receiving the pulse, the drive mechanism 72 initiates simultaneous rotation of the collector mirrors 74-76 about the axis 95. The drive mechanism 72 rotates all of the collector mirrors 74-76 counterclockwise, so that the collector mirror 74 moves to the inactive position 99, the collector mirror 75 moves to the active position 97, and the collector mirror 76 moves to the inactive position 98. Once the collector mirrors 74-76 have rotated, the second operational mode begins. The operation of the EUV laser 70 during the second operational mode is similar to the operation during the first operational mode described above. However, in the second operational mode, if the radiation pulse 13 does not evaporate all of the quantity of material 34, then the byproduct 47 impinges upon the surface 86, but not the surfaces 85 and 87. After a predetermined amount of time, the control unit 38 sends a pulse to the drive mechanism 72, via control line 44. Upon receiving the pulse, the drive mechanism 72 initiates rotation of the collector mirrors 74-76 about the axis 95. The drive mechanism 72 simultaneously rotates all of the collector mirrors 74-76 counterclockwise, so that the collector mirror 75 moves to inactive position 99, and the collector mirror 76 moves to active position 97. Once the collector mirrors 74-76 have rotated, the third operational mode begins. The operation of the EUV laser 70 during the third operational mode is similar to the operation during the first and second operational modes described above. However, in the third operational mode, if the radiation pulse 13 does not evaporate all of the quantity of material 34, then the byproduct 47 impinges upon the surface 87, but not the surfaces 85 and 86. After a predetermined amount of time, the third operational mode ends. At the end of the third operational mode, byproduct 47 will have impinged upon each of the surfaces 85-87. At this time, operation of the EUV laser 70 is halted, and each of the collector mirrors 74-76 are replaced with identical collector mirrors (not shown) that have surfaces that are clean and undamaged. In an alternate embodiment, the operation of the EUV laser 70 is not interrupted, and any collector mirror 74-76 that is positioned at an inactive position, such as inactive positions 98 and 99, may be replaced with a new collector mirror (not shown) during any of the first, second, and third operational modes. The EUV laser 70 has the benefit of reduced collector mirror service time as compared to a conventional EUV laser that only has one collector mirror. For example, servicing the single collector mirror of the conventional EUV laser may cause more than 12 hours of downtime each time the single collector mirror must be serviced, because the conventional EUV laser is inoperable when the single collector is serviced. However, servicing the collector mirrors 74-76 of the EUV laser 70 may cause less than 1 hour of downtime, because the EUV laser 70 has multiple collector mirrors 74-76 that may be moved to an inactive position where the collector mirrors 74-76 may be serviced without stopping operation of the EUV laser 70. Further, the ability to rotate the collector mirrors 74-76 may decrease replacement time to less than 1 hour, because the collector mirrors 74-76 may be moved to inactive positions where the collector mirrors 74-76 may be replaced without stopping operation of the EUV laser 70. On the other hand, replacement of a collector mirror in a conventional EUV laser that only has a single collector mirror could take more than 24 hours. Further, in a conventional EUV laser having only a single collector mirror, the EUV laser is inoperable during the time that it takes to replace the single collector mirror. The embodiments of FIGS. 1 and 2 show rotational movement of the collector mirror(s) about axes 28 and 95 respectively. In alternate embodiments, the collector mirrors 19, 75-76 shown in FIGS. 1 and 2 may be configured to move in other ways. In an alternate embodiment of the EUV laser 70 shown in FIG. 2, the collector mirrors 74-76 are replaced with collector mirrors that are lined up vertically along a plane that is perpendicular to the axis 28, and the drive mechanism 72 is replaced with a drive mechanism that supports the collector mirrors for linear vertical movement along the plane. Although the control unit 38 of the embodiments shown in FIGS. 1 and 2 uses a predetermined amount of time as the criteria for determining when to rotate a collector mirror, in other embodiments, the control unit 38 may be replaced with a control unit that uses other predetermined criteria to determine when collector mirrors should rotate. For example, in the embodiment shown in FIG. 1, the control unit 38 may be replaced with a control unit that monitors surface 85, and sends a pulse to the drive mechanism 72 after a certain amount of byproduct has impinged upon the surface 85. FIG. 3 is a flowchart that provides a high-level summary of the operation of each of the embodiments of FIGS. 1 and 2. A method 110 includes a block 112, at which a quantity of material 34 is provided by the material source 30 shown in FIG. 1. At block 114, a radiation pulse 13 is emitted by the CO2 laser 12, and the radiation pulse 13 strikes the quantity of material 34 as described above in association with FIG. 1. At a decision block 116, the control unit 38 determines whether a predetermined amount of time has elapsed. If the pre-determined amount of time has not elapsed, then the method 110 returns to block 112. On the other hand, if the predetermined amount of time has elapsed, then the method 110 proceeds to block 118, wherein the collector mirror(s) is/are rotated. In the embodiment shown in FIG. 1, the collector mirror 19 is rotated about the axis 28. In the embodiment shown in FIG. 2, the collector mirrors 74-76 are rotated about the axis 95. After block 118, control returns to block 112. One of the broader forms of the invention involves an apparatus having: a material source configured to provide a quantity of a material; a radiation source configured to emit a radiation beam that strikes the quantity of material, causing substantially all of the quantity of material to evaporate; and structure having first and second surface portions, and having first and second operational modes, wherein in the first operational mode a greater quantity of a byproduct of the evaporation impinges on the first surface portion than on the second surface portion, and in the second operational mode a greater quantity of the byproduct impinges on the second surface portion than on the first surface portion. In another form, the radiation beam strikes the quantity of material at an impact location. In another form, the radiation beam travels along a path that extends to the impact location, and the structure supports the first and second surface portions for movement relative to the impact location. In another form, each of the first and second surface portions are reflective; upon striking the quantity of material, a portion of the radiation beam transforms into a radiation portion; in the first operational mode, the radiation portion radiates toward the first surface portion, and the first surface portion reflects the radiation portion; and in the second operational mode, the radiation portion radiates toward the second surface portion, and the second surface portion reflects the radiation portion. In another form, the first surface portion is shaped so that in the first operational mode, the first surface portion focuses the reflection of the radiation portion toward a focal point; and In another form, the second surface portion is shaped so that in the second operational mode, the second surface portion focuses the reflection of the radiation portion toward the focal point. In another form, the radiation beam has a first wavelength, and the radiation portion has a second wavelength that is different from the first wavelength. In another form, the first and second surface portions are spaced from the path. In another form, the structure further has a continuous surface that includes the first and second surface portions. In another form, the continuous surface includes an aperture; and the path passes through the aperture of the continuous surface. In another form, the structure supporting the first and second portions for movement is configured to rotate the continuous surface about an axis that is coincident with the path, the rotation being the movement relative to the impact location. In another form, the structure further has separate first and second surfaces that respectively include the first and second surface portions. In another form, each of the first and second surfaces includes an aperture; In another form, in the first operational mode, the path passes through the aperture of the first surface, and the second surface is spaced from the path; and in the second operational mode, the path passes through the aperture of the second surface, and the first surface is spaced from the path. In another form, the structure supporting the first and second portions for movement is configured to rotate the first and second surface portions about an axis that is transverse to the path, the rotation being the movement relative to the impact location. In another form, the apparatus includes an extreme ultraviolet (EUV) lithography system having an EUV laser that includes the material source, the radiation source, and the structure. According to another of the broader forms of the invention, a method includes: emitting a radiation beam toward a quantity of material; striking the quantity of material with the radiation beam, causing substantially all of the quantity of material to evaporate; operating a structure having first and second surface portions in a first operational mode in which a greater quantity of a byproduct of the evaporation impinges on the first surface portion than on the second surface portion; and thereafter operating the structure in a second operational mode in which a greater quantity of the byproduct impinges on the second surface portion than on the first surface portion. In another form, the emitting is carried out in a manner that directs the radiation beam along a path that extends to an impact location; wherein the striking is carried out in a manner that causes the striking of the quantity of material with the radiation beam at the impact location; and the method further includes transitioning between the first and second operational modes, the transitioning including moving the first and second surface portions relative to the impact location. In another form, each of the first and second surface portions are reflective; the striking is carried out in a manner that includes transforming the radiation beam into a radiation portion; further including reflecting the radiation portion using the first surface portion in the first operational mode; and reflecting the radiation portion using the second surface portion in the second operational mode. In another form, the reflecting includes: focusing the travel of the radiation portion toward a focal point using the first surface portion in the first operational mode; and focusing the travel of the radiation portion toward the focal point using the second surface portion in the second operational mode. In another form, the emitting is carried out in a manner such that the beam has a first wavelength; and the transforming is carried out in a manner such that the radiation portion has a second wavelength that is different from the first wavelength. In another form, the structure further has a continuous surface that includes the first and second surface portions; and moving includes rotating the continuous surface about an axis that is coincident with the path. In another form, the structure further has separate first and second surfaces that respectively include the first and second surface portions; and the moving is carried out in a manner that includes rotating the first and second surface portions about an axis that is transverse to the path. Although only a few exemplary embodiments of this invention have been described in detail above, those skilled in the art will readily appreciate that many modifications are possible in the exemplary embodiments without materially departing from the novel teachings and advantages of this disclosure.
051261014
description
DESCRIPTION OF THE PREFERRED EMBODIMENTS As shown in FIG. 1, an apparatus for cleaning up reactor coolant in accordance with a first embodiment of the present invention includes a piping 11 having its open end at the bottom of a reactor pressure vessel 1, a piping 4 branching off a primary loop recirculation piping 3 and being connected to the piping 11, a regenerative heat exchanger 5, a non-regenerative heat exchanger 6, a pump 7, a cleanup device 8, a piping 15 extending from the cleanup device 8 to a reactor feedwater piping 9, and a siphon brake valve 13 provided at the highest position C in the piping 11. The piping 11 for taking out the reactor coolant in the reactor pressure vessel 1 is arranged to extend through the side wall of the reactor pressure vessel 1 at a position E which is higher than the position of a reactor core 12 within the reactor pressure vessel 1. In operation, during normal running of the reactor and during the hot stand-by period of the same, the siphon brake valve 13 is closed to cut off communication with the atmosphere. The crud component accumulated in the bottom portion of the reactor pressure vessel 1 flows through the piping 11 together with the reactor coolant and then intermingles with the reactor coolant flowing through the branch piping 4. The crud component which has intermingled with the reactor component from the branch piping 4 passes through the regenerative heat exchanger 5 and the non-regenerative heat exchanger 6 and is then fed into the cleanup device 8 by means of the pump 7. After the crud component has been eliminated by the cleanup device 8, the reactor coolant flows through the piping 15 into the reactor feedwater piping 9 and is returned to the reactor pressure vessel 1. During inspection or modification of the reactor, the siphon brake valve 13 is closed to cut off communication with the atmosphere. The reactor coolant in the reactor pressure vessel 1 flows out of it together with the crud component accumulated in the bottom portion of the reactor pressure vessel 1. Thereafter, the reactor coolant is discharged into another portion through a piping (not shown) without being returned to the reactor pressure vessel 1 through the feedwater piping 9. Should the reactor coolant be partially lost due to, for example, breakage of the piping 11 outside the reactor pressure vessel 1, the reactor pressure vessel 1 is replenished with the required amount of reactor coolant from an emergency core cooling system (not shown), whereby the reactor core 12 is again flooded with the reactor coolant. When the pressure in the reactor 12 falls to atmospheric pressure, the remote operable valve 13 for the siphon brake opens to the atmosphere to cancel out the siphon effect. Accordingly, if the supply of water into the reactor core 12 is stopped, the water level in the reactor core 12 does not fall below the height of the position c at which the siphon brake valve 13 and the piping 11 are connected to each other. Subsequently, the reactor coolant may be replenished by an amount corresponding to any fall in the water level due to evaporation, and it is therefore possible to cool the reactor core 12 by means of a residual-heat eliminating system (not shown). Since, in this embodiment, the position E at which the piping 11 extends from the reactor pressure vessel 1 to the exterior is selected to be higher than the position of the reactor core 12, it is possible to ensure that the piping has a sufficiently large gradient as compared with the conventional arrangement where a corresponding piping is connected to the lowest portion of a reactor pressure vessel. Accordingly, the amount of crud component in the reactor coolant sticking to the inner surface of the piping 11 can be reduced by virtue of the effect of gravitation. Since the piping 11 extends upward within the reactor pressure vessel 1, the radiation source leaking from the portion of the piping 11 which is accommodated in the reactor pressure vessel 1 is shielded by the steel plate thereof. As can be seen from FIG. 4 which shows the relationship between the thickness of the steel plate and the attenuation factor of the radiation source, the level of the radiation source (1.5 MeV) of the reactor coolant can be reduced to approximately 1/100 by virtue of the steel plate (approximately 16 cm thick) of the reactor pressure vessel 1. As described above, it is possible to decrease the radiation dose in the atmosphere in a primary containment vessel 10 during scheduled inspections and it is also possible to provide the effect of mitigating the radiation exposure of workers who must work within the primary containment vessel 10 during scheduled inspections. If breakage should take place in the piping for taking out the reactor coolant through the lowest portion of the reactor pressure vessel, it is possible to easily cope with the accident. FIG. 2 shows an apparatus for cleaning up reactor coolant in accordance with a second embodiment of the present invention. In the figure, the same reference numerals are used to denote elements which are the same as those shown in FIG. 1. The reactor-coolant cleanup apparatus of FIG. 2 differs from that of FIG. 1 in that the piping 4 branching off the primary loop recirculation piping 3 and being connected to the piping 11 is eliminated. In the operation of the apparatus shown in FIG. 2, the reactor coolant in the reactor pressure vessel 1 is taken out of it through the piping 11 alone. Accordingly, it is possible to increase the rate of reactor coolant taken out at the lowest portion of the reactor pressure vessel 1 through the piping 11 and, therefore, to increase the velocity of reactor-coolant flow in the piping 11. Accordingly, the amount of crud in the reactor coolant sticking to the inner surface of the piping 11 can be reduced and it is also possible to reduce the dose rate of the piping 11 by the synergistic effect of an increase in the velocity of reactor-coolant flow and a reduction in the amount of crud sticking to the inner surface of the piping 11 owing to the large gradient of the piping. Since no piping branches off the primary loop recirculation piping 3, the welded portion which joints the primary loop recirculation piping 3 and the reactor coolant taking-out piping 4 can be eliminated. Accordingly, the number of portions to be inspected during an in-service inspection (ISI) while the reactor is in service can be decreased so that radiation exposure can be mitigated. FIG. 3 shows an apparatus for cleaning up reactor coolant in accordance with a third embodiment of the present invention. In the figure, the same reference numerals are used to denote elements which are the same as those shown in FIG. 1. The reactor-coolant cleanup apparatus of FIG. 3 differs from the apparatus shown in FIG. 1 in that the former apparatus is provided with an automatic control device 14 for controlling the opening and closing of the siphon brake valve 13. In the operation of the reactor-coolant cleanup apparatus of FIG. 3, if the piping 11 for taking out the reactor coolant at the lowest portion of the reactor pressure vessel 1 should be broken outside the reactor pressure vessel 1 and a loss-of-reactor-coolant accident should thereby be caused, a pressure gauge P is employed to monitor the pressure and water level in the reactor as well as the pressure in the piping. If it is determined (a) that the pressure in the aforesaid reactor pressure vessel 1 has fallen to a level equal to the atmospheric pressure and (b) that the water level in the reactor pressure vessel 1 has fallen to the position E at which the piping 11 is arranged to extend through the side wall of the reactor pressure vessel 1, the automatic control device 14 operates to open the siphon brake valve 13, enabling the accident to be handled rapidly and correctly. FIG. 5 shows the system construction of a conventional reactor-coolant cleanup system for use in a boiling water reactor power plant. The reactor coolant in the reactor pressure vessel 1 is taken out of the primary containment vessel 10 by way of a piping 2 which is connected to the bottom of the reactor pressure vessel 1 and the branch piping 4 branching off the primary loop recirculation piping 3. The reactor coolant is then passed through the regenerative heat exchanger 5, the non-regenerative heat exchanger 6, a cleanup pump 7 for reactor coolant, and an apparatus 8 for cleaning up radioactive materials in that order. Thereafter, the reactor coolant is returned to the reactor pressure vessel 1 by way of the reactor feedwater piping 9. In this conventional example, no account is taken of counter-measures for reducing the dose rate of the reactor-coolant taking-out piping 2 connected to the bottom of the reactor pressure vessel 1. In accordance with the present invention, the following advantages can be achieved. (1) Since the piping for taking out reactor coolant extends out of the reactor pressure vessel at a position which is higher than the lowest portion thereof, the length of the horizontally extending portion of the piping can be decreased so that the amount of crud sticking to the inner surface of the piping within the reactor decreases. Accordingly, the intensity of the radiation source is reduced and the resultant radiation exposure can be mitigated to a further extent. (2) Since the piping for taking out reactor coolant extends, within the reactor pressure vessel, to a position higher than the lowest portion of the reactor pressure vessel, the side wall of the reactor pressure vessel serves as shielding. Accordingly, it is possible to exclude a portion of the piping from the radiation source which contributes to radiation exposure. (3) Since the piping for taking out reactor coolant extends, within the reactor pressure vessel, to a position higher than the lowest portion of the reactor pressure vessel, it is possible to reduce the amount of reactor coolant flowing out of the reactor pressure vessel due to accidents. (4) If the piping which branches off the primary loop recirculation piping so as to extract a portion of the reactor coolant in the reactor is eliminated, it is possible to increase the velocity of flow in the piping for taking out water in the reactor core so that the amount of crud sticking to the inner surface of this piping can be reduced. (5) Even if an accident should take place, after the pressure in the reactor pressure vessel has fallen to a level equal to atmospheric pressure, a siphon brake is applied to both the interior and the exterior of the reactor pressure vessel in a state wherein the water level in the reactor pressure vessel has reached the position at which the piping for taking out water in the reactor core extends through the side wall of the reactor pressure vessel, whereby the reactor core is maintained in a flooded state. It is, therefore, possible to easily cope with the accident. (6) The inlet port of the piping for taking out water in the reactor core is located at the lowest portion of the reactor pressure vessel. Accordingly, in the case of a drain-off operation of the reactor pressure vessel, it is possible to drain all reactor coolant from the reactor pressure vessel by virtue of the siphon effect.
abstract
A system and methods for molecular breast imaging (MBI) using pixelated gamma cameras provide easier patient positioning and biopsy access using compression paddles and movable gamma cameras. The paddles and cameras can be curved to better conform to the breast shape. A variable-angle slant-hole collimator is provided to assist in stereotactic imaging for biopsy guidance. Methods for performing an MBI screening or diagnostic examination and guiding a biopsy with stereotactic MBI are provided.
claims
1. An apparatus, comprising:a fuel cell associated with a nuclear reactor;a nuclear reactor monitoring system operably coupled to a core of the nuclear reactor and configured to monitor a temperature of the core nuclear reactor; anda fuel cell control system communicatively coupled to the nuclear reactor monitoring system,wherein the nuclear reactor monitoring system is further configured to transmit the monitored temperature of the core of the nuclear reactor to the fuel cell control system,wherein the fuel cell control system includes a heat transfer system, wherein the heat transfer system includes a heat supply loop, the heat supply loop in thermal communication with a waste heat rejection loop of the nuclear reactor and one or more bipolar plates of the fuel cell, wherein the heat transfer system is configured to selectively transfer thermal energy from the waste heat rejection loop of the nuclear reactor to the one or more bipolar plates of the fuel cell to establish a readiness state of the fuel cell within a set of readiness parameters in response to receipt of the monitored temperature of the core of the nuclear reactor from the nuclear reactor monitoring system by increasing the temperature of the fuel cell, wherein the readiness parameters are a function of the monitored temperature of the core of the nuclear reactor. 2. The apparatus of claim 1, wherein the readiness parameters are a variable function of the monitored temperature of the core of the nuclear reactor. 3. The apparatus of claim 1, wherein the fuel cell control system includes a reactant control system. 4. The apparatus of claim 3, wherein the reactant control system adjusts a condition of at least one reactant of the fuel cell. 5. The apparatus of claim 3, wherein the reactant control system includes a reactant supply control system, wherein the reactant supply control system adjusts a supply condition of at least one reactant of the fuel cell. 6. The apparatus of claim 3, wherein the reactant control system includes a reactant pump control system. 7. The apparatus of claim 3, wherein the reactant control system includes a reactant valve control system. 8. The apparatus of claim 1, wherein the fuel cell control system comprises:a fuel cell control system including a configuration control system, wherein the configuration control system establishes a readiness state of the fuel cell within a set of readiness parameters in response to the monitored temperature of the core of the nuclear reactor from the nuclear reactor monitoring system by adjusting an electrical coupling configuration of two or more fuel cells. 9. The apparatus of claim 8, wherein the configuration control system includes configuration control circuitry for adjusting an electrical coupling configuration of two or more fuel cells. 10. The apparatus of claim 9, wherein the configuration control system includes switching circuitry for adjusting an electrical coupling configuration of two or more fuel cells. 11. The apparatus of claim 1, wherein the heat supply loop in thermal communication with the waste heat rejection loop of the nuclear reactor and the one or more bipolar plates of the fuel cell selectively transfers thermal energy from the waste heat rejection loop of the nuclear reactor to the one or more bipolar plates of the fuel cell to establish an electrical output level of the fuel cell within an acceptable electrical output range, in response to the monitored temperature of the core of the nuclear reactor from the nuclear reactor monitoring system, wherein the acceptable electrical output range is a function of the monitored temperature of the core of the nuclear reactor. 12. The apparatus of claim 1, wherein the heat supply loop in thermal communication with the waste heat rejection loop of the nuclear reactor and the one or more bipolar plates of the fuel cell selectively transfers thermal energy from the waste heat rejection loop of the nuclear reactor to the one or more bipolar plates of the fuel cell to establish a temperature of the fuel cell within an acceptable temperature range, in response to the monitored temperature of the core of the nuclear reactor from the nuclear reactor monitoring system, wherein the acceptable temperature range is a function of the monitored temperature of the core of the nuclear reactor. 13. The apparatus of claim 1, wherein the heat supply loop in thermal communication with the waste heat rejection loop of the nuclear reactor and the one or more bipolar plates of the fuel cell selectively transfers thermal energy from the waste heat rejection loop of the nuclear reactor to the one or more bipolar plates of the fuel cell to establish a pressure of the fuel cell within an acceptable pressure range, in response to the monitored temperature of the core of the nuclear reactor from the nuclear reactor monitoring system, wherein the acceptable pressure range is a function of the monitored temperature of the core of the nuclear reactor. 14. The apparatus of claim 1, wherein the heat supply loop in thermal communication with the waste heat rejection loop of the nuclear reactor and the one or more bipolar plates of the fuel cell selectively transfers thermal energy from the waste heat rejection loop of the nuclear reactor to the one or more bipolar plates of the fuel cell to establish a humidity of the fuel cell within an acceptable humidity range, in response to the monitored temperature of the core of the nuclear reactor from the nuclear reactor monitoring system, wherein the acceptable humidity range is a function of the monitored temperature of the core of the nuclear reactor. 15. The apparatus of claim 1, wherein the heat supply loop in thermal communication with the waste heat rejection loop of the nuclear reactor and the one or more bipolar plates of the fuel cell selectively transfers thermal energy from the waste heat rejection loop of the nuclear reactor to the one or more bipolar plates of the fuel cell to establish a reactant stream temperature of the fuel cell within an acceptable reactant stream temperature range, in response to the monitored temperature of the core of the nuclear reactor from the nuclear reactor monitoring system, wherein the acceptable reactant stream temperature range is a function of the monitored temperature of the core of the nuclear reactor. 16. The apparatus of claim 1, wherein the heat supply loop in thermal communication with the waste heat rejection loop of the nuclear reactor and the one or more bipolar plates of the fuel cell selectively transfers thermal energy from the waste heat rejection loop of the nuclear reactor to the one or more bipolar plates of the fuel cell to establish a pressure of a reactant stream of the fuel cell within an acceptable pressure range, in response to the monitored temperature of the core of the nuclear reactor from the nuclear reactor monitoring system, wherein the acceptable pressure range of the reactant stream is a function of the monitored temperature of the core of the nuclear reactor. 17. The apparatus of claim 1, wherein the heat supply loop in thermal communication with the waste heat rejection loop of the nuclear reactor and the one or more bipolar plates of the fuel cell selectively transfers thermal energy from the waste heat rejection loop of the nuclear reactor to the one or more bipolar plates of the fuel cell to establish a humidity level of a reactant stream of the fuel cell within an acceptable humidity range, in response to the monitored temperature of the core of the nuclear reactor from the nuclear reactor monitoring system, wherein the acceptable humidity range of the reactant stream range is a function of the monitored temperature of the core of the nuclear reactor. 18. The apparatus of claim 1, further comprising:an electrical supply system, wherein the electrical supply energy transfers electrical energy from the fuel cell to an operation system of the nuclear reactor. 19. The apparatus of claim 1, further comprising:an output modification system, wherein the output modification system modifies an electrical output of the fuel cell. 20. The apparatus of claim 19, wherein the output modification system comprises:power management circuitry, wherein the power management circuitry modifies an electrical output of the fuel cell. 21. The apparatus of claim 19, wherein the output modification system comprises:control circuitry, wherein the control circuitry modifies the electrical output of at least one fuel cell. 22. The apparatus of claim 21, wherein the control circuitry simulates an A.C. electrical output of the fuel cell by sequentially staging a D.C. output of at least two fuel cells. 23. The apparatus of claim 1, wherein the fuel cell comprises:a polymer electrolyte membrane fuel cell. 24. The apparatus of claim 1, wherein the fuel cell comprises:a solid oxide fuel cell. 25. The apparatus of claim 1, wherein the fuel cell comprises:an alkaline fuel cell. 26. The apparatus of claim 1, wherein the fuel cell comprises:a molten carbonate fuel cell.
061570362
summary
FIELD OF THE INVENTION This invention relates to the field of nuclear medicine and more particularly to systems and processes for producing medically useful radioisotopes. Although the present invention broadly pertains to the production of radioisotopes, it is especially, but by no means exclusively, suited for the production of radioisotopes that require concentration, such as rhenium-188. BACKGROUND OF THE INVENTION The application of numerous, generator-produced, radioactive isotopes in patients has significantly advanced the fields of medical imaging, diagnosis and even therapy. Such patient-grade, generator-produced, radioisotopes are often called "daughter" radioisotopes because they are formed by the radioactive decay of different nuclides, called "parent" radioisotopes having considerably longer half-lives. Daughter radioisotopes are harnessed in a process called "elution," whereby a sterile "eluent," such as a sodium chloride solution, passes through a radioisotope generator column, upon which a decaying parent radioisotope is adsorbed, and exits as an "eluate" containing the daughter radioisotope. Certain daughter radioisotopes, such as technetium-99 m, are primarily gamma photon emitters, making them ideal for imaging applications. Conventionally, these types of radioisotopes are prepared for medical use in a single elution step; that is, by forcing the eluent through the generator and capturing the resultant eluate. Other radioisotopes decay with beta-charged emissions that are more readily absorbed by the patient, thus making them more suitable for therapy applications, such as radiolabeling, or radioimmunotherapy, and even pain therapy. Rhenium-188, which is eluted from a Tungsten-188 parent, is one such type of radioisotope whose beta emissions are entirely absorbed by the patient's body and has a relatively short-half life of 17.0 hours. These characteristics make Re-188 particularly useful for the treatment of tumors, i.e. radiolabeling, and other diseases and disorders. However, to be effective for such applications, Re-188 eluate, and other similar radioisotopes solutions, must be highly concentrated. Thus, they require additional purification and concentration steps. In order to increase the activity concentration of the eluate produced by a typical generator, such as an alumina-based, tungsten-188/rhenium-188 (W-188/Re-188) generator, and to obtain treatment-quality Re-188, the eluate must be chemically "filtered" to remove traces of the parent radioisotope, alumina and chloride anions from the solution. The purified Re-188 isotope is then trapped, or concentrated, in an appropriate "radioisotope trap," such as an ion exchange column, and is then finally re-eluted into a container with a desired volume of fresh eluent. One such system and process, developed by the Oak Ridge National Laboratory (ORNL), is shown in FIG. 1. In particular, the system 1 calls for the use of a constant flow-rate pump 2, namely, a peristaltic pump, to drive a desired volume of saline solution eluent stored in a container or sack 4, at a desired rate, through a series of single-use columns connected by tubing. The eluent is pumped through a radioisotope generator 6 and a filter 8 and the resultant eluate is forced through a series of single-use ion exchange columns 10 and 12. The first column 10 shown is a silver halide precipitation column ("Maxi Clean IC-Ag" column, Alitech, Inc., Deerfield, Ill.) that traps therein all of the chloride anions and permits the passage of any non-halide ions in the solution. An anion exchange column 12 (Accell Plus QMA.RTM. anion column, Waters, Inc., Milford, Mass.) referred to hereinafter as a radioisotope trap, then traps the perrhenate anions (the daughter radioisotope) therewithin, thus permitting the resultant eluate, which should contain only minimal radioactivity, to pass as a waste solution into a waste collection container 14 for disposal. Once the required volume of solution has been eluted, the operator disables the pump and manually adjusts each of the three-way valves 16, 18 and 20 to bypass the generator 6 and impurity traps 10 and 12 and to redirect the output from the waste container 14, to create a direct fluid path from the pump 2 to a collection vial 22. Then, in the second step, the operator reactivates the pump 2 to drive a small, predetermined, volume of fresh eluent from the supply 4 through tubing 17 and through the radioisotope trap 12, in order to elute, or more precisely, re-elute, the daughter radioisotope adsorbed on the column in the trap 12, into the sterile collection vial 22 as a sodium perrhenate solution. While the ORNL system sets forth the basic chemistry, components and a method for the concentration and elution of discreet quantities sodium perrhenate, the system and method have several drawbacks. One problem is that the method relies on relatively significant operator intervention prior to, during, and after each elution. In particular, after setting up the system, the operator needs to set the flow rate of the pump, precisely track the on-time of the pump for the first elution, disable the pump, adjust the valves 16 and 18 to redirect the eluent for the second elution through the tube 17 to the radioactive trap 12, restart the pump for precisely long enough for the eluent to pass through tube 17, valve 18, radioisotope trap 12 (where, upon exiting, it becomes an eluate), and finally to valve 20. Just before this eluate reaches valve 20, valve 20 must be adjusted to redirect flow away from the waste container 14 and to the collection vial 22. This complex procedure is one method for maximizing the radioactive concentration in the collection vial. Alternatively, the operator can flush the system with air between elutions to purge the tubing of residual liquid that would otherwise dilute the radioactive eluate from the second elution. The air flush technique has the second advantage of reducing residual activity within the columns and tubing. All of these steps tends to 1) be time-consuming and inefficient, especially for labs that engage in multiple, continuous elutions; 2) increase the potential for human error, which can be dangerous, wasteful or both; and 3) unduly expose the operator or operators to radiation. It is understood that above-described system could be fully electronically controlled so that the electric pump would be automatically activated for the appropriate period of time, the three-way valves would then automatically be adjusted to their second stage positions, and the pump then reactivated for the final elution step. Nonetheless, such a system would add considerable complexity and cost to the conventional system, with the addition of a processor and electronic timer scheme. Further, such an automated system would not adequately address all of the aforementioned problems. Thus, it would desirable to have an inexpensive, simple, mechanical elution/concentration system that automatically produces concentrated radioisotopes and air purges the system, thus significantly reducing reliance on human intervention. Further, using a constant, flow rate, electric pump to drive the solution through the system has drawbacks. Such pumps are relatively expensive, are ill-suited for pumping the air needed to purge the system after an elution, and run the risk of creating a dangerous over-pressure condition in the, albeit relatively rare, event of a blockage in the fluid line. Additionally, the requirement of an electric pump adds to system complexity and cost when the need to design for the different voltage supplies of various foreign countries is considered. Thus, it would be desirable to eliminate the need for a constant, flow rate, pump and, even more broadly, the need for electrical power, to both reduce system cost and to enable the production of a single design for the worldwide market. A third drawback of the ORNL system is that it provides for separate, single use, concentration columns that must be properly connected and shielded by the operator for each fresh elution procedure. Further, the eluate waste created by the first stage elution must be properly disposed of. The set up and handling of these discrete components requires training, is inefficient, increases the risk of operator exposure, and creates the additional problem of the safe disposal of the spent, radioactive exchange columns and fluid waste. Thus, it would be desirable to have a system that minimizes component handling during both the set up and disposal procedures. Another issue not fully addressed by preexisting systems relates to the inefficiency of the elution of generators. As a generator ages, its radioactive yield decreases due to its decay. While the elution of a fresh generator may yield a substantial quantity of the daughter isotope, a subsequent elution of that same generator may not yield enough end product for the procedure to be worthwhile. However, since each generator is relatively costly, it would be desirable to have a system that could easily and efficiently elute more than one aged generator in series, thereby producing, with a single elution, a useful quantity of the daughter radioisotope. This would effectively extend the useful life of generators that are located together and could decrease the medicine's cost per treatment. In sum, a need therefore exists for a system and method that automatically concentrates and elutes radioisotope solutions, that automatically prepares the system for subsequent elution procedures, that does not rely on a costly and complex, processor-controlled pump arrangement, and that, at the same time, tends to minimize operator intervention and handling of the components and waste by-products. SUMMARY OF THE INVENTION The present invention, which tends to address this need, resides in an improved radioisotope concentration system and method that implements an improved mechanism and method for the elution of radioisotopes. This system and method provides significant advantages over known systems and methods, in that it, among other things, (a) automates the concentration and elution steps without the need for an electric pump system and electronic control of the pump; (b) automatically and immediately purges the fluid lines with a gas to flush the eluent through the system and to prepare the system for a subsequent procedure; (c) tends to minimize the handling of the radioactive components and waste product; (d) significantly decreases operator set up time; and (e) permits reclamation of unused isotope. According to the present invention, a novel gas-over-eluent, fluid delivery mechanism for eluting one or more processing elements having inlets and outlets, is disclosed. The mechanism includes a vertically-disposed reservoir having an output feed at the bottom thereof for connecting to the inlet of one of the one or more processing elements, a predetermined volume of eluent contained in the reservoir, a predetermined volume of gas contained in the reservoir, separated from and positioned over the predetermined volume of eluent, and a force-limited, pressure-supplying mechanism that forces the volume of eluent and then the volume of gas through the reservoir output feed and into and through the one or more processing elements. The pressure-supplying mechanism thus elutes the one or more processing elements with the predetermined volume of eluent. Immediately following the elution, the pressure-supplying mechanism purges the one or more processing elements with the predetermined volume of gas. The gas-over-eluent arrangement provides numerous advantages over preexisting, conventional systems. First, it tends to provide an automatic and relatively safe means for purging the system of eluate that may contain radioactive components, immediately following an elution. Further, this design permits a fixed volume of eluent to pass through the process, regardless of the number of processing elements and distance through which the eluent (or eluate) solution must travel. Simply, the greater the distance the solution must travel, due to an increased number of processing elements, tubing length or other factors, the more gas that is preloaded into the delivery mechanism to drive the fixed volume of solution. The improved delivery system may be advantageously designed into either of the two types of conventional radioisotope elution systems, broadly described above as 1) single step elution systems (i.e. for processes that do not require concentration, such as in the production of Tc-99m); and 2) elution and concentration systems (i.e. for the production of Re-188). Accordingly, the "one or more processing elements," as used herein, refers to any element through which the eluent (or eluate) may pass. This includes, at a minimum, single radioisotope generator. However, it also includes multiple radioisotope generators connected in series. In this case, the predetermined volume of gas is determined by the number of generators being eluted in series and the distance that the predetermined volume of eluent must travel. The one or more processing elements may alternatively comprise concentration and purification components, including, for instance the ion exchange columns described in more detail below, or a combination of one or more generators in series with concentration and purification components. In a more detailed embodiment, the force-limited, pressure-supplying mechanism comprises a plunger having a head positioned within said reservoir and over the volume of gas and a plunger pressure source that applies a downward force upon the plunger, the volume of gas, and thus the volume of eluent, in order to propel the eluent and then the gas through the reservoir, and through the one or more processing elements. An improved system for producing a concentrated radioisotope is also disclosed herein. In the preferred embodiment, the system includes a generator for producing an eluate containing a desired radioisotope to be concentrated, a radioisotope concentration subsystem in fluid communication with the generator that removes impurities from the eluate and that concentrates the radioisotope therein, a radioisotope collection vessel in fluid communication with the concentration subsystem for collecting therein a desired volume of prepared radioisotope solution, and two, gas-over-eluent, fluid delivery mechanisms. "Impurities," as used herein, refers to undesired chemical species, such as chloride anions that could interfere with further processing steps, cations, and/or radionuclide impurities, such as Tungsten W-188 breakthrough from the generator, which are undesirable for medical use in the patient. The "gas" in the fluid delivery mechanism may be any appropriate gas, but will typically be filtered air. The collection vessel may be any appropriate sterile receptacle for the isotope, such as a vented collection vial, a waterproof bag, or a syringe. A first gas-over-eluent delivery mechanism stores a first measured volume of fluid comprising a first measured volume of eluent solution and a first measured volume of a gas positioned over the first volume of solution, and includes a first pressure-supplying source that applies a first pressure upon the first volume of gas to force the first volume of eluent and then gas through the generator and the radioisotope concentration subsystem. A second gas-over-eluent delivery mechanism includes a second measured volume of fluid comprising a second measured volume of eluent solution and a second measured volume of a gas positioned over the second volume of solution and includes a second pressure-supplying source that applies a second pressure upon the second volume of gas to force the second volume of eluent and then gas through the concentration subsystem and into the radioisotope collection vessel. It should be understood, however, that the presently described gas-over-eluent invention in not limited to two gas-over-eluent delivery mechanisms. The number of mechanisms can equal the number of distinct elution steps needed or desired for a given procedure. The multiple, separate gas-over-eluent mechanisms provide numerous advantages over prior systems. First, they eliminate the need for an electric pump to supply the eluent to the generator and rest of the system. Second, since each mechanism is preloaded with a predetermined volume of eluent and gas, the need to track the volume of eluent that is supplied from a large eluent source during the procedure is eliminated. Thus, the need for timing the system, whether by the operator, or automatically via timers, and the possibility for such error during an elution is also substantially eliminated. After the first mechanism evacuates its prestored eluent and flushes the system with its gas, the second mechanism is activated to re-elute the radioisotope and to produce the final product at the desired concentration. This can be accomplished manually by the operator by applying the second pressure-supplying source to the second volume of fluid after observing that the first container is spent. Alternatively, the second elution may be initiated automatically, as described in detail below. Further, any subsequent stage mechanism, if present, can be activated after its preceding stage mechanism completes its task. In one preferred embodiment, the first and second pressure supplying sources are constant pressure supplying sources. As one example, gravity may supply the constant pressure upon the first and second gas-over-eluent combinations by means of simple weights of predetermined mass. In an alternative embodiment, the first and second pressure supplying sources are variable rate pressure supplying sources. For example, the first pressure supply source may be a first compressed spring having a spring coefficient k1 and the second pressure supply source is a second spring having a spring coefficient k2. In a more particular embodiment, the first delivery comprises a first downwardly-positioned syringe having a barrel for containing the first volume of fluid, an output feed, and a plunger that fits into the barrel and is positioned over the output feed. A first pressure supplying source, such as a mass or spring, is connected to the plunger. "Downwardly-positioned" refers to the orientation of the syringe being substantially vertically oriented so that the plunger is at the top of the syringe and pushes downwardly towards the output feed, or outlet. Similarly, the second gas over eluent delivery mechanism, typically smaller than the first, comprises a second syringe having a barrel for containing a second volume of fluid, an output feed and plunger, and a second pressure supplying source connected to the plunger that supplies a downward force to the plunger. In the broadest embodiment, the radioisotope concentration subsystem includes at least one processing element in fluid communication with the generator that processes that radioisotope therein. In a more particular embodiment, the at least one processing element comprises at least one impurity trap in fluid communication with the generator for removing impurities from the eluate and a radioisotope trap in fluid communication with the at least one impurity trap for concentrating therein the desired radioisotope in the eluate and for permitting the passage of the eluate therethrough for disposal. As used herein, "impurity trap" refers to any conventional element that processes, purifies or further prepares an eluate solution, such as an ion exchange column, chromatography column or filter. In this more detailed embodiment, the second gas-over eluent delivery mechanism forces the second measured volume of eluent and then the second measured volume of a gas into and through the radioisotope trap and into the radioisotope collection vessel to complete the process. The system further includes a waste receptacle for receiving the eluate produced by the generator and passed by the radioisotope trap and supplied by the first gas-over eluent delivery mechanism. In a preferred embodiment, the waste receptacle is contained within the radioisotope concentration subsystem, so that the waste may be safely disposed with the subsystem, without a separate handling step. A preferred method of operating the system may be completely or partially automated. Such method entails first applying a first pressure on a first volume of gas to force a first volume of eluent and then the first volume of gas through a generator and a concentration subsystem and into a fluid waste receptacle, thereby eluting the daughter radioisotope from the generator, concentrating the eluate in a radioisotope trap in the subsystem, and purging the system of fluid. Only then may the system apply a second pressure on a second volume of gas to force a second volume of eluent, and then the second volume of gas through the radioisotope trap once again and into a sterile, vented collection vessel, thereby re-eluting the concentrated daughter radioisotope into the collection vessel and purging the concentration subsystem of fluid. The second elution, or "re-elution", step may be activated by an operator or may commence automatically upon sensing the completion of the first elution. This operation may be automated either mechanically or electronically. As an example of a mechanically automated embodiment, the second pressure supply may be a spherical mass (i.e. a ball) that rests at the top of a downwardly titled track that terminates at the top of the plunger of the second syringe. The sphere is prevented from rolling down to and atop the plunger via a stopper mechanism. However, as soon as the plunger of the first syringe mechanism collapses into the barrel, the stopper mechanism automatically releases the sphere, allowing it to roll down the ramp and onto the plunger, to serve as the second pressure supply, and to thus commence the second elution step. A three-way valve is provided to redirect the fluid path which flowed in the first elution from the radioisotope trap to the waste receptacle to one that flows, in the second elution from the radioisotope trap to the collection vessel. The valve may be manually adjusted to redirect the flow after the gas from the first volume completes its purging function. Alternatively, the valve may be mechanically actuated, for example, by the rolling spherical mass described above, or may be electronically controlled and programmed to move to its "second" position after a sensor detects that the first elution is complete. The gas-over-eluent delivery mechanism and method also provide a relatively simple and low cost means for eluting two or more generators connected in series and particularly, aged and used generators that are still capable of producing some eluate but not enough to warrant subsequent, individual elutions. The application of gas to force the eluent completely through the multiple generator system permits the use of the same volume eluent as would be required to elute a single generator. This feature enables the use of only one set of costly ion exchange columns, which have a limited volume capacity, to concentrate the eluate from the multiple generators. This also provides an efficient solution to the problem of radioisotope waste. A still more detailed aspect of the invention includes a single-use, self-sealed, radioisotope concentration cartridge for concentrating therein a radioisotope contained in an eluate solution generated by a radioisotope generator. The eluate solution is carried by a first fluid delivery system which prepares the radioisotope to be re-eluted by a second fluid delivery system and to be carried into a sterile, collection vial via a third fluid delivery system. The cartridge includes at least one processing element, which, in the preferred embodiment, includes at least one impurity trap and a radioisotope trap serially connected to the at least one impurity trap, and a sealed, radioactively shielded, container that houses the at least one impurity trap and radioisotope trap. In particular, the container includes at least one opening and at least one septum that seals the at least one opening. The at least one septum (1) permits the flow of eluate from the generator into the at least one impurity trap when penetrated by the first fluid delivery system; (2) permits the flow of fresh eluent through the radioisotope trap when penetrated by the second fluid delivery system; (3) and permits the flow of the prepared radioisotope solution from the radioisotope trap to the sterile, collection vessel when penetrated by the third fluid delivery system. In still another aspect of the invention, the container includes at least three openings, each sealed by a penetrable septum: (1) a first input septum that seals the first container opening and permits the flow of eluate from the generator into the at least one column when penetrated by the first fluid delivery system; (2) a second input septum that seals the second container opening and permits the flow of fresh eluent through the anion exchange column when penetrated by the second fluid delivery system; and (3) an output septum that seals the third opening and permits the flow of the prepared radioisotope solution from the anion exchange column to the sterile, collection vial when penetrated by the third fluid delivery system. The one step, sealed and drop in feature of this cartridge greatly simplifies set up clean up and minimizes operator exposure to radiation.
summary
description
The present application claims priority to Korean Patent Application Numbers 10-2015-0112952 filed on Aug. 11, 2015 and 10-2016-0041137 filed on Apr. 4, 2016, in the Korean Intellectual Property Office, the entire disclosure of which is incorporated by reference herein. 1. Field The present disclosure relates to an X-ray source, and more particularly, to an X-ray tube, of which a radiation angle is adjustable, and an apparatus including the same. 2. Description of the Related Art An X-ray tube includes a cathode, emitters formed on the cathode, and an anode. Electrons emitted from the emitter are accelerated by a voltage difference between the anode and the cathode and move toward the anode, and when an E-beam collides with an anode target, kinetic energy of the electrons is converted into an X-ray and the X-ray is emitted. That is, the X-ray is emitted. In the X-ray tube in the related art, an X-ray is radiated in all directions, so that a method of adjusting a radiation angle of the X-ray is required. The present disclosure has been made in an effort to solve the above-described problems associated with the prior art, and provides an X-ray source which is capable of adjusting a radiation angle. The present disclosure has also been made in an effort to solve the above-described problems associated with the prior art, and provides an X-ray device, in which a plurality of X-ray sources is arranged in an array form. An exemplary embodiment of the present disclosure provides an X-ray source, including: a cathode including a shielding channel through which an X-ray passes; emitters formed on an upper surface of the cathode, and arranged around the shielding channel; an anode positioned so as to face the cathode, and including an anode target in which an E-beam is focused; and a gate electrode positioned between the cathode and the anode, and including gate holes at positions corresponding to those of the emitters. An exemplary embodiment of the present disclosure provides an X-ray device, including: a plurality of X-ray sources, each of which includes a cathode including a shielding channel, through which an X-ray passes, emitters formed on an upper surface of the cathode and arranged around the shielding channel, an anode positioned so as to face the cathode and including an anode target in which an E-beam is focused, and a gate electrode positioned between the cathode and the anode, and including gate holes at positions corresponding to those of the emitters, wherein the plurality of X-ray sources is arranged in an array form. According to the exemplary embodiment of the present disclosure, it is possible to arbitrarily adjust a radiation angle of an X-ray by using the shielding channel of the cathode. Accordingly, it is possible to generate a subparallel X-ray by decreasing a radiation angle of the X-ray. Further, it is possible to generate a plane X-ray or an X-ray capable of performing tomography by increasing a radiation angle of the X-ray. Further, it is possible to provide a multi-X-ray source capable of performing a queue control by arranging the plurality of X-ray sources, of which a radiation angle is controllable by the shielding channel, in an array form. Hereinafter, the exemplary embodiments of the present disclosure will be described with reference to the accompanying drawings in detail so that those skilled in the art may easily carry out the present disclosure. FIGS. 1A and 1B are cross-sectional views illustrating a structure of an X-ray source according to an exemplary embodiment of the present disclosure. Referring to FIGS. 1A and 1B, the X-ray source according to the exemplary embodiment of the present disclosure includes a cathode 11, emitters 12, a gate electrode 13, a focusing electrode 14, and an anode 15. The cathode 11 includes a shielding channel CH through which an X-ray passes. The shielding channel CH may be an opening which passes through the cathode 11 in a thickness direction of the cathode, and a length of the shielding channel CH is determined according to a thickness of the cathode 11. A material of the cathode 11 may be determined in consideration of energy of an X-ray, a structure of an X-ray source, and a degree of X-ray shielding. Further, a form of the shielding channel CH may be determined in consideration of a radiation angle of an X-ray, and a diameter of an X-ray which passes through the shielding channel CH and reaches a detector. For example, a cross-section of the shielding channel CH may have various forms, such as a circle, an ellipse, a quadrangle, and a polygon, and widths of an inlet and an outlet of the shielding channel CH may be the same or different from each other. Further, the cathode 11 may include one shielding channel CH or include a plurality of shielding channels CJ. The anode 15 may be positioned so as to face the cathode 11, and may be positioned on the cathode 11 while being spaced apart from the cathode 11 by a predetermined distance. The anode 15 may include an electrode 15B and an anode target 15A attached to the electrode 15B. The anode target 15A includes a material, for example, tungsten, molybdenum, and copper, with which an E-beam collides to generate an X-ray. The emitter 12 is formed on the cathode 11, and is arranged around the shielding channel CH. For example, the emitter 12 may be a thermoelectric source or a field-emission electron source. Further, the emitter 12 may be arranged in a dot array form. The gate electrode 13 may be positioned on the cathode 11, and may include gate holes at positions corresponding to those of the emitters 12. When a plurality of emitters 12 is formed on the cathode 11, the gate electrode 13 may include a plurality of gate holes. For example, the gate electrode 13 may have a mesh form. Further, the gate electrode 13 may include an opening for allowing an X-ray to pass through. The focusing electrode 14 may be positioned between the gate electrode 14 and the anode 15, and may include an opening for allowing an X-ray to pass through, similar to the gate electrode 13. The focusing electrode 14 serves to adjust a diameter of the E-beam reaching the anode 15. Accordingly, it is possible to adjust a radiation angle of the emitted X-ray by adjusting a diameter of the E-beam reaching the anode 15 by the focusing electrode 14. For reference, although not illustrated in the present drawing, the X-ray source may have a tube structure, and an insulating spacer for maintaining a vacuum atmosphere may be positioned between the cathode 11 and the anode 15. Further, the gate electrode 13 or the focusing electrode 14 may be omitted. According to the aforementioned structure, the electrons emitted from the emitter 12 are accelerated toward the anode 15 and passes through the openings of the gate electrode 13 and the focusing electrode 14. Further, an E-beam 16 collides with the anode target 15A to generate an X-ray 17. The generated X-ray 17 may be emitted in all directions, and a part of the generated X-ray passes through the shielding channel CH of the cathode 11. That is, the shielding channel CH serves as a filter to allow only the X-ray 17, which is radiated at a predetermined angle, to pass through, and thus it is possible to generate a subparallel X-ray 17A. Accordingly, an intensity and a radiation form of the X-ray 17, which passes through the shielding channel CH, may be adjusted by controlling a length, a width, and the like of the shielding channel CH. That is, it is possible to adjust a radiation angle of the X-ray 17. Referring to FIG. 1A, the cathode 11 may include one shielding channel CH. Referring to FIG. 1B, the cathode 11 may include a plurality of shielding channels CH1 and CH2 which is positioned above and below. FIG. 1B illustrates a case where the cathode 11 includes a first plate 11A including a first shielding channel CH1 and a second plate 11B including the second shielding channel CH2. In this case, the emitted X-ray sequentially passes through the first shielding channel CH1 and the second shielding channel CH2. Further, the first shielding channel CH1 and the second shielding channel CH2 may be positioned while overlapping above and below, and may have the same form or different forms. For example, the second shielding channel CH2 may have a smaller width than that of the first shielding channel CH1. Accordingly, it is possible to more minutely adjust the radiation angle of the X-ray 17b adjusting positions, forms, sizes, and the like of the first and second shielding channels CH1 and CH2. FIGS. 2A to 2C are cross-sectional views for describing a principle of adjusting a radiation angle of the X-ray source according to the exemplary embodiment of the present disclosure, and are illustrated based on the anode target 15A, the cathode 11, the shielding channel CH, and a detector 20. In each drawing, d1 represents a diameter of an E-beam focused in the anode target 15A, that is, a diameter of a focal spot. d2 represents a diameter of the outlet of the shielding channel CH, and d3 represents a diameter of the inlet of the shielding channel CH. l1 represents a distance from a surface of the anode target 15A to the outlet of the shielding channel CH. l2 represents a distance of the shielding channel L represents a distance from the outlet of the shielding channel CH to a surface of the detector 20. θ represents a radiation angle of the X-ray emitted from the anode target 15A. Further, D represents a diameter D of the X-ray which passes through the shielding channel CH and reaches the detector 20. Hereinafter, a determination of the radiation angle θ of the X-ray emitted from the anode target 15A and the diameter D of the X-ray which passes through the shielding channel CH and reaches the detector 20 according to the diameter d1 of the focal spot when a diameter d2 of the outlet of the shielding channel CH is the same as or smaller than a diameter d3 of the inlet (d3>>d2) will be described with reference to the Equations. Referring to FIG. 2A and Equation 1, it can be seen that when the diameter d1 of the focal spot has a small value which is close to 0, the radiation angle θ of the emitted X-ray and the diameter D of the X-ray reaching the detector 20 are determined according to the diameter d2 of the outlet of the shielding channel CH. d 1 ≈ 0 , d 3 ≥ d 2 ⁢ ⁢ D m ⁢ ⁢ i ⁢ ⁢ n = d 2 ⁡ ( 1 + L l ⁢ ⁢ 1 ) ⁢ ⁢ θ m ⁢ ⁢ i ⁢ ⁢ n = 2 ⁢ tan - 1 ⁡ ( D m ⁢ ⁢ i ⁢ ⁢ n - d 2 2 ⁢ L ) [ Equation ⁢ ⁢ 1 ] Equation 2 represents a calculation of a maximum value d1max of the diameter of the meaningful focal spot. As described above, it is possible to adjust the diameter d1 of the focal spot by using the focusing electrode. Further, when the diameter d1 of the focal spot is increased, the radiation angle θ of the emitted X-ray is increased. However, according to the exemplary embodiment of the present disclosure, since only a part of the emitted X-ray is capable of passing through the shielding channel CH, the radiation angle θ of the X-ray, which is capable of passing through the shielding channel CH is limited. Accordingly, the maximum diameter d1max of the focal spot is determined according to the diameter d3 of the inlet and the diameter d2 of the outlet of the shielding channel CH, and may be calculated by using Equation 2. d 1 ⁢ ma ⁢ ⁢ x = l 1 l 2 ⁢ ( d 2 + d 3 ) - d 2 [ Equation ⁢ ⁢ 2 ] Referring to FIG. 2B and Equation 3, it can be seen that when the diameter d1 of the focal spot has a smaller value than that of d1max, the radiation angle θ of the emitted X-ray and the diameter D of the X-ray reaching the detector 20 are determined according to the diameter d2 of the outlet of the shielding channel CH. d 1 < d 1 ⁢ ma ⁢ ⁢ x , d 3 ≥ d 2 ⁢ ⁢ D = d 1 + d 2 l 1 ⁢ L + d 2 ⁢ ⁢ θ = 2 ⁢ tan - 1 ⁡ ( D - d 2 2 ⁢ L ) [ Equation ⁢ ⁢ 3 ] Referring to FIG. 2C and Equation 4, it can be seen that when the diameter d1 of the focal spot is d1max, the radiation angle θ of the emitted X-ray and the diameter D of the X-ray reaching the detector 20 are determined according to the diameter d1 of the inlet and the diameter d2 of the outlet of the shielding channel CH. d 1 = d 1 ⁢ ma ⁢ ⁢ x , d 3 ≥ d 2 ⁢ ⁢ D ma ⁢ ⁢ x = d 2 + d 3 l 2 ⁢ L + d 2 ⁢ ⁢ θ ma ⁢ ⁢ x = 2 ⁢ tan - 1 ⁡ ( d 2 - d 3 2 ⁢ l 2 ) [ Equation ⁢ ⁢ 4 ] Accordingly, it is possible to adjust the radiation angle of the X-ray according to the structure of the X-ray source, particularly, the diameter d3 of the inlet and the diameter d2 of the outlet of the shielding channel CH. For example, it is possible to manufacture the X-ray source having a narrow radiation angle so that the X-ray is emitted with a narrow angle, and it is possible to manufacture a surface-emitting X-ray source by configuring the X-ray source in an array form. Further, it is possible to manufacture an X-ray source having a wide radiation angle and use the manufactured X-ray source for a Computer Tomography (CT), a tomography, and the like. FIGS. 3A and 3B are diagrams for describing an emitter arrangement scheme of the X-ray source according to the exemplary embodiment of the present disclosure, and FIG. 3A is a layout, and FIG. 3B is a cross-sectional view. Referring to FIG. 3A, the emitter 12 is arranged around the shielding channel CH of the cathode, and includes a first emitter 12A which is relatively adjacent to the shielding channel CH, and a second emitter 12B which is relatively spaced apart from the shielding channel CH. The gate electrode 13 includes a first gate hole 13A which is formed at a position corresponding to that of the first emitter 12A, a second gate hole 13B which is formed at a position corresponding to that of the second emitter 12B, and an opening 13C for allowing an X-ray to pass through. Here, the opening 13C may be formed at the position corresponding to that of the shielding channel CH, and may have a similar form and size to those of the shielding channel CH. However, since the emitter 12 is not present at the position corresponding to that of the shielding channel CH, a center region of the focused E-beam may have a relatively low density. Accordingly, the arrangement of the emitter 12 may be adjusted so that a center and an outer side of the E-beam have a uniform density. For example, the first emitter 12A is positioned within the first gate hole 13A, but a center axis of the first emitter 12A and a center axis of the first gate hole 13A are offset, so that the first emitter 12A is arranged to be adjacent to the shielding channel CH. Further, the second emitter 12B is arranged so that a center axis of the second emitter 12A corresponds to a center axis of the second gate hole 13B. Accordingly, the E-beam may have a uniform density by increasing a density of the center of the E-beam. In this case, it is possible to differently adjust a degree of the offset of the axis of the emitter 12 and the axis of the gate hole 13 according to a distance between the shielding channel CH and the emitter 12. For example, when the distance is small, the offset value is increased, and the distance is large, the offset value is decreased. Accordingly, it is possible to minutely adjust a degree of deflection of the E-beam. However, it is necessary to adjust the position of the emitter 12 so that a leakage current is not caused. FIGS. 4A and 4B are perspective views illustrating a structure of an X-ray source according to an exemplary embodiment of the present disclosure, and are design diagrams for manufacturing an X-ray tube. Referring to FIG. 4A, the X-ray tube may include a cathode 41, an anode 42, insulating spacers 44, a focusing electrode 45, a gate electrode 46, an X-ray window 47, emitters 48, a getter 49, screw taps 51, and a filler overflow trench 52, or may include some of them. The cathode 41 may include a cathode electrode 41A, a cathode sheet 41B, a first shielding plate 41C, and a second shielding plate 41D. The first and second shielding plates 41C and 41D include a first shielding channel and a second shielding channel, respectively, and the first and second shielding channels may have various forms and sizes which are described before with reference to FIGS. 1A to 3A. As described above, when the cathode 41 includes the plurality of shielding plates 41C and 41D, it is necessary to carefully arrange the shielding plates so as to prevent the plurality of shielding plates 41C and 41D from being mislocated. The cathode sheet 41B may be attached onto an upper surface of the cathode electrode 41A, and a nano emitter 48 may be attached to the cathode sheet 41B. The anode 42 may include an anode electrode 42A and an anode target 42B. The anode target 42B may be attached onto a lower surface of the anode electrode 42A. The cathode 41 and the anode 42 may be positioned while facing each other, and the anode 42 may be positioned on the cathode 41. The gate electrode 46 may be positioned between the cathode 41 and the anode 42, and may include a gate electrode 46A and a gate mesh 46B. The gate mesh 46B may include gate holes which are formed at a position corresponding to that of the array of the emitters 48. The focusing electrode 45 may be positioned between the anode 42 and the gate electrode 46, and may include a focusing electrode 45A and a focusing mesh 45B. The focusing mesh 45B may include holes which are formed at a position corresponding to that of the array of the emitter 48. The gate mesh 46B and the focusing mesh 45B may be manufactured so as to include holes which one to one correspond to the array of the emitter 48, and may independently apply a voltage. Further, the gate mesh 46B and the focusing mesh 45B may include openings corresponding to the first and second shielding channels of the first and second shielding plates 41C and 41D. The screw tap 51 may be formed on an external surface of the anode 42, and the filler overflow trench 52 may be formed between the anode target 42B and the anode electrode 42A. The filler overflow trench 52 is for the purpose of preventing a braising filler made of a metal from overflowing and a contamination from being generated during a process of bonding the anode target 42B to the anode electrode 42A during a vacuum braising process. The X-ray tube may be manufactured in a vacuum sealed form. For example, the X-ray tube is manufactured by inserting the braising filter into spaces between the cathode electrodes 41, 42, 45, and 46 and the insulating spacer 44, and then sealing the spaces under a high temperature vacuum condition. In this case, it is possible to insert the non-volatile getter 49 for securing a degree of vacuum. The getter 49 may be mounted at a position, for example, a lower side of the cathode 41, at which the getter 49 avoids an interference with another electrode. In order to maintain vacuum within the X-ray tube, it is possible to install the X-ray window 47 at one end of the X-ray tube. The X-ray window 47 may be formed of a material, which allows the X-ray to pass through, and a material, such as beryllium (Be), which minimizes the absorption of the X-ray, may be selected as the material of the X-ray window 47. Otherwise, a metal having a filter function, and a material, such as a metal oxide, may be selected as the material of the X-ray window 47. The insulating spacer 44 may be positioned between the cathode 41 and the anode 42, and may have a tube form. The insulating spacer 44 is formed of a material which is capable of sealing by using a metal filler or an active filler, and includes, for example, an aluminum oxide (Al2O3), sapphire, and a silicon nitride. Referring to FIG. 4B, in the X-ray tube according to the exemplary embodiment of the present disclosure, a surface of the anode target 42B may have a concave surface, and thus it is possible to increase an intensity of emitted X-ray. A point, at which the E-beam collides with the anode target 42B, is the focal spot, and the X-ray is emitted from the focal spot in all directions. In this case, the intensity of X-ray, which is vertically emitted from a surface of the anode target 42B, is highest. On the other hand, the X-ray emitted in a side direction from the surface of the anode target 42B is partially absorbed into the material of the anode target 42B, the intensity of X-ray is relatively low. That is, when an area of the anode target 42B, which collides with the E-beam, is increased, an intensity of X-ray, which is incident into the shielding channel of the shielding plate 42C, is increased. Accordingly, according to the exemplary embodiment of the present disclosure, the surface of the anode target 42B is processed in a concave form so that the surface of the anode target 42B has a curvature based on a position corresponding to that of the shielding channel. Accordingly, it is possible to increase the intensity of emitted X-ray in a surrounding region of the anode target 42B, as well as the center of the anode target 42B. For reference the present drawing illustrates a case where the cathode 41 includes one shielding plate 41C, but the cathode 41 may include a plurality of shielding plates 41C. Further, other structures are the same as those described with reference to FIG. 4A. FIGS. 5A and 5B are perspective views illustrating an X-ray source according to an exemplary embodiment of the present disclosure, and FIG. 5A is a perspective view illustrating an internal structure of the X-ray source, and FIG. 5B represents an X-ray source array. Referring to FIGS. 5A and 5B, in an X-ray device according to an exemplary embodiment of the present disclosure, one X-ray source is formed as a unit structure. That is, it is possible to manufacture the X-ray device by arranging the plurality of X-ray sources in an array form. Here, each X-ray source is separately sealed so as to independently have a vacuum state. Further, the X-ray source includes a cathode 41, an anode 42, an insulating spacer 44, a focusing electrode 45, a gate electrode 46, an X-ray window 47, and emitters 48, and the cathode 41 includes a shielding channel CH. The X-ray source may have the structure which is described with reference to FIGS. 1A to 4B. According to the structure, an E-beam emitted from the emitter 48 passes through the gate electrode 46 and the focusing electrode 45 and collides with the anode target 42B, and the emitted X-ray is emitted through the shielding channel CH of the cathode 41. In this case, a diameter of the E-beam reaching the anode target 42B is adjusted by the focusing electrode 45, and a radiation angle of the X-ray is adjusted according to the diameter of the E-beam and a form of the shielding channel CH. Accordingly, it is possible to emit the X-ray having a specific radiation angle. Further, the plurality of X-ray tubes is arranged in an array form, so that the X-ray, which is emitted from the X-ray tube, may also have an array form. Particularly, it is possible to spatially adjust an intensity of emitted X-ray by separately adjusting an intensity of E-beam emitted from each X-ray tube. FIG. 6 is a perspective view illustrating a structure of a flat X-ray device according to an exemplary embodiment of the present disclosure. Referring to FIG. 6, an X-ray device according to an exemplary embodiment of the present disclosure may be manufactured by arranging a plurality of X-ray sources in an array form, and includes an array including the plurality of X-ray sources as a unit structure. Each array includes a cathode 61, emitters 62, a gate electrode 63, a focusing electrode 64, and an anode 65. Here, the cathode 61, the gate electrode 63, the focusing electrode 64, and the anode 65 are formed in a plate form. The cathode 61 includes a plurality of shielding channels CH which pass through the plate in a thickness direction of the plate, and the emitters 62 are formed around the shielding channels CH. The gate electrode 63 and the focusing electrode 64 include openings at positions corresponding to those of the shielding channels. According to the aforementioned structure, it is possible to implement the plurality of X-ray devices in one plate by arranging the shielding channels CH in one cathode 61 in the array form. In the X-ray device, which is described before with reference to FIGS. 5A and 5B, each X-ray source that is the unit structure is sealed. Contrary to this, in the X-ray device according to the present exemplary embodiment, the X-ray source is integrated to one plate, so that it is possible to simply manufacture the X-ray device by sealing the X-ray source in the array unit. Further, it is possible to adjust an intensity of emitted X-ray in a unit of an array by electrically separating the cathode 61 included in each X-ray source array. FIGS. 7A to 7D are cross-sectional views illustrating an application example of an X-ray device according to an exemplary embodiment of the present disclosure. Referring to FIG. 7A, an X-ray source array 100 emits an X-ray with a narrow radiation angle. In this case, the subparallel X-ray emitted from the X-ray source array 100 reaches the detector 200 via a subject 300. Referring to FIG. 7B, the X-ray source array 100 emits an X-ray with a wide radiation angle. In this case, it is possible to obtain a plurality of images from the X-rays emitted from the plurality of X-ray sources. Further, some of the images obtained from the X-rays emitted from the adjacent X-ray sources overlap, so that it is possible to configure tomography through the overlapping images. Referring to FIGS. 7C and 7D, the X-ray source included in the X-ray source array 100 is selectively driven. In this case, the X-ray source is selected so that the X-rays reaching the detector 200 do not overlap. For example, a first image is obtained from the X-ray sources arranged in odd numbers, and a second image is obtained from the X-ray sources arranged in even numbers. Here, the first image and the second image partially overlap, so that it is possible to generate a two-dimensional X-ray image by composing the overlapping images. FIG. 8 is a graph representing a simulation result of an E-beam of the X-ray device according to the exemplary embodiment of the present disclosure. Referring to FIG. 8, it can be seen that when a focus voltage Vf is changed to 0.3 kV, 0.5 kV, 1 kV, 3 kV, and 5 kV in a state where an anode voltage Va is fixed at 30 kV and a gate voltage Vg is fixed at 2.5 kV, a diameter of an E-beam reaching the anode target is changed from about 0.7 mm to 5 mm. Based on this, it can be seen that it is possible to easily adjust a diameter of the E-beam by adjusting the focus voltage Vf. The technical spirit of the present disclosure have been described according to the exemplary embodiment in detail, but the exemplary embodiment has described herein for purposes of illustration and does not limit the present disclosure. Further, those skilled in the art will appreciate that various exemplary embodiments may be made within the technical spirit of the present disclosure.
claims
1. A device for optimizing a diffusion section of an electron beam, comprising:a first group of permanent magnets comprising four magnetic poles fixed on an upper magnetic yoke and a lower magnetic yoke in pairs, a polarity of a magnetic pole being different from that of another magnetic pole adjacent or opposite thereto, a magnetic field formed by the four magnetic poles extending an electron beam in a longitudinal direction and compressing said electron beam in a transverse direction, so that said electron beam becomes an approximate ellipse;a second group of permanent magnets comprising eight magnetic poles fixed on an upper magnetic yoke, a lower magnetic yoke, a left magnetic yoke, and a right magnetic yoke in pairs, a polarity of a magnetic pole being different from that of another magnetic pole adjacent or opposite thereto, a magnetic field formed by the eight magnetic poles optimizing an edge of a dispersed electron-beam bunch into an approximate rectangle;four longitudinal connection mechanisms, both ends of each of said upper magnetic yoke and said lower magnetic yoke of said first group of permanent magnets being respectively disposed on a left magnetic yoke and a right magnetic yoke via two of the four longitudinal connection mechanisms, both ends of each of said upper magnetic yoke and said lower magnetic yoke of said second group of permanent magnets being respectively disposed on said left magnetic yoke and said right magnetic yoke via the other two of the four longitudinal connection mechanisms;a supporting block disposed between respective adjacent magnetic poles on said magnetic yokes of said first and second groups of permanent magnets, the supporting block being configured to prevent said respective adjacent magnetic poles from deviation due to attractive force thereof;four slide mechanisms including slide bars, bases, and bearings, said four slide mechanisms configured to respectively connect four corner points formed by said four magnetic yokes of said first group of permanent magnets with another four corner points formed by said four magnetic yokes of said second group of permanent magnets, said first group of permanent magnets being fixed with respect to said slide bars via the bases, said second group of permanent magnets being movable along said slide bars via the bearings, thereby adjusting a distance between said first group of permanent magnets and said second group of permanent magnets; anda locking mechanism configured to fix said distance between said first group of permanent magnets and said second group of permanent magnets via threaded rods, the threaded rods disposed on both sides of said second group of permanent magnets and operate to connect said first group of permanent magnets to said second group of permanent magnets;wherein said upper magnetic yoke and said lower magnetic yoke of said first group of permanent magnets are configured to move synchronously relative to both said left and right magnetic yokes of said first group of permanent magnets towards a center between said upper magnetic yoke and said lower magnetic yoke of said first group of permanent magnets via longitudinal connection mechanisms, and thereby longitudinally compressing said electron beam in a shape of an approximate ellipse,said upper magnetic yoke and said lower magnetic yoke of said second group of permanent magnets are configured to move synchronously relative to both said left and right magnetic yokes of said second group of permanent magnets towards a center between said upper magnetic yoke and said lower magnetic yoke of said second group of permanent magnets via longitudinal connection mechanisms, and thereby longitudinally compressing said electron beam in a shape of an approximate rectangle, andthe first group and the second group of permanent magnets are configured to reduce said electron-beam bunch to 80 mm via the four longitudinal mechanism and the four slide bars. 2. The device for optimizing a diffusion section of an electron beam of claim 1, wherein said longitudinal connection mechanisms are facilitated by: an upper strip-form through hole and a lower strip-form through hole are disposed on said left magnetic yoke or said right magnetic yoke, and operate to respectively receive one end of each of said upper magnetic yoke and said lower magnetic yoke via screws, and calibration is labeled on the wall of said through holes, and allows determination of positions of said upper magnetic yoke and said lower magnetic yoke via a vernier caliper. 3. The device for optimizing a diffusion section of an electron beam of claim 1, further comprising a groove, wherein the groove is disposed at the surface of said magnetic yoke, and interference fit with one end of said magnetic pole for receiving said magnetic pole, said groove being fixed by attractive force between said magnetic pole and said magnetic yoke, and via a fixed mount made of aluminum alloy. 4. The device for optimizing a diffusion section of an electron beam of claim 3, further comprising a pad disposed between said groove and said magnetic pole. 5. A method for optimizing a diffusion section of an electron beam using a device for optimizing a diffusion section of an electron beam, wherein the device comprising:a first group of permanent magnets comprising four magnetic poles fixed on an upper magnetic yoke and a lower magnetic yoke in pairs, a polarity of a magnetic pole being different from that of another magnetic pole adjacent or opposite thereto, a magnetic field formed by the four magnetic poles extending an electron beam in a longitudinal direction and compressing said electron beam in a transverse direction, so that said electron beam becomes an approximate ellipse;a second group of permanent magnets comprising eight magnetic poles fixed on an upper magnetic yoke, a lower magnetic yoke, a left magnetic yoke, and a right magnetic yoke in pairs, a polarity of a magnetic pole being different from that of another magnetic pole adjacent or opposite thereto, a magnetic field formed by the eight magnetic poles optimizing an edge of a dispersed electron-beam bunch into an approximate rectangle;four longitudinal connection mechanisms, both ends of each of said upper magnetic yoke and said lower magnetic yoke of said first group of permanent magnets being respectively disposed on a left magnetic yoke and a right magnetic yoke via two of the four longitudinal connection mechanisms, both ends of each of said upper magnetic yoke and said lower magnetic yoke of said second group of permanent magnets being respectively disposed on said left magnetic yoke and said right magnetic yoke via the other two of the four longitudinal connection mechanisms;a supporting block disposed between respective adjacent magnetic poles on said magnetic yokes of said first and second groups of permanent magnets, the supporting block being configured to prevent said respective adjacent magnetic poles from deviation due to attractive force thereof;four slide mechanisms including slide bars, bases, and bearings, said four slide mechanisms configured to respectively connect four corner points formed by said four magnetic yokes of said first group of permanent magnets with another four corner points formed by said four magnetic yokes of said second group of permanent magnets, said first group of permanent magnets being fixed with respect to said slide bars via said bases, said second group of permanent magnets being movable along said slide bars via said bearings, thereby adjusting a distance between said first group of permanent magnets and said second group of permanent magnets; anda locking mechanism configured to fix said distance between said first group of permanent magnets and said second group of permanent magnets,the method comprising:conducting a first longitudinal compression to compress an electron beam in a shape of an approximate ellipse by moving said upper magnetic yoke and said lower magnetic yoke of said first group of permanent magnets synchronously relative to both said left and right magnetic yokes of said first group of permanent magnets towards a center between said upper magnetic yoke and said lower magnetic yoke of said first group of permanent magnets;conducting a second longitudinal compression to compress said electron beam in a shape of an approximate rectangle by moving said upper magnetic yoke and said lower magnetic yoke of said second group of permanent magnets synchronously relative to both said left and right magnetic yokes of said second group of permanent magnets towards a center between said upper magnetic yoke and said lower magnetic yoke of said second group of permanent magnets; andrepeating the first and the second longitudinal compression until a longitudinal size of said electron beam is reduced to 80 mm.
039765431
abstract
Three identical bimetallic disks, each shaped as a spherical cap with its convex side composed of a layer of metal such as molybdenum and its concave side composed of a metal of a relatively higher coefficient of thermal expansion such as stainless steel, are retained within flanges attached to three sides of an inner hexagonal tube containing a neutron absorber to be inserted into a nuclear reactor core. Each disk holds a metal ball against its normally convex side so that the ball projects partially through a hole in the tube located concentrically with the center of each disk; at a predetermined temperature an imbalance of thermally induced stresses in at least one of the disks will cause its convex side to become concave and its concave side to become convex, thus pulling the ball from the hole in which it is located. The absorber has a conical bottom supported by the three balls and is small enough in relation to the internal dimensions of the tube to allow it to slip toward the removed ball or balls, thus clearing the unremoved balls or ball so that it will fall into the reactor core.
summary
053176073
claims
1. A tool for use with conduiting, comprising: an elongate shaft structure; first and second support members disposed on said shaft structure, said first and second support members engaging the inner wall of a conduit when actuated; cutting head means, supported on said shaft between said first and second support members, for performing a cutting operation on the inner wall of the conduit, said cutting head means including movable cutting electrode and first servo means for selectively moving said electrode member laterally outwardly with respect said shaft structure; and second servo means, operatively connected with said cutting head means for selectively displacing said cutting electrode in at least one of first and second rotational directions. an elongate shaft structure; first and second inflatable members disposed on said shaft structure; a crack sensor supported on said shaft structure at a location between said first and second inflatable members; a crack removal head supported on said shaft between said first and second inflatable members at a predetermined distance from said crack sensor, said crack removal head including a removal electrode and electrode servo means for selectively displacing said removal electrode radially with respect to an axis of said shaft structure; and head servo means for selectively displacing said crack sensor and said crack removal head with respect said first and second inflatable members and for causing the crack removal head to undergo rotation about the axis of said shaft structure in at least one of first and second rotational directions. an elongate shaft structure; first and second inflatable members disposed on said shaft structure; a cutting head supported on said shaft between said first and second inflatable members, said cutting head including a cutting electrode and cutting electrode servo means for selectively displacing said cutting electrode radially with respect to an axis of said shaft structure; and cutting head servo means for selectively displacing said cutting head in at least one of first and second rotational directions. a crack removal tool which comprises: a sleeve cutting tool which comprises: a second elongate shaft structure; third and fourth selectively actuatable support members disposed on said second shaft structure; a cutting head supported on said shaft between said third and fourth support members, said cutting head including a cutting electrode and third servo means for selectively displacing said cutting electrode radially outward with respect to an axis of said second shaft structure; and fourth servo means for selectively causing said cutting head to undergo rotation about the axis of said shaft structure in at least one of first and second rotational directions. 2. A crack removal tool comprising: 3. A sleeve cutting tool comprising: 4. A crack removal system comprising: 5. A crack removal system as set forth in claim 4 further comprising: 6. A tool as set forth in claim 1, wherein said first and second support members comprise inflatable bladders. 7. A tool as set forth in claim 1, as set forth in claim 1, wherein said first and second support members are displaceable relative to one another in accordance with the axial displacement of said crack detector and said crack removal head.
abstract
A system for filling a jar with powdery material, for example for the manufacture of nuclear fuel, including a device for connection between the jar and a material feed system, where the device includes: a stationary connection portion connected to the feed system, a connection portion which moves relative to the stationary connection portion intended to be connected to the container's filling orifice, where the moving connection portion includes in the area of a downstream end a lip seal to achieve a tight connection by contact with the contours of the jar's filling orifice and where the said downstream end is connected to the stationary connection portion by a bellows so as to provide mechanical disengagement between the downstream end of the moving connection portion and the stationary connection portion.
claims
1. An imaging apparatus for analyzing an object, comprising:a) a radiation source for transmission of radiation through an object in a section plane of said object;b) a radiation detector for detecting said radiation in said section plane of said object after transmission through said object, wherein said radiation detector comprises a detection range extending in said section plane;c) a masking device for masking out a part of said radiation, wherein said masked part of said radiation is movable in said section plane and parallel to said section plane relative to said radiation detector during said analysis of said object; andd) an evaluation unit connected to the radiation detector for generating Radon data from said detected radiation, said Radon data representing properties of said object, wherein said evaluation unit calculates said Radon data from the differences of the output of said radiation detector during the movement of said masked part of said radiation. 2. An imaging apparatus according to claim 1, wherein said masking device comprises a movable shutter being disposed in the path of said radiation between said radiation source and said radiation detector, said shutter blocking a part of said radiation depending on its position. 3. An imaging apparatus according to claim 2, wherein said shutter is disposed between said radiation source and said object. 4. An imaging apparatus according to claim 2, wherein said shutter is disposed between said object and said radiation detector. 5. An imaging apparatus according to claim 2, wherein said shutter is linearly movable. 6. An imaging apparatus according to claim 2, wherein said shutter is rotary movable. 7. An imaging apparatus according to claim 2, wherein said shutter is a single-edge shutter blocking said radiation in said section plane on one side only. 8. An imaging apparatus according to claim 2, further comprising:a) a drive for moving said shutter with a defined motion speed; andb) a feedback controller for closed loop controlling of said motion speed of said shutter depending on the output of said radiation detector. 9. An imaging apparatus according to claim 1, wherein said masking device makes said radiation detector partially insensitive to mask out said part of said radiation. 10. An imaging apparatus according to claim 1, wherein said radiation detector is fixedly arranged, whereas said masked part of said radiation is movable. 11. An imaging apparatus according to claim 1, wherein said masked part of said radiation is fixedly arranged, whereas said radiation detector is movable. 12. An imaging apparatus according to claim 1, wherein said radiation detector comprises a single output channel. 13. An imaging apparatus according to claim 1, wherein said radiation detector comprises multiple output channels. 14. An imaging apparatus according to claim 1, wherein said radiation detector and said masking device are integrated in a single component. 15. An imaging apparatus according to claim 1, further comprising:a) rotary carrier receiving said object, said rotary carrier having an axis of rotation perpendicular to said section plane; andb) a drive for rotating said rotary carrier along with said object, whereas said radiation detector is fixed. 16. An imaging apparatus according to claim 1, wherein said radiation source is selected from a group consisting of:a) an X-ray source;b) an ultrasonic source;c) a light source;d) a Gamma radiation source;e) a neutron source;f) an electron source;g) a radiation source emitting electro-magnetic waves;h) a radiation source emitting ionising radiation; andi) a radiation source emitting non-ionising radiation. 17. An imaging apparatus according to claim 16, wherein the light source comprises a laser. 18. An imaging apparatus according to claim 16, wherein the electro-magnetic waves comprise microwaves. 19. An imaging apparatus according to claim 1, wherein the imaging apparatus is a tomography apparatus. 20. An imaging method for analysing an object, comprising:a) transmitting radiation through an object in a section plane of said object;b) detecting said radiation after transmission through said object in said section plane, wherein said radiation is detected within a detection range extending in said section plane;c) masking out a part of said radiation, whereas said masked part of said radiation is moved parallel to said section plane relative to the path of said radiation during said analysis of said object; andd) generating Radon data from said detected radiation, said Radon data representing properties of said object, wherein said Radon data are calculated from the differences of said detected radiation during the movement of said masked part of said radiation. 21. An imaging method according to claim 20, further comprising:moving a shutter in said path of said radiation between a radiation source and a radiation detector for masking said radiation, wherein said shutter forms a masking device. 22. An imaging method according to claim 21, wherein said shutter is moved between said object and said radiation detector. 23. An imaging method according to claim 21, wherein said shutter is linearly moved. 24. An imaging method according to claim 21, wherein said shutter is rotated. 25. An imaging method according to claim 21 wherein said shutter is continuously moved. 26. An imaging method according to claim 21, wherein said shutter is discontinuously moved. 27. An imaging method according to claim 21, wherein said masking device makes said radiation detector partially insensitive for masking said part of said radiation. 28. An imaging method according to claim 21, wherein said radiation detector is fixedly arranged, whereas said masked part of said radiation is moved. 29. An imaging method according to claim 21, wherein said masked part of said radiation is fixedly arranged, whereas said radiation detector is moved. 30. An imaging method according to claim 21, wherein said shutter is moved between said radiation source and said object. 31. An imaging method according to claim 20, further comprising:a) moving said masked part of said radiation with a defined motion speed in said section plane of said object; andb) closed loop controlling of said motion speed of said masked part of said radiation depending on said detected radiation. 32. An imaging method according to claim 20, wherein said object is rotated around an axis of rotation perpendicular to said section plane of said object. 33. An imaging method according to claim 20, wherein said object is selected from the group consisting of:a) an animal;b) a body part of an animal;c) a body part of a human being;d) a component of a machine;e) a component of a spacecraft;f) a component of an aircraft; andg) a component of a motor vehicle. 34. An imaging method according to claim 20, wherein said radiation is selected from the group consisting of:a) x-ray radiation;b) ultrasonic radiation;c) light radiation;d) Gamma radiation;e) neutron radiation;f) electron radiation;g) electro-magnetic radiation;h) ionising radiation; andi) non-ionising radiation. 35. An imaging method according to claim 34, wherein said light radiation comprises laser radiation. 36. An imaging method according to claim 34, wherein said electro-magnetic radiation comprises microwave radiation. 37. An imaging method according to claim 20, wherein the imaging method is a tomography method.
summary
description
Field The present disclosure relates to methods and associated systems for the suppression of metallic fires. Description of Related Art A liquid metal-cooled nuclear reactor, also referred to as a liquid metal fast reactor (LMFR), is a fast neutron nuclear reactor where the primary coolant is a liquid metal. Various types of liquid metals with relatively high heat capacities (which provide thermal inertia against overheating) may be used as the primary coolant. An example of a liquid metal-cooled nuclear reactor is a sodium-cooled fast reactor (SFR). In a sodium-cooled fast reactor (SFR), liquid sodium is used as the primary coolant instead of water. Water is difficult to use as a coolant for a fast reactor, because water acts as a neutron moderator that slows the fast neutrons into thermal neutrons. In contrast, sodium atoms are much heavier than both the oxygen and hydrogen atoms found in water. As a result, the neutrons lose less energy in collisions with sodium atoms. Sodium also need not be pressurized since its boiling point is much higher than the reactor's operating temperature. Furthermore, sodium does not corrode steel reactor parts. A potential concern for a sodium-cooled fast reactor (SFR) is the leakage of sodium. Sodium is a pyrophoric metal and will ignite spontaneously when contacted with air and/or water. The exothermic reaction of sodium with air and water is shown below by the following equations.2Na(l)+O2(g)→Na2O2(s)4Na(l)+O2(g)→2Na2O(s)2Na(l)+2H2O→2NaOH(aq)+H2(g)Notably, sodium hydroxide (NaOH) is caustic and hydrogen (H2) is flammable. Additionally, sodium peroxide (Na2O2) and sodium oxide (Na2O) will also form sodium hydroxide (NaOH) if contacted with water. Conventionally, a metallic fire (e.g., sodium fire) in a nuclear reactor is extinguished by inerting the area where the pyrophoric metal (e.g., sodium) is leaking. The inerting may be achieved with a vacuum pump that is used to remove the air from the area of the leak while supplying an inert gas (e.g., nitrogen, argon) which does not react with the pyrophoric metal. By removing the reaction source (e.g., oxygen) from the area of the leak, the metallic fire may be extinguished. However, this inerting approach is dangerous to plant workers who may be in the area of the leak and, thus, accidentally exposed to the oxygen-deficient environment. A method for suppressing a pyrophoric metal fire may include arranging a suppression system above a containment structure. The suppression system includes a first extinguishing agent. The containment structure is configured to contain and isolate a pyrophoric metal from ambient air. The suppression system is configured to activate upon a leak and ignition of the pyrophoric metal so as to release the first extinguishing agent to suppress the pyrophoric metal fire. It should be understood that when an element or layer is referred to as being “on,” “connected to,” “coupled to,” or “covering” another element or layer, it may be directly on, connected to, coupled to, or covering the other element or layer or intervening elements or layers may be present. In contrast, when an element is referred to as being “directly on,” “directly connected to,” or “directly coupled to” another element or layer, there are no intervening elements or layers present. Like numbers refer to like elements throughout the specification. As used herein, the term “and/or” includes any and all combinations of one or more of the associated listed items. It should be understood that, although the terms first, second, third, etc. may be used herein to describe various elements, components, regions, layers and/or sections, these elements, components, regions, layers, and/or sections should not be limited by these terms. These terms are only used to distinguish one element, component, region, layer, or section from another region, layer, or section. Thus, a first element, component, region, layer, or section discussed below could be termed a second element, component, region, layer, or section without departing from the teachings of example embodiments. Spatially relative terms (e.g., “beneath,” “below,” “lower,” “above,” “upper,” and the like) may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. It should be understood that the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements described as “below” or “beneath” other elements or features would then be oriented “above” the other elements or features. Thus, the term “below” may encompass both an orientation of above and below. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly. The terminology used herein is for the purpose of describing various embodiments only and is not intended to be limiting of example embodiments. As used herein, the singular forms “a,” “an,” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms “includes,” “including,” “comprises,” and/or “comprising,” when used in this specification, specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, and/or groups thereof. Example embodiments are described herein with reference to cross-sectional illustrations that are schematic illustrations of idealized embodiments (and intermediate structures) of example embodiments. As such, variations from the shapes of the illustrations as a result, for example, of manufacturing techniques and/or tolerances, are to be expected. Example embodiments should not be construed as limited to the shapes of regions illustrated herein but are to include deviations in shapes that result, for example, from manufacturing. Thus, the regions illustrated in the figures are schematic in nature and their shapes are not intended to illustrate the actual shape of a region of a device and are not intended to limit the scope of example embodiments. Unless otherwise defined, all terms (including technical and scientific terms) used herein have the same meaning as commonly understood by one of ordinary skill in the art to which example embodiments belong. It will be further understood that terms, including those defined in commonly used dictionaries, should be interpreted as having a meaning that is consistent with their meaning in the context of the relevant art and will not be interpreted in an idealized or overly formal sense unless expressly so defined herein. A method for suppressing a pyrophoric metal fire according to example embodiments may include arranging a suppression system above a containment structure. The suppression system includes a first extinguishing agent, and the containment structure is configured to contain and isolate a pyrophoric metal from ambient air. The suppression system is configured to activate upon a leak and ignition of the pyrophoric metal so as to release the first extinguishing agent to suppress the pyrophoric metal fire. FIG. 1 is a view of a coating-type suppression system as implemented in a nuclear reactor according to an example embodiment of the present disclosure. Referring to FIG. 1, a coating-type suppression system 100 includes a fire extinguishing agent 102 (e.g., first extinguishing agent) and is disposed on a stable surface above a containment structure 104. The stable surface may be the ceiling of a chamber that houses the containment structure 104. The containment structure 104 may be a steam generator of a liquid metal fast reactor and may include liquid metal inlet pipes 106, liquid metal outlet pipes 108, feedwater inlet pipes 110, and a steam outlet pipe 112, although example embodiments are not limited thereto. The containment structure 104 is configured to contain and isolate, inter alia, a pyrophoric metal from the ambient air. For instance, the pyrophoric metal may be an alkali metal. In a non-limiting embodiment, the alkali metal may be sodium. As shown in FIG. 1, a leak 114 may occur in one or more of the liquid metal inlet pipes 106. However, it should be understood that the leak 114 may occur in other parts of the containment structure 104. Where the containment structure 104 contains a pyrophoric metal (e.g., sodium), a metallic fire may spontaneously occur when the pyrophoric metal leaks and comes into contact with oxygen and/or water (e.g., via the ambient air). The coating-type suppression system 100 may be heat-activated and/or chemically-activated by the pyrophoric metal fire. For instance, the coating-type suppression system 100 may be configured such that a structural integrity thereof degrades in a presence of the pyrophoric metal fire in order to release the fire extinguishing agent 102. For smaller fires, the scope of the degradation may be limited to the vicinity of the coating-type suppression system 100 above the pyrophoric metal fire such that only a portion of the fire extinguishing agent 102 in that area is released and falls down onto the pyrophoric metal fire to suppress such combustion. On the other hand, for larger fires, a more extensive amount of the coating-type suppression system 100 may degrade and release the fire extinguishing agent 102 therein onto the combustion region(s). Thus, the coating-type suppression system 100 is relatively flexible in its ability to suppress or extinguish metallic fires of various sizes and at varying locations. The structural integrity of the coating-type suppression system 100 may be configured to deteriorate when a surface of the coating-type suppression system 100 reaches an elevated temperature as a result of exposure to the pyrophoric metal fire. For instance, the structural integrity of the coating-type suppression system 100 may be configured to break down when the elevated temperature at the surface of the coating-type suppression system 100 reaches 75 degrees Celsius or more. In addition to (or in lieu of) being heat-activated, the structural integrity of the coating-type suppression system 100 may be configured to deteriorate when exposed to one or more reaction byproducts of the pyrophoric metal fire. For instance, the structural integrity of the coating-type suppression system 100 may be configured to become embrittled when the one or more reaction byproducts includes hydrogen gas. In a non-limiting embodiment, the structural integrity of the coating-type suppression system 100 may be configured to break down when a concentration of the hydrogen gas reaches 50 pphm or more. The coating-type suppression system 100 may be in the form of a continuous, conformal layer that is positioned (e.g., adhered to the ceiling) such that the fire extinguishing agent 102 will fall on the pyrophoric metal fire via gravity when released. For instance, the coating-type suppression system 100 may be configured as a polymer layer that includes the fire extinguishing agent 102, wherein the polymer layer is applied on a ceiling above the containment structure 104. The polymer layer may include the following structure wherein X is a chlorine, a carbonate, or another atom/molecule that will react with the pyrophoric metal (e.g., Na) to form a thermodynamically stable product (e.g., NaCl, Na2CO3). However, it should be understood that other materials (that provide suitable heat-activation and/or chemical-activation properties) may also be used. FIG. 2 is a view of a spray-type suppression system in conjunction with a coating-type suppression system as implemented in a nuclear reactor according to an example embodiment of the present disclosure. Referring to FIG. 2, a spray-type suppression system 200 may be used alone or together with the coating-type suppression system 100 of FIG. 1 in order to suppress or extinguish any metallic fires that result from the leak 114 of a pyrophoric metal. The spray-type suppression system 200 may be actively controlled to allow a dispensing end thereof to spray a second extinguishing agent directly at the leak to suppress or extinguish the metallic fire. The second extinguishing agent may be the polymer material discussed in connection with FIG. 1, although example embodiments are not limited thereto. For instance, the second extinguishing agent may be Met-L-X. With regard to being configured for active control, the dispensing end of the spray-type suppression system 200 may be rotated and/or angled as needed. Additionally, one or more portions of the spray-type suppression system 200 may be extended and/or retracted as needed in order to reach a desired location. Although the spray-type suppression system 200 is shown in FIG. 2 as including a single spray structure that extends from the ceiling, it should be understood that example embodiments are not limited thereto. For instance, the spray-type suppression system 200 may include a plurality of spray structures. Additionally, one or more of the spray structures may be configured to extend from one or more of the ceiling, sidewalls, and/or floor. The spray-type suppression system 200 may be particularly useful for suppressing or extinguishing larger and/or continuing metallic fires. FIG. 3 is a view of an I-beam-type suppression system according to an example embodiment of the present disclosure. Referring to FIG. 3, the I-beam-type suppression system 300 includes an I-beam structure 302 and a container secured to the bottom thereof. The container may be a metal casing (e.g., titanium sheet) that encloses a fire extinguishing agent therein. The metal casing may be formed to include defects and infused with hydrogen diffusion promoters (e.g., CN−) in order to facilitate rapid deterioration of the container due to embrittlement via hydrogen diffusion. In an example embodiment, the metal casing may be configured as a partial-cylindrical container 304 and secured to a bottom of the I-beam structure 302. However, it should be understood that the metal casing may be configured into a variety of other suitable shapes. The fire extinguishing agent within the metal casing may be a salt-based powder (e.g., Met-L-X) or a graphite-based powder. Additionally, the fire extinguishing agent may include a weak acid (e.g., boric acid). FIG. 4 is a view of an I-beam-type suppression system as implemented in a nuclear reactor according to an example embodiment of the present disclosure. Referring to FIG. 4, the I-beam-type suppression system 300 of FIG. 3 may be implemented in a liquid metal fast reactor. For instance, the I-beam structure 302 may be an existing structure that is already used to support the ceiling of the chamber housing the containment structure, wherein the partial-cylindrical container 304 is subsequently secured to the bottom of the I-beam structure 302 to form the I-beam-type suppression system 300. Alternatively, the combination of the I-beam structure 302 and the partial-cylindrical container 304 may already be first secured together to form the I-beam-type suppression system 300 and then brought into the chamber and mounted on the ceiling. During operation of the liquid metal fast reactor, a pyrophoric metal within the containment structure may leak and cause a metallic fire. The I-beam-type suppression system 300 may be heat-activated and/or chemically-activated by the metallic fire. For example, as a result of the metallic fire, hydrogen gas may be produced so as to deteriorate the integrity of the partial-cylindrical container 304, thereby releasing the fire extinguishing agent therein. FIG. 5 is a view of a tube-type suppression system according to an example embodiment of the present disclosure. Referring to FIG. 5, the tube-type suppression system 500 may include a metal casing that is configured as a tubular container 502. The metal casing may be formed to include defects and infused with hydrogen diffusion promoters (e.g., CN−) in order to facilitate rapid deterioration of the container due to embrittlement via hydrogen diffusion. The tubular container 502 includes therein a fire extinguishing agent and a photodetector 504. The fire extinguishing agent may be a salt-based powder (e.g., Met-L-X) or a graphite-based powder. Additionally, the fire extinguishing agent may include a weak acid (e.g., boric acid). The photodetector 504 is configured to indicate whether there is degradation of the metal casing by detecting whether light is entering the metal casing. FIG. 6 is a circuit diagram of a photodetector for a suppression system according to an example embodiment of the present disclosure. Referring to FIG.6, a phototransistor 602 is connected to a first load resistor 604 and an amplifier 606. The amplifier 606 together with a second load resistor 608 (e.g., 10 kΩ) and a third load resistor 610 (e.g., 1 kΩ) form a pre-amplifier 612. The pre-amplifier 612 is connected to an analog-to-digital signal controller 614. Furthermore, the analog-to-digital signal controller 614 is connected to a first indicator light 616 and a second indicator light 618. In a non-limiting embodiment, the photodetector 504 in FIG. 5 may be as provided by the circuit diagram of FIG. 6. During operation of the liquid metal fast reactor, if the tubular container begins to degrade, light will enter and activate the photodetector therein. In particular, referring to FIG. 6, when light is detected by the phototransistor 602, a corresponding voltage signal is sent to the analog-to-digital signal controller 614, which controls the first indicator light 616 and the second indicator light 618. The phototransistor 602 may be configured to detect light with an illuminance ranging between 1-1000 lux. If the tubular container is not degraded and still intact, the first indicator light 616 (e.g., green light) will illuminate to indicate normal conditions. On the other hand, if the tubular container has begun to degrade and break, the second indicator light 618 (e.g., red light) will illuminate to indicate degradation. FIG. 7 is a view of a tube-type suppression system as implemented in a nuclear reactor according to an example embodiment of the present disclosure. Referring to FIG. 7, the tube-type suppression system 500 is secured to a ceiling above the containment structure. The tube-type suppression system 500 is configured to be heat-activated and/or chemically-activated by a metallic fire. FIG. 8 is a view of an I-beam-type suppression system in conjunction with a tube-type suppression system as implemented in a nuclear reactor according to an example embodiment of the present disclosure. Referring to FIG. 8, both the I-beam-type suppression system 300 and the tube-type suppression system 500 are secured to a ceiling above the containment structure. Although FIG. 8 shows three tubular containers of the tube-type suppression system 500 being arranged between each I-beam structure of the I-beam-type suppression system 300, it should be understood that example embodiments are not limited thereto. For instance, the number of tubular containers of the tube-type suppression system 500 that can be arranged between each I-beam structure of the I-beam-type suppression system 300 will vary depending on the spacing of the I-beam structures as well as the size of the tubular containers themselves. While a number of example embodiments have been disclosed herein, it should be understood that other variations may be possible. Such variations are not to be regarded as a departure from the spirit and scope of the present disclosure, and all such modifications as would be obvious to one skilled in the art are intended to be included within the scope of the following claims.
claims
1. A device for detecting temperature, forming a rod thermometer, comprising:a plurality of elements sensitive to temperature; anda protective cladding of longitudinal axis X in which the sensitive elements are partially housed,characterized in that the protective cladding is made of a metal constituting one of the two metals of a thermocouple, and in that the sensitive elements consist in a plurality of wires made of a metal different from that of the cladding and constituting the other of the two metals of a thermocouple, one of the ends of each of the wires being welded to the interior of the cladding so as to form a measurement junction of a given thermocouple, the welded ends of the wires being distributed in a plurality of axial and azimuthal positions relative to the axis X in the interior of the cladding, each of the wires exiting from the cladding via at least one of its ends. 2. The rod thermometer as claimed in claim 1, wherein the metal of the cladding is a type-K material. 3. The rod thermometer as claimed in claim 1, wherein the metal of the wires is a type-K material. 4. The rod thermometer as claimed in claim 2, wherein the cladding is either made of chromel or of a nickel/chromium alloy, and the wires are made of alumel. 5. The rod thermometer as claimed in claim 1, wherein the wires are entirely covered with an electrical insulator except for their junction ends. 6. The rod thermometer as claimed in claim 5, wherein the wires made of alumel are covered with an alumina deposit. 7. The rod thermometer as claimed in claim 1, wherein a thickness of the protective cladding is smaller than or equal to 0.1 mm. 8. The rod thermometer as claimed in claim 1, wherein an outside diameter of the wires is smaller than or equal to 0.1 mm. 9. The rod thermometer as claimed in claim 1, comprising at least one adapter-tube made from the same metal as the cladding and of larger outside diameter than that of the cladding, the adapter tube being brazed around the cladding at the end where the metal wires exit. 10. A process for manufacturing the rod thermometer as claimed in claim 1, comprising the following steps:cutting longitudinally along two opposite generatrices a tube made of a metal constituting one of the two metals of a thermocouple, so as to form two half tubes;welding one end of each of the plurality of wires made of a metal constituting one of the two metals of a thermocouple to the interior of at least one half tube, the ends of the welded wires being distributed in a plurality of axial and azimuthal positions; andjoining the two half tubes to make the metal tube forming the protective cladding by welding along each generatrix while leaving the plurality of metal wires to exit via at least one of its ends. 11. The manufacturing process as claimed in claim 10, wherein the welding of one of the ends of the wires to one half tube is achieved by arc welding. 12. The manufacturing process as claimed in claim 10, wherein the welding joining the two half tubes is spot welding. 13. A method for installing the temperature detecting device according to claim 1 in a device for simulating electrically a nuclear fuel rod comprising at least one tube made of an electrically conductive material, referred to as the heated tube, that is intended to heat a liquid, in order to detect the occurrence of a boiling crisis in the liquid, in which:the cladding forming the common metal of the thermocouples is arranged in the interior of the electrical simulating device and at a distance from the heated tube, the space between the cladding and the heated tube is filled with a pressurized insulating gas and the space filled with pressurized insulating gas is sealed. 14. The installing method as claimed in claim 13, in which the arrangement at distance is achieved by means of spacers made of an electrically insulating material that are fastened to the exterior of the cladding housing the welded wires and fitted so that there is clearance with the interior of the heated tube, in zones devoid of wires. 15. The installing method as claimed in claim 13, in which, before the arrangement, the interior of the heated tube and/or the exterior of the cladding is treated so as to provide it/them with a thermal emissivity at least equal to 0.8. 16. The installing method as claimed in claim 15, in which the treatment consists either of controlled oxidation of the tube or of coating with a material having a high thermal emissivity.
claims
1. A method for operating a ventilation system for an operator-accessible operations room in a nuclear plant or control room in a nuclear power station, the method comprising the following steps:providing an external inlet, an air supply line guided from the external inlet to the operations room or control room, a first noble gas adsorber column connected to the air supply line, and a first fan connected in the air supply line upstream of the first noble gas adsorber column in a supply air flow direction;providing an external outlet, an air discharge line guided from the operations room or control room to the external outlet, a second noble gas adsorber column connected to the air discharge line, and a second fan connected to the air discharge line downstream of the second noble gas adsorber column in a discharge air flow direction;providing a switch-over device for exchanging functions of the first and second noble gas adsorber columns;guiding supply air through one of the noble gas adsorber columns thereby charging the one noble gas adsorber column with radioactive noble gases while simultaneously guiding discharge air through the other of the noble gas adsorber columns and thereby backwashing the other noble gas adsorber column;exchanging functions of the two noble gas adsorber columns by switching-over as soon as an adsorption capacity of a currently charged noble gas adsorber column is exhausted; andestablishing reduced pressure, relative to atmospheric pressure, in the noble gas adsorber column to be backwashed and establishing excess pressure, relative to atmospheric pressure, in the noble gas adsorber column to be charged.
summary
description
This application claims the priority, under 35 U.S.C. §119, of German application DE 10 2006 040 965.5, filed Aug. 31, 2006; the prior application is herewith incorporated by reference in its entirety. The invention relates to a fuel assembly for a light water reactor, and a method for repairing such a fuel assembly. In addition to a fuel rod cluster and control rods, a fuel assembly for a light water reactor includes spacers disposed at an axial distance from one another. A spacer includes a multiplicity of cells that are, for example, formed by crossed webs, as well as outer webs bounding it. The fuel rods traverse the cells of the spacers and are thus held laterally. When the reactor core is being charged with fuel assemblies or when the latter are being removed from the reactor core, the problem arises that spacers can be damaged by mutually engaging behind their outer webs or by collision with the upper edge of a fuel assembly channel in the region of the edge-side cells. Edge-side cells are to be understood as cells that directly border an outer web or are adjacent thereto in the second row. When the lateral holding of individual damaged cells of traversing fuel rods is no longer adequately ensured in such an affected damaged area, it is at best possible to make subsequent use of their fuel assembly after an extremely complicated exchange of the spacer. Published, European patent application EP 0 735 543 A1, corresponding to U.S. Pat. No. 5,625,657, discloses a method for repairing a spacer, in the case of which there is disposed below a damaged region of the spacer a repair grid that has at least a number of replacement cells that corresponds to the number of the damaged cells of the spacer and in which case at least a portion of the replacement cells is traversed by fuel rods. It is accordingly an object of the invention to provide a fuel assembly for a light water reactor, and a method for repairing the fuel assembly which overcome the above-mentioned disadvantages of the prior art methods and devices of this general type, which is functional without restriction despite damage to individual cells of a spacer, and to specify a method for repairing a damaged fuel assembly that can be carried out with low outlay. With the foregoing and other objects in view there is provided, in accordance with the invention, a fuel assembly for a light water reactor. The fuel assembly contains a fuel rod cluster having fuel rods and a spacer having webs defining a number of cells for laterally holding the fuel rods. The spacer further includes an edge region having a damaged region with at least one damaged cell. A replacement assembly is fastened above or below, the damaged region of the spacer having the at least one damaged cell, with an aid of at least one connecting part. The replacement assembly has webs defining a number of replacement cells corresponding to a number of the damaged cells. At least a portion of the damaged cells are traversed by the fuel rods. With reference to the installed state in a nuclear reactor, in the case of the inventive fuel assembly there is fastened above or below the damaged region on the spacer with the aid of a connecting part a replacement assembly that contains at least a number of cells that correspond to the number of the damaged cells, at least a portion of these cells being traversed by fuel rods. Here, with reference to the central longitudinal axis of the fuel assembly, a damaged cell of the spacer is respectively axially adjacent to an intact cell of the replacement assembly that takes over the lateral holding of a fuel rod axially traversing the two cells. It is advantageous that an affected fuel assembly need not be completely dismantled in order to exchange a defective spacer. Rather, it suffices merely to remove from the fuel rod cluster the fuel rods that traverse the damaged region. After the replacement assembly has been fixed, the removed fuel rods can be reinserted into the fuel rod cluster, at least as regards the uppermost spacer (see below for more details on this). A replacement assembly can be, for example, produced in a simple way by separating a suitable subregion from a spacer of the same configuration. In a preferred embodiment, the connection between the spacer and connecting part is configured as a snap connection. Apart from a relative joining movement of the parts to be interconnected, such a connection requires no further measures and so it can be produced without any problem by a manipulator normally present in the fuel cooling installation. It is true that the restrictions occurring in the case of underwater assembly do not exist for fixing the connecting part on the replacement assembly. Nevertheless, a snap connection can be expedient, for example, when the replacement assembly is a subregion of a spacer of the same configuration. Specifically, the connecting assembly can then be fixed in a simple way on the replacement assembly and, in particular without modifications to the construction thereof, and, moreover, in the same way as on the damaged spacer. A snap connection is preferably formed by virtue of the fact that present on a connecting part are axially extending—with reference to the central longitudinal axis of the connecting part—spring tongues that respectively penetrate a cell of the spacer or of the replacement assembly and bear at their free end protruding from the cell a latching projection that engages with a radial shoulder behind the end edge, facing the latching projection, of a spacer or replacement assembly web. As a rule, there is sufficient space available on the end edge of a spacer web such that a connecting part of the type under discussion is suitable for repairing a multiplicity of different configurations of spacers. In order to achieve a reliable mutual axial fixing of the spacer and/or the replacement assembly and connecting part, it is provided, in a further preferred variant embodiment, that present on the connecting part at an axial distance corresponding to the height of a web is a stop surface that engages behind the end edge, averted from the latching projection, of a web. In this case, the stop is formed from an end surface extending at right angles to the central longitudinal axis of the fuel assembly, of a spacer piece of the connecting part, the spring tongues preferably being formed on the end surface. A defined lateral holding of the connecting part in a cell is preferably accomplished by virtue of the fact that at least two stop surfaces that interact with diametrically opposite regions of the cell and are adapted to the cell shape, are present on the connecting part. In the case of an angular cell, the stop surfaces are of complementary configuration relative to the corner region of a cell, a rotational fixing simultaneously being achieved. In the case of a connecting part having spring tongues, the stop surfaces are preferably disposed on the latching projections of the spring tongues and/or on the base of the spring tongues. In order to facilitate an introduction of the spring tongues into a damaged or intact cell of a spacer or of a replacement assembly, carried out with the aid of a manipulator, the latching projections have insertion bevels interacting with an end edge of a web. For the purpose of fastening on a spacer having angular cells, it is advantageous to embody a connecting part in the case of which at least one spring tongue is present that penetrates the cell in a corner region, that is to say, in a region of the cell that is not required for holding a fuel rod, for example for fitting springs that are applied to the fuel rod. The configuration is particularly advantageous when, as in the case of a preferred variant embodiment, the connecting part or the distance piece thereof does not have projected a central opening through which a fuel rod or a dummy fuel rod passes. In addition, the arrangement of the spring tongues also results in fixing the connecting part on the spacer in a rotationally fixed fashion. Owing to the fact that a connecting piece is traversed by a fuel rod or a dummy fuel rod, fluid flow conditions are created that correspond approximately to those of the original fuel assembly. However, this differs from the thermohydraulic conditions in a fuel rod region embraced by a connecting part. Because of the connecting part penetrating a cell of the replacement assembly, there is no longer any free flow cross section present there and so adequate cooling would no longer be ensured. Consequently, in these cases a dummy fuel rod is used instead of a fuel rod, for example, the original fuel rod. In the case of an upper spacer (in the installed state) holding fuel rods in the region of their plenum free from fuel, it is possible, by contrast to use the original fuel rod, or else another one. For the purpose of laterally holding a fuel rod or a dummy fuel rod traversing a connecting part, the inner sides, facing the central longitudinal axis of the connecting part, of the spring tongues are configured in a complementary fashion to the lateral surface of a fuel rod or a dummy fuel rod. The object named at the beginning with regard to a repair method is achieved by virtue of the fact that fixed above or below (with reference to the installed state) on a side of the damaged region, pointing in the direction of the central longitudinal axis of the fuel assembly, with the aid of a connecting assembly on the spacer is a replacement assembly that takes over the holding function of at least one defective cell. The advantages resulting therefrom and from the further method subclaims have already been addressed in part in conjunction with the explanation of the fuel assembly. Other features which are considered as characteristic for the invention are set forth in the appended claims. Although the invention is illustrated and described herein as embodied in a fuel assembly for a light water reactor, and a method for repairing the fuel assembly, it is nevertheless not intended to be limited to the details shown, since various modifications and structural changes may be made therein without departing from the spirit of the invention and within the scope and range of equivalents of the claims. The construction and method of operation of the invention, however, together with additional objects and advantages thereof will be best understood from the following description of specific embodiments when read in connection with the accompanying drawings. Referring now to the figures of the drawing in detail and first, particularly, to FIG. 1 thereof, there is shown a fuel assembly 1 of a light water reactor, specifically a pressurized water reactor. The fuel assembly 1 contains a fuel rod cluster 3 formed from a multiplicity of fuel rods 2, a fuel assembly head 4, a fuel assembly foot 5 and a number of spacers 20 that laterally hold the fuel rods 2 and extend transverse to a central longitudinal axis 6 of the fuel assembly 1. FIGS. 2 and 3 show a section of the spacer 20 and a replacement assembly 30 with two connecting parts 40. The spacer 20 is formed of a multiplicity of square cells 22, which are formed by crossed webs 21 and are respectively axially traversed, that is to say traversed in the direction of the central longitudinal axis 6 of the fuel assembly, by a fuel rod 2 (omitted in FIGS. 2 and 3 for reasons of simplification). An outer edge of the spacer 20 is formed by outer webs 23. Flow vanes 26 are formed integrally on the upper and lower edge of the outer webs 23. When “above” and “below” are spoken of here and below, reference is made to the installed state of the fuel assembly. In a damaged region 24, for example, two outer webs 23 interconnected via the corners are damaged, and a few cells 27 directly adjoining at the edge, specifically at the outer webs 23, are damaged such that proper holding, above all holding free from vibration, of a fuel rod 2 is no longer ensured. This picture of damage is the basis of the further description. However, also conceivable is damage to cells lying further inside and not directly adjacent to the outer web 23. The function of the defective cells 27 is taken over by a replacement assembly 30, which is fixed on the underside of the spacer with the aid of two connecting parts 40 with an axial spacing (FIG. 3). The replacement assembly 30 is a subregion separated out from a spacer of identical configuration to the damaged spacer 20, and contains two outer webs 23a interconnected via the corners, and in each case a first row of cells bordering the outer webs and a further second row of cells adjoining radially inward. The first row contains at least a number of replacement cells 27a that corresponds to the number of the damaged cells 27 of the damaged region 24. In the case illustrated, the damaged region 24 contains five defective cells 27, the first cell row of the replacement assembly 30 including a total of eight cells, of which 5 are replacement cells 27a. The second cell row of the replacement assembly 30 contains six cells 22, two of them serving as assembly cells 22, that is to say for the purpose of fixing a connecting part 40. The replacement assembly 30 is aligned in the array of the spacer 20 such that its replacement cells 27a are congruent with the defective cells 27 of the spacer 20 and its outer webs 23a are flush with the outer webs 23 of the spacer 20 in an axial fashion, that is to say in the direction of the central longitudinal axis 6 of the fuel assembly. The connecting parts 40 serving for fixing the replacement assemblies 30 on the spacer 20 interact, on the one hand, with an assembly cell 22a of the replacement assembly 30 and, on the other hand, with a corresponding assembly cell 22a of the spacer 20 in the manner of a snap connection, the assembly cells 22a of the spacer 20 not being damaged cells. As is best to be gathered from FIGS. 4 and 6, the connecting part 40 is composed of a spacer piece 41, configured as padding, and, for example, eight spring tongues 42. The spacer piece 41 is penetrated by a central opening 48 that extends axially and whose diameter is chosen such that it can hold a fuel rod 2 or a dummy fuel rod 60 in a fashion substantially free from play. Four spring tongues 42 distributed equally in the circumferential direction respectively project in the direction of a central longitudinal axis 47, running parallel to the central longitudinal axis 6 of the fuel assembly, of the connecting part 40 from the end surfaces of the spacer piece 41, which extend at right angles to the central longitudinal axis 6 of the fuel assembly 1 and which form a stop surface 52—explained further below. The spring tongues 42 are of substantially strip-shaped configuration, their inner surfaces 49 facing the central longitudinal axis 47, being curved in accordance with the circumferential surface of a fuel rod 2, and being against the latter in the assembled state. The free ends of the spring tongues 42 are respectively provided on the outside with a latching projection 43 that has two radial shoulders 51 and an insertion bevel 44 for facilitating the plugging in of the spring tongues 42 into an assembly cell 22a. Furthermore, two stop surfaces 45 adapted to the corner region 25 of a cell 22a that is to say running at right angles to one another in the direction of the central longitudinal axis 47 of the connecting part 40, are present on the latching projection 43. The stop surfaces 45 respectively adjoin a radial shoulder 51, the radial shoulder 51 and the stop surface 45 forming a right angle with one another. The procedure for repairing a fuel assembly 1 having a picture of damage of the type under discussion with the aid of one or more manipulators is preferably as follows: a defective fuel assembly 1 is removed from the reactor core, brought into a spent fuel storage tank and rotated such that the fuel assembly foot is accessible and can be dismounted. After the dismounting of the latter, the fuel rods 2 penetrating a damaged region 24 are withdrawn from the fuel rod cluster 3. The outer webs 23 of the spacer 20 are, if appropriate, deburred in the damaged region 24 and aligned such that they do not protrude beyond the normal plan area or width across flats of a spacer 20. A replacement assembly 30 adapted to the damaged region 24 is positioned on one or the other flat side, or—referred to the assembled state—above or below the spacer 20 such that in each case a damaged cell 27 is axially assigned a replacement cell 27a of the replacement assembly 30. In the replacement assembly 30, connecting parts 40 are fixed in at least two assembly cells 22a, the spring tongues 42 of the connecting parts traversing the assembly cells 22a, and the latching projections 43 present at their free ends engaging behind the end edges 31 (covered in the illustrations) facing them on the webs 21 of the replacement assembly 30. The replacement assembly 30 thus prepared is then made to approach the spacer 20 in an axial fashion, the spring tongues 42 which project in the direction of the spacer 20, being pushed into its assembly cells 22 until—as already described above—their latching projections 43 engage behind the end edges 31, facing them, of the webs 21. Further manipulations for fixing the replacement assembly 30 on the spacer 20 are not required. The fuel rods previously removed—or at least a portion thereof—are inserted into the fuel assembly 1 such that they respectively traverse a damaged cell 27 and a replacement cell 27a axially assigned to it, of the replacement assembly 30. In the assembled state produced in the way outlined, the stop surfaces 45 of the latching projections 43 bear against the respective corner regions 25 of an assembly cell 22a, as a result of which the connecting part 40 is reliably protected against rotating in relation to the spacer 20 or the replacement assembly 30. The radial shoulders 51 engaging behind the end edges 31 of the webs 21, and the regions of the latching projectors 43 supporting the radial shoulders are dimensioned such that the latching projections do not project into neighboring cells 22, or project in only so far (see FIG. 7, in particular) that these cells can be traversed by a fuel rod 2 without hindrance. In the assembled state, the spacer piece 41 maintains a prescribed axial distance between the spacer 20 and replacement assembly 30. The end edges 31a, averted from the respective latching projections 43, of the webs 21 in this case bear against the end surfaces 52, extending at right angles to the central longitudinal axis 47 of the connecting part 40, of the spacer piece 41. The axial distance between the end surfaces 52 and the radial shoulders 51 of the latching projections corresponds to the height of the web 21. In a way similar to the case of the latching projections, there are likewise present on the base 32, extending radially outward, of the spring tongues 42 two stop surfaces 45a (see FIG. 5) that enclose a right angle, are situated in a corner region 25 of an assembly cell 22a, and additionally ensure that the connecting part 40 is protected against rotating in relation to the spacer 20 or the replacement assembly 30. The spacer piece 41 is traversed by an opening 48. A fuel rod 2 can be inserted into this opening. However, the spacer piece embracing the fuel rod practically without play prevents access by primary coolant, or certainly restricts it so strongly that a fuel rod can be inserted into a connecting piece 40 only when this involves the uppermost spacer 20 that is disposed in the region of the plenum of the fuel assembly. The plenum forms the upper end of a fuel rod 2 and contains no nuclear fuel, and so there is no need for cooling or for dissipating the decay heat. Instead of a fuel rod 2, a dummy fuel rod 60 can be inserted into a connecting part 40 in the case of all other spacers 20. This ensures flow conditions such as correspond to the original, undamaged fuel assembly. A fuel rod 2 inserted into a connecting part 40 or a dummy fuel rod 60 keep the spring tongues 42 in their assembled position, in which they engage with their latching projections 43 behind the end edges 31a of the webs 21 such that a reliable connection is ensured between the spacer 20 and replacement assembly. In order to facilitate the insertion of a fuel rod 2 or a dummy fuel rod, insertion bevels 50 pointing toward the central longitudinal axis 47 are present on the latching projections 43. FIG. 10 shows a replacement assembly 30 with connecting parts 40a that have two spring tongues 42, the latter being diametrically opposite one another and respectively operating with a corner region 25 of an assembly cell 22a. The other two corner regions 25a are free, and so it may be expedient, for example, for reasons of fluid flow, for the spacer piece 41a to have lateral oblique surfaces 53 diametrically opposite one another, and thus for a flow channel 54 to remain free in the respective corner regions 25a.
abstract
Systems and methods for long-term disposal of nuclear and/or radioactive waste materials, in liquid, solid, and/or other physical forms, using an array deeply located human-made caverns (caverns), wherein the array of caverns are within a deep geologic rock formation and below a grid pattern on a surface of the Earth. Each cavern is made from a substantially vertical wellbore, by drilling and under reaming operations upon a distal portion of the substantially vertical wellbore. At least some of the caverns may be connected by intersecting substantially lateral wellbores that may facilitate injection of protective materials into the caverns that are so intersected. The nuclear and/or radioactive waste may be preprocessed from original surface storage site(s), transported, temporarily surface stored, and then finally further processed at a selected wellsite before injection into a given of the subterranean deep caverns within the deep geologic rock formation.
abstract
A projection objective provides a light path for a light bundle from an object field in an object plane to an image field in an image plane. The projection objective includes eight mirrors. The light path is provided via the eight mirrors, and is free of obscuration.
summary
041749995
summary
CROSS-REFERENCE TO RELATED APPLICATIONS This application is hereby cross-referenced to the following patent applications which were commonly filed herewith and which are commonly assigned: U.S. patent application Ser. No. 781,381 filed Mar. 25, 1977 entitled "Segmented Articulating Manipulator Arm For Nuclear Reactor Vessel Inspection Apparatus," filed in the names of David C. Burns and Lanson Y. Shum; U.S. patent application Ser. No. 781,380 filed Mar. 25, 1977 entitled "Variable Mounting Assembly For Transducers Employed In Nuclear Reactor Vessel Inspection Apparatus," filed in the names of Hans J. Elsner, Ronald F. Antol and Raymond P. Castner; U.S. patent application Ser. No. 781,390 filed Mar. 25, 1977 entitled "Pulley System Including Emergency Locking Means For Nuclear Reactor Vessel Inspection Apparatus," filed in the name of Renato D. Reyes; U.S. patent application Ser. No. 781,401, filed Mar. 25, 1977 entitled "Emergency Braking System For Nuclear Reactor Vessel Inspection Apparatus," filed in the name of Renato D. Reys; U.S. patent application Ser. No. 781,396 filed Mar. 25, 1977 entitled "Emergency Disconnect Means For The Manipulator Arm Of A Nuclear Reactor Vessel Inspection Apparatus," filed in the names of Arthur F. Jacobs and Duane W. Morris; U.S. patent application Ser. No. 781,404 filed Mar. 25, 1977 entitled "Pressurized Cabling And Junction Boxes For Nuclear Reactor Vessel Inspection Apparatus," filed in the names of Charles V. Fields and Raymond P. Castner; and U.S. patent application Ser. No. 781,402 filed Mar. 25, 1977 entitled "Emergency Retraction Means For The Manipulator Arm Of A Nuclear Reactor Vessel Inspection Apparatus," filed in the names of Arthur F. Jacobs and Duane W. Morris. BACKGROUND OF THE INVENTION Nuclear reactor vessels employed in the commercial generation of electrical power are of two types; the pressurized water type or the boiling water type. In either case, the reactor vessel utilizes a generally cylindrical metallic container having a base and a top flange welded thereto. The main cylinder portion itself usually comprises a series of lesser cylinders welded to each other. In addition, a plurality of circumferentially spaced nozzles extend through the main cylinder wall and are welded thereto. Thus, numerous welds are necessarily used in fabricating the reactor vessel, in mating the top flange to the main cylindrical body and in securing the inlet and outlet nozzles to the reactor vessel wall. The reactor vessel, in use, is encased, in a thick concrete containment area. However, the structural integrity of the reactor vessel, the concrete containment notwithstanding, due to the operating environment is of critical importance. The weld areas of the reactor vessel are, of course, inspected prior to its initial use. Such inspection is carried out with all portions of the vessel relatively accessible to an inspection device prior to its encasement in the concrete containment. However, in-service inspection of the reactor vessel welds is not only desirable, but is mandated under governmental regulations. Under such regulations, it is required that the vessel weld areas be subjected to periodic volumetric examination whereby the structural integrity of the vessel is monitored. Due to the nature of an in-service inspection, the device designed to accomplish the specified weld examinations must be capable of successfully operating in an underwater and radioactive environment under remote control while maintaining a high degree of control over the placement and movement of the inspection sensors. The operating constraints are further complicated by the variety of reactor vessel sizes to which the inspection device must be able to be accommodated. Furthermore, the inspection device must not only be compatible with the weld placements of the reactor vessels now in use, but must also be sufficiently versatile to adapt to inspection duty in future vessels. In addition, the inspection device must be arranged in its use to have only minimal impact with normal refueling and maintenance operations. The use of ultrasonic transducers to inspect metal welds is known. One such system is described in the periodical Materials Evaluation, July 1970, Volume 28, No. 7, at pages 162-167. This article describes a transmitter-receiver type ultrasonic inspection system for use in the in-service inspection of nuclear reactor vessels. The positioning arrangement for the transducers uses a track which is mounted on the interior wall of the reactor vessel. A method and apparatus for ultrasonic inspection of a pipe from within is disclosed in U.S. Pat. No. 3,584,504. In the apparatus disclosed therein, a transducer array is mounted on a carrier which is rotatable, by means of a central shaft of the apparatus, within the pipe. In U.S. Pat. No.3,809,607, a nuclear reactor vessel in-service inspection device is detailed, which device is adapted to pemit remotely controlled and accurate positioning of a transducer array within a reactor vessel. This device comprises a positioning and support assembly consisting of a central body portion from which a plurality of radially directed support arms extend. The ends of the support arms are extended to and adapted for being seated on a predetermined portion of the reactor vessel to define a positional frame of reference for the inspection device relative to the reactor vessel itself. Repositioning and support assemblies are provided and include integral adjustment means which cooperate to permit the simultaneous variation of the extension of the support arms thereby allowing the inspection device to fit reactor vessels of differing diameters. A central column is connected to the positioning and support assemblies, which central column extends along the longitudinal axis thereof. One or more movable inspection assemblies are connected to the central column and include drive and position indicating means. Three specific inspection subassemblies include a flange scanner, a nozzle scanner and a vessel scanner. Each of these scanners employ multiprobe transmitter-receiver ultrasonic transducers to permit more accurate volumetric plotting of the integrity of the welds used in fabricating the reactor vessel. Since the development of the above-identified inspection devices, the original inspection code has been amended to call for more reliable and more rigorous inspections. In addition, these prior art devices were unable to accurately measure or reach certain weld areas of the reactor vessel. Still other drawbacks in the prior art inspection devices were the reliability and speed of the actual inspection effort. One particular problem which was not entirely solved by the above-described prior art devices was that of exactly positioning the inspection device with respect to the reactor vessel since knowledge of the position of the transducer array used in connection therewith is critical to accurately defining the size, orientation and location of a vessel or weld flaw. In addition, such exact positioning must be accomplished, that is, the inspection device must be fully seated in the reactor vessel at a known location, without damaging the ability of the top vessel flange to be sealed to its header. SUMMARY OF THE INVENTION Accordingly, there is provided for a reactor vessel inspection device, a support ring sized to accommodate the circular path defined by three or more guide studs extending upwardly from the vessel. The support ring has at least three movably mounted guide stud bushings thereon which can be positionally adjusted to align each bushing with one of the studs. When engaged, the guide studs and bushings yield a coarse positioning of the inspection device relative to the reactor vessel. Also provided are three support legs which are clamped to the support ring and dimensioned to an appropriate length. Two of the support legs have shoes clamped thereto, which shoes are configured to rest on an internal circumferential flange within the reactor vessel. The third support leg is provided with a specially adapted shoe configured to engage a locating element, the exact position of which is known, within the vessel to achieve fine positioning of the inspection device relative to the reactor vessel. The support ring is additionally provided with an annular key which runs longitudinally about the outer periphery thereof. Clamping means utilized to secure the guide stud bushings and the support legs to the support ring are provided with keyways to insure automatic, self-alignment therewith when fully tightened.
abstract
A system and method for removing spent fuel assemblies from a fuel building and transporting them to on-site facilities. A cask transporter is moved into the fuel building with an empty spent fuel storage cask, spent fuel assemblies are loaded into spent fuel storage cask, the cask is sealed, and the cask transporter moves the loaded spent fuel storage cask to a handling area for final disposal. Components of the system include a penetration cover, a lifting mechanism, a control system, a valve system, and the cask transporter.
043702970
claims
1. Apparatus for dissociation of steam which comprises a fusion reactor central chamber housing capable of supporting a fusion reaction from a D-T fusion fuel charge placed therein, an energy source directed into said housing to achieve a fusion reaction in said fusion fuel placed in said central chamber to produce among other fusion products alpha and neutron radiation, means for introducing steam to said central chamber in the presence of said fusion reaction to absorb alpha-particle energy therefrom causing decomposition of the steam to release molecular hydrogen, and supersonic effusion filter means for removing the molecular hydrogen from other molecules including molecular oxygen in said central chamber, said supersonic effusion filter means forming an outlet from said central chamber and comprising a zirconium dioxide tube and a zirconium dioxide nozzle on said tube enlarging from said tube to a relatively wide outlet for cooling said molecular hydrogen, said nozzle having a hollow conically shaped zirconium dioxide member pointing in the direction of said central chamber, the zirconium dioxide walls of said nozzle and said conically shaped member allowing oxygen to preferentially diffuse therethough, thereby effecting the separation of the oxygen from the hydrogen. 2. Apparatus as defined in claim 1 in which said effusion outlet separates particles travelling at different speeds. 3. Apparatus as defined in claim 1 wherein said steam has a predetermined density and said central chamber has a size approximating the range of alpha-particles in said steam, thereby substantially absorbing that alpha-particle energy produced by said fusion reaction before it strikes the central chamber wall. 4. Apparatus as defined in claim 1 wherein said energy source is a laser source, and including protective means directing the laser energy to said fusion fuel through said steam without substantial refraction and attenuation by said steam. 5. Apparatus as defined in claim 1, wherein the pressure of said steam introduced in said central chamber is in the order of 2.8 atmospheres. 6. A method of utilizing nuclear laser fusion reactor energy to decompose water vapor into hydrogen and oxygen which comprises: (a) introducing a quantity of fusion fuel into a fusion reactor central chamber in the form of a D-T pellet, (b) directing laser energy toward said pellet to effect a fusion reaction producing among other fusion products alpha and neutron radiation, (c) introducing a predetermined quantity of water vapor into said reactor central chamber prior to the reaction, (d) decomposing the water vapor into molecular hydrogen and oxygen by exposure to said alpha radiation, (e) separating the hydrogen and oxygen decomposition products, by effusing said decomposed products through a zirconium dioxide supersonic expansion nozzle having a hollow conically shaped zirconium dioxide member positioned substantially concentrically therein, said nozzle forming on outlet form said central chamber and wherein the zirconium dioxide walls of said nozzle and said conically shaped member allow oxygen to preferentially diffuse therethrough, thereby effecting the separation of the oxygen from the hydrogen, (f) extracting from said expansion nozzle the decomposition product hydrogen as an output product. 7. The method as defined in claim 6 in which the separating step includes cooling said products as they are effused from a reactor to reduce recombination. PG,18 8. The method as defined in claim 6 including the steps of shaping the central reaction chamber spherically, and introducing a quantity M of water vapor in the form of steam related to the radius R of the spherical reaction chamber as follows: EQU M&lt;0.109R.sup.2. 9. The method as defined in claim 6 including the steps of providing predetermined reactor central chamber dimensions substantially limited to a known path length of alpha-particles released from said fusion reaction through said steam that utilizes substantially the entire alpha-particle energy in dissociating the water vapor into hydrogen and oxygen before it reaches the central chamber periphery.
claims
1. Method for confining a canister contained in a container in a cooling pond, wherein said canister is loaded with nuclear fuel, comprising the steps of:immersion of the packaging comprising the container and the canister, in the cooling pond,loading the canister with nuclear fuel,fitting a device for sealing the canister on top of a container, wherein said device comprises at least one first canister cap,maintaining a pneumatic fluid pressure in the sealing device so as to maintain the water level at a level below that of an open end of the packaging,attachment of a cap onto an open end of the canister by means contained inside the sealing device,emptying the canister by emptying means inside the device,verification of the sealed confinement provided by the cap,withdrawal of the sealing device. 2. Method according to claim 1, wherein when the canister is emptied, the water is withdrawn using a pump, then sweeping with gas is carried out in the canister to dry the inside of the canister. 3. Method according to claim 1, wherein after emptying, a verification is carried out of the absence of water in the canister. 4. Method according to claim 3, wherein the verification of the absence of water is carried out by measuring the pressure build up. 5. Method according to claim 1, wherein the verification of the sealed confinement comprises:sweeping with helium of the interior of the canister and pressurising the interior of the canister with helium,use of a helium spectrometer to detect any helium leaks. 6. Method according to claim 1, wherein the first cap is attached by welding. 7. Method according to a claim 1, wherein a second cap contained in the sealing device, is attached to the first cap prior to the withdrawal of the sealing device. 8. Method according to claim 1, wherein a cap is fitted onto the container after withdrawal of the sealing device. 9. Method according to claim 1, wherein the packaging and the sealing device are positioned in a determined relative position with respect to one another. 10. Device for sealing a canister contained in a container in a cooling pond, wherein said canister is loaded with irradiated fuel, said device comprisinga bell jar designed to cover an open end of the container, said bell jar being designed to contain at least one first cap equipped with connectors,means capable of maintaining a pneumatic fluid pressure in the bell jar so as to maintain the water level at a level below that of the open end of of the loaded container of the canister,said bell jar comprisinga positioner for fitting said cap onto the canister,a fastener device for attaching the cap onto the canister,exhauster for withdrawing the water,means of verifying the total withdrawal of the water, andchecker for checking the sealed confinement of the canister on the cap. 11. Device according to claim 10, comprising an arm forming the positioner for fitting the cap. 12. Device according to claim 10, wherein the exhauster for withdrawing the water comprises a pump and means of sweeping the canister with gas in order to dry it, wherein said pump and said sweeping means may be connected to at least one connector. 13. Device according to one of claims 10, wherein the checker for verifying the seal comprise an injector of helium into the canister and a helium spectrometer. 14. Device according to claim 13, wherein the injector of helium may be connected to at least one connector. 15. Device according to any of claims 10, wherein the fastener device is welding device, this device brought close to the canister by an arm inside in the bell jar. 16. Device according to claim 10, comprising a device for storing several first canister caps. 17. Device according to claim 10, comprising a device for storing several second caps designed to be attached to the first caps.
044951388
claims
1. A junction device between the delivery duct of a primary pump and a duct joined to the core support of a liquid metal cooled fast neutron nuclear reactor, in which the liquid metal constituting the primary fluid of said reactor is pumped to the base of the core of said reactor by primary pumps which inject the liquid metal into ducts joined to said core support for the purpose of cooling said core, comprising (a) a frusto-conical sleeve (6) disposed as an extension of said pump delivery duct and having its smaller base situated near the outlet of said delivery duct and its larger base near the inlet of said duct (2) joined to said core support; (b) a connecting member (7) joined to said sleeve (6) to its smaller base and symmetrical in revolution around the axis of said sleeve (6), said connecting member carrying in its central portion, near the axis of symmetry (5), a movable portion (14) of an articulated connection means the fixed portion (16) of which is fixed on said pump duct, thus permitting simultaneously lateral displacements and the retention of said sleeve (6) on said pump duct against axial forces, while the peripheral portion of said connecting member (7), which has a diameter larger than the diameter of said smaller base of said sleeve (6), has a spherical surface (9), symmetrical around the axis (5) of said sleeve (6), which is complementary to a corresponding surface machined on a piece (4) rigidly fixed on the end of said pump delivery duct, fluid-tightness between these two surfaces disposed against one another being achieved with the aid of a labyrinth seal (10), while the pressure of the liquid metal delivered by said pump, applied to the portion of said connecting member (7) which is located between its peripheral portion and its portion fixed to said sleeve (6), enables exertion of an axially directed force on sleeve (6); (c) a sealing device (18) inserted between the outlet end of said sleeve (6) and said duct (2) joined to said core support, the end of said sealing device on which the outlet end of said sleeve (6) is engaged having a diameter different from that of the end of said sleeve; and (d) an elastic means (16) inserted between the end of said sleeve (6) and a support member fastened to said core support, said elastic means extending over the entire periphery of said sleeve (6) for the lateral support thereof, the outlet end of said sleeve being freely movable in the axial direction relative to said duct (2) joined to said core support. 2. A junction device as claimed in claim 1, wherein said elastic means (16) is composed of an assembly of frusto-conical sensors joined to said sleeve (6) at one of their ends and at their other end carry a spherical support member (17) contacting a corresponding spherical surface on said support member. 3. A junction device as claimed in claim 1 or 2, wherein said articulated connection means comprises a ball joint (14, 16) whose axis of rotation (15) is parallel to said axis of symmetry (5).
042742056
abstract
An accurately formed straight edge member serves as a track for a carriage movable along the length of an elongated component. Distance measuring devices supported by the carriage engage the adjacent surface of the component by which flatness, bow and twist of the component can be determined.
042808758
abstract
Safety device for preventing unscrewing of cylinder head screws fastening two structural members together, one of the structural members having receiving bores formed therein, the screws having heads disposed in said receiving bores and being formed with inner polygonal cutouts, the screws extending through the one structural member and being anchored in the other structural member, includes circular grooves cut into the one structural member at the receiving bores, plastically deformable ring collars disposed in the circular grooves and spaced from the screw heads so as to form annular gaps therebetween, at least two arcuate recesses formed at equal distances from one another about the periphery of the screw heads, the ring collars having a first set of portions thereof pushable into the arcuate recesses in tightened condition of the screws.
abstract
The present invention provides a method for imprinting water so as to emit Terahertz radiation and a method for maintaining the freshness of foodstuff with an article containing the imprinted water.
claims
1. A device for storage of anti-matter comprising: an evacuable hollow body comprised of at least one inner shell adapted for generating in the hollow body a force field for permitting anti-matter to be kept within the hollow body without contact with the inner shell; an opening into the hollow body through the shell for filling or emptying the body with anti-matter; at least one moveable closure moveable into and out of the opening for selectively closing and opening the opening in the shell; a second opening in the inner shell, the first-mentioned opening and the second opening being located at radially opposite regions of the inner shell; a second closure, the first-mentioned closure and the second closure shaped for engaging in and closing the first and second openings and being operable for selectively opening and closing the first and second openings; a rod extending through the geometric center of the of the hollow body on which the first and second closures are supported, and the rod being movable for moving the closures together into and out of the first and second openings. 2. The device of claim 1 , wherein an introduction channel comprises an claim 1 evacuable inner space bounded by channel walls and communicating into the hollow body, the introduction channel and the evacuable inner space in the channel being set up for generating a force field directed so that the anti-matter can be kept in the inner region of the introduction channel without contacting the channel walls. 3. The device of claim 2 , wherein: claim 2 the closure is so shaped, and is moveable so as to be received at least partially into the interior of the introduction channel; and the closure is adapted such that movement thereof into the interior of the hollow body guides the anti-matter into the hollow body. 4. The device of claim 3 , further comprising: claim 3 a second opening in the inner shell, the first-mentioned opening and the second opening being located at radially opposite regions of the inner shell; a second closure, the first -mentioned closure and the second closure being shaped for engaging in and closing the first and second openings and being operable for selectively opening and closing the first and second openings; and an entrance into the introduction channel for anti-matter, the first and second closure members being so spaced apart and the entrance being so placed in the channel that the first and second closures can bracket the sides of the entrance when in the channel and bound the inner space with anti-matter therein, the closure and the channel being adapted to move anti-matter into the hollow body as the closing closures move to the hollow body. 5. The device of claim 3 , further comprising a plurality of the openings and a respective plurality of the closures for the openings, a support structure for supporting the closures at a constant distance from one another and for moving the closures into and out of the introduction channel and openings in the shell. claim 3 6. A device for storage of anti-matter comprising: an evacuable hollow body including: a confinement structure for anti-matter located within the hollow body, the confinement structure having an opening therein; a passage into the hollow body positioned for communication with an interior portion of the confinement structure through the opening; a closure moveable into and out of the opening for selectively blocking communication with the interior portion of the confinement structure; a source of power operable to energize the confinement structure to generate a single type of inwardly directed force field that prevents anti-matter within the interior portion of the confinement structure from coming into contact therewith; and wherein the confinement structure is a spherical capacitor and the source of power is operative to generate a controllable electrostatic field. 7. A device for storage of anti-matter comprising: an evacuable hollow body including: a confinement structure for anti-matter located within the hollow body, the confinement structure having an opening therein; a passage into the hollow body positioned for communication with an interior portion of the confinement structure through the opening; a closure moveable into and out of the opening for selectively blocking communication with the interior portion of the confinement structure; a source of power operable to energize the confinement structure to generate a single type of inwardly directed force field that prevents anti-matter within the interior portion of the confinement structure from coming into contact therewith; a second opening in the confinement structure, the second opening being located at a radially opposite region of the confinement structure from the first-mentioned opening; a second passage into the hollow body positioned for communication with the interior of the confinement structure through the second opening; a second closure moveable into and out of the second opening, the first-mentioned closure and the second closure being shaped to seal the first and second openings; wherein the closures are energizable by the power source to generate a portion of the force field. 8. A device for storage of anti-matter comprising: an evacuable hollow body including: a confinement structure for anti-matter located within the hollow body, the confinement structure having an opening therein; a passage into the hollow body positioned for communication with an interior portion of the confinement structure through the opening; a closure moveable into and out of the opening for selectively blocking communication with the interior portion of the confinement structure; a source of power operable to energize the confinement structure to generate a single type of inwardly directed force field that prevents anti-matter within the interior portion of the confinement structure from coming into contact therewith; a second opening in the confinement structure, the second opening being located at a radially opposite region of the confinement structure from the first-mentioned opening; a second passage into the hollow body positioned for communication with the interior of the confinement structure through the second opening; a second closure moveable into and out of the second opening, the first-mentioned closure and the second closure being shaped to seal the first and second openings; wherein the first and second closures respectively seal the first passage and the first opening, and the second passage and the second opening; and an elongated rod extending through the geometric center of the of the hollow body that supports the first and second closures the rod being operable to move the closures together into and out of the first and second openings. 9. A device for storage of anti-matter comprising: an evacuable hollow body including: a confinement structure for anti-matter located within the hollow body, the confinement structure having an opening therein; a passage into the hollow body positioned for communication with an interior portion of the confinement structure through the opening; a closure moveable into and out of the opening for selectively blocking communication with the interior portion of the confinement structure; a source of power operable to energize the confinement structure to generate a single type of inwardly directed force field that prevents anti-matter within the interior portion of the confinement structure from coming into contact therewith; an introduction channel that communicates with the passage into the hollow body for introducing anti-matter into the confinement structure; the introduction channel comprises an evacuable inner space bounded by channel walls and communicating into the hollow body; and the introduction channel and the evacuable inner space in the channel being operative to generate a force field directed so that the anti-matter can be kept in the inner region of the introduction channel without contacting the channel walls. 10. The device of claim 9 , wherein: claim 9 the closure is so shaped and is moveable as to be received at least partially into the interior of the introduction channel; and the closure is energizable by the source to generate a force field that guides the anti-matter into the hollow body upon movement thereof into the interior of the hollow body. 11. The device of claims, further comprising: a second opening in the inner shell, the first-mentioned opening and the second opening being located at radially opposite regions of the confinement structure; a second closure, the first-mentioned closure and the second closure being respectively shaped to engage in and close the first and second openings; and an entrance into the introduction channel for anti-matter; and wherein: the first and second closures are so spaced apart and the entrance is so placed in the channel that the first and second closing pieces can bracket the sides of the entrance when in the channel and bound the inner space with anti-matter therein; and the closures and the channel are operative to move anti-matter into the confinement structure as the closures move. 12. The device of claim 10 , further comprising a plurality of the openings and a respective plurality of the closures for the openings, a support structure that supports the closures at a constant distance from one another and moves the closures into and out of the introduction channel and the openings in the confinement structure. claim 10
summary
description
This application claims priority to U.S. Provisional Application Ser. No. 61/028,737, filed Feb. 14, 2008, and entitled “Shielded Capacitive Electrode”, which provisional application is incorporated herein by reference as if fully set forth in its entirety. The invention described and claimed herein was made in part utilizing funds supplied by the U.S. Department of Energy under Contract No. DE-AC02-05CH11231. The government has certain rights in this invention. 1. Field of the Invention This invention relates generally to particle beams, and, more specifically to an improved sensor and method for monitoring particle beam potential. 2. Description of the Related Art Ion beam accelerators have long been used to produce particle beams as a research tool for basic physical investigations of matter, for ion implantation in the semiconductor arts, cancer therapy, synchrotron radiation sources, transmutation of long lived nuclear waste, inertial fusion, food and medical sterilization, and condensed matter physics among other things. Typically these particle accelerators can be anywhere from 2-15 meters in length, or more, and are operated at potentials of tens to thousands Volts. Often times it is important to be able to accurately measure the potential of the particle beam from within the accelerator unit itself. Traditionally this has been done by placing an electrode inside of the accelerator beam tube in proximity to and capacitively coupled with the beam to measure the image charge (or integrated current) induced by the electric field. In a typical case such electrode sensors are placed near the beam but at sufficient distance away so as not to infringe upon the path of the beam. One problem with the current approach is that the beam can interact with background gas and the walls of the accelerator and desorb electrons that can multiply and accumulate, creating an electron cloud. This ubiquitous effect grows at high current, energy and fill factor and degrades the quality of the beam. The electrons of this electron cloud are free to strike the sensor electrode and thus distort the readings of the sensor. Additionally, the beam halo striking the containment walls of the beam device and the sensor electrode itself may in turn produce additional electrons which can be pulled back into the particle beam. These processes ruin the measurements of the capacitive electrode. Accordingly, what is still needed is a means for measuring the beam potential in such systems which are not subject to nor affected by these influences. According to this invention, an improved sensor is provided in which a capacitively coupled electrode is positioned in proximity to a particle beam and the electrode is mechanically shielded from stray particles, such as found within the beam halo. In one embodiment a grounded metallic box having a face plate, including an aperture is placed in a drift region. The produced electric field that crosses the aperture generates a fringe field that terminates in the back surface of the face plate, inducing an image charge. An electrode placed inside the grounded box, and near the aperture where the fringe fields terminate, couples with the beam. The electrode is negatively biased so as to suppress collection of electrons and is protected by the face plate, so the beam halo (ubiquitous beam particles moving out of the beam core) cannot directly hit the electrode and produce electrons. The measured signal shows the net potential (positive ion beam plus negative electrons) variation with time. In one embodiment, the faceplate aperture is rectangular in shape, and has a length to width aspect ratio of about 2/1 to 5/1. These and other aspects of the improved sensor will now be described in connection with the attached drawings and the detailed description which follows. By way of this invention an improved beam sensor has been developed for measuring the potential of a particle beam; the sensor comprising a shielded capacitively electrode (SCE), mounted inside a grounded box, which is positioned in the halo portion of the beam to be measured. The details of the construction of the sensor are presented below. It should be appreciated that reference to sensor dimensions are for illustrative purposes only, and are not to be taken as limitations regarding the invention itself. The sensor 100 includes in the first instance box 101. The box may be made of any conductive metal, and in one embodiment is made of stainless steel. Box size is not critical, but it must be small enough to be placed inside the beam line tunnel (i.e. the beam pipe) containing beam 102 which will be measured. It must also be deep enough so as not to interfere with either the electric field lines induced by the beam potential or become a significant source of secondary electrons, which will change the net (ions and electrons) potential and affect the readings taken by the SCE. An opening/aperture 104 is provided in face plate 106 of sensor box 101, positioned to face beam 102. In one embodiment, opening 104 comprises a slit having a length to width aspect ratio of between 2/1 and 5/1, or higher. The axial length should be large enough to increase the signal strength without allowing stray particles from reaching the electrode, which will desorb electrons. It has been found that the fringe fields crossing the aperture actually bend around the aperture as shown in the FIG. 1 to capacitively couple with the capacitive electrode 108 mounted to the rear wall of faceplate 106. This bending of the field is also illustrated in the simulation of FIG. 4, the electric field crossing the aperture of the faceplate to reach the SCE, and diminishing in intensity with distance from opening 104. While the illustrated opening is rectangular in shape, it is to be appreciated that it could be of other configuration such as a square, oval, circle, etc. More important than its specific shape or size is its ability to enhance the electric flux induced by the beam potential as it extends into the sensor box and bends around the opening to couple to electrode 108. With reference now to FIG. 2A, beam 102 is shown passing in front of sensor 101 and face plate 106, the sensor oriented so that the long side 105a of slit 104 is oriented perpendicular to the path of beam travel to enhance the signal strength at the same time that it avoids stray particles that mainly move in the direction of the beam from reaching the electrode. As illustrated in FIG. 2A, the aspect ratio is approximately 5/1. In FIG. 2B the face plate is seen from the back, with electrode 108 concentrically disposed about aperture 104. Electrode 108 may be fabricated from any conductive metal, such as stainless steel. Electrode 108 in the embodiment illustrated is of the same rectangular shape as the aperture, and is spaced a distance from front plate 106 by an insulating layer 110, which in one embodiment is an insulating plastic such as a polyimide plastic. In another embodiment the insulating plastic is Kapton. Preferably, the electrode is held at a negative potential relative to the beam to repel any electrons that either enter the sensor or are generated by beam interactions with the inside walls of the sensor. In one embodiment a potential of between −20 and −200 volts or more may be applied to the electrode. The box 101 is typically maintained at ground, and is connected to a linear feed-through that allows positioning of the aperture relative to the beam. The sensor may be positioned anywhere along the beam path, including in apertures made on the beam pipe, preferably in regions of easy access, such as drift region between magnets. Typically, the drift region of the particle beam accelerator can contain a chamber of a larger diameter than the accelerator beam pipe. Accordingly, when the sensor of the invention is inserted along a section of the drift region, the distance of the sensor face from the beam pipe's axis is adjusted to match the radius of the beam pipe. Thus, in this way, one is able to effectively measure the beam potential at the beam pipe wall. Alternatively, the sensor can be inserted around an orifice made at the beam pipe itself, the face of the sensor placed flush to the outer side of the beam pipe wall. It is also important for accuracy of measurement that ions from the beam not reach the shielded electrode. For this reason, electrode 108 is recessed a distance away from the opening as shown in FIGS. 2 and 3 to reduce the possibility of collisions with beam ions. The degree of recess is not critical and can be determined by trial and error or from measurements from the beam halo dynamics. On one hand the greater the recess distance, the less the likelihood of a collision by stray particles. On the other hand, the wider the recess the weaker the electric field will be that is seen by the electrode (as evidenced by the simulation of FIG. 4), as the strength of the fringe field decreases with distance away from the opening. The distance the sensor is placed from the beam is not critical, but it should be positioned within the outer boundary of the beam halo. Notably because the capacitive electrode is largely shielded from the beam halo (as opposed to a bare electrode placed in direct communication with said halo), it is only weakly coupled with the beam. In fact, it has been found that the signal measured with the SCE is attenuated as much as by 24 times, or more as compared with an electrode directly coupled to the beam. Thus, a current amplifier reading the charge arriving or leaving the electrode produces an amplified signal that is proportional to the net charge (positive beam plus negative electrons) as seen from the walls of the beam pipe. A sensor according to the invention is constructed using an SCE made from a 1.4″ by 0.4″ stainless steel frame with a central hole of 0.8″ by 0.2″. It is placed inside a grounded box that has an aperture of 0.74″ by 0.14″. The frame hole is concentrically aligned to the aperture and recessed 0.03″ from the front aperture border, providing a mechanical shield of the SCE electron from expelled ions and halo loss. A Kapton frame 0.01″ thick is placed between the SCE and the front face plate to assure a bias insulation of at least −300V. The dimensions as shown in the figures and discussed in the Example are illustrative of the functional dimensions that were employed in the construction of a sensor according the instant invention. The providing of these dimensions is not intended to be limiting but is merely indicative to show relative proportions among the various components of the sensor. The sensor is placed inside a beam source which delivers a 1 MeV K+ ion beam current of 180 mA inside a beam pipe (flight tube) having a radius of approximately 4 cm, the duration of the beam pulse being 5 μs, which corresponds to a beam line charge density of 8.18 10−8 C/m. The box is oriented such that the beam passes parallel to the minor side of the aperture in order to minimize the probability of beam halo entering the box, and is positioned along a drift section of the beam path at a distance 4 cm from the center of the beam pipe. If the SCE electrode was directly coupled to the beam, it would produce an image charge of 32.5 pC during the 5 μs pulse. Placed inside the grounded box, the aperture attenuates the electrical field by a factor of 27 (as simulated in FIG. 4), so that the image charge of 1.2 pC is induced in the SEC electrode. This can be measured by connecting the SCE to a charge sensitive preamplifier such as CR-110 provided by Cremat, Inc. with a gain of −1.4 V/pC, which gives an output voltage of −1.67 V, large enough to be readily and accurately measured. As has been described above, the SCE may be used to measure the net potential (positive ion beam plus negative electrons) of a beam, even in systems heavily contaminated with electron clouds. The SCE of this invention may also find application in the measurement of edge plasma potential in plasma processing or magnetic fusion, and in yet another application may find utility in sensing corona discharge in transmission lines, such as for example high voltage electric utility transmission lines. Additionally it may have application in the monitoring of ion beams used in the fabrication of semiconductor chips. This invention has been described herein in considerable detail to provide those skilled in the art with information relevant to apply the novel principles and to construct and use such specialized components as required. However, it is to be understood that the invention can be carried out by different equipment, materials and devices, and that various modifications, both to the equipment and operating procedures, can be accomplished without departing from the scope of the invention itself.
claims
1. A system comprising an X-ray photoelectron spectroscopy analysis apparatus configured to analyze an insulating sample, the apparatus including:an X-ray generating means having an exit opening and being arranged to generate primary X-rays which pass out of the exit opening in a sample direction towards a sample surface for irradiation thereof, the X-ray generating means in use additionally generating unwanted electrons which would pass out of the exit opening substantially in the sample direction;an electron deflection field generating means arranged to generate a deflection field upstream of the sample surface, the deflection field being configured to deflect the unwanted electrons away from the sample direction, such that the unwanted electrons are prevented from reaching the sample surface, anda flood gun for charge neutralization of the sample surface. 2. The system of claim 1, wherein the deflection field generating means is located near to, at, or upstream of the exit opening. 3. The system of claim 1, wherein the deflection field generating means is arranged to generate the deflection field transverse to the sample direction. 4. The system of claim 1, wherein the X-ray generating means has a housing portion terminating in the exit opening and the deflection field generating means is located internally of the housing portion. 5. The system of claim 1, wherein the X-ray generating means has a housing portion terminating in the exit opening and the deflection field generating means is located externally of the housing portion. 6. The system of claim 1, further comprising an analysis chamber for holding the sample, the chamber comprising a sidewall having an entrance port therethrough, the port being in communication with the exit opening of the X-ray generating means for passage of the generated X-rays towards the sample surface, wherein the deflection field generating means is located in the port. 7. The system of claim 1, wherein the deflection field generating means comprises a magnet arranged to produce a local magnetic field of between 1 mT and 100 mT. 8. The system of claim 1, wherein the deflection field generating means comprises a magnet arranged to produce a local magnetic field of between 10 mT and 50 mT. 9. The system of claim 6, wherein the deflection field generating means comprises a magnet and at least a part of the chamber sidewall surrounding the entrance port is made of a magnetic material and is configured to act as a flux return for the deflection field generating means. 10. The system of claim 6, wherein the deflection field generating means comprises a magnet and at least a part of the chamber sidewall surrounding the entrance port is made of a magnetic material and is configured to provide magnetic shielding for the sample surface, such that a magnetic field at the sample surface is less than 10−6 T. 11. The system of claim 1, wherein the X-ray generating means is arranged to produce monochromated primary X-rays. 12. The system of claim 1, further comprising a second electron deflection field generating means, the second electron deflection field generating means occupying a different location from the first electron deflection field generating means. 13. An X-ray photoelectron spectroscopy analysis system for secondary particle emission surface analysis of an insulating sample, comprising:the system of any of claims 1 to 12;an analysis chamber for holding the sample; anda secondary particle analyser for receiving and analysing secondary particles emitted from the sample surface. 14. A method of X-ray photoelectron spectroscopy analysis by primary beam irradiation of a sample surface, the method comprising:generating at an X-ray generating means primary X-rays in a sample direction towards a sample surface of an insulating sample;additionally generating at the X-ray generating means unwanted electrons which would travel in the sample direction towards the sample surface;providing an electron deflection field upstream of the sample surface to deflect the unwanted electrons away from the sample direction, such that the unwanted electrons are prevented from reaching the sample surface; andneutralizing charging of the sample surface using a flood gun. 15. The method of claim 14, wherein the X-ray generating means has an exit opening through which the X-rays pass and the electron deflection field is generated in the region of the exit opening. 16. The method of claim 14, wherein the electron deflection field is transverse to the sample direction. 17. The method of claim 15, wherein the X-ray generating means has a housing portion terminating at the exit opening and the electron deflection field is generated internally of the housing portion. 18. The method of claim 15, wherein the X-ray generating means has a housing portion terminating at the exit opening and the electron deflection field is generated externally of the housing portion. 19. The method of claim 14, wherein the X-ray generating means is in communication with an analysis chamber for holding the sample, the chamber comprising a sidewall having an entrance port therethrough for passage of the X-rays towards the sample surface, wherein the electron deflection field is generated in the port. 20. The method of claim 14, wherein the electron deflection field comprises a magnetic electron deflection field and produces a local magnetic field of between 1 mT and 100 mT. 21. The method of claim 14, wherein the electron deflection field comprises a magnetic electron deflection field and produces a local magnetic field of between 10 mT and 50 mT. 22. The method of claim 19, wherein the electron deflection field is a magnetic electron deflection field, further comprising the step of using a part of the chamber sidewall surrounding the entrance port, the part being made of a magnetic material, to act as a flux return path for the electron deflection field. 23. The method of claim 14, wherein the electron deflection field is a magnetic electron deflection field, further comprising the step of magnetically shielding the sample surface, such that a magnetic field at the sample surface is less than 1031 6 T. 24. The method of claim 14, wherein the X-rays produced by the X-ray generating means are monochromated X-rays.
047215968
description
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS Overview As used herein, the term transmutation may be defined as the change of one nuclide into another nuclide of the same or a different element by any nuclear process, natural or artificial. A beneficial transmutation can be defined as any transmutation which leads, or is part of a sequence of transmutations which leads, in a reasonably short time, from a long lived radioactive nuclide to a stable nuclide. In accordance with the principle of the invention, radioactive waste materials are re-cycled in a region of a high-flux of thermal neutrons to permit neutron induced transmutation. Chemical and/or physical and/or isotope separation of the waste may be performed both prior to and/or after neutron irradiation. This separation has several benefits: 1. It minimizes the waste of neutrons which would occur in the nonbeneficial transmutation of a stable nuclide into another nuclide. 2. It minimizes the production of long-lived radioactive nuclides from transmutation of stable nuclides. 3. It minimizes the amount of material that has to be handles in the exposure to the high flux. 4. It maximizes the beneficial use of the available neutrons in reducing the radioactive waste hazard. A block diagram of the process in accordance with the invention is shown in FIG. 1. U.sup.235 or other fissile material undergoes fission, splitting into various fission fragments and producing neutrons. Some of these neutrons are used up in maintaining the chain reaction, while others are used in transmuting the waste. The waste products, including the fission fragments and actinides produced by neutron irradiation of Uranium, Plutonium, and/or Thorium, are separated into various components, each component comprising one or more different elements of the waste nuclei. This separation is either chemical or physical or a combination of the two and may further include isotope separation. In principle, isotope separation, as for example employing a mass spectrometer, could be utilized for separation of all isotopes. Economic considerations would, however, dictate primarily a combination of chemical and physical processing. Those "good" components which include only short-lived and stable elements and which do not include long-lived hazardous radioactive substances are stored to allow the decay of short-lived substances. Those "bad" components containing long-lived radioactive substances are exposed to a high flux of neutrons in order to induce transmutation. After a certain amount of exposure, these wastes are recycled through the separation/irradiation loop. The high neutron flux may be produced by any of a number of methods that are often referred to as flux-trapping. These methods allow the flux in some regions of the fission reactor to be significantly higher than in other parts, making use of the strong decrease of cross sections of increasing neutron energy from thermal to MeV regime neutrons. Flux-trap reactor designs are described in, for example, U.S. Pat. Nos. 3,255,083, 3,341,420; 3,276,963; 3,175,955; and 2,837,475. Alternatively, the high flux may, in the future, be produced independently of fission reactors, most notably by fusion reactors. In this case economy of reaction utilization is not critical as copious supplies of neutrons can be produced with little accompanying radioactive waste. FIG. 1 illustrates the inventive method generally. Where reaction economy is an important factor i.e., fission produced sources, the preferred chemical/physical separation techniques is to be carried out as a two-stage process as illustrated in FIG. 2. In stage 1, reactor products are separated into components designated, for the sake of illustration, A, B, D, and D. Each component, once separated is maintained in a separate channel isolated from other components and fed to the high flux region. After transmution in the high flux region the output of any given channel will generally contain some smaller amount of the original component remaining together with additional elements. These additional elements may be "good" products designated G.sub.1, G.sub.2. . . G.sub.6, or other components which are long-lived and require futher processing. The original component of each channel is thus separated in stage 2 from these additional elements as illustrated in FIG. 2. The recycling then occurs from the output of the stage 2 separation to the high flux region. Isotope separation may be part of stage 1 and/or stage 2 separation. Further, a specific rest stage may be provided before and/or after exposure to the neutron flux to permit .beta. decay where desired prior to further neutron exposure. The choice of separation/irradiation strategies depends, in addition to economic and chemical considerations, on the transmutations possible. FIG. 3 shows a general format utilized in describing the decay/transmutation sequence and FIG. 4 illustrates, as an example, a portion of a chart of some nuclides illustrating the transmutation possibilities. Natural .beta. decay transmutations change a nuclide into another shown directly above it, while artificial neutron induced transmutations take a nuclide into another immediately to the right. .alpha. and .beta.+ decay are not significant, and for simplicity, only one isomer of each nuclide has been considered. The values shown on the vertical lines connecting nuclides are the half-life of the transmutation in hours, while the values on the horizontal line are neutron cross-sections in barns. Fission yields per 100 fissions are also given in the chart. The direct fission yield is almost completely to neutron rich nuclides not shown, which would occur below those shown. These neutron rich nuclides rapidly undergo a series of .beta. decays, as tabulated by Rose, P. F. and Burrows, T. W., ENDF/B Fission Product Decay Data, August 1976, BNL-NCS-50545 (ENDF-243), to those nuclides which are illustrated on the chart. The yield shown on the charts of FIGS. 3 and 4 is therefore the same yield as the direct fission products of the same atomic weight. The document Permanent Elimination of Radioactive Wastes by Nuclear Transmutation, Physical Dynamics, Inc. PD-LJ-79-204, August, 1979 by Frank S. Henyey, the whole of which is incorporated herein by reference, gives further details of the assumptions and computer analysis given herein. Sr.sup.90 is a long-lived radioactive nuclide which is desired to be removed. Therefore, the transmutation from Sr.sup.90 to Sr.sup.91 is a beneficial transmutation. Sr.sup.91 naturally transmutes in a short time to stable Zr.sup.91. On the other hand, the other neutron induced transmutations shown are not beneficial and must be minimized by choice of the separation/irradiation loop. Y.sup.89 .fwdarw.Y.sup.90, for example, does not involve long-lived nuclides at all and therefore the induced neutron transformation simply wastes neutrons. Sr.sup.89 .fwdarw.Sr.sup.90 not only wastes neutrons but also produces a long-lived nuclide. As described more fully hereinafter, the Sr.sup.89 is allowed to naturally transmute to Y.sup.89 prior to insertion of Sr into the high neutron flux region. Y is then chemically separated from the Sr to prevent its otherwise neutron usage, and the Sr is exposed to the high neutron flux to transmute to Sr.sup.90 to Sr.sup.91. Table 1 lists 18 long-lived radioactive fission products of concern. These "bad" nuclides are broken-up into two groups, the first group having half-lives less than 100 years, and the second group having half-lives greater than 30,000 years. In addition, there are actinide wastes not listed. In reference to FIG. 2, there may be up to 18 separate separation/irradiation loops for the fission products and an appropriate number of loops for the actinides, one loop for each substance. The "bad" nuclides considered for elimination are listed in Table 1 and are defined primarily by the amount of radioactivity they are responsible for in the waste, after the waste has been stored for a certain length of time. Their half-life is not too long, else they provide very little radioactivity. Their half-life is not too short, else they decay during the storage period. They must be present, or at least have the possibility of being present, in a sufficiently high concentration to contribute significant radioactivity. With these qualitative criteria in mind, the following somewhat arbitrary quantitative definition of a bad fission product nuclide is utilized: 1. Its half life is greater than 1 year; 2. Its half life is less than 10.sup.10 years; 3. Its atomic weight is between A=72 and A=167, since these are the limits of fission product compilations, and the yields outside this range are below the part per billion level. 4. It is descended from neutron-rich nuclear species by either (a) .beta. decays or (b) a combination of .beta. decays and neutron absorptions. However, .beta. decay chains through nuclides of half life greater than 10.sup.4 years are not considered. Exceptions to this rule are present at the 10 parts per billion level in the waste. 5. The excited states Sn.sup.121m, Ho.sup.166m and Cd.sup.113m are excluded. A conservative level of activity at which a substance can be considered nearly safe is half the activity of an equal amount of U.sup.238. This criterion is in agreement with the cutoff in half lives of 10.sup.10 years, twice the half life of U.sup.238 (and also twice the age of the Earth). The required storage time as a function of half life is shown in FIG. 3, with the bad nuclides indicated by dots. For our one-year cutoff in half life, this criterion requires a storage time of 33 years. The lower group of bad nuclides requires up to 3,000 years of storage, while the upper group requires at least a million years for every nuclide in that group, and up to 1/30 the age of the earth. Preliminary Theoretical Considerations The transmutation process must satisfy at least three criteria: (1) it must consume less energy than was produced when the waste was created. (2) it must generate of itself less hazardous waste than that destroyed, and (3) it must eliminate waste materials at a rate significatly greater than their natural decay rate. Previous studies reported in ERDA-76-43, vol: 4 indicate that only neutron absorption processed can satify the first criterion. The major source of neutrons at present are the fission power reactors themselves. Therefore the issue of the second criterion is whether the number of neutrons produced in the power reactor is sufficient to transmute all or a substantial amount of the long lived waste produced along with those neutrons. The employment of chemical and/or physical separation of waste contained in this invention is aimed primarily toward the satisfaction of the third criterion. In the case of the actinides, both as a consequence of their large neutron capture probabilities and because their final removal by fission is accompanied by regeneration of some of the neutrons absorbed, all three criteria can be met. However, when the fission wastes are included, earlier studies cited above, not incorporating the principles of the invention, concluded that the second and third criteria could not be met. The invention is directed toward meeting all three criteria. Production of Safe Waste Products With regard to the second criterion, it is convenient to perform the transmutation in the power reactors themselves. Fission of an average U-235 nucleus generates a certain amount of waste and a certain number of neutrons. The question in satisfying the second criteria reduces to whether these neutrons are sufficient to transmute the waste produced. More specifically, one may consider the fate of the neutrons produced from 100 fissions of U.sup.235, as illustrated in FIG. 6. All neutrons are considered to be thermalized. Of the 244 neutrons produced, 117 are required to maintain the chain reaction, causing 100 additional fissions and 17 absorptions without fission. The remaining 127 neutrons are absorbed in various ways including being absorbed in U.sup.238, producing actinide waste and ultimately more fission waste, and being absorbed in the moderator and structure of the reactor. Those remaining are available for waste transmutation. Of the 200 fission products there are 35 long-lived radioactive nuclei (plus those from fission of Plutonium and actinide wastes). This waste requires at least 35 of the, at most, 127 neutrons in order to accomplish the transmutation. Without the principles of the invention, many more than 35, and even more than 127, neutrons will be required. To establish whether there are sufficient neutrons to eliminate the associated waste products, it is necessary to study the transmutation-decay chain information on all nuclides which are placed in the high flux region. The most important such nuclides are the isotopes of those elements including the long-lived radioactive fission products of which the eighteen most important radioactive nuclides are listed in Table I. The nuclide symbol and half-life are listed in the first two columns. The cross sections which determine the neutron-induced transmutation rates are listed in the third column. The approximate amounts (per 100 fissions) in the nuclear waste is given in column 4. Column 5 gives the name of the element. A computer program, WASTE, listed in appendix A was utilized to study the chains for all eighteen fission waste products. This program constructs a solution of a large set of coupled differential equations describing the transmutations. The form of these equations is that the time rate of change of any nuclide is equated to a sum of up to four terms as follows: 1. The decrease of the nuclide by natural decay. 2. The decrease of the nuclide by neutron-induced transmutation into another nuclide. 3. The increase of the nuclide from natural decay of another nuclide. 4. The increase of the nuclide from neutron-induced transmutation of another nuclide. The computer program further allows initial separation and periodic separation between exposures to a high thermal neutron flux. A strategy for each nuclide is presented which is sufficient to meet the three criteria for successful transmutation. In utilizing fission reactors, it is possible that (1) each reactor is responsible for precessing its own waste or (2) several "power" reactors send their waste to one "transmutation" reactor. In as much as neutrons are in short supply, and the second alternative is most probably not viable since it wastes the neutrons. The first possibility, of course, allows the exchange of waste between different reactors; one, for example, might handle all the cesium while another handles all the zirconium, with appropriate design differences between the reactors. The important consideration is that neutrons not be wasted. Equation for Transmutation An given nuclide, which we label by its atomic weight A and its atomic number Z, may undergo .beta. decay to the nuclide (Z+1, A) or it may undergo neutron absorption to the nuclide (Z, A+1). The rate constants for these processes are .alpha..sub.Z,A =ln 2/T.sub.Z,A.sup.(1/2) and .sigma..sub.ZA .phi. respectively where T.sub.ZA.sup.(1/2) is the nuclides half life and .sigma..sub.ZA is its neutron absorption cross section, while .phi. is the effective flux. Thus the amounts N.sub.Z,A of the nuclides obey the set of differential equations ##EQU1## The first two terms determine the loss of the nuclide due to its decay and neutron absorption while the last two terms determine its gain due to the decay or transmutation of other species. The solution of this equation has the form ##EQU2## where .lambda..sub.Z',A' =.alpha.Z.sub.Z',A' +.sigma..sub.Z',A' .phi. and the C's are determined by the initial amounts and by substituting this solution into the differential equation. In detail, the C's are given by: (1) For Z,A not both equal to Z',A' ##EQU3## (where C's which don't obey the conditions Z'.ltoreq.Z,A'.ltoreq.A are zero). (2) For Z,A=Z',A' ##EQU4## These substitutions are carried out in order of increasing Z and increasing A. Thus the lowest nuclide in a chain, which will occasionally be a bad isotope, has its amount decreased following an exponential curve: ##EQU5## The effective half life for removal is ##EQU6## These effective half lives of the bad nuclides are compared to the natural half life in Table 2. The effective flux is taken to be 10.sup.16 neutrons/cm.sup.2 sec. Another case of importance is that of a nuclide which as a lower nuclide in the chain with a smaller value of .lambda.. Let us take, for example, a nuclide (Z,A) accompanied by a lighter isotope (Z, A-1) such that .lambda..sub.Z,A- 1&lt;.lambda..sub.Z,A. ##EQU7## This constant is evaluated by substitution into the differential equation, and found to be ##EQU8## In the cases considered below, neutron absorption dominates .lambda..sub.Z,A and .sigma..sub.Z,A &gt;&gt;.sigma..sub.Z,A-1, so this equilibriun ratio becomes the ratio of cross sections ##EQU9## This establishment of equilibrium also applies to longer chains. This effect is important for Kr.sup.85, Zr.sup.93, Pd.sup.107, and Sm.sup.151, as is discussed below. Overview of Results The transmutations of the 18 bad isotopes have been analyzed for periods of up to about 100,000 hours (about 111/2 years) of irradiation in a flux of 10.sup.16 neutrons/cm.sup.2 sec. From Table 2, one can see that this time period ranges from orders of magnitude more than enough time to remove a nuclide to less than one half-life. The improvement of removal rate over natural decay varies from a few percent to a factor of over 10.sup.8. Two types of ideal cases may be considered, one with perfect isotope separation being carried out frequently before and during the separation/irradiation cycles and one with perfect chemical separation being carried out periodically. Clearly, the more separation that can be accomplished, the more efficient is the transmutation. The isotope separation provides an absolute optimum situation, and provides a measure of the inefficiency of chemical separation. For the case of chemical separation, two possibilities are treated for some waste components. In the first case, it is assumed that there is control over the time between fission and chemical separation. This situation is possible in liquid fission fuel reactors where the fuel and waste may, for example, be continuously cycled without shut-down of the reactor. In the second, the time between fission and separation is assumed long, as for example, in solid fuel fission reactors. The extra control allows one to separate nuclides which would otherwise decay into another element. Table 3 shows the results of the computer analysis for chemical separation and for isotope separation. The two cases of chemical separation are labeled a and b for separation with and without timing respectively. Th table is ordered by increasing half life and divided into the first and second groups previously defined in relation to Table 1. A very rough measure of the hazard of nuclear waste is the total amount of each of the two groups of bad nuclide. (This measure neglects differences in biological activity, in ease of storage (i.e., geochemical effects, in half life within a group, and in the nature of the radiation emitted). The waste starts with about 15 atoms per hundred fissions for the low group and 20 atoms of the high group. With isotope separation, after the processing of each nuclide for a length of time somewhat appropriate for the nuclide, but for less than 12 years, 4 atoms of the low group and 0.2 atoms of the high group remain. If the processing (with isotope separation) were to continue up to 12 years, half the Cs.sup.137 (3.1 atoms), a small amount of Sr.sup.90 (0.14 atoms) and traces of Ru.sup.106, Sb.sup.125, and Kr.sup.85 would remain in the lower group. Other sizable numbers in the table result from the short time of processing imagined. The high group would contain a small amount of Sn.sup.126, an amount of Se.sup.79 depending on its cross section but less than 0.055 atoms, and a trace of Zr.sup.93. All other bad nuclides would be removed. About 32 of the up to 127 neutrons would be used. With chemical separation only there are a number of sigificant differences. After processing, there are in addition 0.0014 atoms of Sb.sup.125, 0.06 atoms of Kr.sup.85, and 0.013 atoms of Sm.sup.151 remaining in the lower group, and a considerable amount (0.5 to 0.86 atoms) of Zr.sup.93 and 0.035 atoms of Pd.sup.107 remaining in the upper group. The neutron usage has increased from 32 up to 47 or 74 neutrons, from 12 to 20 in the lower group and from 20 to 27 or 55 in the higher group. The 8 extra neutrons in the lower group have been used about 4 for Pm.sup.147, and about 1 each for Eu.sup.155, Kr.sup.85, and Sm.sup.151. In the upper group the extra neutrons have been used largely by Zr.sup.93, even in case a, and by Cs.sup.135 if case b holds. Pd.sup.107 has also used up an extra neutron. It is to be noticed that case a of Cs.sup.135 (discussed in detail below) is even superior to isotope separation, due to the tiny amount of processing time required. With chemical separation only there is another important consideration. For a number of the elements with bad isotopes, there are stable isotopes with a smaller cross section than the bad isotope. As separation/irradiation goes on, the bad isotope is depleted while the level of stable isotopes remains high. In five cases, listed in Table 4, a significant amount of stable isotopes remain after a reasonable amount of processing. The amount of bad isotope is listed and the number of neutrons that would be wasted in completely transmuting all of the stable isotopes. This amount is most significant for Zr.sup.93, even though the more favorable case was chosen (i.e., case a). The ratio of extra neutrons to bad isotope remaining is the largest in the case of Sm.sup.151, in which it requires over a thousand nuetrons to convert the good Samarium in order to remove one atom of the bad. If the large number of neutrons required are not available, then the remaining amounts of these elements, containing the remaining bad isotope, have to be disposed of, and the bad isotope remains a hazard. As the high neutron flux exposure goes on, different lots of these elements at different degrees of depletion of the bad isotope may be kept isolated from one another. This process maya be accomplished by a spiral-type channel arrangement shown in FIG. 2 by the dotted lines in reference to component A. On the other hand, the remaining elements, most notably the large amount of Cs.sup.137, can have partially processed part of the element combined with the part of that element freshly separated from the recent fission waste, as indicated by the solid lines in FIG. 2. The greatest advantage of isotope separation would occur for Zr.sup.93, which wastes a large number of neutrons and which has a very significant amount of Zr.sup.93 left with the stable isotopes of Zirconium after a reasonable amount of processing has taken place. Isotope separation is significant for Cesium unless case a is utilized, because of the large number of neutrons needed. Isotope separation is also useful for Sr.sup.90 and for the other elements on Table 4 if the amounts remaining are considered objectionable, and depending on the required neutron economy could be useful for those elements which require extra neutrons. INDIVIDUAL ELEMENTS Each element was studied by computer runs utilizing the program WASTE shown in the appendix. Perfect chemical separation processing has been assigned for each channel with respect to all elements not originally in the channel. The bad nuclides are discussed in order of increasing atomic number and increasing atomic weight. It is noted that in developing a strategy for each individual bad nuclide, there is no interdependency between the individual bad nuclides except in the case of Pr.sup.147 and Sm.sup.151. Se.sup.79 The decay/transmutation chain for Se.sup.79 is shown in FIG. 7. The thermal neutron absorption cross section for Se.sup.79 is not reported (probably owing to its low abundance) and may be assumed small. Thus one may assume that no significant reduction of this isotope is possible. If however the neutron absorption cross section is found to be significant, the separation/irradiation process may be utilized with Br and Kr removed periodically to enhance neutron economy. Kr.sup.85 FIG. 8 shows the decay/transmutation chain for Kr.sup.85. Only about 20% of the A=85 waste ends up as radioactive Kr.sup.85. The remainder ends up as Rb.sup.85, because the rapid .beta. decay chain passes through an excited isomer of Kr.sup.85 which .beta. decays to Rb.sup.85. As a result, there is considerably more of the stable isotopes Kr.sup.83, Kr.sup.84 , and Kr.sup.86. Kr.sup.83 has by far the largest neutron absorption cross section. Kr.sup.84 and Kr.sup.86 each have a cross section about 1/20 of Kr.sup.85. When the Kr is subject to the neutron flux Kr.sup.83 is converted into Kr.sup.84. At this point the ratio of Kr.sup.84 to Kr.sup.85 is about 5. This ratio cannot exceed 20 (the ratio of the cross sections of Kr.sup.85 and Kr.sup.84). Therefore, after about 75% of the Kr.sup.85 has been transmuted, it becomes difficult to convert any more Kr.sup.85. For every 20 atoms of Kr.sup.84 converted to Kr.sup.85, only 21 are converted to Kr.sup.86. Meanwhile about 30 Kr.sup.86 's are transmuted. Thus it takes about 70 neutrons to gain 1 Kr.sup.85. The results of the actual calculation is shown in FIG. 9 to 48,000 hours (somewhat over 5 years) at which time 75% of the Kr.sup.85 is gone. At about this time, the natural decay of Kr.sup.85 actually removes it faster than continuted exposure to neutrons. Only isotope separation would improve matters. FIG. 4 also shows the removal of Kr.sup.85 as compared to its natural decay. Also shown are the average and marginal usage of neutrons showing the effects of Kr.sup.83 at very small times and Kr.sup.84 at large times. Different scales are used for amounts and neutron usage. Thus it is reasonable to reduce Kr.sup.85 to a level 2 or 3 times lower than natural decay would give, and no further except with isotope separation. In order to conserve neutrons it was assumed that all the decay/transmutation products, i.e., Rb and Sr were completely separated from Kr after each irradiation step was completed. The gas Kr may be readily separated from these solid products. Sr.sup.90 Th decay/transmutation chain for Sr.sup.90 is also shown in FIG. 8. Sr.sup.90 is transmuted according to the exponential law, since the only other isotope of Strontium in the waste is stable Sr.sup.88 which transmutes to Sr.sup.89 with a very small cross section. Sr.sup.89 is initially present in the waste (as well as being produced from Sr.sup.88), but it decays with a half life of 1250 hours, short compared to the relevant time scale for the transmutation of Sr.sup.90. The program WASTE was utilized with chemical processing every 3000 hours. No indication of undesirable effects of the build-up of Yttrium and Zirconium were noted. Clearly a much less frequent chemical processing for separation of Y and Zr from Sr would suffice. Only 1.06 neutrons are required for each transmutation of the first 96% of the Sr.sup.90. The effective half life of Sr.sup.90 in a flux of 10.sup.16 neutrons per square cm per second is 21/4 years. Zr.sup.93 More of the nuclear waste is Zirconium (15%) than any other single element. The presence of many stable isotopes of Zirconium, in addition to the bad isotope Zr.sup.93, make the removal of this isotope by transmutation difficult. The stable isotopes in the waste are Zr.sup.91, Zr.sup.92, Zr.sup.94, and Zr.sup.96. Although Zr.sup.93 has a larger neutron absorption cross section than any of the stable isotopes, it is not larger enough to make transmutation easy. FIG. 10 shows a portion of the decay/transmutation chain including Zr.sup.93. Two possibilities for the treatment of Zr.sup.93 are considered. In the more favorable case, the initial chemical separation is carried out in a time short compared to two months after the fission process. Such is the case, for example, in a liquid fuel reactor with continuous processing for wastes. In this case, the Yttrium is separated from the Zirconium. The Y.sup.91, with a half life of about two months, decays into stable Zr.sup.91, which therefore is isolated from the Zr.sup.93. The Zr.sup.92, Zr.sup.93, Zr.sup.94, Zr.sup.95, and Zr.sup.96 are then allowed to stand, allowing the Zr.sup.95 to decay (with a half life also of about two months). The results presented for Zr.sup.93 labelled as case a assume the ideal situation of no Zr.sup.91 in the Zirconium to be irradiated by the neutrons. In this case, the Zr.sup.93 which starts at the level of 6.36 atoms per hundred fissions, is irradiated for about 6 years resulting in about 92% net removal of the Zr.sup.93, at a cost of 12 neutrons, or a little worse than 2 neutrons per atom removed. Further irradiation accomplishes little, because at this point the transmutation of Zr.sup.93 is approaching equilibrium with the transmutation of Zr.sup.92 into Zr.sup.93, and there are significant amounts of Zr.sup.94 and Zr.sup.96, as well as Zr.sup.92 competing for the transmutation neutrons. The removal of Zr.sup.93 is shown in FIG. 11. In the less favorable case, labelled b in the results, the Zr.sup.91 is included. After 50,000 hours (about 6 years) the Zr.sup.91 has added an extra 6% of the original Zr.sup.93 by the sequential transmutation chain, Zr.sup.91 .fwdarw.Zr.sup.92 .fwdarw.Zr.sup.93, and had required an extra 7.2 neutrons, for an average of 31/2 neutrons per Zr.sup.93 atom removed. In both cases, neutron economy is enhanced by periodically separating Zr from Nb and Mo. It is clear that isotope separation would help greatly for Zr.sup.93. The exponential removal curve for pure Zr.sup.93 is compared to the curves for chemical separation in FIG. 11. After 50,000 hours almost 99% of the Zr.sup.93 is transmuted. Tc.sup.99 Tc.sup.99, whose decay/transmutation chain is shown in FIG. 12, provides one of the most favorable causes for transmutation. It has a reasonably large cross section for neutron absorption and there is only the single isotope, Tc.sup.99, in the waste. Therefore the removal follows an exponential curve (with an effective half life of 42 days). After chemical processing, all the Tc can be combined, since it is all Tc.sup.99. With chemical processing every 300 hours, the neutron usage is 1.03 neutrons per transmutation. The extra 3% comes from absorption in Ru.sup.100 which builds up for the 300 hours. Ru.sup.106 Ru.sup.106, whose decay/transmutation chain is shown in FIG. 13, has a half life of 1.01 years, just above the cutoff of 1 year. It requires 33.4 years to decay to half the activity of U.sup.238. It has a very small neutron absorption cross section, 0.146 barns, requiring an exposure to neutrons for 31.4 years to reduce the activity to the same level. The saving of 2 years is not deemed worth the trouble and expense of cycling and processing. Therefore Ru.sup.106 may be treated as a short-lived isotope, storing it for at least 331/2 years before allowing it to enter the environment. Pd.sup.107 The decay/transmutation chain for Pd.sup.107 is shown in FIG. 13. There is not much Pd.sup.107 in the waste since it is on the high side of the lighter bump in the fission yield curve. Pd.sup.105, with an atomic weight smaller by only 2, has 6 times the fission yield. Ru.sup.106 has an intermediate yield, and decays to Pd.sup.106 (in two steps) with a half life of 1 year. Ruthenium is assumed to be separated from the Palladium before a significant amount of it has been allowed to decay (if processing occurs within half a year after fission, the results are not substantially modified). The Pd.sup.105 has a cross section 40% larger than Pd.sup.107, which when multiplied by the factor of 6 in yield gives a conversion rate 8.4 times that of Pd.sup.107. Pd.sup.107 transmutes to Pd.sup.108, which, with a roughly comparable cross section, converts to Pd.sup.109 which rapidly decays. Thus, in the early stages of transmutation it takes over 10 neutrons for each transmutation of a Pd.sup.107 atom. Later, the concentration of Pd.sup.106 builds up, approaching an equilibrium value of about 40 times the amount of Pd.sup.107, since its cross section is 40 times smaller. At equilibrium 40 atoms of Pd.sup.106 convert to Pd.sup.109, requiring 120 neutrons, for every net Pd.sup.107 removed. The average neutron use per Pd removed approaches 4.times.6+2=26 for each 6 atoms of Pd.sup.105 and one atom of Pd.sup.107 converted to Pd.sup.109. In an actual example calculation 78% of the Pd.sup.107 was removed in 9000 hours, at a cost of about 12 neutrons for each atom of Pd.sup.107 removed. Since the initial amount was small, this corresponds to, for example, a level of Cs.sup.135 after removal of 991/2% of the initial amount. Neutron economy would dictate removal of Pd from Ag and Cd prior to recycling into each new irradiation step. Sn.sup.126 and Sb.sup.125 The decay/transmutation chain for Sn.sup.126 and Sb.sup.125 are shown in FIG. 14. These isotopes occur at the minimum of the yield curve, and are present in very small amounts. As a result, neutron economy is not of paramount importance and the products I and Te need not generally be separated. They are treated together because exposure of tin to neutrons produces Sb.sup.125. The cross section for Sn.sup.126 is very small, so transmutation is very slow. After about 12 years in a flux of 10.sup.16 neutrons/cm.sup.2 sec., one third of the original Sn.sup.126 still remains. However, this corresponds to 0.3% of any one of the five most common bad isotopes. Sb.sup.125 is easily removed. I.sup.129 I.sup.129 (FIG. 15) is removed following an exponential curve, since I.sup.128 is highly unstable. The effective half life of I.sup.129 in a flux of 10.sup.16 neutron/cm.sup.2 sec., is about a month. The neutron use does not exceed 1.2 neutrons per I.sup.129 atom transmuted, as the I.sup.129 is accompanied by 1/5 as much I.sup.127. In the early stages, the situation is even more favorable since the cross section for I.sup.127 is smaller. After 3000 hours, the average use was 1.1 neutrons per transmutation, as only about half of the I.sup.127 was removed. The enrichment of I.sup.127 relative to I.sup.129 probably is not significant enough, due to the small amount involved, to make it worthwhile keeping the iodine already processed separate from the iodine freshly produced from fission. The results for a 3000 hour processing run are presented in Table 3, but it is to be understood that exponential removal continues indefinitely. Iodine may readily be separated from the fission waste and is thus a very favorable element for waste transmutation. Cs.sup.134, Cs.sup.135, and Cs.sup.137 Cs.sup.135 and Cs.sup.137 are somewhat separate problems, and are discussed separately. Cs.sup.134 is not a direct fission product and therefore occurs in small amounts in the waste. It has a large cross section and is easily removed in the treatment of Cs.sup.135 and Cs.sup.137. FIG. 16 shows a portion of the decay/transmutation chain including Cesium. The major problem with the treatment of Cs.sup.135 is the large amount (6.75 atoms/100 fissions) of stable Cs.sup.133 in the waste. Cs.sup.133 has a considerably higher neutron absorption section than Cs.sup.135, and must absorb 3 neutrons before again becoming a stable nuclide. The chain is Cs.sup.133.sub.n .fwdarw.Cs.sup.134.sub.n .fwdarw.Cs.sup.135.sub.n .fwdarw.Cs.sup.136 .fwdarw.Ba.sup.136. It is noted, however, that the cesium in the waste comes from .beta. decay of the inert gas Xenon. Xe.sup.133 has a half life of over 5 days, and Xe.sup.135 has a half life of over 9 hours. Xe.sup.135 has an extremely high neutron absorption cross section (3.times.10.sup.6 barns) and stable Xe.sup.136 has a very small cross section (0.16 barns). Stable Xe.sup.134 also has a rather small cross section (1.73 barns). If the Xenon is separated in a time small compared to 5 days after fission, and especially if it can be separated in a time small compared to 9 hours, Cesium may be efficiently treated. A liquid fuel reactor would clearly be desirable in achieving these short processing times, since the processing may be continuous. As soon as Xenon is separated out, it is exposed to a high neutron flux for a short time. At 10.sup.16 neutrons/cm.sup.2 sec., the optimum time is 11 minutes. In the example of Table 3, 20 minutes was used. After this irradiation the Xenon is removed from the flux and stored for, say, two months for the Xe.sup.133 to decay (this is about 30 half lives of Xe.sup.133). After this, the Xenon left is not radioactive. There may be a further separation of the Cesium produced in the first two hours after fission. Thus there are three, possibly four, places in which Cesium is produced. The Cesium produced before separation consists of some or most of the Cs.sup.137 and a small amount of Cs.sup.135 and Cs.sup.133. The amounts depend on the time before separation. The Cesium produced during the irradiation is some or most of the Cs.sup.137, and a small amount of Cs.sup.135 and Cs.sup.133. For the first two hours after fission, Cs.sup.137 is produced, and it might be desirable to keep it with the Cesium produced in the first two steps. After 2 hours, most of the Cs.sup.133 is produced. This Cs.sup.133 would not be subject to further irradiation. The amount of Cs.sup.135 that is contained in with this CS.sup.133 is 4.4.times.10.sup.-6 atoms per 100 fissions (22 parts per billion of the waste), coming from the equilibrium between Xe.sup.134 and Xe.sup.135. FIG. 17 shows the amount of Cs.sup.133 and Cs.sup.135 produced up to end of the time of irradiation as a function of the time of separation. If, for example, separation is accomplished in 6 minutes, there will be 0.055 atoms of Cs.sup.135 per 100 fissions. In the first two hours after irradiation 0.08 atoms of Cs.sup.133 per 100 fissions out of a total of 6.75 are produced. The results labeled a in Table 3 correspond to no further treatment of the Cs.sup.135, although, of course, it would be treated if the Cs.sup.137 is treated. The results assume immediate separation of the Xenon. In cases when the Xenon cannot be separated out rapidly (solid fuel reactors), much of the Xe.sup.135 is not transmuted to Xe.sup.136, but decays to Cesium which must be treated. These results are shown in FIG. 18, and as case b in Table 3. As can be seen, the time scale is long and the neutron usage is extremely large, costing 20 neutrons (per 100 fissions) to convert the stable Cs.sup.133 to Ba.sup.136. Isotope separation would clearly be highly desirable. FIG. 18 shows the following sequence of events: 1. Cs.sup.134 relatively rapidly builds up from the transmutation of Cs.sup.133, until after about 500 hours it is in equilibrium with Cs.sup.133 ; 2. After about 100 hours enough Cs.sup.134 has built up that the amount of Cs.sup.135 actually increases; 3. After 2000 hours the Cs.sup.133 (and Cs.sup.134) is mostly depleted, and the Cs.sup.135 starts being removed following an exponential curve; 4. At about 2700 hours, the amount of Cs.sup.135 is back to where it started. 5. The amount of Cs.sup.135 lags 2900 hours (4 months) behind where it would be had there been no Cs.sup.133 in the waste to be irradiated. If the Cs.sup.135 is handled by transmuting Xe.sup.135, the remainder of the Cs.sup.135 can be removed following curves similar to case b, but about 100 times lower. The extra neutron usage will also be about 100 times smaller. If the separation time in case a is 2 to 100 hours, a situation intermediate between case a and case b results. Cs.sup.137 has the smallest neutron absorption cross section of any of the bad nuclides (with the possible exception of Se.sup.79). Irradiation in a flux of 10.sup.16 neutrons/cm.sup.2 sec., only brings the effective half life to 12 years, compared to 30 years for natural decay. Aside from a small amount of Cs.sup.137 generated from Cs.sup.135.sub.n .fwdarw.Cs.sup.136.sub.n .fwdarw.Cs.sup.157, Cs.sup.137 removal follows an exponential curve (most Cs.sup.136 decays to Ba.sup.136). Since the Cesium becomes essentially pure Cs.sup.137 as the processing continues, there is no need to segregate the old and new Cesium if Cs.sup.137 is to be treated. The modest gain in removal rate in Cs.sup.137 might make it not worthwhile to treat it beyond what is needed for Cs.sup.135 removal, unless a flux even higher than 10.sup.16 neutrons/cm.sup.2 sec., is utilized. Neutron economy is improved by separation of Cs from all other products, i.e., Ba, La, Ce, etc. Pm.sup.147 Pm.sup.147 (FIG. 19) is the lightest isotope of Promethium in the waste, and the only one with a large half life. It is transmuted following an exponential curve with an effective half life of 41/3 days in a flux of 10.sup.16 neutrons/cm.sup.2 sec. The difficulty in removing Pm.sup.147 concerns neutron economy. In any flux which gives a transmutation rate larger than the natural decay, most of the Pm.sup.147 ends up as Sm.sup.150, mostly by the chain Pm.sup.147.sub.n .fwdarw.Pm.sup.148.sub.n .fwdarw.Pm.sup.149 .fwdarw.Sm.sup.149 .fwdarw.Sm.sup.150. Thus it costs 3 neutrons per Pm.sup.147 atom transmuted. There is also a small amount of the bad isotope Sm.sup.151 created from the Sm.sup.150, the amount depending on the frequency of chemical separation of the Samarium from the Promethium (the Samarium is then not exposed to any more neutrons). In the example of Table 3, chemical processing was assumed to occur every 2 hours. The amount of Sm.sup.151 produced is comparable to the amount of Sm.sup.151 left after the irradiation of the Samarium waste. It is not feasible, without isotope separation, to transmute this Sm.sup.151. Since Pm.sup.147 with a half life of 2.6 years is rendered essentially harmless by storage of about 85 years, while the Sm.sup.151 produced requires 1900 years to reduce it to the same low level of activity, it may be better not to attempt to transmute the Pm.sup.147. However, if higher level are considered acceptable, the decrease of 2.3 atoms of Pm.sup.147 to 0.005 atoms of Sm.sup.151 is significant. Sm.sup.151 The Sm.sup.151 (FIG. 19) is accompanied by a larger amount of Sm.sup.149. Sm.sup.151 has a large neutron absorption cross section (1.4.times.10.sup.4 barns) but Sm.sup.149 has an even larger cross section by nearly a factor of five. Therefore, as Samarium is exposed to the neutrons, the first thing to happen is the conversion of Sm.sup.149. This can be seen on FIG. 20 by the large neutron usage in the first two hours of irradiation. The next thing to happen is the transmutation of most of the Sm.sup.151. The cost in neutrons rises during this period from a minimum at around 3 hours into the irradiation. This rise in neutron usage is due to the competition of neutron absorption in Sm.sup.152 and Sm.sup.150, and the Sm.sup.151 being produced from Sm.sup.150. Finally, the Sm.sup.151 comes into equilibrium with the Sm.sup.150 at a level Sm.sup.151 /Sm.sup.150 =.sigma..sup.150 /.sigma..sup.151 .apprxeq.1/40. At this point, about 98% of the initial amount of Sm.sup.151 is removed. Equilibrium is nearly obtained after about 15 hours, as seen in FIG. 20. further irradiation is extremely costly in neutron usage even though there is such a small amount of Sm.sup.151 remaining. Moreover, the Sm.sup.151 resulting from the treatment of Promethium is at roughly the same level. The treatment of this other Samarium would actually cause an increase in the amount of Sm.sup.151, since the Sm.sup.151 /Sm.sup.150 ratio is well below 1/140. Thus, withwout isotope separation, (or an extremely copious supply of neutrons) a reduction of Sm.sup.151 to about 0.013 atom per 100 fissions is the best that can be achieved. Neutron economy may be enhanced primarily by separation of Eu products. Eu.sup.152, Eu.sup.154, Eu.sup.155 Europium (FIGS. 19 & 21) is one of the heaviest elements in the waste, and occures in small amounts. Eu.sup.152 and Eu.sup.154 do not occur directly as fission products. What little Eu.sup.152 does occur will be rapidly transmuted while the Eu.sup.155 is being removed, as will the Eu.sup.151 coming from that Sm.sup.151 that decayed before it was transmuted. In processing the Europium, it need not be separated from the Samarium while the Samarium is being processed, as there will be small amounts of radioactive Europium produced in the treatment of Sm.sup.151, which should be included with the fission-produced Eu.sup.155. Transmutation of Eu.sup.155 per se is very simple, as indicated by the "isotope separation" columns of Table 3. However, the waste contains some Eu.sup.153, which is converted to radioactive Eu.sup.154. Therefore, in order to remove the Eu.sup.155 it is necessary to convert the Eu.sup.153 by the chain Eu.sup.153.sub.n .fwdarw.Eu.sup.154.sub.n .fwdarw.Eu.sup.155.sub.n .fwdarw.Eu.sup.156.sub.n .fwdarw.Eu.sup.157 .fwdarw.Gd.sup.157.sub.n .fwdarw.Gd.sup.158. There is 5 times as much Eu.sup.153 as Eu.sup.155, and it requires 5 neutrons for conversion to Gd.sup.158 leading to 261/2 neutrons per atom of Eu.sup.155 removed. If the flux were 10-30 times smaller, the Eu.sup.156 would have time to decay, terminating the chain at Gd, saving up to 40% of the neutrons. FIG. 22 shows the time development of the amounts of Eu.sup.154 and Eu.sup.155. For the first 15 hours or so, the initial Eu.sup.155 is rapidly transmuted away, while the Eu.sup.154 builds up almost as fast as the Eu.sup.155 is removed. After that, the Eu.sup.154 continues to build up while it, in turn, transmutes to Eu.sup.155. After about 60 hours the Eu.sup.154 and Eu.sup.155 are in equilibrium with the remaining Eu.sup.153, and then are removed at a rate determined by the Eu.sup.153 cross section. In the processing, all isotopes of Europium are removed. Therefore no harm is caused by combining the already-processed Europium with fresh Eu. ACTINIDES The amounts and composition of the actinides depends on the parameters of the reactor system such as the enrichment of the Uranium and the integrated flux to which it has been exposed. We consider four components of the actinides produced from U.sup.238 and U.sup.235 by neutron absorption, which may coincide with the components in the transmutation system. These components are: 1. U.sup.236 2. Np.sup.237 3. Fresh plutonium 4. Spent plutonium and trans-plutonium actinides Fifteen percent of the U.sup.235 on absorbing a neutron does not fission but produces U.sup.236. This U.sup.236 would be only moderately expensive in neutrons to transmute except that it is mixed in with all the U.sup.238 in the spent fuel. It is impossible from the point of view of neutron economy to put the U.sup.238 in the high flux region. If the U.sup.236 produced from all U.sup.235 by neutron irradiation is mixed in with the amount of U.sup.238 accompanying that much U.sup.235 in natural uranium, the radioactivity is double that of U.sup.238. Therefore, U.sup.236 does not pose a serious hazard if combined with the U.sup.238. Some of the U.sup.236 will absorb a neutron, giving the transmutation chain EQU U.sup.236.sub.n .fwdarw.U.sup.237 .fwdarw.Np.sup.237. If this Np.sup.237 is exposed to as high a flux as possible, it first transmutes to Np.sup.238 which then fissions if it absorbs a neutron or decays to Pu.sup.238. The fissioning is preferable on the grounds of neutron economy. U.sup.238, if it absorbs a neutron, becomes Pu.sup.239. This plutonium (as well as the Pu.sup.238 discussed above) is, on separation, used as a fissionable substance. In thermal fission, 3/4 is fissioned and 1/4 becomes Pu.sup.240. The Pu.sup.240 absorbs a neutron becoming Pu.sup.241. Three-fourths of Pu.sup.241 fissions and 1/4 becomes Pu.sup.242. Pu.sup.242 and heavier isotopes are not fissionable with high probability and form part of the heavy actinide waste. By sequential neutron absorption, these eventually lead to fission. Fissionable isotopes include Cm.sup.245, Cm.sup.247, Bk.sup.250, Cf.sup.249, Cf.sup.251, and Es.sup.254. Although the number of neutrons required per atom of Pu.sup.242 is large, the very small quantities involved makes the neutron usage not have a serious effect on the total neutron economy. This is consistent with the conclusion of earlier studies that actinides can be reduced by transmutation. The neutron economy is approximately a net loss of one neutron for each atom of Np.sup.237 (including the absorption on U.sup.236) and approximately a balance (a small net loss) for each atom of U.sup.238 transmuted. In addition, the fission wastes from Plutonium and other actinides increase the amount of fission wastes that must be processed by the excess neutrons from the fission of U.sup.235. TABLE 1 ______________________________________ THE TWO GROUPS OF BAD FISSION PRODUCT NUCLIDES CONSIDERED, THEIR HALF LIFE, NEUTRON ABSORPTION CROSS SECTION, AND FISSION YIELD BAD NUCLIDES Amount Half Life Cross Section (per 100 Isotope (Years) (Barns) Fissions) Element Name ______________________________________ Ru.sup.106 1.01 .146 .393 Ruthenium Cs.sup.134 2.06 140 0 Cesium Pm.sup.147 2.62 181 2.30 Promethium Sb.sup.125 2.73 1.00 .029 Antimony Eu.sup.155 4.80 4040 .032 Europium Eu.sup.154 8.59 1350 0 Europium Kr.sup.85 10.7 1.66 .287 Krypton Eu.sup.152 13.0 2080 0 Europium Sr.sup.90 28.1 .900 5.84 Strontium Cs.sup.137 30.1 .11 6.21 Cesium Sm.sup.151 92.9 13900 .424 Samarium Se.sup.79 6.50 .times. 10.sup.4 -- .055 Selenium Sn.sup.126 9.99 .times. 10.sup.4 .300 .057 Tin Tc.sup.99 2.13 .times. 10.sup.5 19.1 6.13 Technetium Zr.sup.93 9.49 .times. 10.sup.5 2.50 6.36 Zirconium Cs.sup.135 2.30 .times. 10.sup.6 8.70 6.54 Cesium Pd.sup.107 6.50 .times. 10.sup.6 10.0 .163 Palladium I.sup.129 1.59 .times. 10.sup.7 27.4 .598 Iodine ______________________________________ TABLE 2 ______________________________________ A COMPARISON OF THE NATURAL HALF LIFE WITH THE EFFECTIVE HALF LIFE AT A FLUX OF 10.sup.16 NEUTRONS/CM.sup.2 SEC FOR THE TWO GROUPS OF BAD NUCLIDES Half Life at Flux Nuclide Natural Half Life (Y) 10.sup.16 Neut./cm.sup.2 sec ______________________________________ Ru.sup.106 1.01 .95 Cs.sup.134 2.06 .016 Pm.sup.147 2.62 .012 Sb.sup.125 2.73 1.2 Eu.sup.155 4.80 5.5 .times. 10.sup.-4 Eu.sup.154 8.59 .0016 Kr.sup.85 10.7 1.2 Eu.sup.152 13.0 .0011 Sr.sup.90 28.1 2.25 Cs.sup.137 30.1 12.00 Sm.sup.151 92.9 1.6 .times. 10.sup.-4 Se.sup.79 6.50 .times. 10.sup.4 ? Sn.sup.126 9.99 .times. 10.sup.4 7.32 Tc.sup.99 2.13 .times. 10.sup.5 .115 Zr.sup.93 9.49 .times. 10.sup.5 .88 Cs.sup.135 2.3 .times. 10.sup.6 .25 Pd.sup.107 6.5 .times. 10.sup.6 .22 I.sup.129 1.59 .times. 10.sup.7 .08 ______________________________________ TABLE 3 __________________________________________________________________________ SUMMARY OF OUR RESULTS THE INITIAL AND FINAL AMOUNTS, THE NEUTRONS USED AND THE TIME IRRADIATED AT 10.sup.16 NEUTRONS/CM.sup.2 SEC FOR BOTH CHEMICAL AND ISOTOPE SEPARATION. AMOUNTS ARE NORMALIZED TO 100 FISSIONS. CASES -a AND -b FOR CESIUM AND ZIRCONIUM ARE EXPLAINED IN SEC VII. SUBTOTALS FOR EACH GROUP ARE SHOWN, AS WELL AS THE TOTALS. WITH CHEMICAL WITH ISOTOPE SEPARATION SEPARATION Final Final Initial Amount Neutrons Time Amount Neutrons Time Nuclide Amount of Nuclide Used (hr) of Nuclide Used (hr) __________________________________________________________________________ (HALF LIFE LESS THAN 100 YEARS) Ru.sup.106 .393 .393 0 -- .393 0 -- Cs.sup.134 0 a: 0 0 &lt;1/3 -- -- -- b: .about.0 0 20,000 -- -- -- Pm.sup.147 2.30 .147 6.31 420 .147 2.15 420 Sb.sup.125 .029 .0014 .05 102,000 .000038 .03 102,000 Eu.sup.155 .032 10.sup.- 6 .85 600 10.sup.-6 .03 70 Eu.sup.154 0 3 .times. 10.sup.-6 -- 600 -- -- -- Kr.sup.85 .287 .0714 1.31 48,000 .011 .28 48,000 Eu.sup.152 0 0 0 -- -- -- -- Sr.sup.90 5.84 .254 6.17 90,000 .245 5.59 90,000 Cs.sup.137 6.21 a: 3.21 3.00 100,000 3.21 3.00 100,000 b: 3.34 3.00 100,000 -- -- -- Sm.sup.151 .424 .013 1.69 16 .00023 .42 15 SUBTOTAL 15.5 a: 4.09 19.4 4.01 11.5 b: 4.22 19.4 (HALF LIFE GREATER THAN 30,000 YEARS) Se.sup.79 .055 .055 0 -- .055 0 -- Sn.sup.126 .057 .019 .11 102,000 .019 .04 102,000 Tc.sup.99 6.13 .013 6.30 9,000 .013 6.13 9,000 Zr.sup.93 6.36 a: .50 12.0 50,000 .071 6.29 50,000 b: .86 19.2 50,000 -- -- -- Cs.sup.135 6.54 a: .0045 6.55 &lt;1/3 -- -- -- b: .0317 26.7 20,000 .0124 6.53 20,000 Pd.sup.107 .163 .0351 1.64 9,000 .0064 .16 9,000 I.sup.129 .598 .031 .63 3,000 .031 .57 3,000 SUBTOTAL 19.9 a: .66 27.2 .21 19.7 b: 1.04 54.6 TOTAL 35.4 a: 4.75 46.6 4.22 31.2 b: 5.26 74.0 __________________________________________________________________________ TABLE 4 ______________________________________ ELEMENTS WITH SIGNIFICANT AMOUNTS OF STABLE ISOTOPES REMAINING AFTER PROCESSING. SHOWN ARE THE NUMBER OF NEUTRONS (NORMALIZED TO 100 FISSIONS) NEEDED TO TRANSMUTE ALL THE STABLE ISOTOPES TO OTHER ELEMENTS. Bad Neutrons required Isotope to transmute Element Left stable isotopes ______________________________________ Kr .07 6.0 Sr .25 3.2 Zr .50 23.6 (1) Pd .035 1.3 Sm .013 14.8 (2) ______________________________________ (1) Zr: case a (no Zr.sup.91 in initial waste.) (2) Includes Sm transmutation of Pm. ##SPC1##
description
Referring now to the drawings, and more particularly to FIGS. 2-4, an atomic-based combined cycle propulsion system is illustrated schematically in each of its ejector (FIG. 2), ramjet (FIG. 3) and rocket modes (FIG. 4) in accordance with the present invention. Each of the views will use the same reference numerals for the elements that are common therebetween. The system of the present invention is incorporated into an aerodynamic vehicle body 100 suitable for earth-to-space travel. The particular design of vehicle body 100 is not a limitation of the present invention. Housed within vehicle body 100 is a propellant tank or store 102 containing a fuel of choice. In most instances, the fuel will be liquid hydrogen owing to its low molecular weight, cost, availability, etc. However, methane and other hydrocarbon fuels are possible candidates due to their even lower cost, broad availability and ease with which they can be handled. Coupled to propellant store 102 via fuel lines 104 is a nuclear-based thermal rocket 106, the particular design of which can vary without departing from the scope of the present invention. A variety of nuclear-based thermal rockets are disclosed in the prior art. For example, see R. W. Bussard et al., xe2x80x9cFundamentals of Nuclear Flight,xe2x80x9d McGraw Hill, 1965; S. K. Borowski et al., xe2x80x9cNuclear Thermal Rockets: Key to Moon-Mars Exploration,xe2x80x9d Aerospace America, Vol. 30, No. 7, July 1992; and C. W. Watson, xe2x80x9cNuclear Rockets: High-Performance Propulsion for Mars,xe2x80x9d Los Alamos National Laboratory, Publication LA-12784-MS, May 1994. Regardless of the design of rocket 106, exhaust gases 108 are expelled through an exhaust nozzle 106A. An air induction system 110 is provided to selectively introduce surrounding ambient air 112 into exhaust gases 108. Such selective introduction would be controlled by adjusting, for example, a plurality of intakes 110A distributed about vehicle body 100. Operation of intakes 110A would typically be controlled in a pre-programmed fashion by an on-board processor 110B. Control of intakes 110A could also come from a remotely-located, pre-programmed processor, or by an on-board (or remotely-located) adaptive control system. The choice of control for intakes 110A can be tailored to meet specific mission requirements. In general, at vehicle speeds of less than approximately Mach 6 and vehicle altitudes less than approximately 40 kilometers, air 112 is introduced into exhaust gases 108 via intakes 110A where exhaust gases 108 and air 112 mix together to form mixture 114. The mixing action transfers energy to air 112 and increases momentum flux exiting nozzle 116. In other words, the introduction of air 112 augments the thrust force F applied to vehicle body 100. More specifically, from the static at-launch condition of zero velcity up to a vehicle speed of approximately Mach 2.5, the system of the present invention will typically operate as an ejector as shown in FIG. 2. That is, thrust augmentation (due to the introduction of air 112) is derived primarily from the physical mixing of exhaust gases 108 with air 112. (Note that even at these lower velocities additional energy may be obtained from some combustion of exhaust gases 108 and air 112). The term xe2x80x9cejectorxe2x80x9d refers to the pumping action that a low-pressure fluid stream (i.e., exhaust gases 108) exerts on a higher pressure fluid (i.e., air 112 introduced into system 110). Thus, when intakes 110A are opened, air 112 is sucked into the lower pressure exhaust gases 108 thereby causing the two to mix as indicated in FIG. 2 at reference numeral 114. This mixing process transfers momentum and energy from exhaust gases 108 to air 112 in mixture 114. Although the total energy of both exhaust gases 108 and air 112 remains constant, the total momentum increases and reaches a theoretical maximum when the exit velocity of mixture 114 exiting nozzle 116 is uniform, i.e., the velocity of exhaust gases 108 and air 112 in mixture 114 is the same. From vehicle speeds of approximately Mach 2.5 to Mach 6, the benefits from ejector-mode pumping will diminish, and the primary source of thrust augmentation will be due to combustion. That is, most of the mixture of exhaust gases 108 and air 112 will combust as indicated by burning mixture 115 in FIG. 3. This mode is known as the ramjet mode of operation. Assuming the fuel being burned in rocket 106 is hydrogen-based, nuclear-based thermal rocket 106 produces hydrogen exhaust gases 108 having an extremely high temperature that can reach 1500xc2x0 Kelvin. Since exhaust gases 108 are generated at such high temperatures, and since the pressure of air 112 increases at higher vehicle velocities, burning mixture 115 is generated without requiring any flame stabilization or auxiliary ignition devices. Note that as the speed of vehicle 100 increases, the amount (e.g., mass) of air 112 introduced into exhaust gases 108 is adjusted by controlling intakes 110A. Typically, the mass of air 112 introduced into exhaust gases 108 is reduced with increasing vehicle speed and altitude. In the present invention, aerodynamic heating is kept under control by limiting the above-described ramjet operation to vehicle speeds of approximately Mach 6 or less. Further, at altitudes above 40 kilometers, the density of air 112 is too low to derive any benefit from its introduction into exhaust gases 108. Accordingly, at the combination of a vehicle speed of approximately Mach 6 and vehicle altitude of 40 kilometers, intakes 110A are closed as illustrated in FIG. 4. This mode is known as the rocket mode of operation. That is, no more mixing of exhaust gases 108 and air takes place and only exhaust gases 108 exit nozzle 114. The advantages of the present invention are numerous. The higher exhaust gas temperatures generated by a nuclear-based thermal rocket are used advantageously in an air-breathing mode propulsion system. Thrust augmentation is achieved at lower speeds primarily through the mixing action of (inducted) ambient air with the exhaust gas and, secondarily, through combustion of some of the exhaust stream with oxygen in the inducted air. At medium speeds up to Mach 6, thrust augmentation is achieved primarily through combustion when inducted air mixes with the rocket""s exhaust stream. Such combustion is achieved without any flame stabilization and/or auxiliary ignition devices. Further, because of the higher specific impulse produced by the nuclear-based thermal rocket, the transition from combined nuclear rocket/air-breathing mode to pure nuclear rocket mode can occur at slower vehicle speeds thereby reducing aerodynamic heating effects. Although the invention has been described relative to a specific embodiment thereof, there are numerous variations and modifications that will be readily apparent to those skilled in the art in light of the above teachings. It is therefore to be understood that, within the scope of the appended claims, the invention may be practiced other than as specifically described.
claims
1. A collimator, configured to collimate radiation from a radiation emitter, the collimator comprising: a protrusion portion such that the protrusion portion is capable of being placed within a recess portion of the radiation emitter, wherein the collimator is allowed to be arranged close to and connected with the radiation emitter, and wherein the radiation passes through passages in the protrusion portion and the recess portion from the radiation emitter to the collimator, wherein the collimator includes at least two primary collimator components configured to provide a slit therebetween to collimate the radiation and defining a collimator outlet configured to emit the radiation; and the at least two primary collimator components are provided with the protrusion portion, and wherein the at least two primary collimator components are respectively provided with at least a pair of additional shield pieces on an outer side thereof opposite to an inner side facing the radiation. 2. The collimator according to claim 1, wherein the at least two primary collimator components are configured such that a cross section of the radiation emitted from the collimator outlet corresponds to an arrangement shape of detectors configured to receive the radiation such that the cross section of the radiation emitted from the collimator outlet is identical to the arrangement shape of the detectors. 3. The collimator according to claim 1, wherein the at least two primary collimator components are configured such that an area of a cross section of an opening in the collimator near the collimator outlet gradually increases in a radiation emitting direction such that the collimator has an inwardly recessed taper outlet portion at the collimator outlet. 4. The collimator according to claim 3, wherein the at least two primary collimator components are configured to respectively have a slope located at a side facing the radiation and near the collimator outlet such that the area of the cross section of the opening in the collimator near the collimator outlet gradually increases in the radiation emitting direction. 5. The collimator according to claim 1, wherein the at least two primary collimator components are respectively provided with at least two pairs of additional shield pieces on the outer side thereof. 6. The collimator according to claim 5, wherein at least two pairs of additional shield pieces are arranged to stack together and overlap with each other at a position, adjacent to the radiation emitter, on the outer side of the at least two primary collimator components and a stacking area between the pairs of additional shield pieces gradually reduces in the radiation emitting direction, such that the area of a cross section of the collimator is reduced gradually in the radiation emitting direction. 7. The collimator according to claim 6, wherein the at least two pairs of additional shield pieces include a first pair of additional shield pieces and a second pair of additional shield pieces, the first pair of additional shield pieces and the second pair of additional shield pieces are both arranged adjacent to the radiation emitter, the second pair of additional shield pieces are arranged on the first pair of additional shield pieces and a length of the second pair of shield pieces is less than a length of the first pair of shield pieces. 8. The collimator according to claim 1, wherein the primary collimator components and the additional shield pieces are made of lead or tungsten. 9. A radiation emitting assembly comprising the collimator according to claim 1. 10. An inspection apparatus comprising the radiation emitting assembly according to claim 9 and detectors, wherein the detectors are arranged in a shape corresponding to the cross section of the radiation emitted by the radiation emitting assembly. 11. A collimator, configured to collimate radiation from a radiation emitter, the collimator comprising: a recess portion configured such that a protrusion portion of the radiation emitter is capable of being placed within the recess portion, wherein the collimator is allowed to be arranged close to and connected with the part of the radiation emitter other than the protrusion portion with the protrusion portion being received within the recess portion of the collimator, and the radiation passes through passages in the protrusion portion and the recess portion from the radiation emitter to the collimator, wherein the collimator includes at least two primary collimator components configured to provide a slit therebetween to collimate the radiation and defining a collimator outlet configured to emit the radiation, wherein the at least two primary collimator components are provided with the recess portion, and wherein the at least two primary collimator components are respectively provided with at least a pair of additional shield pieces on an outer side thereof opposite to an inner side facing the radiation. 12. The collimator according to claim 11, wherein the at least two primary collimator components are configured such that a cross section of the radiation emitted from the collimator outlet corresponds to an arrangement shape of detectors configured to receive the radiation such that the cross section of the radiation emitted from the collimator outlet is identical to the arrangement shape of the detectors. 13. The collimator according to claim 11, wherein the at least two primary collimator components are configured such that an area of a cross section of an opening in the collimator near the collimator outlet gradually increases in a radiation emitting direction. 14. The collimator according to claim 13, wherein the at least two primary collimator components are configured to respectively have a slope located at a side facing the radiation and near the collimator outlet such that the area of the cross section of the opening in the collimator near the collimator outlet gradually increases in the radiation emitting direction. 15. The collimator according to claim 11, wherein the at least two primary collimator components are respectively provided with at least two pairs of additional shield pieces on the outer side thereof. 16. The collimator according to claim 15, wherein at least two pairs of additional shield pieces are arranged to stack together and overlap with each other at a position, adjacent to the radiation emitter, on the outer side of the at least two primary collimator components and a stacking area between the pairs of additional shield pieces gradually reduces in the radiation emitting direction, such that the area of a cross section of the collimator is reduced gradually in the radiation emitting direction. 17. The collimator according to claim 16, wherein the at least two pairs of additional shield pieces include a first pair of additional shield pieces and a second pair of additional shield pieces, the first pair of additional shield pieces and the second pair of additional shield pieces are both arranged adjacent to the radiation emitter, the second pair of additional shield pieces are arranged on the first pair of additional shield pieces and a length of the second pair of shield pieces is less than a length of the first pair of shield pieces.
059463651
abstract
A tubular blank (22) is rolled on a mandrel (14) in a pilgrim rolling mill. In a first rolling stage, a first part of the blank (22) is rolled on a first part (18,19) of the mandrel (14), with a reduction of the outside diameter of the blank (22) to the outside diameter of the guide tube and a reduction of the wall thickness of the blank (22) to one of a first wall thickness (e1) and a second wall thickness (e2) exceeding the first wall thickness (e1). The mandrel (14) is advanced in the axial direction. A second part of the blank (22) is rolled on a second part (20,21) of the mandrel spaced from the first part in the axial direction with a reduction of the outside diameter of the blank to the outside diameter of the guide tube and a reduction of the wall thickness of the blank (22) to the other of the first wall thickness (e1) and second wall thickness (e2).
045227691
claims
1. A method of producing coherent compacts of particulate ceramic nuclear fuel material whereby the ceramic material is rendered more plastic and amenable to processing, consisting essentially of the sequence of steps of: (a) adding a fugitive binder consisting essentially of at least one amine selected from the group consisting of ethylene diamine, 3.3 diaminodipropylamine, 1.3 diaminopropane, 1.6 diaminohexane, 1.7 diaminoheptane, diethylenetriamine, and 3-dimethylaminopropylamine to particulate nuclear fuel material of uranium dioxide and blending the binder therethrough; (b) thereafter introducing carbon dioxide gas into the blend of particulate fuel material and amine binder; and (c) pressing the resultant blend comprising particulate fuel material and amine binder having had the carbon dioxide gas introduced therein into a coherent compact. (a) adding a fugitive binder consisting essentially of at least one multifunctional primary amine selected from the group consisting of ethylene diamine, 3.3 diaminodipropylamine, 1.3 diaminopropane, 1.6 diaminohexane, 1.7 diaminoheptane, diethylenetriamine, and 3-dimethylaminopropylamine to particulate nuclear fuel material consisting of uranium dioxide and blending the binder therethrough; (b) thereafter introducing carbon dioxide gas into the blend of particulate fuel material and amine binder; and (c) pressing the resultant blend comprising particulate fuel material and amine binder having had the carbon dioxide gas introduced therein into a coherent compact. (a) adding a fugitive binder consisting essentially of ethylene diamine to particulate nuclear fuel material consisting of uranium dioxide and blending the binder therethrough; (b) thereafter introducing carbon dioxide gas into the blend comprising particulate fuel material and amine binder; (c) pressing the resultant blend comprising particulate nuclear fuel material and ethylene diamine having had the carbon dioxide gas introduced therein into a coherent compact; and (d) sintering the compact of the blend to expel binder material and integrate the particulate nuclear fuel material into a uniform body. (a) adding a fugitive binder consisting essentially of at least one amine selected from the group consisting of ethylene diamine, 3.3 diaminodipropylamine, 1.3 diaminopropane, 1.6 diaminohexane, diethylene triamine and 3-dimethylaminoproplyamine to particulate nuclear fuel material consisting of uranium dioxide in amount of about 0.5 to about 4% by weight of the amine based upon the weight of the fuel material and blending the binder thereof; (b) thereafter introducing carbon dioxide gas into the blend comprising particulate fuel material and amine binder in amount of at least one mole of carbon dioxide gas for each gram mole of NH.sub.2 in the amine; (c) pressing the resultant blend comprising particulate nuclear fuel material and ethylene diamine having had the carbon dioxide gas introduced therein into a coherent compact; and (d) sintering the compact of the blend to expel binder material and integrate the particulate nuclear fuel material into a uniform body. 2. The method of claim 1, wherein the fugitive binder is a water solution of the amine. 3. The method of claim 1, wherein the fugitive binder comprises a multifunctional primary amine. 4. The method of claim 1, wherein the fugitive binder comprises ethylene diamine. 5. A method of producing coherent compacts of particulate ceramic nuclear fuel material whereby the ceramic material is rendered more plastic and amenable to processing, consisting essentially of the sequence of steps of: 6. The method of claim 5, wherein the fugitive binder is a water solution of the multifunctional primary amine. 7. The method of claim 5, wherein the fugitive binder comprises ethylene diamine. 8. The method of claim 5 wherein multifunctional primary amine is added to the particulate nuclear fuel material in amount of about 0.3 to about 4% by weight of the amine based upon the weight of the fuel material. 9. A method of producing coherent compacts of particulate ceramic nuclear fuel material whereby the ceramic material is rendered more plastic and amenable to processing, consisting essentially of the sequence of steps of: 10. The method of claim 9 wherein the fugitive binder is a water solution of the multifunctional primary amine. 11. The method of claim 9, wherein the carbon dioxide gas is introduced in amount of at least one mole of carbon dioxide gas for each gram mole of NH.sub.2 in the amine. 12. The method of claim 9, wherein multifunctional primary amine is added to the particulate nuclear fuel material in amount of about 0.3 to about 4% by weight of the amine based upon the weight of the fuel material. 13. A method of producing coherent compacts of particulate cdramic nuclear fuel material whereby the ceramic material is rendered more plastic and amenable to processing, consisting essentially of the sequence of steps of: