patent_number
stringlengths 0
9
| section
stringclasses 4
values | raw_text
stringlengths 0
954k
|
---|---|---|
059129336 | abstract | A method and system for thermal-dynamic modeling and performance evaluation of a nuclear Boiling Water Reactor (BWR) core design is presented. A data processing system is used to execute specific program routines that simultaneously simulate the thermal operating characteristics of fuel rods (or plural groups of fuel rods) within the reactor during a transient operational condition. The data processing system is also used for compilation of a transient response histogram that incorporates the effect of inherent "uncertainties" in various parameters of interest. In an initial phase, the method employs a multi-dimensional approach for the simulation of postulated operational events or an anticipated operational occurrence (AOO) which produces a transient condition in the reactor--such as might be caused by single operator error or equipment malfunction. In a following phase, the operating limit minimum critical power ratio (OLMCPR) of the reactor is directly evaluated from a histogram of probability calculations representing the number of fuel rods subject to a boiling transition (NRSBT) during the transient condition. The histogram may be readily displayed by the data processing system and used to statistically demonstrate an OLMCPR compliance of the reactor core design with USNRC regulations. |
047028629 | claims | 1. A method for the final conditioning of radioactive and/or toxic waste which comprises mixing said waste with a radioactive thermoplastic synthetic waste material with a greater than naturally occurring level of radioactivity, said mixture of waste and radioactive thermoplastic synthetic waste material containing radioactive, chlorine-containing thermoplastic synthetic waste material, heating the mixture of said waste and said thermoplastic waste material to a temperataure sufficient to make the thermoplastic waste material flow and become effective as a matrix material enclosing from all sides radioactive waste components of said waste that have remained solid, prior to said heating admixing a substance which binds HC1 without gas development to the radioactive thermoplastic synthetic waste material to prevent release of HCl gas resulting from the decomposition of the chlorine-containing thermoplastic waste material during said heating, and cooling the thermoplastic waste material having embedded therein the solid radioactive waste components of said waste material to solidfy it. 2. Method according to claim 1, wherein the radioactive chlorine-containing thermoplastic synthetic waste material is radioactive polyvinylchloride. 3. A method for the final conditioning of radioactive and/or toxic waste which comprises mixing said waste with a radioactive thermoplastic synthetic waste material with a greater than naturally occurring level of radioactivity, said mixture of waste and radioactive thermoplastic synthetic waste material containing radioactive, halogen-containing thermoplastic synthetic waste material, placing the mixture of said waste and said thermoplastic waste material in a polytetrafluoroethylene container, closing said container, thereafter heating the mixture of said waste and said thermoplastic waste material uniformly in the closed container in an electromagnetic high-frequency field to avoid local overheating of the mixture and minimize decompositin of the halogen-containing thermoplastic material with accompanying liberation of a halogen-containing gas to a temperature sufficient to make the thermoplastic waste material flow and become effective as matrix material enclosing from all sides radioactive waste components of said waste that have remained solid, maintaining an atmosphere in the container during heating of less than 1 bar by applying suction through an opening in the container to minimize formation of voids by gas bubbles in radioactive thermoplastic material in the container, cooling the thermoplastic waste material having embedded therein the solid radioactive waste components of said waste material to solidify it, placing the container together with its contents in a barrel of alloy steel, closing said barrel and subsequently sending said closed barrel to ultimate storage. 4. Method according to claim 3, wherein the radioactive thermoplastic synthetic waste material is radioactive polyvinylchloride. |
description | Reference will now be made to the Figures to further describe and illustrate embodiments of the invention. It is understood that the drawings are diagrammatic and schematic representations of presently preferred embodiments of the invention, and are not limiting of the present invention nor are they necessarily drawn to scale. FIGS. 1-4 depict various features of embodiments of the present invention, which is generally directed to an adjustable collimator assembly that minimizes the amount of off-focal radiation that is emitted from an x-ray generating device. At the same time, the assembly allows for selective adjustment of the dimensions of the x-ray beam signal that is emitted from the x-ray generating device. For example, for a full body scan with a CT scanner device, an x-ray beam having larger dimensions can be selected. For a smaller sized scan, such as a head scan, an x-ray beam having smaller dimensions can be selected. In either case, the emission of off-focal radiation is minimized by the collimator, thereby insuring a higher quality x-ray image. Reference is first made to FIG. 1, which illustrates a simplified structure of a conventional rotating anode-type x-ray tube, designated generally at 10. X-ray tube 10 includes an outer housing 11, within which is disposed an evacuated enclosure 12. A coolant 17 circulates within the outer housing 11 and around the evacuated enclosure 12 to assist in tube cooling. Disposed within the evacuated enclosure 12 is a rotating anode 14 and a cathode 16. The anode 14 is spaced apart from and oppositely disposed to cathode 16, and is at least partially composed of a thermally conductive material such as Tungsten or a molybdenum alloy. The anode 14 and cathode 16 are connected within an electrical circuit that allows for the application of a high voltage potential between the anode and the cathode. The cathode 16 includes a filament 18 that is connected to an appropriate power source, and during operation, an electrical current is passed through the filament 18 to cause electrons, designated at 20, to be emitted from the cathode 16 by thermionic emission. The application of a high voltage differential between the anode 14 and the cathode 16 then causes the electrons 20 to accelerate from the cathode filament 18 toward a focal track 22 that is positioned on a target surface 24 of rotating anode 14. The focal track 22 is typically composed of tungsten or a similar material having a high atomic (xe2x80x9chigh Zxe2x80x9d) number. As the electrons 20 accelerate, they gain a substantial amount of kinetic energy, and upon striking the target material on the focal track 22, some of this kinetic energy is converted into electromagnetic waves of very high frequency, i.e., x-rays. The focal track 22 and the target surface 24 are oriented so that emitted x-rays are directed towards a window 28. The window 28 is comprised of an x-ray transmissive material that is positioned within a port defined through a wall of the evacuated enclosure 12 at a point adjacent to the focal track 22. Positioned adjacent to the window 28 is one embodiment of an adjustable collimator assembly, which is designated generally at 60. As is generally shown in FIG. 1, in a presently preferred embodiment the collimator assembly 60 is mounted to the x-ray tube outer housing 11 via a mounting plate 54 in a manner so that a portion of the collimator assembly extends within the interior of the outer housing 11 through port 62. Also, the attachment forms a fluid tight seal with port 62. This orientation ensures that the collimator 60 is positioned as closely as possible to the anode 14 so that a maximum amount of off-focal radiation is blocked. In this way, the x-rays that are permitted to exit via the collimatorxe2x80x94denoted at 26xe2x80x94are composed primarily of x-rays resulting from electrons striking the focal spot on the anode. As already discussed, the collimator assembly 60, when disposed in operable relationship with the x-ray tube 10, minimizes the emission of off-focal radiation. Also, the assembly can be used to selectively alter the shape of the x-ray signal 26 that is emitted by the x-ray tube 10. This in turn enables the beam of x-rays 26 to be used in various applications requiring varying beam shapes. In general, this is accomplished by selectively altering the shape of an aperture through which the x-rays 26 can pass. In one presently preferred embodiment, the collimator assembly 60 includes a base member portion 64 that supports a collimator plate 66. As is shown in FIG. 1 and FIG. 2B, in one embodiment the collimator plate 66 is connected directly to the distal end surface 64A of the base member 64. The collimator plate 66 can be formed integrally with the base member 64 or, as shown in FIG. 2B, can be affixed thereto via mechanical fasteners (such as is shown at 53A and 53B) or the like. The collimator plate 66 includes a primary, or first x-ray passing region, through which an x-ray signal may pass, as is denoted at 26. In the illustrated embodiment, this x-ray passing region is formed as a rectangular slot 80, although any other desired shape could be used. The body of the collimator plate 66 is composed of an x-ray attenuating material such that x-ray signals incident upon the collimator plate cannot penetrate through it except via the slot 80. In one presently preferred embodiment, the collimator plate 66 comprises lead, though other x-ray attenuating materials, such as tungsten, bismuth, etc., may alternatively be used. In a preferred embodiment, the base member 64 is preferably implemented in a manner so as to provide maximum off-focal radiation blocking characteristics. In particular, the base member 64 is arranged so as to dispose the collimator plate 66 as closely as possible to the focal point of the anode 14, which insures that x-rays that are emitted from areas other than the focal spot (i.e., off-focal radiation) do not pass through the x-ray passage area but are instead blocked by the attenuation portion of the collimator plate. In particular, in one illustrated embodiment, the base member 64 comprises a main body portion having an outer periphery (denoted at 65 in FIG. 2B) that conforms to the shape of a port 62 formed in the outer housing 11 of x-ray tube 10. In the example shown, the outer periphery is circular, but other shapes could be used. In a preferred embodiment, the base member 64 includes a second, stepped down portion defining a distal face 64A (FIG. 2B) that has a reduced diameter periphery 67 that is projected inwardly from the outer housing 11 when the collimator assembly 60 is mounted. As noted above, this portion of the base member supports the collimator disk 66, and the inward orientation insures that the collimator disk 66 is oriented close to the focal spot on the anode disk 14, as is generally depicted in FIG. 1. Again, this insures that a majority of off-focal radiation is blocked during tube operation. With continued reference to FIG. 1, the base member 64 includes a shaped cavity 76 defined therein. The shaped cavity 76 operably retains additional components of the present assembly 60 (discussed further below), and also includes an aperture portion that permits x-ray signals to pass once they have traveled through the x-ray passing region defined in the collimator plate 66. As noted, the mounting plate 54 can be integrally formed with the base member 64, as shown in FIG. 2B, or can be affixed via screws or any other suitable attachment method. The mounting plate 54 is then mounted to the outer housing 11 so as to orient the collimator plate 66 in the manner previously described. Also, the mounting plate 54 includes an x-ray passing region 114 (FIG. 4) to permit the collimated x-ray signal to pass. In the illustrated embodiment, a fluid tight sealing member is disposed over the collimator plate 66 and portions of the base member 64 so as to prevent fluid leakage through either the slot 80 or the rectangular slot 78. Here, the sealing member is provided via a window 52 attached to and covering the distal end of the collimator assembly. The window 52 is comprised of an x-ray transmissive material, such as aluminum or the like, enabling x-rays to pass through the slot 80 while preventing the escape of fluid through the slot. The collimator plate 66 and the first x-ray passing region (such as slot 80) provide a primary x-ray collimation function. Thus, during tube operation, the x-rays that are produced at the target surface 24 of the anode 14 emanate in diverging straight lines from the focal spot of impact of the electrons 20. A significant number of these diverging x-rays pass through the window 28 and then interact with the collimator plate 66 of the collimator assembly 60. Because it is composed of an x-ray attenuating material, the collimator plate 66 attenuates and collimates substantially all x-rays 26 except those that are incident on the slot 80, which are substantially composed of primary x-rays. The collimated x-rays, designated at 26, are then allowed to pass freely through the path defined by the rectangular slot 78 formed through the base member 64, and the x-ray passing region 114 formed in the mounting plate 54. Thus, it is seen that the collimator plate 66 defines a primary radiation passing region 82 (see FIG. 3A) through which only those x-rays 26 having a sufficiently proper trajectory and that are incident upon the slot 80 are able to pass. The primary radiation passing region 82 defined by the slot 80 and the rest of the collimator assembly enables a broad, fan-shaped beam of x-rays 26 to be emitted by the x-ray 10. In a presently preferred embodiment the collimator assembly also includes means for selectively defining at least one new x-ray passage area that has dimensions different from that of the first x-ray passing region, thereby altering the shape and/or size of the x-ray signal 26. By way of example and not limitation, in one preferred embodiment the definition means is implemented via a moveable blocking member, such as is generally designated at 70 in FIG. 2B, that can be selectively positioned with respect to the primary radiation passing region (denoted at 82 in FIG. 3A) so as to define a secondary x-ray passing region (denoted at 90 in FIG. 3B). Thus, for example, the collimator assembly 60 can be manipulated in a manner so that the x-ray signal 26 is collimated via the secondary x-ray passing region 90, which reduces the size of the x-ray signal pattern. This may be useful, for example, where a smaller signal is required such as when performing a head scan with a CT scanner device. Reference is next made to FIGS. 2 and 3 together, which illustrate in further detail one preferred embodiment of the x-ray definition means. As is shown, a T-shaped block 68, best shown in FIG. 2B, is disposed in the collimator assembly 60 so as to be selectively moveable. In a retracted position the block 68 scats within a correspondingly shaped portion of the cavity 76 of the base member 64. The block 68 is configured to selectively move from this retracted position to an extended position within the cavity 76 of the base member 64. Movement from the retracted to the extended position occurs in a substantially linear fashion. At least one guide rod is disposed in the cavity 76 of the base member 64 to guide the block 68 from the retracted to the extended position. In the illustrated embodiment, two parallel guide rods 84, comprising smooth pins are each mounted in the base member 64 and extend into the cavity 76 to engage holes defined in the block 68. To assist in reducing sliding friction between the block 68 and the guide rods 84, a lubricating material can be provided. In the illustrated embodiment, cylindrical bearings 86 are interposed between each guide rod 84 and the hole defined in the block 68 to enable low-friction movement of the block. The moveable blocking member 70 is provided to enable selective collimation of the x-ray beam 26 as it passes through the collimator assembly 60 during tube operation. The moveable blocking member 70 is operably attached to the block 68, and is disposed adjacent to the stationary collimator plate 66. Movement of the block 68 into an extended position moves the blocking member 70 into the region of the rectangular slot 78 of the base member 64. As best seen in FIG. 2B, the moveable blocking member 70 in one presently preferred embodiment is mounted, via two screws, on a surface of the block 68 that is nearest and adjacent to the stationary collimator plate 66. In this particular embodiment, the blocking member 70 is shaped so as to define two extensions 88 and 89 that extend into the primary radiation passing region 82 (see FIG. 3A) adjacent the slot 80 when the block 68 is moved from the retracted to the extended position. This reduces the pathway through which the x-ray beam 26 may pass, thereby defining a secondary radiation passing region 90 (see FIG. 3B) having dimensions that are smaller than the primary radiation passing region 82. In order to define the smaller secondary radiation passing region 90, the blocking member 70, including the blocking member extensions 88 and 89, is composed of an x-ray attenuating material, preferably similar to that of the collimator plate 66. Such x-ray attenuating materials may include lead, tungsten, bismuth, etc., or alloys of such materials. The collimator assembly 60 also includes means for selectively moving the block 68. In presently preferred embodiments, the means for selectively moving the block 68 comprises a lever 72, which, like block 68, is disposed in the cavity 76 of the base member 64. The lever 72 is disposed within the cavity 76 such that it is adjacent the block 68. In the illustrated embodiment, the lever 72 comprises an xe2x80x9cLxe2x80x9d-shaped member having a first leg 72A and a second leg 72B disposed at a right angle to one another. Disposed at the intersection of the two legs 72A and 72B is a pivot point about which the lever rotates. More specifically , a pivot hole 92 is defined in the lever 72 at the pivot point, and a pivot pin 94 passes through the pivot hole of the lever and into the base member 64 so as to rotatably attach the lever to the base member 64. A washer 96 may be interposed between the lever 72 and the base member 64 to further enable rotation of the lever about the pivot point. As seen in FIG. 2A (shown with the mounting plate 54 removed for clarity), the first leg 72A of the lever 72 is preferably solid, while the second leg 72B has defined there through an elongated slot 98 defined near the end of the leg. A slide pin 100 passes through the elongated slot 98 and into the block 68, operably attaching the lever 72 to the block. This arrangement enables the selective movement of the lever to affect the position of the block 68 within the cavity 76 of the base member 64. In the illustrated embodiment, the assembly further includes a retractable arm 74, which enables selective movement of the lever 72. As seen in FIG. 2A, the retractable arm 74 comprises a rigid, elongated rod that is partially disposed in a hole 102 defined in the base member 64. The arm hole 102 passes from the outer periphery of the base member 64 to the cavity 76, thereby enabling a first end 74A of the arm 74 to reside in the cavity. The retractable arm 74 is also axially slidable within the arm hole 102 between a non-engaged position, and an engaged position wherein the arm is in operable contact with the lever 72. In the non-engaged position, the first end 74A of the retractable arm 74 does not engage the lever 72. However, in the engaged position, the first end 74A engages the first leg 72A of the lever 72 such that the lever 72 is selectively rotated by the retractable arm 74. A second end 74B of the retractable arm 74 is disposed near the outlet of the arm hole 102 in the outer periphery of the base member 64. The retractable arm 74 can be retained within the arm hole 102 via a C-clip 104 that seats in an annular notch 106 defined on the outer surface of the arm. When the C-clip 104 is disposed in the annular notch 106, the retractable arm is prevented from further outward movement axially from the arm hole 102 once the C-clip contacts the inner wall of the cavity 76 in the base member 64. Selective movement of the retractable arm 74 from its non-engaged position to its engaged position may be accomplished via an actuator (not shown) that can be operably connected to the second end 74B of the arm. The actuator enables remote manipulation of the retractable arm 74, which in turn moves the lever 72, thereby actuating the block 68 and the locking member 70, as described further below. Though the lever 72 and the retractable arm 74 together comprise one means for selectively moving the block 68 from a retracted position to an extended position, it is appreciated that other configurations may be used to selectively move the block. For example, while the arm 74 has been illustrated as a rigid rod in this particular embodiment and movement is imposed via a pushing force, in an alternative embodiment it could be implemented as a flexible cable, and movement imposed via a pulling force. In a preferred embodiment, the block 68 is biased to its respective retracted position by a resilient member, such as a compression spring 108. The spring 108 urges the block 68 into its retracted position within the cavity 76 of the base member 64 when the block is in the extended position. When allowed to move to the retracted position by the lever 72 and arm 74, the block 68 is maintained in that position by the spring 108 until it is again moved by the lever and the arm. The bias force provided by the spring 108 to retain the block 68 in its retracted position also serves to urge the lever 72 and the retractable arm 74, which are interconnected with the block, into their seated and non-engaged positions, respectively, until they are acted upon by an actuator (not shown) or other device. As seen in FIG. 2B, the spring 108 is disposed within a hole bored into the block 68. The spring 108 is retained within the hole by a retention pin 110, which in turn is affixed to the base member 64 via a mechanical fastener, such as a screw 112. It is noted here that the spring 108 is but one example of a means for selectively retracting the block 68; other configurations for performing this functionality are also contemplated. Several of the components discussed above, such as the base member 64, the lever 72, the retractable arm 74, the block 68, and the mounting plate 54 may be comprised of various materials, including various metals and metal alloys that can withstand the environmental conditions of the particular x-ray tube environment. Reference is now made to FIGS. 3A and 3B which depict the collimator assembly 60 in varying stages of operation according to one presently preferred embodiment. As previously discussed, a portion of the x-rays 26 that are produced by interaction of the electrons 20 with the target surface 24 of the anode 14 are directed through the window 28 and then to the collimator assembly 60. This beam of x-rays 26 is initially collimated by interaction with the collimator plate 66 having the rectangular slot 80 defined therein. In addition to collimating primary x-rays that are produced at the focal spot on the anode 14, the collimator plate 66, due to its position and its material composition, also blocks a majority of off-focal radiation that is emitted through the window 28, i.e., radiation originating from electrons that do not strike the focal spot on the anode. Also, the amount of on-focus radiation that is emitted can also be further adjusted. In a first configuration of the collimator assembly 60 as shown in FIG. 3A, those x-rays 26 having the proper position and trajectory are allowed to pass through the slot 80, which defines the primary radiation passing region 82, shown in FIG. 3A. The rest of the x-rays 26 incident upon the collimator plate 66 are absorbed thereby and do not proceed through the collimator assembly 60. The beam of x-rays 26 that passes through the slot 80 defining the primary radiation passing region 82 continues through the slot 78 of the base member cavity 76 and the slot 114 in the plate 54 and then exits the collimator assembly 60. Thus, an unobstructed x-ray travel path from the collimator plate 66 through the cavity 76 of the base member 64 is established, as seen in FIG. 3A. In this first configuration, the arm 74 is in its non-engaged position, the lever 72 is seated, and the block 68, having the blocking member 70 attached thereto, is also in its retracted position. As already mentioned, the primary radiation passing region 82 defined by the collimator assembly 60 in this configuration allows an x-ray beam having a broad, fan-shaped pattern to be emitted by the x-ray tube 10. Such an x-ray beam may be desirable for producing radiographic images of a large portion of a patient""s body, for instance. In contrast to the relatively broad x-ray beam 26 produced by the collimator assembly 60 in the first configuration shown in FIG. 3A, a narrow, less divergent beam may be desired. This is achieved by altering the collimator assembly 60 to a second configuration, as shown in FIG. 3B. To do this, the retractable arm 74 is first pushed inward toward die interior of the cavity 76 of the base member 64. This may be accomplished by a remote actuator (not shown) applying a pushing force to the second end 74B of the arm 74. This causes the arm 74 to move axially inward toward the cavity 76, thereby moving from its non-engaged position and causing its first end 74A to engage the lever 72. As a result of the axial movement of the arm 74, the first end 74A of the arm engages the first leg 72A of the lever 72. As axial movement of the arm 74 continues, the lever 72 begins to rotate in a clockwise fashion about the pivot pin 94 disposed at the pivot point of the lever. This lever rotation can continue until the second leg 72B of the lever 72 contacts the retractable arm 74, as seen in FIG. 3B. The first end 74A of the arm 74 can be rounded to assist in the smooth engagement of the first end with the first leg 72A of the lever 72. The selective movement of the lever 72 by the arm 74 in turn causes the movement of the block 68 from its retracted position to an extended position near the slot 78 of the base member 64. As already discussed, the block 68 is moveably connected to the lever 72 via the slide pin 100 that is fixably attached to the block and that passes through the elongated hole 98 defined in the second leg 72B of the lever. Thus, when the second leg 72B of the lever 72 is rotated in a clockwise direction, the slide pin 100 correspondingly causes movement of the block 68 from its retracted position and causes the block to slide along the guide rods 84 toward the slot 78 of the base member 64. Because the blocking member 70 is operably attached to the block 68, it too is moved toward the slot 78 of the base member 64. Once the lever 72 has fully rotated, thereby fully extending the block 68 as shown in FIG. 3B, the blocking member extensions 88 and 89 of the blocking member 70 are disposed in the rectangular slot 78 of the base member 64 adjacent the slot 80 of the collimator plate 66. These blocking member extensions 88 and 89 effectively reduce the area defined by the slot 80 through which the beam of x-rays 26 may pass in the collimator assembly 60. As seen in the figure, then, the secondary radiation passing region 90, having dimensions that are less than the primary radiation passing region 82 shown in FIG. 3A, is defined. The secondary radiation passing region 90 correspondingly produces a fan-shaped beam of x-rays 26 that is less divergent than that produced by the primary radiation passing region 82. This enables the x-ray tube 10 to be used in applications where such an x-ray beam is desirable, such as the production of radiographic images of small portions of a patient""s body, for instance. In the second configuration of the collimator assembly 60 discussed above, the position of the block 68 in its extended position, which in turn maintains the blocking member extensions 88, 89 in the slot 78 of the base member 64, is maintained by continual pressure on the retractable arm 74. When the secondary radiation passing region 90 that is defined by this configuration is no longer needed or desired, the pressure provided by the actuator or device may be released from the retractable arm 74. Release of this pressure allows the urging force provided by the spring 108 disposed within the block 68 to cause the block to retract into its retracted position. This causes the lever 72 to rotate counterclockwise to a seated position within the cavity 76 of the base member 64, which in turn causes the arm 74 to be pushed axially outward until the block 68 fully seats within the cavity of the base member. When the block 68 is fully seated, the blocking member 70, with its blocking member extensions 88, 89 is fully retracted from the rectangular slot 78 of the base member 64, thereby reestablishing the primary radiation passing region 82 in the collimator assembly 60. Thus, it is seen that either the first primary radiation passing region 82 or the secondary radiation passing region 90 can be selectively defined by the collimator assembly 60, according to the wishes of the user. In sum, then, the movement of the blocking member extensions 88, 89 into the slot 78 of the base member 64, thereby reducing the primary radiation passing region 82 defined by the slot 80 of the collimator plate 66 to the secondary radiation passing region 90, serves to selectively collimate and focus the beam of x-rays 26. This is done by attenuating x-rays that would otherwise pass through the first radiation passing region with the blocking member extensions 88, 89. Thus, the blocking member extensions 88, 89 in their extended position reduce the total number of x-rays that pass through the collimator assembly 60. Reference is now made to FIG. 4 which shows the collimator assembly 60 fully assembled and attached to the mounting plate 54. Attachment of these two components may be accomplished by a variety of means, but in the illustrated embodiment it is accomplished via four screw threadably engaged between the two components. As already discussed the mounting plate 54 facilitates attachment of the collimator assembly 60 to the outer housing 11 of the x-ray tube 10, thereby incorporating the collimator assembly as part of the x-ray tube. However, it is appreciated that the collimator assembly may comprise a separate and distinct component from the x-ray tube 10 and may merely be disposed in the path of the x-ray beam 26 emitted by the x-ray tube 10. In addition to the collimator assembly 60 as described in the accompanying figures, it is appreciated that the assembly can be configured to define more than two radiation passing regions. Indeed, the collimator assembly 60 could be configured to define three or more radiation passing regions by selectively moving blocking members having successively greater blocking extensions into the slot 78 of the base member 64 in a similar fashion to that described above. Moreover, the collimator assembly can be configured to selectively alter the width of the radiation passing region instead of, or in addition to, altering the length thereof. Finally, it is also appreciated that more than one collimator mechanism can be disposed in the base member. For example, first and second collimator assemblies can be disposed in the base member such that one or both assemblies can be actuated at any given time to selectively shape the x-ray beam in one of several possible collimating combinations. Thus, the above discussion of the collimator assembly 60 is not meant to be limiting of the present invention as it pertains to the number and/or specific configurations of the radiation passing regions created thereby. Additional embodiments of the present invention are depicted in FIGS. 5A-6B. These embodiments share common features with the presently preferred embodiment already discussed; thus, only selected aspects of the following embodiments will be discussed below. FIGS. 5A-5B depict features of one alternative embodiment of the present invention. A collimator assembly 260 is depicted, having a base member 264 in which is defined a shaped cavity 276 and a rectangular slot 278. The shaped cavity 276 defines two parallel-disposed plateaus 320 separated by a straight groove 322, which is in communication with the rectangular slot 278. The groove 322 is shaped to cooperatively receive a block 268. The block 268 includes tabs 324 disposed on opposite sides of the block. The tabs 324 are positioned such that they slidably engage inner edges 320A and 320B of the plateaus 320, enabling the block to linearly move in the groove 322 between retracted and extended positions. The tabs 324 also prevent rotation of the block 268 in the groove 322 during movement. Movement of the block 268 between retracted and extended positions is further assisted by a guide rod 284 affixed to the base member 264 and extending into the groove 322. The guide rod 284 is at least partially received by an axial hole defined in the block 268. Note that the shape, number, and positioning of the guide rod 284 and the tabs 324 on the block 268 can vary according to the physical configuration of the block and/or the cavity 276 in which the block is disposed. A blocking member 270 having extensions 288 and 289 that comprise an x-ray attenuating material is attached to the block 268 such that it is disposed adjacent a collimator plate 266 disposed on an inner surface 264A of the base member 264. A lever 272 having first and second legs 272A and 272B, respectively, is pivotally attached to one of the plateaus 320 via a pivot pin 294. An elongated slot 298 is defined in the second lever leg 272B to receive a slide pin 300 that is attached to the block 268. A retractable arm 274 is disposed through an arm hole 302 in the base member 264 to selectively engage the first lever leg 272A and enable the lever 272 to pivot about the pivot pin 294. When the arm 274 is disengaged from contact with the first lever leg 272A, a resilient member, such as a spring 309, can be employed to return the lever 272 to an un-pivoted position. In the illustrated embodiment, the spring 309 attaches at one end to the first lever leg 272A, and at the other end to a screw 326 (partially shown for clarity) attached to a portion of one of the plateaus 320. It is appreciated that the both the arm 274 and the spring 309 are merely representative of a variety of configurations that can be employed both to pivot the lever 272 and to provide an urging force thereto. The above-described components cooperate to provide a means for selectively defining the shape of an x-ray passage area according to the present embodiment. With the block 268 in its retracted position (not shown), the blocking member 270 is withdrawn such that its blocking member extensions 288 and 289 do not block a primary radiation passing region, denoted in dashes at 282, which is defined by a slot 280 of the collimator plate 266. Similar to the presently preferred embodiment described further above, selective movement of the arm 274 against the first lever arm 272A causes the lever 272 to pivot in a clockwise direction. Because of the attachment of the second lever leg 272B with the block 268 via the slide pin 300, the pivoting of the lever 272 causes the block 268 to move from its retracted position. As the lever 272 continues to pivot, the tabs 324 of the block 268 slide along the plateau inner edges 320A and 320B, causing the block 268 to move linearly in the groove. This movement is also assisted by the guide rod 284. Movement of the block is continued until the lever 272 has fully pivoted, which places the block 268 in its extended position. In this position, the blocking member extensions 288 and 289 are disposed in the rectangular slot 278 such that they reduce the primary radiation passing region 282 to a smaller-sized secondary radiation passing region, denoted at 290. When retraction of the block 268 is desired, the arm 274 can be retracted such that it disengages the first lever leg 272A. This enables the spring 309 to urge the lever 272 counterclockwise to its un-pivoted position, which also moves the block 268 to its retracted position. In this position, the blocking member extensions 288 and 289 are disposed away from the rectangular slot 278, thereby re-establishing the primary radiation passing region 282. FIG. 5B depicts the collimator assembly 260 having a mounting plate 254 affixed thereto. The mounting plate 254 includes a slot 314 in communication with a larger gap (not shown). The gap is covered by cover plate 330, which is attached directly to the base member 264 via screws or the like. The gap and the removable cover plate enable access to the components of the collimator assembly 260 disposed in the base member cavity 276. Yet another embodiment of the present invention is depicted in FIGS. 6A and 6B, wherein another means for selectively defining x-ray passing regions is shown. Here, a collimator assembly 460, including a base member 464 having a cavity 476 and a rectangular slot 478, additionally comprises first and second interlinked scissor arms 520 and 522. The scissor arms 520 and 522 are pivotably secured to the base member 464 within the cavity 476 via bolts (not shown) at their respective first ends 520A and 522A. The first scissor arm 520 is interlinked with the second scissor arm 522 so as to enable coordinated movement of the two scissor arms. This is accomplished via a pin 524 fixedly disposed near the midpoint of the first scissor arm 520. The pin 524 extends from the first scissor arm 520 to engage an elongated slot 526 defined in the second scissor arm 522 near its midpoint. In this way, movement of one arm within the cavity 476 causes corresponding scissor movement of the other arm. This coordinated movement is employed in restricting a primary radiation passing region, denoted at 482, as more fully described below. As in previous embodiments, the primary radiation passing region can be defined by a collimator plate 466, though other configurations for defining the region are also contemplated. Also, while FIGS. 6A and 6B show one possible configuration for the scissor arms 520 and 522, other shapes and configurations are also contemplated. The scissor arms 520 and 522 are biased toward a retracted position within the cavity 476 via a resilient member, such as a spring 528. In the illustrated embodiment, the spring 528 attaches at one end to a bolt, partially shown at 530, and at the other end to the second scissor arm 522 near the second end 522B thereof. The spring could be alternatively disposed in other configurations so as to provide an appropriate iasing force. First and second scissor arms 520 and 522 further include radiation blocking members 532 and 534 attached to angled portions of the respective second ends 520B and 522b of the scissor arms. Each blocking member 532 and 534 is composed of an x-ray attentuating material and is attached to its respective scissor arm in such a way as to restrict x-ray passage through the primary radiation passing region 482. A retractable arm 536 is provided to enable selective movement of the scissor arm 520 and 522. As before, the retractable arm 536 is disposed through an arm hole 538 defined in the base member 464 to enable the arm to axially move within the cavity. The present collimator assembly 460 operates to define either the primary radiation passing region 482 or a smaller-sized secondary radiation passing region 540 according to the position of the scissor arms 520 and 522. In a retracted position, the spring 528 maintains the scissor arms 520 and 522 positioned such that the radiation blocking members 532 and 534 do not obstruct the rectangular slot 478. To move the scissor arms 520 and 522 from the retracted to the extended position, a pushing force is initiated by contact of the retractable arm 536 against the first scissor arm. The pushing force provided by the retractable arm 536 causes the first scissor arm 520 to pivot clockwise, bringing the radiation blocking member 532 into the rectangular slot 478. The second scissor arm 522, being interlinked with the first scissor arm 520 via the pin 524 and elongated slot 526, is pivoted in a counterclockwise fashion as a result of the movement of the first scissor arm, which in turn causes its radiation blocking member 534 to also move into the rectangular slot 478. The movement of the retractable arm 536 can be continued until the radiation blocking members 532 and 534 are fully extended into the rectangular slot 478, thereby restricting the primary radiation passing region 482 defined by the collimator plate 466 and defining the smaller secondary radiation passing region 540, as shown in FIG. 6B. Once it is desired to reestablish the primary radiation passing region 482, the retractable arm 536 is retracted from engagement with the first scissor arm 520. This allows the spring 528 to retract the scissor arms 520 and 522 into their retracted position, which removes the radiation blocking members 532 and 534 from the rectangular slot 478 and reestablishes the primary radiation passing region 482. The present invention may be embodied in other specific forms without departing from its spirit or essential characteristics. The described embodiments are to be considered in all respects only as illustrative, not restrictive. The scope of the invention is, therefore, indicated by the appended claims rather than by the foregoing description. All changes that come within the meaning and range of equivalency of the claims are to be embraced within their scope. |
|
052763358 | claims | 1. A cask body for storing and transporting highly radioactive materials includes: an inner shell; and a number of layers of depleted uranium wire wound on the inner shell to create a radioactive shield against emanation of radioactivity from the material stored within the inner shell, said wire wound layers are alternately and continuously wound from left to right and right to left on adjacent layers so that the wire joints are angled to each other to additionally inhibit joint alignment. an inner shell; and a number of layers of depleted uranium wire wound on the inner shell to create a radioactive shield against emanation of radioactivity from the material stored within the inner shell, said wire wound layers are alternately and continuously wound from left to right and right to left on adjacent layers so that the wire joints are angled to each other to additionally inhibit joint alignment; and an outer shell spaced from said inner shell covering and protecting said depleted uranium wire. said cask body including an inner shell; a number of layers of depleted uranium wire wound on the inner shell to create a radioactive shield against emanation of radioactivity from the material stored within the inner shell; and a base member and a cover member for capping each end of said body, said each member including an inner plate and a number of layers of depleted uranium wire wound on said inner plate to create a radioactive shield against emanation of radioactivity from the materials stored within the cask. providing an inner shell having the shape of the storage cavity for the radioactive material to be stored; providing an inner shell having the shape of the storage cavity for the radioactive material to be stored; winding a plurality of layers of depleted uranium wire on said inner shell to create a radioactive shield against emanation of radioactivity from materials stored within the inner shell; and constructing a base member and a cover member for capping each end of said body by winding, for each member including an inner plate and an outer plate, a number of layers of depleted uranium wire on the inner plate to create a radioactive shell against emanation of radioactivity from materials stored within the cask. 2. The cask body of claim 1 in which said layers are circumferentially disposed on said inner shell. 3. The cask body of claim 1 in which said inner shell is cylindrical. 4. The cask body of claim 1 in which said inner shell is polygonal. 5. The cask body of claim 1 in which said wire is round. 6. The cask body of claim 1 in which said wire is rectangular. 7. The cask body of claim 1 in which said wire is polygonal. 8. The cask body of claim 1 in which said wire layers are staggered to minimize overlap of joints from layer to layer. 9. A cask body for storing and transporting highly radioactive materials comprising: 10. The cask body of claim 10 in which said layers are circumferentially disposed on said inner shell. 11. The cask body of claim 10 in which said inner shell is cylindrical. 12. The cask body of claim 10 in which said inner shell is polygonal. 13. The cask body of claim 10 in which said wire is round 14. The cask body of claim 10 in which said wire is rectangular. 15. The cask body of claim 10 in which said wire is polygonal. 16. The cask body of claim 10 in which said outer shell is cylindrical. 17. The cask body of claim 10 in which said wire layers are staggered to minimize overlap of joints from layer to layer. 18. The cask body of claim 10 in which said outer shell is fixed to said inner shell to form a single unitary structure. 19. The cask body of claim 10 in which said outer shell has the same shape as said inner shell. 20. A cask body for storage of highly radioactive materials comprising: 21. The cask of claim 20 in which said wire is disposed circumferentially on said inner shell. 22. The cask of claim 20 in which said inner plate includes a depleted uranium plug and said depleted uranium wire is disposed spirally about said plug. 23. The cask of claim 20 in which said inner plate includes a depleted uranium plug and said depleted uranium wire is disposed circumferentially about said plug. 24. The cask of claim 23 in which said plug is tapered to automatically induce a staggering of the joints between the wire from layer to layer. 25. The cask of claim 20 in which said each member includes an outer plate spaced from said inner plate covering and protecting said depleted uranium wire. 26. The cask body of claim 20 in which said wire wound layers are alternately and continuously wound from left to right and right to left on adjacent layers so that the wire joints are angled to each other to additionally inhibit joint alignment. 27. A method of making a cask body for storing highly radioactive materials, comprising: 28. The method of claim 27 in which said wire is wound circumferentially on said inner shell. 29. The method of claim 27 in which said inner shell is cylindrical. 30. The method of claim 27 in which said inner shell is polygonal. 31. The method of claim 27 in which said wire is round. 32. The method of claim 27 in which said wire is polygonal. 33. The method of claim 27 in which said inner shell is cylindrical. 34. The method of claim 27 in which said wire layers are staggered to minimize overlap of joints from layer to layer. 35. The method of claim 27 including adding an outer shell spaced from said inner shell for covering and protecting said depleted uranium wire. 36. The method of claim 35 in which said outer shell is fixed to said inner shell to form a single unitary structure. 37. The method of claim 35 in which said outer shell has the same shape as said inner shell. 38. The method of claim 27 in which the inner plate is provided with a depleted uranium plug and said depleted uranium wire is spirally wound about said plug. 39. The method of claim 38 in which said plug is tapered to automatically induce a staggering of the joints between the wires from layer to layer. 40. The method of claim 27 in which the inner plate is provided with a depleted uranium plug and said depleted uranium wire is circumferentially wound about said plug. 41. The method of claim 27 in which each said member outer plate is spaced from said inner plate covering and protecting said depleted uranium wire. |
description | Example embodiments are directed to an irradiation target encapsulation assembly and/or method for assembling an irradiation target encapsulation assembly. Radioisotopes have a variety of medical applications stemming from their ability to emit discreet amounts and types of ionizing radiation. This ability makes radioisotopes useful in cancer-related therapy, medical imaging and labeling technology, cancer and other disease diagnosis, medical sterilization, and a variety of other industrial applications. Radioisotopes, having specific activities are of particular importance in cancer and other medical therapy for their ability to produce a unique and predictable radiation profile. Knowledge of the exact amount of radiation that will be produced by a given radioisotope permits more precise and effective use thereof, such as more timely and effective medical treatments and improved imaging based on the emitted radiation spectrum. Medical radioisotopes are conventionally produced by bombarding stable parent isotopes in accelerators, cyclotrons or low-power reactors on-site at medical facilities or at production facilities. The produced radioisotopes may be assayed with radiological equipment and separated by relative activity into groups having approximately equal activity in conventional methods. In one embodiment, an irradiation target encapsulation assembly, includes a container, at least one first irradiation target disposed in the container, at least one second irradiation target disposed in the container, and a positioning structure configured to position the first irradiation target closer to an axial center of the container than the second irradiation target. The first irradiation target may be a material including at least one of cobalt (Co), chromium (Cr), copper (Cu), erbium (Er), germanium (Ge), gold (Au), holmium (Ho), iridium (Ir), lutetium (Lu), molybdenum (Mo), palladium (Pd), samarium (Sm), thulium (Tm), ytterbium (Yb), and yttrium (Y). The second irradiation target may be a material including at least one of cobalt (Co), chromium (Cr), copper (Cu), erbium (Er), germanium (Ge), gold (Au), holmium (Ho), iridium (Ir), lutetium (Lu), molybdenum (Mo), palladium (Pd), samarium (Sm), thulium (Tm), ytterbium (Yb), and yttrium (Y). In one embodiment, the positioning structure includes a central body extending along the axis of the container, and a spacing structure configured to position the central body along an axis of the container. For example, the spacing structure may include a plurality of projections projecting from the central member. In another embodiment, the spacing structure includes one or more members connected to the central body and having a periphery matching an inner periphery of the container. In these embodiments, the second irradiation target is disposed next to one end of the central body, and the first irradiation target is disposed between the central body and the container. A length of the central body may be based on a desired specific activity resulting from irradiating the second irradiation target. Still further, a cross sectional area of the central body may be based on a desired specific activity resulting from irradiating the first irradiation target. In another embodiment, the positioning structure has a cross-sectional area that varies along a length thereof to create different sized spaces between the positioning structure and the container for holding multiples of the first irradiation target. One embodiment of a method for assembling an irradiation target encapsulation assembly, includes placing a position structure within a container and adding a plurality of first irradiation targets to the container. The positioning structure is configured to position the first irradiation targets between the positioning structure and the container. Next, at least one second irradiation target is added to the container. The positioning structure is configured to position the second irradiation target at the end of the position structure. The container is then sealed. Detailed illustrative embodiments of example embodiments are disclosed herein. However, specific structural and functional details disclosed herein are merely representative for purposes of describing example embodiments. The example embodiments may, however, be embodied in many alternate forms and should not be construed as limited to only example embodiments set forth herein. It will be understood that, although the terms first, second, etc. may be used herein to describe various elements, these elements should not be limited by these terms. These terms are only used to distinguish one element from another. For example, a first element could be termed a second element, and, similarly, a second element could be termed a first element, without departing from the scope of example embodiments. As used herein, the term “and/or” includes any and all combinations of one or more of the associated listed items. It will be understood that when an element is referred to as being “connected,” “coupled,” “mated,” “attached,” or “fixed” to another element, it can be directly connected or coupled to the other element or intervening elements may be present. In contrast, when an element is referred to as being “directly connected” or “directly coupled” to another element, there are no intervening elements present. Other words used to describe the relationship between elements should be interpreted in a like fashion (e.g., “between” versus “directly between”, “adjacent” versus “directly adjacent”, etc.). The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of example embodiments. As used herein, the singular forms “a”, “an” and “the” are intended to include the plural forms as well, unless the language explicitly indicates otherwise. It will be further understood that the terms “comprises,” “comprising,” “includes,” and/or “including,” when used herein, specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, and/or groups thereof. It should also be noted that in some alternative implementations, the functions/acts noted may occur out of the order noted in the figures. For example, two figures shown in succession may in fact be executed substantially concurrently or may sometimes be executed in the reverse order, depending upon the functionality/acts involved. At least some example embodiments are directed to an irradiation target encapsulation assembly structured to produce both high specific activity and low specific activity irradiation targets when exposed to radiation. FIG. 1 illustrates an irradiation target encapsulation assembly according to an embodiment. The encapsulation assembly includes a container 110 having end caps 112 attached at both ends of the container 110 to seal the container 110. For example, the end caps 112 may be attached to the container 110 by any suitable attachment mechanism, i.e., weld, threaded engagement, friction connection, etc. In the embodiment shown in FIG. 1, the container 110 is a hollow, cylindrical tube, but it will be appreciated that the embodiments are not limited to this shape. In one embodiment, the container 110 and caps 112 are made from a material having a low thermal neutron absorption and scattering cross-section, such as zirconium, titanium or aluminum. A spacer 100 is disposed within the container 110. FIG. 2 illustrates an embodiment of the spacer 100 in greater detail. As shown, the spacer 100 is a cylindrical rod 108 having fins 102 projecting radially therefrom. In this embodiment, a first set of fins 104 are disposed near one end of the spacer 100, and a second set of fins 106 are disposed near the other end of the spacer 100. Both the first and second sets of fins 104 and 106 include three fins 102 to center the spacer radially within the container. It will be appreciated that the set of fins are not limited to three, the number of sets of fins are not limited to two, and the placement of the fins is not limited to the ends of the spacer 100. In this embodiment, the overall diameter of the spacer and fins substantially matches an inner diameter of the container 110 such that the spacer 100 may slide into the container 110 with the fins 102 positioning the rod 108 along a longitudinal axis of the container 110. In one embodiment, the spacer 100 is made from a material having a low thermal neutron absorption and scattering cross-section, such as zirconium, titanium or aluminum. As will be appreciated, the rod 108 is not limited to having this shape, but may be a central body having any desired shape or cross-section. Similar, the projecting members positioning the central body within the container are not limited to fins, and will depend on the shape of the central body and the shape of the container 110. Returning to FIG. 1, one or more low specific activity (LSA) irradiation targets 120 may be disposed at one or both ends of the spacer 110 within the container 100. FIG. 3 illustrates one example of an LSA irradiation target 120. As shown, the LSA irradiation target 120 may have the shape of a disk. However, the LSA irradiation target 120 is not limited to this shape. For example, the LSA irradiation target 120 may be a cylinder, a cube, etc. In one embodiment, the LSA irradiation targets 120 have a cross-section or periphery matching that of the interior of the container 110 such that the LSA irradiation targets 120 assist in maintaining the HSA targets 130 between the spacer 100 and the container 110. Still further, in at least one embodiment, the LSA targets 120 are sized such that the spacer 100 maintains the LSA targets 120 at ends thereof. During irradiation, the LSA irradiation targets 120 disposed at the ends of the container 110 will experience a lower radiation level than the high specific activity targets 130 discussed below. As used herein “radiation level” or “radiation field” includes any type of ionizing radiation exposure capable of transmuting targets placed in the radiation field, including, for example, high-energy ions from a particle accelerator or a flux of neutrons of various energies in a commercial nuclear reactor. As further shown in FIG. 1, a bias spring 126 may bias the LSA irradiation targets 120 against the spacer 100. For ease of illustration only one end of the container 110 is shown having a bias spring 126. However, it will be appreciated that one or both ends of the container may include bias springs. Alternatively, sufficient LSA irradiation targets 120 may be disposed at an end of the container 110 to fill the space between the end of the spacer 100 and the end of the container 110. Still further, FIG. 1 illustrates that high specific activity (HSA) irradiation targets 130 are disposed within the space of the container 110 defined by the spacer 100 and the container 110. The size of the HSA irradiation targets 130 may be adjusted as appropriate for their intended use (e.g., radiography targets, brachytherapy seeds, elution matrix, etc.). HSA irradiation target may also be spherical-, rectangular, and/or cylindrical, or any other size and shape that permits filling the space defined by the spacer 100 and the container 110. For instance, the HSA irradiation target 130 may by cylindrical having an outside diameter of 1 mm and a height of about 1 mm. As alluded to above, the HSA irradiation targets 130 are disposed within an area of the container 110 that will experience a higher radiation level than the LSA targets disposed towards the ends of the container 110. Suitable targets for the LSA and HSA targets may be formed of cobalt (Co), chromium (Cr), copper (Cu), erbium (Er), germanium (Ge), gold (Au), holmium (Ho), iridium (Ir), lutetium (Lu), molybdenum (Mo), palladium (Pd), samarium (Sm), thulium (Tm), ytterbium (Yb), and/or yttrium (Y), although other suitable materials may also be used. In one embodiment, the LSA and HSA targets are not nuclear fuel. In one embodiment the LSA and HSA targets are formed of the same material. In another embodiment, the LSA and HSA targets are formed of different materials. As will be appreciated, the differentiation between LSA and HAS differs for different isotopes. For example, for Co-60, LSA is roughly <200 Ci/g, and HSA is roughly >200 Ci/g. The irradiation target encapsulation assembly discussed above includes a spacer that serves as a positioning structure to position HSA targets toward the axial or longitudinal center of the container 100 and positions the LSA targets towards the axial or longitudinal periphery of the container 100. The container 100 may be placed in any radial orientation and/or axial orientation within a test reactor or a nuclear reactor. In one embodiment, the container 100 may have marking or indicia indicating one or more of desired orientation of the container, the identity of the container, the identity of targets within the container, etc. The spacer 110 may be sized to thinly spread the HSA targets radially within the container. Accordingly, the diameter of the spacer rod 108 may be selected to achieve a desired thickness of the HSA targets; and thus achieve a desired level of activity. As will be appreciated, in a more general sense, regardless of the shape of the central body 108, the cross-section thereof may be selected to achieve a desired thickness of the HSA targets. The spacer rod 108 may be solid or hollow. In one embodiment, the spacer rod 108 may be made from or include a neutron moderation material (e.g., graphite) and/or a neutron multiplying material (e.g., beryllium). A length of the spacer 110 is selected to position the LSA targets at the desired axial position within the container to achieve the desired level of activity. Accordingly, the length of spacer may depend on the reactor flux profile. The spacer 110 may include different sets of fins 102 with the sets rotationally offset from one another or symmetrically aligned. FIG. 4 illustrates another embodiment of the spacer 100. As shown, the spacer 100 includes a spacer rod 108 as described with respect to FIG. 2. Further, the spacer includes end caps 140 attached at each end of the spacer rod 108. The end caps may be attached to the spacer rod using any suitable attachment mechanism, i.e., weld, threaded engagement, friction connection, etc. In the embodiment of FIG. 4, the end caps 140 are illustrated as disks with threaded engagement to the spacer rod 108. The size of the end caps 140 may be selected to create a desired level of separation between the LSA and HSA targets to produce desired levels of activity for those targets and/or to contain HSA targets. As will be appreciated, additional disks could be disposed along the length of the spacer rod 108 to support the spacer rod 108, and/or compartmentalize HSA targets 130. As will be appreciated, regardless of the shape of the central body 108 or the container 110, the caps 140 may be dimensioned such that a periphery thereof matches an inner periphery of the container. FIG. 5 illustrates another embodiment of the spacer 100. As shown, the spacer 100 includes a rod 150, the diameter of which varies along the length of the rod 150. The different diameters create different thicknesses for layers of HSA targets. As such, this changes the axial fluence profile, and creates different desired activities for the HSA targets. It will also be appreciated that the changes depend on the reactor flux profile of the reactor in which the container 110 is placed. As will be appreciated, the spacer 100 is not limited to being a rod, but may have any shape. Accordingly, the spacer body may have a cross-sectional area that varies (e.g., stepwise, continuous, etc.) such that different thicknesses for the layer of HSA targets are created. Namely, different sized spaces between the spacer 100 and the container 110 are created. As a still further alternative, the spacer 100 may be eliminated and the HSA targets may be randomly packed within the container between the LSA targets and/or dividing structures, which divide the HSA targets from the LSA targets. The above-described features of example embodiment encapsulation assembly and the known radiation profile to which the encapsulation assembly is to be exposed may uniquely enable accurate irradiation of irradiation targets 120 and 130 use therein. For example, knowing an irradiation flux type and profile; a shape, size, and absorption cross-section of irradiation targets 120 and 130; and size, shape, position, and absorption cross-section of the example embodiment encapsulation assembly, and loading positions of the example embodiment encapsulation assembly, one may very accurately position and irradiate targets 120 and 130 to produce desired isotopes and/or radioisotopes of desired activity. Similarly, one skilled in the art can vary any of these parameters, including irradiation target type, shape, size, position, absorption cross-section etc., in example embodiments in order to produce desired isotopes and/or radioisotopes of desired activity. Still further the addition of moderating elements may be used to achieve the desired activity. As mentioned above, moderating material may be used in the spacer rod 108. However, the example embodiments are not limited to using moderating material in this manner. For example, the container 100 may include a liner or partial liner on the inner diameter of the container made of moderating material. Next an embodiment of a method for assembling an irradiation target encapsulation assembly will be described with respect to FIG. 6. As shown in step S600 an end cap 112 is attached to the container 110. Then the first LSA target or targets are inserted in step S610. In one embodiment, prior to inserting the first LSA target(s), a biasing spring may be inserted prior to inserting the LSA target(s). The spacer 100 is then inserted into the container 110 in step S620. The HSA targets are added to the container in step S630 followed by the second LSA target or targets in step S640. A second end cap 112 is then attached to the container 110 in step S650. In one embodiment, a biasing spring may be added prior to attaching the second end cap 112. As shown in FIG. 6, the irradiation target encapsulation assembly may then be placed within a nuclear reactor in step S660 at a desired position, in a desired orientation and for a desired duration. The position, orientation and duration may be design parameters chosen using well-known Monte Carlo N-Particle (MCNP) modeling to produced desired levels of activity in the LSA and HSA targets. Afterwards, the targets may be harvested in step S670 by removing the targets from the reactor and from the container 110. As will be appreciated one of method steps S610 and S640 may be eliminated such that LSA target(s) appear at only one end of the spacer 100. Example embodiments and methods thus being described, it will be appreciated by one skilled in the art that example embodiments may be varied through routine experimentation and without further inventive activity. For example, aspects of the different embodiment may be combined. Similarly, for example, although cylindrical example embodiments are shown, other device types, shapes, and configurations may be used in example embodiments and methods. Variations are not to be regarded as departure from the spirit and scope of the exemplary embodiments, and all such modifications as would be obvious to one skilled in the art are intended to be included within the scope of the following claims. |
|
summary | ||
description | The present application hereby claims priority under 35 U.S.C. §119 on German patent application number DE 10 2004 021 965.6 filed May 4, 2004, the entire contents of which is hereby incorporated herein by reference. 1. Field of the Invention The invention generally relates to a method for taking tomograms of a patient's beating heart with the aid of a computed tomography unit. In one example embodiment, in order to scan the beating heart, at least one focus with an oppositely situated detector, preferably a multirow detector, is moved around the patient. Detector data output by the detector that represent the attenuation of the beams emanating from the at least one focus are then recorded together with indirect or direct spatial orientation data of the beams. ECG signals of the beating heart are recorded, these data and signals being stored in a temporally correlated fashion, if appropriate. Furthermore, in order to reconstruct the cardiac tomograms, use is made only of detector data that originate from a selected cycle area of the cardiac cycle. 2. Background of the Invention Methods are generally known and are frequently applied in computed tomography. With these methods for ECG-gated CT pictures, there is basically, on the one hand, the possibility of using the heartbeat, in particular the ECG signal, for the purpose of carrying out the actual scan of the cardiac area only at time intervals at which there is as little movement as possible in the heart or cardiac area considered, and of using all data thus obtained to reconstruct CT tomograms. On the other hand, it is also proposed in part to scan the patient continuously. Once both the detector data and the ECG recorded simultaneously and in a correlated fashion have been collected, it is proposed to select from the existing data pool only the detector data that are provided with the datum of specific cycle phases, and to use them for later reconstruction of CT tomograms. With both methods, the cycle phases considered are selected in the known systems by defining the start and end of a cardiac cycle by the characteristic R wave. Subsequently, the cycle area is set, considered relative to the R wave in an absolute time period in relation thereto, or in a percentage time period relative to the cycle duration. Such a mode of procedure certainly fundamentally facilitates a substantial improvement of CT pictures of a beating heart by comparison with normal pictures which are not ECG-triggered. However, the problem of the known ECG triggering resides in the fact that instead of determining the actual beginning of movement of the heart region being examined and reliably gathering data from this cycle phase in order to produce images, the known recognition methods are oriented solely through the R waves of the ECG which do not, however, originally constitute the beginning of the cycle phase respectively considered. If, for example, the aim is to display the right-hand coronary vessel in a sharply defined fashion, it must be taken into account that the movement of the latter is sometimes influenced by the movement of the atria. Again, it is known from U.S. patent application 2004/0019275 A1 to determine the most favorable phase area for data collection in an individual fashion per cardiac cycle. It is further proposed to supplement the R peak information and the heart rate of the patient with the use of additional statistical information relating to the shapes and intervals of the ECG contour. However, the method described there uses exclusively statistical data and, with the exception of the heart rate, does not take account of the individual properties owing to the respective particular patient. It is an object of an embodiment of the invention to find a method for taking tomograms of a beating heart that provides a better definition of the heart phase respectively being considered. In one embodiment, the inventors have realized that it is possible to improve ECG-triggered cardiac pictures if, instead of the rigid time-slot method used to date, which is oriented to the position of the R wave, or the use of other statistical evaluations over a multiplicity of patient data, a significant ECG signal profile of individual heart phases is automatically detected on the basis of a manually determined phase segment of the current ECG. It has previously been assumed that the area of the cardiac cycle being looked for or considered is located in a time interval of the cardiac cycle that is defined in absolute or percentage terms relative the to R wave, in which case the influence of sex, age, medical circumstances or patient history have also been taken into account, if appropriate, by statistical surveys. No account has been taken of influences specific to individual patients, or influences stemming from particularities of the current measurement, for example, the positioning of the electrodes on the patient. In one embodiment, an automatic, individual selection of the cycle area is now undertaken per cycle by manually marking a current P wave profile from the current ECG of the patient, and searching through each cardiac cycle with its ECG signal profile with reference to this manually selected ECG contour. Then, the most likely instant of the repeated occurrence of this contour is determined by the use of pattern recognition, in order to locate individually per cycle the desired cycle area in which the detector output data are used for reconstructing tomograms. In one embodiment, with reference to the type of pattern recognition, the latter runs at least semiautomatically and in a computer-aided fashion such that there is no need for every individual heart cycle to be evaluated manually. For example, the typical signature of a P wave can be compared with the actual profile of the ECG signal, and the temporal position of the best correspondence can be determined. Once the latter has been determined, the beginning of the atrial excitation can be defined very precisely. Thus, it is possible to make use for the reconstruction only of detector data that precede this phase temporally in the cycle. In order to support the manual selection, it is possible within the scope of the invention to make use of already known ECG analysis methods, such as are generally available for automatic evaluation of ECGs, in order to determine a cycle area to be used for the CT evaluation. The inventors propose, in accordance with at least one embodiment, to make use of a computed tomography unit to improve a method known per se for taking tomograms of a patient's beating heart. To scan the beating heart, at least one focus with an oppositely situated detector, preferably a multirow detector, is moved around the patient; detector data output by the detector that represent the attenuation of the beams emanating from the at least one focus are recorded together with indirect or direct spatial orientation data of the beams; and ECG signals of the beating heart are recorded. These data and signals are stored in a temporally correlated fashion, if appropriate. Furthermore, in order to reconstruct the cardiac tomograms, use is made only of detector data that originate from a selected cycle area of the cardiac cycle, the cycle area from which the data for the reconstruction originate being selected automatically and individually per cycle for at least one cardiac cycle by pattern recognition. An inventive improvement, in at least one embodiment, resides in the fact that the cycle area from which the data for the reconstruction originate may be selected automatically and individually per cycle by pattern recognition for at least one cardiac cycle. In this case, before the automatic selection of the cycle area from which detector data are used for the reconstruction is carried out, a typical signal profile of the current ECG in this area or an adjacent one may be determined manually. This typical profile may be subsequently automatically detected again in at least one cardiac cycle to which the determination of the desired area is oriented for the reconstruction. Such an individual consideration of each separate cardiac cycle, and the substantially more precise determination, resulting therefrom, of the useful cycle area for reconstructing computed tomography pictures advantageously leads to the CT pictures obtained in such an at least one embodiment, having fewer artifacts and blurs than typically occurs in the known systems. The manual selection of the cycle area to be considered in a reference cycle can be performed by visual recognition of the corresponding cycle area on a display screen or some other suitable display unit. In this case, the selection of this typical signal profile should be undertaken from the same ECG and the same measurement on a patient in which the automatic determination of the area subsequently takes place in further cycles, since it is possible thereby to avoid any changes that may occur from different positioning of electrodes or other metrological differences. It is particularly favorable in the case of the method according to at least one embodiment of the invention, to make use of the profile of a P wave as typical signal profile. This is because the beginning of the P wave constitutes the beginning of the excitation of the atria, which means that the beginning of a detected typical area can simultaneously be defined as temporal end of a temporal scanning area in a cycle. For example, the method according to an embodiment of the invention can be carried out in the following steps: a time sector is marked manually in a cycle in a visualized ECG, and the profile, located in said sector, of the ECG is adopted as typical signal profile Sp of a P wave (=template); subsequently, the typical signal profile Sp is automatically compared with the actual signal profile Ep of further cycles of the ECG by means of successively temporally offset convolution, for example by calculating P 1 ( t ) = S p ⊗ E p ( t ) = 1 N · ∫ - ( tt s + tt e ) / 2 ( tt s + tt e ) / 2 ⅆ τ · h c · S p ( τ ) · E p ( t - τ ) t ∈ p 1 with the normalization factor N, for which it holds that: N = ∫ - ( tt s + tt e ) / 2 ( tt s + tt e ) / 2 ⅆ τ · S p ( τ ) 2 , with the variables for the start ttS and the end tte of the cycle interval in which the pattern to be determined is presumed, the integration variables τ in the time interval considered, the time t and the cycle pl considered; a greatest maximum is determined per cycle in the temporal profile of the convolution function Pl(t), preferably the normalized convolution function, the actual position of the P wave per cycle, and thus the temporal end of the rest phase of the atrium of the heart per cycle, being determined therefrom, in which case only data of a cycle before the end thus determined of the rest phase is used to reconstruct CT image data. It may be pointed out that it is fundamentally advantageous in the abovenamed example for the convolution function Pl(t) to be normalized, although this is not mandatory for the purpose of an embodiment of the invention. If such a normalization is carried out, it is possible to specify in a particularly simple way a minimum of the convolution function that must be reached in order to have actually found reliably a signal profile in the cardiac cycle considered that is identical to the typical signal profile. It is therefore possible to specify or define a threshold value that, should it not be reached upon comparison of the typical signal profile and the current signal profile of the ECG, leads on to another recognition method or, if appropriate, reserves this cycle in the event of sufficient redundancy for the reconstruction. With reference to pattern recognition methods that can be used for the method according to an embodiment of the invention, reference may be made, by way of example, to the principle of wavelet transformation as it is known in essence for ECG analysis algorithms. However, it is also possible to find the prescribed contour again by applying a neural network in the respective cardiac cycle. Of course, embodiments of the invention extend not only to the method according to an embodiment of the invention, but also to the use of the method in a tomograph. It is also possible to implement the method according to an embodiment of the invention by way of appropriate programs or program modules. FIG. 1 shows a 3-D illustration of one embodiment including a computed tomography unit 1 having an X-ray tube 2 and a detector 3 situated opposite the latter, which are fastened on a rotary frame (not visible) and are designed such that they can rotate about a system axis or z-axis 4. The patient 7 may be located on a patient couch or table 6, for example, that can be displaced along the z-axis 4. While the X-ray tube 2 and the detector 3 are rotating about the system axis 4, is the patient may be pushed along this system axis 4 through an opening in the housing 5 of the computed tomography unit 1 (or vice versa), such that the X-ray tube 2 and the detector 3 move relative to the patient on a spiral track around the patient, thus effecting scanning. It is to be noted in principle that other variants of the scanning are also possible. Thus, for example, scanning may be done sequentially by moving the X-ray tube 2 and the detector 3 in a circle around the patient 7 and performing a discrete advance of the patient along the system axis 4 after a complete circular scan. Thereafter, a circular scan is again carried out until the patient or at least the examination area considered, is completely scanned. If a detector with a large number of detector rows, that is to say with a large extent in the direction of the z-axis, is used, it is therefore possible, if appropriate, also to scan at least the heart area under particular consideration here with the aid of a single rotation of the detector. All described variants for the spiral or sequential circular scanning and detectors ranging from a single row to a multiplicity of rows can be used in conjunction with the method according to embodiments of the invention. In addition to scanning the patient with X-rays, the patient's cardiac activity may be recorded by use of electrodes (not illustrated individually) and a measuring lead 8 in an ECG. This ECG is located in the present example in a computer 9 and simultaneously also controls the tomograph or computed tomography unit 1. In addition to controlling the tomograph and the taking of an ECG, the computer 9 also serves for evaluating and, if appropriate, storing the detector output data, which is performed via a data and control line 10. The computer 9 can be used, furthermore, to carry out the reconstruction of the tomograms. The schematically illustrated programs P1 to Pn serve this purpose, it being possible to illustrate the results on the display screen 9.1 integrated in the computer 9. The input unit 9.2, for example in the form of a keyboard and/or a mouse (not illustrated explicitly here), can serve for inputting data in order to operate the tomograph. A typical and idealized ECG is illustrated in FIG. 2 for the purpose of understanding the embodiments of the invention better. Here, the time t in seconds is plotted on the abscissa and the detected potential in mV is plotted on the ordinate. A typical ECG is distinguished by a characteristic signal profile of the voltage peaks and troughs over time, and provides information on the situation regarding the transmission of impulses, regarding excitation and regarding movement of the heart. The typical signal contours are described below with the aid of the reference symbols. Numeral 11 denotes the P wave. The P wave is characteristically the first small, positive, semicircular wave after the zero line. It represents the excitation of the atria. Numeral 12 denotes the Q wave. The Q wave is generally small, that is to say neither broad nor deep, and represents the first negative wave after the P wave and the end of the PQ time. The Q wave denotes the beginning of the ventricular excitation. Numeral 13 denotes the R wave. The R wave is always narrow and high. It is the first positive wave after the Q wave, or the first positive wave after the P wave should the Q wave be missing. The R wave is an expression of the ventricular excitation. Numeral 14 denotes the S wave. The S wave is usually small, like the Q wave. It is the first negative wave after the R wave and likewise belongs to the ventricular excitation. Numeral 15 denotes the QRS complex. The QRS complex denotes the ventricular excitation, that is to say depolarization, of the ventricles. Beginning in parallel with the depolarization of the ventricles is the repolarization of the atria, but this voltage pulse vanishes in the QRS complex. Numeral 16 denotes the T wave. The T wave is relatively wide, large and semicircular, and represents the first positive swing after the QRS complex. It corresponds to the excitation recovery, that is to say repolarization, of the ventricles. After the end of the T wave an electrical heartbeat ends. The next cycle then arises after a specific pause. The higher the heart rate, the shorter this distance. Numeral 17 denotes the U wave. The U wave is a very small, positive, semicircular wave just after the T wave, and is not always visible. It corresponds to subsequent fluctuations in the ventricular excitation recovery. In addition to the individual waves in the ECG, the times between the waves are also important for an interpretation. Numeral 18 denotes the PQ time. The PQ time (segment) stretches from the beginning of the P wave up to the beginning of the Q or R wave (in the event of lack of the R wave). It represents the atrioventricular conduction time, that is to say the excitation conduction time from the atria to the ventricles. Numeral 19 denotes the ST time. The ST time (segment) stretches from the end of the S or R wave (in the event of lack of the S wave) up to the beginning of the T wave. It indicates the beginning of the excitation recovery of the ventricles. Numeral 20 denotes the QT duration. The QT time (segment) comprises the QRS complex, the ST segment and the T wave. The time from the beginning of the ventricular excitation up to the end of the excitation recovery of the ventricles corresponds to the electrical ventricular systole. The heart rate TRR is determined by the distance 21 between two R waves 13 in the ECG. The temporal position of all the individual segments of the ECG that are illustrated can be determined by a comparison of a typical voltage profile above the time axis, that is to say a typical contour, with the actual ECG profile. However, it is to be noted that in the event of pathological changes, changes that have to be taken into account correspondingly also occur in the contour of the ECG signal. It is advantageous here, in particular, not to make use of a general standard as signal profile for the cycle phase being considered, but to make use of the most typical example possible from the ECG signal of the patient being examined, as far as possible from the same ECG, since thereby not only is account taken of differences with reference to the particular situation concerning the transmission of impulses of the heart being considered, but also possible differences in the attachment of the electrodes to the patient come into play. By contrast with the signal profile of FIG. 2, which illustrates an idealized situation of an ECG, FIG. 3 illustrates an example of an actual ECG signal profile 22 of a patient such as is used for triggering a cardio CT. The signal profile exhibits four R waves and, correspondingly, three complete periods or cardiac cycles of the heart being examined. Each of the four R waves 13 is given a hatched background area 23 in which it can be assumed that a P wave is located there solely on the basis of temporal consideration of the ECG signal, and of the distance from the R wave following thereupon or preceding it. Illustrated in the area 24 is a typical P wave of the corresponding patient, which originates from a cycle before that and has been selected by the operator as a typical P wave and is now being used for accurate determination of the position of the P wave in the respective current cardiac cycle. For this purpose, the typical signal profile 24 is temporally shifted over the area 23, and the individual signal values of the actual signal profile and of the typical signal profile are multiplied by one another, the sum of the multiplication values of the two signals being calculated at each instant τ of the shifting of the typical signal profile with respect to the current signal profile. FIG. 4 shows the profile of such a convolution function Pl(t) plotted against the respective temporal shift τ between the typical signal profile and the current signal. It is to be seen from the graph 25, which illustrates the value of this convolution function Pl(t) as a function of τ, that the function reaches a maximum as soon as the typical signal profile 24 is largely congruent with the actual signal profile 23 of the P wave. Since the convolution function Pl(t) is normalized, it reaches the value 1 when complete congruence of the two signal profiles is achieved. The maximum 26 shown reproduces the instant τmax at which there is an optimum coverage of the two signal profiles. It thereby determines the actual position of the typical signal profile being sought, here that of a P wave, in a cardiac cycle being considered. This instant τmax can now be used to specify the exact position of the P wave or some other typical signature in the cardiac cycle, and so it is possible thereafter to determine, in a very differentiated way, at which instant, or in which cycle area, the CT data obtained can be used for the reconstruction. It is self-evident that the above-named features of embodiments of the invention can be used not only in the combination respectively specified, but also in other combinations or on their own, without departing from the scope of the invention. Thus, overall, at least one embodiment of the invention describes a method for taking tomograms of a patient's beating heart with the aid of a computed tomography unit, in the case of which ECG signals of the beating heart are recorded. Further, in order to reconstruct the cardiac tomograms, use is made only of detector data that originate from a selected cycle area of the cardiac cycle, the cycle area from which the data for the reconstruction originate being selected automatically and individually per cycle for at least one cardiac cycle by pattern recognition. Thus, by comparing a typical ECG pattern of a cardiac phase with the current ECG of a patient during the CT scan, at least one embodiment of the invention supplies the temporal determination of a prescribed cardiac phase in an essentially improved fashion by comparison with the known systems, and thereby also supplies an improvement in the ECG-triggered reconstruction of CT pictures. Any of the aforementioned methods may be embodied in the form of a system or device, including, but not limited to, any of the structure for performing the methodology illustrated in the drawings. Further, any of the aforementioned methods may be embodied in the form of a program. The program may be stored on a computer readable media and is adapted to perform any one of the aforementioned methods when run on a computer device (a device including a processor). Thus, the storage medium or computer readable medium, is adapted to store information and is adapted to interact with a data processing facility or computer device to perform the method of any of the above mentioned embodiments. The storage medium may be a built-in medium installed inside a computer device main body or a removable medium arranged so that it can be separated from the computer device main body. Examples of the built-in medium include, but are not limited to, rewriteable non-volatile memories, such as ROMs and flash memories, and hard disks. Examples of the removable medium include, but are not limited to, optical storage media such as CD-ROMs and DVDs; magneto-optical storage media, such as MOs; magnetism storage media, such as floppy disks (trademark), cassette tapes, and removable hard disks; media with a built-in rewriteable non-volatile memory, such as memory cards; and media with a built-in ROM, such as ROM cassettes. Example embodiments being thus described, it will be obvious that the same may be varied in many ways. Such variations are not to be regarded as a departure from the spirit and scope of the present invention, and all such modifications as would be obvious to one skilled in the art are intended to be included within the scope of the following claims. |
|
050009079 | claims | 1. Pressurized water nuclear reactor comprising : a vessel closed by a cover, having cooling water inlet and outlet nozzles at least one conduit for injecting pressurized water coming from an emergency reservoir, opening into the vessel at the same level as at or above the level of said nozzles; internals suspended from the vessel, having a plate supporting a reactor core and forming, with the side wall of the vessel, an annular downward water flow passage from the inlet nozzle to a distribution space below the core support plate; and a duct extending the conduit downwards, thermally insulated from a side wall of the vessel, at least over the height of the core, and opening into the distribution space below the core support plate. a vessel closed by a cover, having cooling water inlet and outlet nozzles at least one conduit for injecting pressurized water coming from an emergency reservoir, opening into the vessel at the same level as at or above the level of said nozzles; internals suspended from the vessel, having a plate supporting a reactor core and forming, with the side wall of the vessel an annular downward water flow passage from the inlet nozzle to a distribution space below the core support plate; and a duct extending the conduit downwards, thermally insulated from a side wall of the vessel, at least over the height of the core, and opening, into the distribution space below the core support plate located within said downward water flow passage at a distance from the lateral wall of the vessel. 2. Reactor according to claim 1, wherein said duct is rigidly fixed to a casing belonging to the internals and comprises substantially water-tight end means for abutting connection with an outlet of the respective conduit. 3. Reactor according to claim 1, wherein said duct is fixed to the side wall of the vessel by means which hold it at a distance therefrom, and comprises a connecting section engaged in an inlet nozzle of the respective conduit. 4. Reactor according to claim 3, wherein said duct is fixed to the vessel by support means distributed at intervals and situated above or under the core. 5. Reactor according to claim 1, wherein said duct is integral with a core thermal screen. 6. Reactor according to claim 1, wherein said conduit is provided with a thermal protection sleeve in a part thereof projecting through a side wall of the vessel. 7. Pressurized water nuclear reactor comprising : 8. Reactor according to claim 7, wherein said duct is connected at intervals to a casing belonging to the internals and defining said downward coolant flow passage and extends upwardly of the conduit to an end portion formed with a calibrated orifice. 9. Reactor according to claim 7 having a plurality of said ducts each located midway between respective ones of said inlet and outlet nozzles and connected to a respective conduit. |
051947404 | claims | 1. An irradiation device having at least one high-power radiator with a discharge chamber filled with filling gas, the filling gas emitting radiation under the influence of quiet electrical discharges, the discharge chamber being bounded by walls of which at least one wall consists of dielectric material and is transparent to the radiation generated in the discharge chamber, a treatment chamber immediately adjoining one wall of the discharge chamber, having an electrode pair outside the discharge chamber, and having an ac source, connected to the two electrodes, for feeding the discharge, the coupling of the electrical energy into the discharge chamber being performed essentially capacitively by the substance to be treated in the treatment chamber, wherein the treatment chamber is sealed on all sides by walls made from non-metallic material or from material coated completely with non-metallic material. 2. The irradiation device as claimed in claim 1, wherein the filling gas is mercury, nitrogen, selenium, deuterium or a mixture of said substances alone or with a noble gas. 3. The irradiation device as claimed in claim 2, wherein the gas contains admixtures of sulfur, zinc, arsenic, selenium, cadmium, iodine or mercury. 4. The irradiation device as claimed in claim 1, wherein an internal tube made from dielectric material is provided on its inside with a first electrode, the internal tube is surrounded concentrically by a middle tube made from dielectric material and at a distance from the internal tube, and the middle tube is surrounded concentrically, for its part, by an external tube made from non-metallic material and at a distance from the middle tube, which external tube is provided outside with a second electrode. 5. The irradiation device as claimed in claim 4, wherein the two ends of the external tube are held gastight in a sealing washer, which sealing washer is pushed gastight onto the middle tube. 6. The irradiation device as claimed in one of claims 1 to 4, wherein the two ends of the external tube are drawn inwards and connected gastight to the middle tube, both the internal tube, the middle tube and the external tube consisting of quartz. 7. The irradiation device as claimed in one of claims 1 to 5, wherein the internal tube and/or the external tube consist of metal, it being the case that a layer made from dielectric material is completely provided for the internal tube on the side facing the discharge chamber and for the external tube on the side facing the treatment chamber. 8. The irradiation device as claimed in one of claims 1 to 5, wherein the ends of the middle tube are drawn inwards and are connected gastight to the internal tube. |
abstract | A system and apparatus for controlled fusion in a field reversed configuration (FRC) magnetic topology and conversion of fusion product energies directly to electric power. Preferably, plasma ions are magnetically confined in the FRC while plasma electrons are electrostatically confined in a deep energy well, created by tuning an externally applied magnetic field. In this configuration, ions and electrons may have adequate density and temperature so that upon collisions ions are fused together by the nuclear force, thus forming fusion products that emerge in the form of an annular beam. Energy is removed from the fusion product ions as they spiral past electrodes of an inverse cyclotron converter. Advantageously, the fusion fuel plasmas that can be used with the present confinement and energy conversion system include advanced (aneutronic) fuels. |
|
043308651 | abstract | A remotely controlled vehicle capable of roving over the outer surface of a nuclear reactor primary vessel carrying inspection instrumentation. The vehicle comprises an elongate bridge having a pair of suction support pads. Each pad carries gas thrusters for acting in opposition to the suction effort thereby to reduce adherence of the pads and enable displacement of the vehicle over the surface. The vehicle is supported by a services conducting umbilical. |
052788750 | description | DETAILED DESCRIPTION OF THE INVENTION In the process of the invention, the termination point of the bubbling is decided by utilizing the increase of radiation due to the introduction of .sup.11 CO.sub.2 into the reaction vessel by bubbling, and the termination point of the distillation of .sup.11 CH.sub.3 I is decided by utilizing the decrease of radiation due to the discharge of .sup.11 CH.sub.3 I from the reaction vessel by the distillation. That is, when the radiation becomes a maximum, it is judged that the bubbling is terminated. When the radiation becomes a minimum, it is judged that the distillation of .sup.11 CH.sub.3 I is terminated. The termination point of the evaporation of the reducing agent solution is decided by detecting the temperature in an exhaust tube for discharging the vapor of the reducing agent solution connected with the reaction vessel. In this process, the temperature variation due to the evaporation of the reducing agent solution is utilized, i.e., the temperature rises together with the start of the evaporation and drops by the termination of the evaporation. When the temperature in the exhaust tube becomes a minimum, it is judged that the evaporation of the reducing agent solution is terminated. The temperature variation accompanied by the evaporation of the reducing agent solution is preferably detected by measuring the temperature in the reaction vessel. However, since the corrosive action of hydroidic acid placed in the reaction vessel is very strong, it is difficult to place a temperature sensor in the reaction vessel. Therefore, the temperature sensor is attached to the exhaust tube, and the temperature in the exhaust tube is detected. The termination point of the supply of .sup.11 CO.sub.2 gas into the reaction vessel is decided by detecting the radiation on the introducing side of .sup.11 CO.sub.2 gas. In this process, the fact is utilized that the radiation is great during supplying .sup.11 CO.sub.2 gas, but it is small when the supply is terminated. When the radiation is sharply decreased, it is judged that the supply of .sup.11 CO.sub.2 gas is terminated. The detecting point of the radiation may be any point between the target box and the reaction vessel. The above maximum point and the minimum point of the radiation emitted from the reaction vessel, the minimum point of the temperature in teh exhaust tube, and the sharp decrease of the radiation on the introducing side of .sup.11 CO.sub.2 gas can be decided by visual observation of various displays indicating the output of the radiation sensor. Alternatively, the output of the radiation sensor is automatically decided by inputting it into a controller such as a microcomputer, and the next work is automatically started. EXAMPLES Example 1 An apparatus for the synthesis of .sup.11 C-labeled methyl iodide is shown in FIG. 1. The apparatus is composed of a target gas cylinder 1 which stores a mixed gas for the target, and the target gas cylinder 1 is connected with a target box 4 in which .sup.11 CO.sub.2 gas is produced by a transfer tube 3 through an electromagnetic valve 2. The target box 4 is connected with a collecting coil 8 by a transfer tube 7 through electromagnetic valves 5, 6. A helium gas cylinder 9 is also connected with the collecting coil 8 by transfer tubes 11, 7 through electromagnetic valves 10, 6. The collecting coil 8 is put in a cooling vessel 12, and the outlet of the collecting coil 8 is connected with a reaction vessel 14 by a transfer tube 13. The reaction vessel 14 is further connected with a syringe 16 containing hydroiodic acid by a transfer tube 18 through an electromagnetic valve 17 as well as an exhaust tube 15. A radiation sensor 21 is disposed adjacent to the reaction vessel, and the radiation sensor 21 is connected with a personal computer 23 through an A/D converter 22. .sup.11 C-labeled methyl iodide was produced using the above apparatus. As the mixed gas for the target, highly pure N.sub.2 gas (99.999%) or a mixed gas of 90% of N.sub.2 gas and 10% of O.sub.2 gas was used, and filled into the target box 4 from the target gas cylinder 1 by opening the valve 2 at a pressure of 5-30 kg/cm.sup.2. Then, proton beam 19 supplied from a cyclotron (not shown) was irradiated for 10-60 minutes to produce .sup.11 CO.sub.2 gas through a nuclear reaction (.sup.14 N(p.d).sup.11 C). Subsequently, the target gas containing .sup.11 CO.sub.2 was delivered to the collecting coil 8 cooled at -186.degree. C. by the cooling vessel 12 through the valves 5,6, and .sup.11 CO.sub.2 gas was collected. After the collection was finished, the collecting coil 8 was heated to deliver .sup.11 CO.sub.2 gas to the reaction vessel 14 by supplying helium gas from the gas cylinder 9 through the valves 10,6. In the reaction vessel, .sup.11 CO.sub.2 gas was reduced by bubbling it into 50-30 .mu.l of (a saturated solution of LiAlH.sub.4 and THF) solution as the reducing agent solution. The radiation emitted from the reaction vessel 14 was measured by the radiation sensor 21, and the electric signal outputted therefrom was converted to a digital signal by the A/D converter 22. The digital signal was inputted in the microcomputer 23. The microcomputer 23 treated the digital signal data to indicate the strength of the radiation on a display as a voltage value, and decided the termination point of the bubbling. The output voltage curve x was as shown in FIG. 2. When the bubbling was started, the output voltage rose from the starting point a of the bubbling. The output voltage rise was stopped at the termination point b of the bubbling. The termination point b was decided by the microcomputer 23, and the computer 23 instructed to start heating of the reaction vessel 14. The reducing agent solution was evaporated by the heating, and discharged through the exhaust tube 15. Thus, a complex of .sup.11 CO.sub.2 and LiAlH.sub.4 remained in the reaction vessel 14 as the intermediate. 1 ml of 55-58% hydroiodic acid solution was introduced into the reaction vessel 14 by operating the syringe 16 to synthesize methyl iodide labeled with .sup.11 C. The output voltage was almost constant from the termination of the bubbling to the .sup.11 CH.sub.3 I synthesis. Then, the reaction vessel 14 was heated again, and .sup.11 CH.sub.3 I was recovered by distillation. The output voltage dropped from the starting point c of the distillation, and the output voltage drop was stopped at the termination point d of the distillation. The microcomputer 23 decided the termination point of the distillation, and instructed to stop the recovery. The synthesis time of .sup.11 CH.sub.3 I was 7-8 minutes. The utilization of .sup.11 CO.sub.2 gas was 98%, and the recovery of .sup.11 CH.sub.3 I was 70%, whereas in the conventional process conducted using the above apparatus except the radiation sensor 21, the synthesis time was 15-20 minutes. The utilization of .sup.11 CO.sub.2 gas was 88%, and the recovery of .sup.11 CH.sub.3 I was 50%. Example 2 An apparatus for the synthesis of .sup.11 C-labeled methyl iodide is shown in FIG. 3. This apparatus is the same as employed in Example 1 except that the radiation sensor 21 is not provided but a temperature sensor 24 is provided. In detail, a branch tube 25 is connected with the exhaust tube 15, and a temperature detecting element 26 is inserted into the exhaust tube 15. The temperature detecting element 26 is connected with a microcomputer 28 through an A/D converter 27. .sup.11 C-labeled methyl iodide was produced using the above apparatus sumilar to Example 1. The bubbling time was set at the same 4-5 minutes as the conventional process, and the distillation time was set at the same 4-5 minutes as the conventional process. The temperature in the exhaust tube 15 was measured by the temperature sensor 24, and the electric signal outputted therefrom was converted to a digital signal by the A/D converter 27. The digital signal was inputted in the microcomputer 28. The microcomputer 28 treated the digital signal data to indicate the temperature on a display as a voltage value, and decided the termination point of the evaporation of the reducing agent solution. The output voltage curve y was shown in FIG. 4. After the termination of the bubbling, when the evaporation of the reducing agent solution was started, the output voltage gradually rose from the starting point 4 of the evaporation. Then, the output voltage gradually dropped, and it was a minimum at the termination point f of the evaporation. The termination point f was decided by the microcomputer 28, and the computer 28 instructed to work the syringe 16. The hydroiodic acid was injected into the reaction vessel 14, and .sup.11 CH.sub.3 I was synthesized. The synthesis time of .sup.11 CH.sub.3 I was 7-8 minutes. The utilization of .sup.11 CO.sub.2 gas was 98%, and the recovery of .sup.11 CH.sub.3 I was 70%, whereas, in the conventional process conducted using the above apparatus except the radiation sensor 21, the synthesis time was 15-20 minutes. The utilization of .sup.11 CO.sub.2 gas was 98%, and the recovery of .sup.11 CH.sub.3 I was 50%. Example 3 An apparatus for the synthesis of .sup.11 C-labeled methyl iodide is shown in FIG. 5. This apparatus is the same as Example 1 except that the radiation sensor 21 is provided near the detecting coil 30 of the transfer tube 7 connecting the target box 4 with the collecting coil 8 instead of the reaction vessel 14. .sup.11 C-labeled methyl iodide was produced using the above apparatus similar to Example 1. The bubbling time was set at the same 4-5 minutes as the conventional process, and the distillation time was set at the same 4-5 minutes as the conventional process. The evaporation time of the reducing agent solution was set at the same 2-3 minutes as the conventional process. The output voltage curve z was shown in FIG. 6. When the supply of .sup.11 CO.sub.2 gas was started, the output voltage rose from the starting point g of the supply. While the supply of .sup.11 CO.sub.2 gas was almost constant, the output voltage curve z was almost constant. When the supply of .sup.11 CO.sub.2 gas was terminated, the output voltage dropped. The computer 23 decided the termination point of the supply, and instructed to start the collection of .sup.11 CO.sub.2 gas by the collecting coil 8. The synthesis time of .sup.11 CH.sub.3 I was 7-8 minutes. The utilization of .sup.11 CO.sub.2 gas was 98%, and the recovery of .sup.11 CH.sub.3 I was 70%, whereas in the conventional process conducted using the above apparatus except the radiation sensor 21, the synthesis time was 15-20 minutes. The utilization of .sup.11 CO.sub.2 gas was 98%, and the recovery of .sup.11 CH.sub.3 I was 50%. |
description | This application claims priority of Austrian patent application number A 2137/2010 filed Dec. 23, 2010, the entire disclosure of which is incorporated by reference herein. The invention relates to a cooling apparatus for a sample in an ion beam etching process. Ion beam etching is a process often utilized to prepare samples whose structure is then typically investigated by scanning electron microscopy (SEM) and transmission electron microscopy (TEM). This technology is used in particular in research, materials research, and quality control for many materials, such as semiconductors, metals, ceramics, plastics, and the like. To carry out the process, the samples are mounted on a sample stage of an ion beam etching unit, and aligned in the beam path of one or more electron beams. Ion beam etching units are typically high-vacuum units that work with a baseline pressure of 10-6 mbar. The ions most commonly used are argon ions, usually at an acceleration voltage from 1 to 10 kV. The quality of the image resolution in the electron microscope is very substantially dependent, in this context, on the quality of the sample. Among the known ion beam etching processes known in practice are, in particular, ion beam slope etching, ion polishing of SEM samples, the wire shadowing method, and ion beam preparation of standard TEM samples. While the last two methods are used for TEM samples, ion beam slope etching is used to prepare cross-sectional SEM samples. In slope etching, profiles of the sample are exposed using the ion beam, a region of the sample being protected, by a mask arranged on the surface of the sample or aligned with respect to the surface of the sample, from material removal by the ion beam. An ion beam slope etching process that has proven particularly effective for producing high-quality SEM samples is one in which at least two ion beams, preferably three ion beams, are guided onto the sample surface at a predefined angle to one another. This method is disclosed in WO 2008/106815 A2. In all ion etching methods, and in particular in the case of the method from WO 2008/106815 A2, it is advantageous if the sample is cooled during the ion etching operation. Cooling of the sample allows higher beam power levels, which in turn makes possible (even with very sensitive samples) higher etching rates and consequently high efficiency together with excellent reliability and good sample quality. Cooling operations are used in a plurality of ion beam etching units, and are utilized for SEM and TEM prepared specimens and for special preparation techniques such as the aforementioned ion beam slope etching method. The samples are usually produced at room temperature, and are consequently stable at room temperature. The principal goal of a cooling operation is therefore to prevent the sample from heating up during ion beam etching, for example in order suppress diffusion processes and structural changes. Sufficient cooling is particularly important for heat-sensitive samples made of organic materials, for example plastics. In practice, essentially two cooling methods have become established. The first method uses a Peltier element, which is embodied to be small and space-saving but has too little cooling output for many applications. The second cooling method encompasses cooling using a coolant, in particular cooling with liquid nitrogen; it is notable for a high cooling output, but has the disadvantage that safety provisions must be observed with regard to the coolant. Most present-day ion beam etching units operate with the second cooling method, cooling being effected with a cooling apparatus of the kind recited initially. Many of the ion beam etching units on the market, in which the sample must be moved (rotation, oscillation) in order to prevent preparation artifacts, exhibit the problems of insufficient thermal contact between the coolant and sample, and limited temperature measurement of the sample. A further problem results from the limited coolant supply. In some of the known ion beam etching units, the sample is transferred into the vacuum chamber by means of an air-lock device. For service, or if the sample can no longer be transferred out of the vacuum chamber due to inadvertent detachment from the sample stage, the vacuum chamber must be aerated. For this, the coolant reservoir vessel must be baked out in order to completely vaporize the coolant. For this reason, only a limited supply of coolant is used in the known units. Because moreover no fill level indication or monitoring of the coolant supply is present, the fill level must constantly be monitored and coolant must be replenished as necessary. The coolant supply would not be sufficient for unattended sample preparation over a longer period of time (e.g. overnight). Constant manual replenishment of the coolant necessitates complex safety provisions, such as wearing protective clothing. It is therefore an object of the invention to eliminate the disadvantages known from the existing art. The intention in particular is to implement a cooling apparatus in which it is possible to operate cooled preparation processes automatically and over a longer period of time. This object is achieved according to the present invention in that the cooling apparatus comprises a cooling finger that is connected in heat-transmitting fashion, preferably directly, to the thermal conduction element, the cooling finger comprising a conduit through which coolant can flow and which is connectable to the coolant receptacle. Thanks to the invention, cooling of the sample stage can occur automatically by simply activating and deactivating the flow of coolant passing through the cooling finger. The result is that larger coolant receptacles having more capacity can also be used, since laborious evaporation of the coolant prior to aeration of the vacuum chamber is not necessary. In contrast to the existing art, specimen preparation over a longer period of time (e.g. overnight) is thereby possible. The capability of quickly activating and deactivating cooling furthermore shortens the ion beam etching process and contributes to making it efficient. Handling by the user moreover becomes substantially easier and more reliable, since because a greater capacity can be selected, there is no further need for constant fill level monitoring and constant coolant replenishment. The coolable sample stage is embodied as a vacuum flange that is flange-mounted onto the vacuum chamber of the ion beam etching unit. The sample stage is connected to the cooling finger via at least one thermal conduction element, the cooling finger preferably projecting into the vacuum chamber. The cooling finger serves not only for heat transport but also as a vacuum-tight passthrough of the cooling apparatus. The cooling finger can be connected to the sample stage with only one thermal conduction element, but also with several, for example, two or three, thermal conduction elements. The term “heat-transmitting” refers to a thermal contact between the coolant and the sample stage, imparted by way of the cooling finger and the at least one thermal element, that is sufficient to enable good heat transport and thus good cooling of the sample. The coolant receptacle is typically a Dewar vessel, and can have a substantially greater capacity as compared with the coolant receptacle known in the existing art. The capacity selected is preferably at least large enough that a sample preparation operation is possible without replenishment of the coolant and supervision by an operator (e.g. overnight). In practice, coolant receptacles having a capacity of 20 liters or more have proven successful for this. In order to control the flow of coolant through the conduit of the cooling finger, it is useful if the cooling apparatus comprises a means for controlling the coolant flow. In a particularly preferred and easily controllable embodiment, the means for controlling the coolant flow is embodied as a coolant pump. Activation of the coolant pump begins cooling of the sample stage, and deactivation of the coolant pump ends it again. By means of the coolant pump, the flow rate of the coolant through the conduit of the cooling finger can moreover be easily and efficiently adjusted and controlled via the pump rotation speed. In a further embodiment, the means for controlling the coolant flow can be embodied as a valve element. The valve element, however, enables only activation and deactivation of the coolant flow through the conduit of the cooling finger. Because the coolant is not actively directed through the cooling finger, the coolant receptacle must also be arranged higher up than the cooling finger. The embodiment having the coolant pump, with which the flow rate can moreover be controlled, is preferred for these reasons. In a further embodiment, the means for controlling the coolant flow can be embodied as a combination of a coolant pump and valve element. Here the pump output is held constant, and the flow rate is regulated by opening a controllable valve, preferably a flow regulator. In a preferred embodiment, the cooling finger is embodied in double-walled fashion, the cooling finger preferably encompassing an outer tube and an inner tube arranged in the outer tube. The coolant preferably flows through the inner tube into the cooling finger, and back out of it through the outer tube. As depicted in more detail in FIG. 4 below, the thermal conduction element is preferably pressed into a contact sleeve at an end directed toward the cooling finger, and then fastened onto the cooling finger. Fastening can occur, for example, by bolting or clamping the contact sleeve onto the cooling finger. A good heat-transmitting connection, and consequently strong cooling of the sample stage, can be ensured in particular by planar contact of the contact sleeve with the cooling finger over a large area, and further by tight bolting or clamping. A good heat-transmitting connection is achievable, for example, with a contact area of 10×10 mm between the contact sleeve and cooling finger. The minimum temperature achievable by means of cooling at the sample stage therefore depends not only on the coolant used, but also critically on the thermal conduction between the coolant and the sample stage. On the one side is the coolant, which flows through the conduit of the cooling finger and has a certain temperature, for example less than −195.8° C. in the case of liquid nitrogen. On the other side is the sample stage to be cooled, which is not completely thermally insulated and which is constantly having heat delivered to it from outside, e.g. by the ion sources, and is constantly “losing cold.” Heat can also be lost at the transition points at which the thermal conduction element is connected to the sample stage and the cooling finger. In addition to the connection (already explained above) between the thermal conduction element and the cooling finger, the embodiment of the thermal conduction element itself is also significant. The thermal conduction element is consequently manufactured from a highly thermally conductive material, preferably copper. The thermal conduction element is preferably embodied in strip-shaped fashion. Larger cross sections are preferably selected for the thermal conduction strip, since they enable greater heat transport and therefore lower temperatures. In practice, cross sections of, for example, 1×6 mm2 and 2×6 mm2 have proven favorable, better heat transport being possible with the greater cross section of 2×6 mm2. In a particularly advantageous embodiment, at least one further thermal conduction element, which extends from the cooling finger to a mask holder device for a mask, is provided, the sample being positionable relative to the mask. Typically, the sample is positioned relative to the mask before the ion beam etching operation. With this embodiment, therefore, not only the sample stage along with the sample mounted on it, but also the mask holder device along with the mask, are cooled. Simultaneous cooling of the sample stage and the mask holder device prevents drift, resulting from thermal expansion, between the mask edge positioned relative to the sample and the sample positioned with respect to the mask edge. The properties of the thermal conduction element that connects the mask holder device and the cooling finger correspond to those of the thermal conduction element that connects the sample stage to the cooling finger. The cooling finger can be connected to the mask holder device using only one thermal conduction element, but also using several, for example two or three, thermal conduction elements. With regard to the cross section of the thermal conduction elements, a cross section of 2×6 mm2 for the thermal conduction strip extending to the sample stage, and a cross section of 1×6 mm2 for the thermal conduction strip extending to the mask holder, have proven favorable in practice for example, for homogeneous cooling of the sample stage and mask. It has further proven to be advantageous if the conduit of the cooling finger opens into an evaporator block for evaporating the coolant flowing out of the cooling finger. The coolant is usefully a liquefied gas, in particular liquid nitrogen or liquid air. The coolant is consequently directed from the coolant receptacle through the conduit of the cooling finger, and from there on into the tubing system of an evaporator block. The coolant is evaporated in the evaporator block. This has the advantage that after passage through the evaporator block is complete, only small quantities of the liquid coolant, and preferably only gaseous coolant, are still present. If the cooling apparatus encompasses a coolant pump, the flow rate of the coolant can then be regulated, by way of the pump rotation speed of the coolant pump, so that only gaseous coolant then emerges from the evaporator block. In an advantageous variant, a temperature measuring means for measuring the temperature of the evaporator block, and/or a heating element, are associated with the evaporator block. In a further advantageous variant, a temperature measuring means for measuring the temperature of the sample stage, and/or a heating element, are associated with the sample stage. It is advantageous in this context if the temperature of the sample stage and/or of the evaporator block is regulatable. Usefully, the cooling apparatus therefore has associated with it a temperature regulation device for regulating the temperature of the sample stage and/or the temperature of the evaporator block, a signal corresponding to the temperature of the sample stage and/or to the temperature of the evaporator block being delivered to the temperature regulating device, which regulates the temperature of the sample stage and/or the temperature of the evaporator block to a definable value. The temperature of the mask, when cooling occurs simultaneously with the sample stage, exhibits a temperature behavior approximately identical to that of the sample stage. As already described above, this depends on the respective cross sections of the thermal conduction strips; the optimum cross sections can be ascertained by one skilled in the art on the basis of routine experiments. In this embodiment the mask temperature is coupled to the regulation of the sample stage temperature and does not need additional monitoring. In a refinement, the mask holder also has a temperature measuring means associated with it in order to measure the temperature of the mask holder and the mask, this temperature likewise being regulated by means of the temperature regulating device. For reasons of simplicity, however, it is preferable not to associate a separate temperature measuring means with the mask, but instead to couple the mask temperature, as described above, to the regulation of the sample stage temperature. In a first advantageous variant, the temperature regulating device regulates the temperature of the sample stage and/or of the evaporator block to a definable value by way of the pump rotation speed of the coolant pump. In a second advantageous variant, the temperature regulating device regulates the temperature of the sample stage to a definable value by means of the heating element associated with the sample stage. In many applications the temperature value will be selected to be as low as possible, and will be held at a constant level during the entire ion beam etching operation. It has been found, in experiments, that with the apparatus according to the present invention the sample to be prepared can be cooled to a temperature of less than −150° C. The advantage of the second variant as compared with the first variant is that desired changes in temperature can be reacted to more quickly. The second variant is therefore utilized in particular in cases in which the temperature of the sample is intended to be substantially higher than the minimum temperature attainable by cooling, or if a change in sample temperature (e.g. a temperature gradient) during the ion beam etching operation is desired. Regulation of the sample temperature by way of the pump rotation speed of the coolant pump might prove too sluggish in these cases, and the second variant would therefore be preferable. One skilled in the art can decide for the specific case, based on apparatus parameters and optionally on the basis of simple routine experiments, whether the first or the second variant is better suited for a specific ion beam etching operation. Most ion beam etching units described above in reference to the existing art do not provide any capability for controlled automatic sample heating after the ion beam etching operation. A risk therefore always exists of contaminating the sample with condensed water (dew) when the ion beam etching unit is aerated. To prevent the sample from being contaminated after completion, upon aeration and opening of the sample stage flange, with water condensed from ambient air, it is advantageous if after completion of the ion beam etching operation, the temperature regulating device regulates the temperature of the sample stage and of the evaporator block, by means of the heating element associated with the evaporator block, to a temperature value at which condensation of atmospheric moisture from ambient air onto the sample is prevented. As already mentioned above, the mask exhibits a temperature behavior approximately identical to that of the sample stage. The coolant flow through the cooling finger is usually stopped before heating. Advantageously, the temperature is regulated to room temperature. It is a further object of the invention to make available a method for adjusting temperature using the cooling apparatus according to the present invention. This object is achieved according to the present invention with a method for adjusting the temperature of a sample in an ion beam etching process, the method encompassing the following steps: (a) mounting a sample on a coolable sample stage of an ion beam etching apparatus, the sample stage having a previously described cooling apparatus associated with it, and aligning the sample on the sample stage; and (b) cooling the sample by means of the coolant directed through the conduit of the cooling finger, a temperature desired for the ion beam etching operation being established. The method preferably encompasses a step (c), following step (b), in which cooling of the sample is terminated after termination of the ion beam etching operation. For this, the delivery of coolant, which as described above can be controlled via a coolant pump or a valve, is stopped. The method preferably further encompasses a step (d), following step (c), in which the sample is heated to a temperature at which condensation of atmospheric moisture from ambient air onto the sample is prevented. The sample is preferably heated to room temperature. If the sample is aligned relative to a mask in an ion beam etching process, it is advantageous if the mask is cooled, and heated back to room temperature, analogously to the sample. As already mentioned, simultaneous cooling of the sample stage and of the mask holder device prevents drift, resulting from thermal expansion, between the mask edge positioned relative to the sample and the sample region positioned with respect to the mask edge. The temperature of the mask, when cooling and heating occur simultaneously with the sample stage, exhibits a temperature behavior approximately identical to that of the sample stage. The mask temperature is thus coupled to the sample stage temperature and does not need additional monitoring. For most ion beam etching processes, provision is made that the temperature of the sample is held constant during the ion beam etching operation. As already discussed above, the coolant is usefully a liquefied gas, preferably liquid nitrogen or liquid air. Liquid nitrogen is usually used in ion beam etching processes. In a preferred embodiment, the flow rate of the coolant is adjusted, for example via the pumping rate of the coolant pump, so that after flowing through the evaporator block, the coolant then emerges therefrom only in gaseous form. This firstly decreases the risk of the operator coming into contact with the liquefied gas, and secondly prevents excessive coolant consumption. FIG. 1 shows a sample stage flange 100 that is designed for an ion beam slope etching process and has a cooling apparatus 101 according to the present invention associated with it. Sample stage flange 100 is mountable in vacuum-tight fashion onto an ion beam etching unit (ion beam etching unit 200, see FIGS. 5 to 7). Cooling apparatus 101 encompasses a coolable sample stage 102 on which the sample (not depicted) is mountable, as well as a coolable mask holder 103 having a mask 104. Cooling apparatus 101 further encompasses a cooling finger 105. Sample stage 102 and mask holder 103 are connected in heat-transmitting fashion to cooling finger 105 via thermal conduction strips 106a, 106b, 106c so that mask holder 103 and mask 104 are cooled simultaneously with sample stage 102 and the sample. The cross sections of thermal conduction strips 106a, 106b, 106c are selected in such a way that homogeneous cooling of sample stage 102 and mask holder 103 occurs. Thermal conduction strips 106a, 106b, 106c extend from a common origin 106 on cooling finger 105 to sample stage 102 (thermal conduction strips 106a, 106b) and to mask holder 103 (thermal conduction strip 106c). Before the ion beam etching operation begins, the sample is positioned relative to mask 104. Simultaneous cooling of sample stage 102 and mask holder 103 prevents drift, resulting from thermal expansion, between a sample positioned relative to mask edge 107 and the sample region positioned with respect to mask edge 107. Sample stage 102, mask holder 103, thermal conduction strips 106a, 106b, 106c, and cooling finger 105 are arranged inside housing 108 of specimen stage flange 100. An evaporator block 109 is attached outside sample stage flange 100. Cooling finger 105, which is also connected to evaporator block 109, extends through housing 108 of sample stage flange 100 and is consequently embodied in vacuum-tight fashion. Cooling finger 105 is of double-walled configuration (see sectioned depiction through cooling finger in FIG. 4) and has coolant, which in this case is liquid nitrogen, flowing through almost its entirety. The coolant is located in a reservoir receptacle 120 (Dewar vessel) and is pumped from there, by means of a coolant pump 121 (see FIG. 4) whose connector 110 is located on housing 108 of sample stage flange 100, through a jacketed coolant line 112 to inlet 115 of evaporator block 109. FIG. 2 is a rear view of sample stage flange 100 looking toward evaporator block 109. A temperature sensor 118 is arranged inside evaporator block 109 in order to monitor and regulate the temperature of evaporator block 109. Also arranged in evaporator block 109 is a heating element 119 that, after completion of the ion beam etching operation, serves to heat the entire sample stage flange 100 to, preferably, room temperature (see FIG. 12). From inlet 115 of evaporator block 109, the coolant is then directed into and back out of cooling finger 105. The coolant that is directed out flows through a tubing system 111 inside evaporator block 109 and is evaporated there, such that preferably only gaseous coolant (gaseous nitrogen) then emerges at outlet 117 of evaporator block 109. The flow path of the coolant through the cooling apparatus is described again below in detail in FIG. 4. FIG. 3 presents a perspective view of components of cooling apparatus 101 according to the present invention as incorporated into sample stage flange 100. The components depicted encompass sample stage 102, mask holder 103 having mask 104 located thereon, evaporator block 109, cooling finger 105, and thermal conduction strips 106a, 106b, 106c. Thermal conduction strips 106a, 106b, 106c extend from common origin 106 on cooling finger 105 to sample stage 102 (thermal conduction strips 106a, 106b) and to mask holder 103 (thermal conduction strip 106c). Origin 106 of thermal conduction strips 106a, 106b, 106c is pressed into a contact sleeve 123 and is then fastened onto cooling finger 105 by means of a fastening screw 124. The contact area between contact sleeve 123 and cooling finger 105 is selected so that a good heat-transmitting connection is achievable. In the example shown, the contact area between contact sleeve 123 and cooling finger 105 is equal to approximately 10×10 mm. Further components of cooling apparatus 101, such as reservoir vessel 120 for the coolant as well as coolant pump 121, which are not depicted in FIG. 3, are depicted below in FIG. 4. Sample stage 102 and mask holder 103 are thermally insulated with respect to the other components of sample stage flange 100 by adjacent poorly thermally conductive elements 113, 114 in order to minimize heat loss. A temperature sensor 122 is also located inside sample stage 102 in order to monitor and regulate the temperature of sample stage 102. In addition, in the context of a variant control loop for regulating the temperature of sample stage 102 that is described later on (see FIG. 9), a heating element 116 is also arranged inside sample stage 102. FIG. 4 illustrates the flow path of coolant through cooling apparatus 100. The coolant is stored in a reservoir vessel 120. The capacity of reservoir vessel 120 is preferably selected to be at least large enough that sample preparation is possible without replenishing the coolant and monitoring by an operator (e.g. overnight). In practice, coolant receptacles having a capacity of 20 liters or more have proven successful for this. Before the ion beam etching operation begins, cooling of sample stage 102 and of mask holder 103 is started by switching on coolant pump 121. For this, the coolant is pumped by means of coolant pump 121 out of reservoir vessel 120 to input 115 of evaporator block 109, and through a cooling finger connector 116 toward the double-walled cooling finger 105. Cooling finger 105 encompasses an outer tube 130 and an inner tube 131 arranged in outer tube 130. The flow direction of the coolant is depicted by arrows 132, 133. The coolant (liquid nitrogen) flows through the inner tube into cooling finger 105 (arrow 132) and travels through a tube opening 134 of inner tube 131 into outer tube 130. From there it flows in an opposite direction (arrow 133) back out of cooling finger 105, and from there travels on into tubing system 111 of evaporator 109, where it is evaporated. The flow rate of the coolant is preferably regulated, by means of the pump rotation speed of coolant pump 121, so that after passage through tubing system 111, only gaseous coolant (gaseous nitrogen) then emerges at outlet 117 of evaporator block 109. Temperature regulation is explained in more detail later on. After of the ion beam etching operation ends, cooling is stopped by deactivating coolant pump 121, and all the cooled components of the sample stage flange are heated, preferably to room temperature, by means of heating element 119 of evaporator block 109. FIGS. 5 and 6 each show side views of an opened ion beam etching unit 200 having sample stage flange 100 mounted therein. When ion beam etching unit 200 is set up, sample stage flange 100 is attached to vacuum chamber 201 (recipient) in which the ion sources are located (see FIG. 7, which shows a cross section through a closed vacuum chamber 201 with sample stage flange 100 attached). Returning to FIGS. 5 and 6: sample stage flange 100 is mounted tiltably through 90° for sample alignment. Before a vacuum is applied and before the ion beam etching operation begins, the sample is fastened on sample stage 102 and is aligned manually relative to the mask. In FIG. 5, sample stage flange 100 is in a first alignment position tilted 90°. In FIG. 6, sample stage flange 100 is in its second alignment position, this alignment position also representing the position in which sample stage flange 100 is then displaced horizontally, by means of a support rail 204, toward vacuum chamber 201 and attached thereto. Ion beam etching unit 200 further comprises a binocular 202 for sample alignment. Once the sample has been loaded and aligned, sample stage flange 100 is attached to the recipient of ion beam etching unit 200, and the sample chamber is pumped down in order to create a high vacuum (usually at least 10-6 mbar). FIG. 7 is a plan view of a section through the closed vacuum chamber 201 with sample stage flange 100 attached. The etching process occurs in accordance with the ion beam slope etching method from WO 2008/106815 A2 recited above in the existing art; consequently, as described in WO 2008/106815 A2, three ion beams that are integrated into ion source 203 are guided onto the sample surface at a defined angle to one another. Once the vacuum has been created in vacuum chamber 201, the sample is cooled to the desired temperature and is processed with the ion beams. During processing of the sample, the sample temperature is maintained constantly, by regulation, at a desired temperature value. When the sample has been completed, it is heated to a desired temperature value, preferably room temperature, in order to avoid contamination with condensed water when sample stage flange 100 is aerated and opened. The control loops for regulating temperature during the ion beam etching process are described further in FIGS. 8 to 13 that follow. Cooling apparatus 101 has associated with it, for this purpose, a central temperature regulation device (Controller) that is responsible for regulating the control loops recited below, and variants thereof, during cooling and heating. The box labeled “Heat” in FIGS. 8 to 12 refers to the external thermal influences that act on sample stage 102 and evaporator 109. FIG. 8 shows a first variant of a block diagram of a control loop for regulating the temperature of the sample. In this variant, sample stage 102 has associated with it a temperature sensor 122 (Temp. sensor 122) that measures the actual value of the sample temperature. The controller regulates the temperature of the cooled sample stage, by way of the pump rotation speed (Pump rate), to a setpoint temperature definable by the operator. The temperature of sample stage 102 is thus regulated by way of the flow rate of liquid nitrogen through cooling finger 105. FIG. 9 shows a second variant of a block diagram of a control loop for regulating the temperature of the sample. With this variant as well, sample stage 102 has associated with it a temperature sensor 122 (Temp. sensor 122) that measures the actual value of the sample temperature. Furthermore, a heating element 116 is additionally associated with sample stage 102. The controller regulates the temperature of the cooled sample stage 102, with the aid of heating element 116, to a setpoint temperature definable by the operator. As already mentioned above, the advantage of the second variant with respect to the first variant is that desired changes in temperature can be reacted to more quickly. FIG. 10 is a block diagram of a control loop for regulating the temperature of evaporator block 109. Evaporator block 109 has associated with it a temperature sensor 118 (Temp. sensor 118) that measures the actual value of the evaporator block temperature. The controller regulates the temperature of the cooled evaporator block 109, by way of the pump rotation speed (Pump rate) of coolant pump 121, to a setpoint temperature definable by the operator. The temperature of evaporator block 109 is thus regulated by way of the flow rate of liquid nitrogen through cooling finger 105. In particular, the temperature of evaporator block 109 is regulated by means of the pump rotation speed of the coolant pump so that it is held just below the flow temperature of the coolant in the form of liquid nitrogen, i.e. between approximately 190° C. and −195° C., so that the coolant emerges from outlet 117 of evaporator block 109 only in gaseous form. FIG. 11 is a block diagram depicting the control sequence for cooling. At the beginning of the cooling operation, the coolant pump is activated (Start coolant pump). The temperature of sample stage 102 (Sample stage control loop; see FIG. 6) and of evaporator block 109 (Evaporator control loop; see FIG. 8) are regulated, by way of the pump rotation speed of coolant pump 121 (Regulate coolant pump) to a setpoint temperature definable by the operator, as described earlier in FIG. 6 and FIG. 8. To begin (Start prep. process), the sample is cooled to a desired temperature. Only when that has been reached is an automatic authorization given for the ion beam etching preparation process (Prep. process in progress). As soon as the preparation process is complete (Stop prep. process), cooling is stopped by deactivating the coolant pump (Stop coolant pump). FIG. 12 shows a block diagram of a control loop for regulating temperature during heating once the ion beam etching operation has ended, and FIG. 13 shows a block diagram of the control sequence for heating by means of the control loop depicted in FIG. 12. The description that follows refers to FIG. 12 and FIG. 13. The evaporator block has associated with it a heating element 119 that serves exclusively to heat up the entire sample stage flange 100 (e.g. including sample stage 102, mask holder 103, and evaporator block 109), but not to regulate temperature during the ion beam etching operation. The control loop depicted in FIG. 10 serves to monitor and regulate the controlled heating operation in order to prevent condensation of atmospheric moisture from ambient air onto the sample. Before heating, cooling is stopped by deactivating the coolant pump (Stop coolant pump). Heating is started by switching on heating element 119 (Start heating). Heating element 119 heats, with maximum heat output, evaporator block 109 and also, via the connection of the cooling finger, sample stage 102 along with the sample, and mask holder 103 along with mask 104. Because heating element 119 is arranged directly in evaporator block 109, the latter heats up more quickly than sample stage 102 and mask holder 103 located farther away. Once evaporator block 109 reaches a temperature of +50° C. (measured by means of a temperature sensor on evaporator block 109), the temperature is then regulated by means of the controller (Regulate heating). In this context, the controller holds the temperature of evaporator block 109 constant by throttling the heat output of heating element 119. Sample stage 102 and mask holder 103 are further heated until reaching their setpoint temperature, which usefully is room temperature (measured by means of the temperature sensor on sample stage 102). Once the setpoint temperature of sample stage 102 and mask holder 103 has been reached, heating is terminated (Stop heating), and sample stage flange 100 can be aerated and opened for sample removal. The temperature of mask holder 103 is not additionally regulated, since mask holder 103 exhibits a temperature behavior during cooling and heating that is approximately identical to that of sample stage 102. The temperature of mask holder 103 is thus coupled to the regulation of the temperature of the sample stage, and is consequently not additionally monitored. In addition, the coolant level in the reservoir receptacle (Dewar vessel) is monitored. If the level is too low, a warning is provided to the operator. This warning can occur optically and/or acoustically. If the fill level drops below a critical value, the cooling process is interrupted or cannot be started. The invention is not to be limited to the specific embodiments disclosed, and modifications and other embodiments are intended to be included within the scope of the invention. |
|
abstract | A reactor that is operable to produce an isotope includes a region for containing a controlled nuclear fission reaction, the region segmented into a plurality of independent compartments, each of the compartments for containing a parent material in an aqueous solution that interacts with neutrons to produce the isotope via a fission reaction. Also provided are methods of producing an isotope using the same. |
|
description | The invention is described below in the context of representative embodiments, which are not intended to be limiting in any way. First Representative Embodiment A first representative embodiment is depicted schematically in FIG. 1. In this apparatus, a mask 1 is illuminated with soft X-ray (SXR) radiation (also termed EUV radiation). The pattern elements defined by the mask 1 are demagnified (xe2x80x9creducedxe2x80x9d) and projected onto a substrate 2 (e.g., semiconductor wafer) by an imaging-optical system 3. The imaging-optical system 3 comprises mirrors having at least one aspherical surficial profile. The imaging-optical system has the following characteristics to provide the system with an achievable resolution of about 70 nm or less, which cannot be achieved using current optical microlithography technology. The resolution (Res) of the imaging-optical system 3 is expressed by Equation (3), which includes the wavelength (xcex) used for exposure and the numerical aperture (NA) of the projection optical system 3: Res=(k1)xcex/NAxe2x80x83xe2x80x83(3) wherein k1 is an empirical coefficient that depends not only on the characteristics of the optical system, but also on the characteristics of the resist on the substrate. Achievable resolutions for various wavelengths and numerical apertures (NA), where k1=0.5 are listed in Table 1. From Table 1, it can be seen that, whenever an imaging-optical system having a numerical aperture (NA) of 0.08 is used at a wavelength of 11 nm, the achieved resolution is 69 nm. Also, whenever, an imaging-optical system having a NA of 0.10 is used at a wavelength of 13 nm, the achieved resolution is 65 nm. However, to achieve these performance levels, even in an imaging-optical system 3 comprising six aspherical mirrors, such as that shown in FIG. 1, it is necessary to manufacture each of the individual mirrors of the imaging-optical system 3 with a form accuracy of no more than about 0.2 nm (RMS). Hence, this embodiment is configured especially for use with soft X-rays having a wavelength range of 20 nm to 50 nm. By way of examples, if the wavelength of the soft X-rays is 20 nm, then the obtained resolution is 67 nm with an imaging-optical system 3 having a numerical aperture of 0.15. Similarly, at a wavelength of 30 nm, the obtained resolution is 60 nm with an imaging-optical system having a numerical aperture of 0.25. At a wavelength of 40 nm, the obtained resolution is 67 nm with an imaging-optical system having a numerical aperture of 0.3. At a wavelength of 50 nm, the obtained resolution is 71 nm with an imaging-optical system having a numerical aperture of 0.35. Exemplary form accuracies of the various mirrors that make up the imaging-optical system 3 are as listed in Table 2. Table 2 lists RMS tolerance for form error of the mirrors, calculated using Equation (2), above. As evident from Table 2, using soft X-rays with wavelengths in the range of 20 nm to 50 nm substantially relaxes the form-error requirements for the mirrors constituting the imaging-optical system, compared to use of soft X-rays in a wavelength range of 11 to 14 nm conventionally used for SXR microlithography. For example, in a 6-mirror system, it is possible to achieve form tolerances of 0.4 to 0.5 nm (RMS) (the currently required level for aspherical surfaces) using wavelengths of 50 nm to 30 nm. At a wavelength of 20 nm, the form precision of mirrors used in the imaging-optical system including six mirrors is eased by about 25 percent from the form precision required in mirrors used in a 4-mirror imaging-optical system at a wavelength of 13 nm. Additionally, the form precision of mirrors used in an imaging-optical system made from four mirrors is further eased by approximately 50 percent, making them much easier to manufacture than mirrors used in an imaging-optical system for use in the 11 to 14 nm wavelength band. Since mirror fabrication thus can be accomplished at a form precision within a reliably practicable range, the manufacturing yield of acceptable mirrors can be vastly improved for aspherical mirrors as used in imaging-optical systems. FIGS. 5(a)-5(d) provide comparisons of images obtained at wavelengths of 33 nm (FIGS. 5(a) and 5(c)) and 13 nm (FIGS. 5(b) and 5(d)) using an imaging-optical system made from six mirrors and having a numerical aperture of 0.25. FIG. 5(a) is a plot of Strehl intensity of a 70-nm linewidth image at a wavelength of 33 nm at a wavefront error of 1 nm (RMS). (The form precision of each mirror was 0.2 nm (RMS)). From FIG. 5(a), it can be seen that resolution can be achieved at 95 percent Strehl intensity. FIG. 5(b) is a plot of the Strehl intensity of a 30-nm linewidth image at a wavelength of 13 nm. Even under such conditions, resolution can be achieved at 80-percent Strehl intensity. Hence, if the form precision of the various mirrors is improved so as to minimize wavefront error sufficiently, imaging is possible for a pattern having a linewidth of 71 nm or less. However, fabrication is impossible at form precisions of approximately 0.2 nm (RMS). Mirrors having a form precision of 0.5 nm (RMS) can be manufactured readily. Assuming that the numerical apertures and number of mirrors used are the same, the wavefront error at this form precision would be 2.5 nm (RMS). Even if one attempted to use such an imaging-optical system to form an image of a pattern having a linewidth of 70 nm using 13-nm wavelength soft X-rays, resolution would be completely impossible. The Strehl intensity of such an image is shown in FIG. 5(d). In view of the foregoing, it now is possible to resolve 70-nm-linewidth images using soft X-rays having a wavelength of 20 to 50 nm, even without improving the form precision of the mirrors of the imaging-optical system. As an example, the Strehl intensity of a 70-nm-linewidth image, at a wavelength of 33 nm is as shown in FIG. 5(c). According to FIG. 5(c), resolution can be achieved at 80 percent Strehl intensity. By using soft X-rays in the wavelength range of 20 to 50 nm, as discussed above, microlithography apparatus can be realized that achieve sufficiently high resolution to form images of pattern linewidths of about 71 nm and smaller. This performance is achieved with an imaging-optical system including aspherical-surface mirrors having currently practicable fabrication precision (0.4 to 0.5 nm (RMS)). A microlithography apparatus according to this embodiment is configured according to these performance levels, and shown in FIG. 1. The apparatus is a xe2x80x9cprojection-exposurexe2x80x9d apparatus that performs exposure of a mask pattern by xe2x80x9cstep-and-scan.xe2x80x9d Illumination of a reflective mask 1 is performed using soft X-rays having a wavelength of about 30 nm. In FIG. 1, the Z-direction is the optical-axis direction, the X-direction is perpendicular to the Z-direction and extends across the plane of the page, and the Y-direction is perpendicular to both the X-direction and the Z-direction and extends perpendicularly to the plane of the page. The FIG. 1 apparatus comprises a vacuum chamber 4 enclosing an imaging-optical system 3, a mask stage 17 (for holding the reflective mask 1), and a substrate stage 18 (for holding a substrate, e.g., a wafer 2). A separate vacuum subchamber 6 encloses the xe2x80x9clight sourcexe2x80x9d (described below) used to illuminate the mask 1. The vacuum chamber 4 is required because soft X-rays, used as the illumination xe2x80x9clight,xe2x80x9d has a low transmissivity in air. Consequently, the light path through which the soft X-rays pass is contained in the vacuum chamber 4 and thus shielded from the atmosphere. The vacuum chamber 4 is evacuated by a vacuum pump system 5. During an exposure made using the FIG. 1 apparatus, the mask stage 17 (holding the mask 1) and the substrate stage 18 (holding the wafer 2) are scanned at respective velocities in a linear direction (here, the X-direction) relative to the imaging-optical system 3. Meanwhile, SXR light reflected from illuminated portions of the mask 1 propagates through the imaging-optical system 3, which projects respective images of the illuminated portions onto the wafer 2. I.e., the reflective mask 1 is used as a projection pattern master for producing corresponding images on the wafer 2. The apparatus employs a step-and-scan scheme to transfer the entire circuit pattern, as defined on the reflective mask 1, to multiple respective xe2x80x9cshot fieldsxe2x80x9d on the wafer 2. The source of SXR xe2x80x9clightxe2x80x9d in this embodiment is a laser-plasma light source that utilizes a target material for producing soft X-rays. By way of example, the target material can be a gas delivered from a gas supply 9 and discharged from a nozzle 11 as a gas jet into the vacuum subchamber 6. Meanwhile, a laser light source 8 produces pulses of laser light having a wavelength in the infrared to ultraviolet range. By way of example, the laser light source 8 can be a YAG laser or excimer laser. Pulsatile laser light from the laser light source 8 is directed into the vacuum subchamber 6 through a laser-entry window 12. The laser light is focused by a lens 13 onto the target material (e.g., jet of gas) discharged from the nozzle 11. Illumination of the target material by the pulses of laser light results in absorption of the laser light by the target material, with consequent heating of the target material sufficiently to ionize molecules of the target material and form a plasma 14. Excited target-material ions in the plasma tend to shift toward a lower potential state, and the excess energy is liberated from the transition as soft X-rays. So long as the light source produces soft X-rays from a plasma formed in such a manner, the target material is not limited to being in a gaseous state. Alternatively, for example, the target material can be a liquid or suspension of fine particles, or the like. In other words, any of various target materials can be used, so long as the target material generates, when irradiated by a laser, SXR light having a wavelength of 30 nm for use in this embodiment. The vacuum subchamber 6 includes a window 7 to allow escape of a beam of SXR radiation. The vacuum subchamber 6 is desirable because the tip of the nozzle 11, from which the target material is discharged, tends to produce debris (e.g., flying dust) that is cast off by the plasma. To avoid contaminating the vacuum chamber 4 with such dust, it is desirable that the light source be enclosed within the vacuum subchamber 6 that is separate from the vacuum chamber 4 and equipped with a separate vacuum pump system 10. The optical components (e.g., item 15) situated inside the vacuum subchamber 6 constitute a portion of the xe2x80x9cillumination-optical systemxe2x80x9d of the FIG. 1 configuration. The illumination-optical system includes a parabolic mirror 15 situated so as to surround the plasma 14 partially, wherein the focal point of the parabolic mirror 15 is located within the plasma. The parabolic mirror 15 includes a multilayer reflective film that reflects soft X-rays. The reflected soft X-rays pass through the window 7 in the vacuum subchamber 6 and propagate toward a multilayer-film mirror 16 that also constitutes a portion of the illumination-optical system. The window 7, desirably made of an aluminum thin film, not only performs the function of vacuum isolation, but also serves as a filter that blocks transmission of extraneous wavelengths. Soft X-rays reflected and focused by the multilayer-film mirror 16 are incident on the reflective mask 1 so as to illuminate a specified illumination field on the reflective mask 1. Even though only one multilayer-film mirror 16 is illustrated in FIG. 1, it will be understood that multiple such mirrors can be employed in the illumination-optical system between the parabolic mirror 15 and the mask 1 to appropriately direct illumination SXR light to the mask 1. In the FIG. 1 configuration, the reflective mask 1 is illuminated by critical illumination. However, it will be understood that illumination of the mask alternatively can be by Koehler illumination or Koehler critical illumination. A flux of SXR light reflected from the mask 1, and containing pattern information from the illuminated region of the mask 1, enters the imaging-optical system 3 (also termed a xe2x80x9cprojection-optical systemxe2x80x9d). In the FIG. 1 configuration, the imaging-optical system 3 comprises a concave first mirror 3a, a convex second mirror 3b, a concave third mirror 3c, a concave fourth mirror 3d, a convex fifth mirror 3e, and a concave sixth mirror 3f (a total of six mirrors). Some or all of these mirrors 3a-3f have an aspherical reflective surface profile. Each reflective surface comprises a multilayer film that reflects SXR radiation. The mirrors 3a-3f are disposed coaxially on a central axis AX. The numerical aperture of the imaging-optical system 3 in FIG. 1 is 0.25. The imaging-optical system 3 can be as described in U.S. Pat. No. 5,815,310. The first mirror 3a, third mirror 3c, fourth mirror 3d, fifth mirror 3e, and sixth mirror 3f are provided with respective cut-outs so as not to block the return light paths created by the various mirrors 3a-3f. The cutouts are shown in FIG. 1 by broken lines. In addition, an aperture (not shown) is disposed at the position of the second mirror 3b. Soft X-rays reflected by the mask 1 are reflected sequentially by the mirrors 3a-3f to form a xe2x80x9creducedxe2x80x9d (demagnified) image of the illuminated portion of the mask 1 within a respective region in an exposure field on the wafer 2. Image demagnification is based on a specified xe2x80x9cdemagnification ratioxe2x80x9d xcex2 (e.g., |xcex2|=xc2xc, ⅕, ⅙). The imaging-optical system 3 is constructed so as to be telecentric on the image side (i.e., the wafer side). For microlithographic exposure, the reflective mask 1 is mounted to and supported by the mask stage 17 that is movable along at least the X-direction. The substrate (wafer) 2 is mounted to and supported by the substrate stage 18 that is movable along the XYZ directions. During exposure, while an illumination field on the mask 1 is being irradiated with soft X-rays directed to the mask by the illumination-optical system, the mask 1 and wafer 2 are moved at a specified velocity ratio relative to the imaging-optical system 3. The velocity ratio is a function of the demagnification ratio of the imaging-optical system. Thus, the pattern defined on the mask 1 is exposed and transferred to a specified shot field on the wafer 2. In this embodiment, by utilizing soft X-rays having a wavelength of 30 nm and by configuring the imaging-optical system with a numerical aperture of at least 0.25, the mirrors 3a-3f of the imaging-optical system 3 can be manufactured with a form error of no more than 0.45 nm (RMS), thereby providing a resolution of 71 nm or less. With such an imaging-optical system 3, the wavefront error is less than 2.2 nm (RMS). At a numerical aperture of 0.25, resolution of 71 nm can be obtained at a wavelength of less than 36 nm. Even finer resolution can be obtained if the imaging-optical system 3 is configured with a numerical aperture of greater than 0.25. The FIG. 1 apparatus includes a partition 19 within which the substrate 2 and substrate stage 18 are situated. The partition 19 keeps the substrate in a space separate from the remainder of the space within the vacuum chamber 4 to prevent gaseous material, released from the resist on the wafer 2 during exposure, from depositing on and adversely affecting the mirrors 3a-3f. The space within the partition 19 is evacuated using a separate vacuum pump 20 that removes the gaseous material. Otherwise, the gaseous material could adsorb onto the surfaces of the reflective mirrors 3a-3f and the mask 1 and cause deterioration of the respective optical properties of these components. Each of the parabolic mirror 15, the multilayer-film mirror 16, the reflective mask 1, and the various mirrors constituting the imaging-optical system 3 is constructed with a respective support substrate. The support substrates are made of glass or other suitable material (e.g., Invar) having a low coefficient of thermal expansion. Each support substrate has a surface having a respective surficial profile suitable for use as a reflective mirror. Each such surface includes a multilayer film made from alternating layers of at least two materials having different refractive indices. Specifically, in order to reflect soft X-rays (with a wavelength of about 30 nm) with high efficiency, the reflective surface of each support substrate is coated with alternating layers of boron (a material having a refractive index that is as different as possible from that of a vacuum) and magnesium (a material havin a refractive index that is as close as possible to that of the vacuum). Desirably, the multilayer film comprises 30 lamina sets each consisting of a 4.5-nm-thick boron layer and a 10-nm-thick magnesium layer. The lamina sets are arranges such that the layers are in alternating order. A plot of calculated values of the reflective properties of a mirror having such a multilayer film is shown in FIG. 6. The peak reflectivity is approximately 62% and the half-widths is approximately 2.0 nm. Besides boron, ruthenium (Ru), manganese (Mn), yttrium (Y), zirconium (Zr), niobium (Nb), as well as alloys of and compounds containing these elements, can be used to make the high-refractive-index layers. Besides magnesium, lithium (Li), aluminum (Al), as well as alloys of and compounds containing these elements, can be used to make the low-refractive index layers. Further alternatively, the mirrors can have a reflective surface made from multiple alternating layers of boron (as the high-refractive-index material) and a Mgxe2x80x94Li alloy (as the low-refractive-index layer material). A plot of calculated values of the reflective properties of a mirror having such a multilayer film is shown in FIG. 7. The multilayer film on the mirror used to produce the data of FIG. 7 has 30 lamina sets each including a 5-nm-thick boron layer and a 10-nm-thick Mgxe2x80x94Li alloy layer. The lamina sets are arranged such that the layers are in alternating order. A specific Mgxe2x80x94Li alloy is a material called LA141 A (ASTM) that contains 13 to 15% (w/w) lithium. In calculations, boron/lithium multilayer films yield the highest reflectivity. But, since lithium-is an--extremely-reactive material it is difficult to maintain the periodic structure of the multilayer film over long periods. A multilayer film with substantially greater stability can be manufactured by using the Mgxe2x80x94Li alloy instead of lithium alone. A plot of calculated values of the reflective properties of a multilayer film in which manganese is used as the material for the high-refractive-index layer and magnesium is used as the material for the low-refractive-index layer is shown in FIG. 8. The multilayer film on the mirror used to produce the data of FIG. 8 has 30 lamina sets each including a 3.5-nm-thick manganese layer and a 11.5-nm-thick magnesium layer. The lamina sets are arranged such that the layers are in alternating order. The peak reflectivity of such an arrangement is approximately 56%, with a half-width of approximately 1.6 nm. A plot of calculated values of the reflective properties of a multilayer film in which niobium is used as the material for the high-refractive-index layer and magnesium is used as the material for the low-refractive-index layer is shown in FIG. 9. The multilayer film on the mirror used to produce the data of FIG. 9 has 30 lamina sets each including a 3-nm-thick niobium layer and a 12-nm-thick magnesium layer. The lamina sets are arranged such that the layers are in alternating order. The peak reflectivity of such an arrangement is approximately 45%, with a half-width of approximately 2.4 nm. With a wavelength of 30 nm (+/xe2x88x925 nm), multilayer films also may be formed on support substrates using zirconium as the material for the high-refractive-index layer and using magnesium as the low-refractive-index layer. A plot of calculated values of the reflective properties of such a multilayer film is shown in FIG. 10. The multilayer film on the mirror used to produce the data of FIG. 10 has 30 lamina sets each including a 3-nm-thick zirconium layer and a 12-nm-thick magnesium layer. The lamina sets are arranged such that the layers are in alternating order. The peak reflectivity of such an arrangement is approximately 46%, with a half-width of approximately 2.3 nm. Multilayer films also may be formed on support substrates using yttrium as the material for the high-refractive-index layer and using magnesium as the low-refractive-index layer. A plot of calculated values of the reflective properties of such a multilayer film is shown in FIG. 11. The multilayer film on the mirror used to produce the data of FIG. 11 has 30 lamina sets each including a 3-nm-thick yttrium layer and a 12-nm-thick magnesium layer. The lamina sets are arranged such that the layers are in alternating order. The peak reflectivity of such an arrangement is approximately 50%, with a half-width of approximately 1.8 nm. Hence, the reflectivity of each of the various mirrors used in the FIG. 1 embodiment can be altered (and improved) by forming multilayer films made from alternating layers of various materials on an aspherical support substrate. With such a configuration, wafer-exposure times can be shortened and processing capacity can be increased. Desirably, the materials used to form the multilayer films are selected to have optimal reflection spectra according to the spectrum of SXR xe2x80x9clightxe2x80x9d produced by the illumination-light source or other suitable light source. More than two materials can be used to form the multilayer film. For example, layers can be formed of two, three, or even four different types of materials. When forming a multilayer film using three types of materials, the materials desirably are selected so that the refractive indices (at the wavelength being used) of two of the three substances are nearly equal and the remaining material has a refractive index that is different from the refractive indices of the other two materials. (In the wavelength region of EUV, refractive index can be expressed by the complex number n=1xe2x88x92xcex4xe2x88x92ik, wherein ik is the absorption ratio. A variation of xcex4 of no more than 50% is encompassed by xe2x80x9cnearly equalxe2x80x9d, and a variation of more than 50% is encompassed by xe2x80x9cdifferentxe2x80x9d.) By sequentially laminating layers of these materials in an alternating manner, three types of layers actually are laminated together, but the resulting multilayer-film mirror is optically identical to a mirror of which the reflective surface is formed of layers of only two types of materials (one with a high refractive index and the other with a low refractive index, as defined above). The mirror can be constructed with either the high-refractive index layer or the low-refractive index layer having the two-layer structure, and the film thickness per layer can be made thinner. Since film stress is reduced by reducing the thicknesses of the films, deformation of the support substrate can be kept to a minimum after the alternating multilayer film has been formed. Second Representative Embodiment A second representative embodiment is depicted in FIG. 2, in which components that are the same as shown in FIG. 1 have the same respective reference numerals and are not described further. The embodiment of FIG. 2 is a step-and-scan system that utilizes SXR radiation having a wavelength of 20 nm as an illumination xe2x80x9clightxe2x80x9d for exposure. The illumination SXR light in this embodiment is produced by an undulator source 21, which is a type of synchrotron radiation source. The output of the undulator source 21 is routed to the vacuum chamber 4 by a vacuum conduit 22. The vacuum conduit 22 is connected to the vacuum chamber 4 via a window 7. The interior of the undulator source 21 must be maintained at an ultra-high vacuum of about 10xe2x88x9210 Torr, whereas a vacuum of up to about 10xe2x88x925 Torr inside the vacuum chamber 4 will absorb insignificant amounts of SXR radiation. Hence, the need for the window 7 that provides a vacuum seal but transmits SXR radiation from the undulator source 21. Soft X-rays passing through the window 7 are reflected by the multilayer-film mirror 16 to the reflective mask 1, as in the first representative embodiment. A flux of SXR radiation, reflected by the reflective mask 1 and containing information concerning the illuminated region of the mask 1, propagates to the imaging-optical system 3. Except for their respective numerical apertures, the imaging-optical system 3 of the FIG. 2 embodiment is configured identically to the imaging-optical system 3 of the FIG. 1 embodiment. The numerical aperture of the FIG. 2 embodiment is set to 0.2 by an appropriate adjustment of an aperture (not shown) disposed at the position of the second mirror 3b. To enable the mirrors 3a-3f to achieve an image resolution of 71 nm or less, they desirably are manufactured such that the wavefront error of the imaging-optical system 3 is less than 1.5 nm (RMS). This provides a sufficiently high-resolution microlithographic exposure apparatus even though the magnitude of the form error is not very small. If the numerical aperture is set to 0.15, then an image resolution of 71 nm can be obtained at wavelengths even shorter than 22 nm. Even finer resolutions can be obtained by setting the numerical aperture even larger. The parabolic mirror 16, the reflective mask 1, and the various mirrors constituting the imaging-optical system 3 are coated with alternating multilayer films of boron (B) as the high-refractive-index material and aluminum (Al) as the low-refractive-index material. These mirrors are effective for reflecting soft X-rays having wavelengths of about 20 nm. Desirably, the multilayer film consists of 40 lamina sets each including a 3-nm-thick boron layer and a 7-nm-thick aluminum layer. The lamina sets are arranged such that the layers are in alternating order. A plot of calculated values of the reflective properties of such a multilayer film is shown in FIG. 12, in which the peak reflectivity is approximately 52%, and the half-width is approximately 0.8 nm. Besides boron, any of ruthenium (Ru), manganese (Mn), yttrium (Y), zirconium (Zr), niobium (Nb), alloys of these elements, and compounds containing these elements can be used as the high-refractive-index material. Besides magnesium, any of lithium (Li), aluminum (Al), alloys of these elements, and compounds containing these elements can be used as the low-refractive-index material. Third Representative Embodiment A third representative embodiment is depicted in FIG. 3, in which components that are the same as shown in FIG. 1 have the same respective reference numerals and are not described further. The embodiment of FIG. 3 is a step-and-scan system that utilizes SXR radiation having a wavelength of 40 nm as an illumination xe2x80x9clightxe2x80x9d for exposure. The illumination SXR light is produced a plasma-focusing light source, as described in U.S. Pat. No. 5,763,930. The plasma-focusing light source is constructed from a central tungsten (W) hollow-pipe electrode (anode) 23 surrounded by a cylindrical electrode (cathode) 24. The anode is connected to a high-voltage pulse power supply 25 and the cathode 24 is grounded. Xenon (Xe) is introduced into the vacuum subchamber 6 as a buffer gas. Whenever a pulsed voltage is impressed between the anode 23 and the cathode 24, a plasma 14 is pinched at the tip of the anode 23, thereby increasing the temperature of the plasma to a temperature needed to emit soft X-rays. Specifically, as ions of the buffer gas that have been excited in the plasma shift toward a lower-potential baseline state, excess energy is emitted from the ions as soft X-rays. The plasma-focusing light source must be situated in the vacuum subchamber 6 (separate from the vacuum chamber 4) that is evacuated separately (by the vacuum pump system 10) because the tip of the anode 23 produces particulate material (dust) that is cast off by the plasma. The parabolic mirror 15, comprising part of the illumination-optical system, is situated so as to surround the plasma 14. The parabolic mirror 15 is positioned so that its focal point is nearly matched to the location of the plasma. The inner surface of the parabolic mirror 15 is coated with a multilayer film to reflect the soft X-rays. The reflected SXR radiation passes through the window 7 toward the multilayer-film mirror 16 (part of the illumination-optical system). SXR radiation reflected and focused by the multilayer-film mirror 16 propagates to the reflective mask 1 to illuminate a specified illumination field on the mask 1. Except for their respective numerical apertures, the imaging-optical system 3 of the FIG. 3 embodiment is configured identically to the imaging-optical system 3 of the FIG. 1 embodiment. The numerical aperture of the FIG. 3 embodiment is set to 0.4. Imaging-optical systems 3 having a numerical aperture of 0.4 are well known. For example, the reflective imaging-optical system described, e.g., in U.S. Pat. No. 5,815,310 may be used. The desired numerical aperture may be obtained by providing an aperture as discussed above. To enable the mirrors 3a-3f to achieve an image resolution of 71 nm or less, they desirably are manufactured such that the form error is less than 0.6 nm (RMS) and the wavefront error of the imaging-optical system 3 is less than 3 nm (RMS). This configuration provides a sufficiently high-resolution microlithographic exposure apparatus even though the magnitude of the form error is not very small. By setting the numerical aperture to 0.3, the resulting microlithographic exposure apparatus can produce a resolution of 71 nm at wavelengths of less than 43 nm. Even finer resolution can be obtained by setting the numerical aperture greater than 0.3. The parabolic mirror 16, the reflective mask 1, and the various mirrors constituting the imaging-optical system 3 desirably are coated with alternating multilayer films of ruthenium (Ru) as the high-refractive-index material and magnesium (Mg) as the low-refractive-index material. Such reflective surfaces are effective for reflecting soft X-rays having a wavelength of about 30 nm. Desirably, the multilayer film comprises 30 lamina sets each including a 5-nm-thick ruthenium layer and a 15-nm-thick magnesium layer. The lamina sets are arranged such that the layers are in alternating order. A plot of calculated values of the reflective properties of such a multilayer film is shown in FIG. 13, in which the peak reflectivity is approximately 57%, and the half-width is approximately 3.9 nm. Besides ruthenium (Ru), manganese (Mn), yttrium (Y), zirconium (Zr), niobium (Nb), alloys of these elements, and compounds containing these elements can be used as the high-refractive-index material. Besides magnesium, lithium (Li), aluminum (Al), alloys of these elements, and compounds containing these elements can be used as the low-refractive-index material. A plot of calculated values of the reflective properties of an alternating multilayer film in which ruthenium is the high-refractive-index material and aluminum is the low-refractive-index material is shown in FIG. 14. This multilayer film comprises 30 lamina sets each including a 5-nm-thick ruthenium layer and a 15-nm-thick aluminum layer. The lamina sets are arranged such that the layers are in alternating order. The peak reflectivity is approximately 45% and the half-width is approximately 3.8 nm. Fourth Representative Embodiment A fourth representative embodiment is depicted in FIG. 4, in which components that are the same as shown in FIG. 1 have the same respective reference numerals and are not described further. The embodiment of FIG. 4 is a step-and-scan system that utilizes SXR radiation having a wavelength of 50 nm as an illumination xe2x80x9clightxe2x80x9d for exposure. The exposure xe2x80x9clightxe2x80x9d source in this embodiment is an X-ray laser 26 employing capillary radiation. Rocca, SPIE Proc. 3776:18, 1999. This type of source produces a discharge plasma in a long, slender, hollow electrode in an argon gas atmosphere. The Ne-like argon ions in the plasma produce soft X-rays having a wavelength of 46.9 nm. SXR xe2x80x9clightxe2x80x9d from the X-ray laser 26 is conducted to the vacuum chamber 4 through a vacuum conduit 22 through the window 7. The window 7 prevents argon gas used inside the X-ray laser 26 from infiltrating into the vacuum chamber 4. Except for their respective numerical apertures, the imaging-optical system 3 of the FIG. 4 embodiment is configured identically to the imaging-optical system 3 of the FIG. 1 embodiment. The numerical aperture of the FIG. 4 embodiment is set to 0.5. Imaging-optical systems 3 having a numerical aperture of 0.5 are well known. For example, the reflective imaging-optical system described, e.g., in U.S. Pat. No. 5,815,310 may be used. The desired numerical aperture may be obtained by providing an aperture as discussed above. To enable the mirrors 3a-3f to achieve an image resolution of 71 nm or less, they desirably are manufactured such that the form error is less than 0.7 nm (RMS) and the wavefront error of the imaging-optical system 3 is less than 3.5 nm (RMS). This configuration provides a sufficiently high-resolution microlithographic exposure apparatus even though the magnitude of the form error is not very small. By setting the numerical aperture to 0.35, the resulting microlithographic exposure apparatus can produce a resolution of 71 nm at wavelengths of less than 50 nm. Even finer resolution can be obtained by setting the numerical aperture greater than 0.35. The parabolic mirror 16, the reflective mask 1, and the various mirrors constituting the imaging-optical system 3 desirably are coated with alternating multilayer films of ruthenium (Ru) as the high-refractive-index material and aluminum (Al) as the low-refractive-index material. Such reflective surfaces are effective for reflecting soft X-rays having a wavelength of about 46.9 nm. Desirably, the multilayer film comprises 20 lamina sets each including a 6-nm-thick ruthenium layer and a 19-nm-thick magnesium layer. The lamina sets are arranged such that the layers are in alternating order. As described above, by using a SXR wavelength selected within the range of 20 nm to 50 nm and forming multilayer films of materials, as listed above, on the various reflective mirrors of the illumination-optical system and imaging-optical system, SXR (EUV) microlithography apparatus are configured that achieve a throughput of, for example, at least 50 12-inch wafers per hour at a resolution of 71 nm or finer. Fifth Representative Embodiment This embodiment is directed to methods for manufacturing microelectronic devices using a SXR (EUV) microlithography apparatus as described above. This embodiment of a microelectronic-device manufacturing process includes the following main steps, each of which comprising a set of respective sub-steps: (1) Wafer manufacturing and preparation. (2) Mask manufacturing and preparation. (3) Wafer processing to form each of the desired microelectronic devices (xe2x80x9cchipsxe2x80x9d) on the wafer. (4) Chip assembly, in which the chips formed on the wafer are cleaved into individual chips and made operable. (5) Chip inspection, in which the completed chips are inspected and their operability is verified. Among the main steps listed above, wafer processing is key to achieving the smallest feature sizes (critical dimensions), best inter-layer registration, and best general performance of the microelectronic devices. In the wafer-processing step, multiple circuit patterns are layered successively atop one another on the wafer to form the chips (e.g., microprocessor chips, memory chips), wherein the formation of each layer typically involves multiple sub-steps. Usually, many operative chips are produced on each wafer. Typical wafer-processing steps include: (1) thin-film formation (by, e.g., CVD or sputtering) involving formation of dielectric layer for electrical insulation or a metal layer for connecting wires or electrodes; (2) oxidation of the wafer substrate or of the thin-film layer; (3) microlithography to form a resist pattern (corresponding to the mask pattern) for selective processing of the thin film or the substrate itself; (4) etching or analogous step (e.g., dry etching) to etch the thin film or substrate according to the resist pattern; (5) implantation of ions or impurities into the thin film or substrate according to the resist pattern; (6) resist stripping to remove the resist from the wafer; and (7) chip inspection to verify that steps (1)-(6) achieved the desired results. The wafer-processing process is repeated as required (typically many times) to fabricate the desired microelectronic devices on the wafer. Methods and apparatus according to the invention are especially useful in the microlithography step, which is the key step in wafer processing. The microlithography step typically includes the following sub-steps: (a) resist-application step, wherein a suitable resist is coated on the wafer substrate (which can include circuit elements formed in a previous wafer-processing step); (b) microlithographic exposure of the resist with the desired mask pattern; (c) resist development, in which the resist is processed to retain the exposed pattern; and (d) optional annealing step to stabilize the developed pattern in the resist. Each of the microelectronic-device manufacturing steps outlined above is well-known, and further explanation of them is unnecessary. In the microelectronic-device manufacturing method summarized above, a microlithography apparatus according to, e.g., any of the representative embodiments described above is used. Performing wafer processing in such a manner allows microelectronic devices to be manufactured having higher levels of integration than conventionally attainable. As noted above, it is common for the wafer-processing steps to be repeated multiple times so as to overlay multiple circuit patterns accurately on the wafer. However, whenever wafer processing is performed multiple times, the resulting structure as formed on the wafer acquires a height dimension (a difference in elevation between the highest point on the wafer surface and the lowest point on the wafer surface). The depth of focus (DOF) of a microlithography apparatus as described above is a function of illumination-light wavelength (xcex) and numerical aperture (NA) of the imaging-optical system, according to Equation (4): DOF=(k2)xcex/(NA)2xe2x80x83xe2x80x83(4) wherein k2 is an empirical coefficient that depends not only on the characteristics of the optical system, but also on the characteristics of the resist. Depths of focus relative to various wavelengths and numerical apertures (where k2=1) are listed in Table 3. By viewing Table 3 in comparison with Table 1, the depth of focus is less than 1 xcexcm at a resolution of 71 nm or finer within the wavelength range of 20 nm to 50 nm. Even with such a shallow depth of focus, it is nevertheless necessary to transfer pattern elements, defined by the mask, to the wafer in a manner that achieves accurate pattern-element definition across the entire exposure field of the wafer, especially if wafer processing is repeated multiple times. Hence, it typically is necessary, especially whenever the wafer-processing step is repeated multiple times, to include a wafer-planarization step before a microlithography step. Wafer planarization typically is performed by wafer polishing (e.g., by chemical mechanical polishing). The wafer planarization step planarizes the pattern structure previously formed on the wafer. In chemical mechanical polishing (CMP), a polishing pad is adhered to a polishing head. The wafer, mounted to a polishing platen, is urged against the polishing head to which a suitable polishing slurry has been applied. As polishing proceeds, the polishing platen and wafer head undergo rotation about respective axes and relative linear or orbital motion. A representative wafer-polishing apparatus that can be used to perform CMP is shown in FIG. 15. The apparatus includes a polishing pad 154 (the pad 154 is depicted smaller than the wafer 2). The polishing pad 154 is mounted to a platen 155 attached to a xe2x80x9cvibrationxe2x80x9d mechanism 157. The vibration mechanism 157 imparts at least a reciprocating motion (small double-headed arrow) and optionally also a rotational motion to the platen 155 (and hence to the polishing pad 154). The vibration mechanism 157 is mounted to a linear-feed mechanism (not shown) that moves the vibration mechanism 157 and the platen 155 across (arrow 256) the surface of the wafer 2. The vibration mechanism 157 also is mounted to a vertical-feed mechanism (not shown) that urges the polishing pad 154 against the surface of the wafer (arrow P). Meanwhile, the wafer 2 is held on a wafer head 151 attached to a rotary shaft 152. The rotary shaft 152 is attached to a rotation mechanism (not shown) configured to rotate the shaft 152 (arrow 153) and hence the wafer head 151 and attached wafer 2 during polishing. Wafer polishing is achieved by the combined rotation of the wafer 2, vibration of the polishing pad 154, urging of the polishing pad against the wafer 2, and movement of the polishing pad across the surface of the wafer. The polishing apparatus further comprises an optical monitor 160 configured to ascertain the extent of polish of the wafer as the wafer is being polished, and to determine the timing of completion of polishing. To such end, the optical monitor directs a probe light (arrow 158) onto the wafer 2 and monitors changes in reflected light as polishing proceeds. The optical monitor 160 can be moved (arrow 159) across the wafer synchronously with the motion 156 of the polishing pad 154. Using a wafer-polishing apparatus as described above, planarity of the wafer surface is maintained throughout wafer processing, allowing microlithography to be performed for multiple overlay exposures at a shallow depth of focus. Conventionally, it was necessary for the resist to have sufficient thickness to withstand dry etching. Under such conditions, microlithography apparatus having large DOF were necessary. From a practical standpoint, however, there are limits to the aspect ratio (ratio of height to width) of a resist pattern that can be developed after exposure (e.g., the thickness of the resist tends to be thinner with more intricate circuit patterns). By performing microlithography according to the present invention, wafer processing is possible that can achieve a pattern resolution of 71 nm and finer, with thinner resists. Under such conditions, the preferred DOF of the microlithography apparatus is approximately 0.2 xcexcm or more. Whereas the invention has been described in connection with multiple representative embodiments, it will be understood that the invention is not limited to those embodiments. On the contrary, the invention is intended to encompass all modifications, alternatives, and equivalents as may be included within the spirit and scope of the invention, as defined by the appended claims. |
|
055043445 | summary | BACKGROUND OF THE INVENTION Radiation source containers, such as contained irradiators, shipping casks and the like that contain radioactive material, such as cesium-137 or cobalt-60, are subject to a difficult design problem. Most of these devices are constructed of steel and/or lead, and although components can be fabricated with some degree of precision, it is still necessary to have components that move relative to each other, for example a movable or removable closure system for the radiation source container. In such instances, it is desirable or necessary to provide fairly large tolerances to accommodate considerable expansion and contraction, and to avoid a "tight fit" to facilitate assembly, in many cases by robotic equipment. This in turn results in cracks or gaps between adjacent faces on adjoining components. Radiation from the sources will "stream" through these cracks escaping from the unit, unless means are employed to prevent such escape. The most obvious manner of attempting to reduce radiation escape is to keep the gap between the components as small as possible. This approach is limited by the possibility that the components may collide and cause binding. This binding or jamming can in turn require that the unit be repaired, usually remotely in a hot cell or water pool, which is both inconvenient and quite expensive. Therefore, the designer will want to keep the component interface distance as large as possible and yet meet the radiation integrity requirements. The most commonly used manner of preventing radiation streaming is by the use of "steps," as illustrated in prior art FIG. 3. Gamma photons travel in straight lines and, unlike visible light photons, there is very little reflection off surfaces on impact. A typical reflection albedo is in the range of 1%. The use of stepped gaps or passages, whether angular as in FIG. 3 or arcuate as in prior art FIG. 4, is very effective in reducing streaming. The steps are set perpendicular to the direction of photon travel, and on impact, most of the photons are absorbed by the material of the encountered surfaces where they are converted to low grade heat. The rest of the photons scatter. A small percentage are "reflected" and stream on through the gap until they impact the second turn in the step and the process is repeated. This traps even more photons. The curved joinder of FIG. 4 functions in basically the same manner. While the stepped shield is very effective, it is not usually in itself sufficient. For example, it is sometimes necessary to reduce radiation levels from the inside of an irradiation chamber to the outside by a factor of more than a billion. Multiple steps are helpful, but present additional design problems, and can complicate assembly. Further, as schematically suggested in FIG. 5 at A, the laterally angling random radiation flux is substantially unimpeded between the opposed planar faces. Other approaches have been proposed, such as filling the gaps with mercury, thus forming a continuous high density fluid shield between components. However, mercury vapor is toxic, and an inadvertent leak of this fluid would breach shield integrity. This could occur by simply turning a portable radiation source container, such as a cask, upside down. SUMMARY OF THE INVENTION The present invention is concerned with the reduction of isotropic radiation streaming in a plane defined between two adjacent surfaces to a degree substantially beyond that heretofore considered possible. This is achieved by essentially preventing the photons which are other than collimated from moving at angles between the surfaces from the radiation source to the exterior. This is accomplished by "ridging" the two adjacent surfaces and "interlocking" or "interdigitating" the ridged surfaces. The ridging is effected by providing each surface with alternating ridges and valleys of complimentary configurations and extending in the longitudinal direction of the flow of photons outward from the radiation source. The height and depth of the ridges and valleys are such as to allow for substantial interdigitating of the surfaces with each other whereby, even assuming substantial tolerances or gaps to accommodate expansion and contraction and trouble-free relative movement, there is a substantial barrier to lateral flow of photons and a resultant substantial increase in the photon absorption effectiveness. The photon absorption effectiveness of the shield formed by the interdigitated surfaces will vary with the specific surface design, that is the configuration of the ridges and valleys, whether sharply peaked, rectangular, semi-circular, parabolic, or the like. Other obvious variables will include the actual width of the gap between the interdigitating surfaces, the nature of the materials and the collimation length, that is the distance of photon travel along the shield components or surfaces. As desired the ridged configuration can be combined with the prior art stepping as a further means for enhancing the effectiveness of the formed barrier. As an order of magnitude estimate for the effectiveness of the radiation shield of the invention, it is contemplated that a typical reduction of radiation streaming be by a factor of 1,000. Additional objects, features and advantages of the invention will become apparent as the details of the invention are more fully hereinafter presented |
043895719 | description | DETAILED DESCRIPTION OF THE INVENTION A sextupole is an electromagnetic focusing lens which can be generically described as having six electrodes or poles spaced symmetrically about and parallel to an optical axis, with each of the electrodes being of alternate polarity. As is well known, sextupoles can be electrical by being formed of parallel wires having currents passed therethrough, electrostatic by having voltages applied to plates, or magnetic by proper arrangement of the poles of a magnet. As described in U.S. Pat. No. 4,303,864 entitled, "Sextupole System for the Correction of Spherical Aberration," an invention of Albert V. Crewe and David A. Kopf, issued Dec. 1, 1981, U.S. Pat. No. 4,303,864, the third-order astigmatic aberrations of a single sextupole can be utilized to provide a spherical or third order aberration which is equal and opposite to third-order aberrations of a round lens. However, such systems did not correct fifth, seventh, and odd number higher order aberrations of the lens, and fourth, fifth, sixth and all higher order aberrations of the sextupole. Further, the odd number higher order aberrations of the sextupole are not equivalent to the higher order aberrations of the lens and cannot offer correction thereof. By utilizing the correction system of the invention, the aforementioned higher order aberrations can be eliminated or reduced with an improvement in the resolution in a probe-forming system. To the extent the prior application of Albert V. Crewe and David A. Kopf contains material necessary for this disclosure, it is hereby incorporated by reference. An analysis of a sextupole's performance will be helpful in appreciating applicant's invention. The exact equations of motion of an electron in a sextupole can be written in rectangular coordinates as EQU x"=k((x.sup.2 -y.sup.2)(1+x'.sup.2)-2xyx'y')(1+x'.sup.2 +y'.sup.2).sup.1/2 EQU y"=-k(2xy(1+y'.sup.2)-(x.sup.2 -y.sup.2)x'y')(1+x'.sup.2 +y'.sup.2).sup.1/2 where k is a parameter which indicates the strength of the sextupole ##EQU1## B.sub.r is the maximum magnetic field encountered at a radius r, R is the magnetic rigidity of the electrons in gauss cm. and all derivatives are with respect to z, the coordinate along the optic axis. If only those terms up to third order are included, the equations reduce to EQU x"=k(x.sup.2 -y.sup.2). EQU y"=-2kxy and a solution in series can be obtained using an input amplitude x.sub.0,y.sub.0 and input slopes ##EQU2## The output of the sextupole is given by the following "output" equation ##EQU3## where EQU L=1/2+.gamma./3+.gamma..sup.2 /12 EQU N=1+.gamma.+.gamma..sup.2 /3 EQU M=1/12+.gamma./12+.gamma..sup.2 /30+.gamma..sup.3 /252 EQU P1/3+5.gamma./12+.gamma..sup.2 /6+.gamma..sup.3 /36 and the only solution of interest is the case of .gamma.=-1 which represents a beam crossover in the center of the sextupole. In this case EQU L=1/6 EQU N=1/3 EQU M=-1/252 EQU P=-1/18 and the condition of the beam at points of particular interest can now be calculated At the virtual source, which is at the center of the sextupole, ##EQU4## which is a cylindrically symmetric virtual source with positive spherical aberration; that is, it is opposite in sign to that of a round lens. If the existence of a field-free region of length .rho.z between the exit face of the sextupole and the principal plane of such a lens is assumed, the beam conditions in the principal plane can be calculated: ##EQU5## The predominant terms in x.sub.a,y.sub.a are the first ones in each case, and they lead to spherical aberration in the objective lens. Considering only these terms, the conditions at the image can be synthesized by summing the gaussian image of x.sub.s,y.sub.s and the aberration term induced by x.sub.a,y.sub.a. For example, ##EQU6## where C.sub.s is the coefficient of spherical aberration of the lens and f is its focal length. x.sub.i can be made zero by choosing ##EQU7## With this choice, then, the effect of the spherical aberration coefficient (C.sub.s) of the round lens in the final image is eliminated at least to third order. If cylindrical coordinates in the entrance plane of the sextupole are used, the following approximation is given: EQU r.sub.a =.alpha.f(1-E cos 3.theta.).sup.2 where .alpha. is the semi angle of convergence of the beam at the image and ##EQU8## Then the conditions at the image are given by ##EQU9## It can be seen that the beam is not cylindrically symmetric in the aperture plane, but rather has a strong 3.theta. dependence. This introduces additional aberrations in the image plane. Correction to third order can be made complete by choosing k=k.sub.0 but higher order terms such as r.sub.0.sup.4 cos 3.theta. will be introduced. In the following, a specific lens is considered, having the values EQU f=0.1 cm EQU C.sub.s =0.04 cm In the image plane, the effect of spherical aberration is to produce a disc of radius C.sub.s .alpha..sup.3. The extreme ray crosses the axis in front of the gaussian focus and the entire bundle of rays forms a beam which reaches a minimum radius of ##EQU10## three quarters of the way between the gaussian focus and the extreme ray focus. The optimum value for .alpha.(.alpha..sub.0) can be calculated using wave optical theory and the optimum value is ##EQU11## where .lambda. is the wavelength of the electrons. This choice allows just one wavelength of aberration. Using this value, we can calculate three different beam radii. 1. .delta..sub.i =2.83 C.sub.s 1/4.lambda.3/4 in the gaussian image plane (geometrical) PA0 2. .delta..sub.g =0.70 C.sub.s 1/4.lambda.3/4 in the best image plane (geometrical) PA0 3. .delta..sub.0 =0.43 C.sub.s 1/4.lambda.3/4 in the best image plane (wave optical) If the above-described reference lens is used with 75 Kv electrons, .lambda.=0.0559 A PA1 .alpha..sub.0 =15.37 mr PA1 .sigma..sub.i =14.55 A PA1 .sigma..sub.g =3.599 A, and PA1 .sigma..sub.0 =2.21 A. FIG. 1 indicates the performance of this particular lens calculated geometrically. This figure shows the image size of a ray bundle as a function of defocus value, as measured from the image plane of a reference lens. The rays are shown at intervals of 1 mr up to a maximum of 15 mr. This set of rays or "ray bundle" shows the expected difference between .sigma..sub.i and .sigma..sub.g and the position of the minimum in .sigma..sub.g at about 700 A under focus. In order to reduce the diffraction limited resolution, the semi-angle of convergence .alpha. must be increased. In particular, if an ultimate goal of achieving a 1 A resolution is to be realized, this angle must be increased to approximately 30 mr. This, in turn, will increase .sigma..sub.i by a factor of 8 which, in the absence of a corrector, would introduce 16 wavelengths of spherical aberration. Any correction device must then achieve a reduction of about a factor of 16 in the values of .sigma..sub.i or .sigma..sub.g. The correction system according to the invention comprises two sextupoles with an intermediate focusing lens, wherein a beam crossover is provided in the center of each sextupole. This system provides the desired reduction in .sigma..sub.i or .sigma..sub.g, such that it provides reduced probe size and increased image magnification levels. Referring to FIG. 2, there is shown one embodiment of a charged particle beam device utilizing two sextupoles and an intermediate weak lens to eliminate spherical and higher order aberration. A correction means 10 is provided for a principle lens 12 which focuses a particle beam 14 from beam source 16, on final image plane 20. Correction means 10 comprises focusing lens 22, sextupoles 24, 26 and an intermediate lens 28, positioned between sextupoles 24, 26. In practice, adjustments must be made so that the particle beam passes accurately along the optical axis 30. These adjustments can be obtained by electrically controlling steering coil pairs 32 and 34. The same effect could be produced by physical movement of sextupoles 24, 26, and intermediate lens 28. Lenses 22, 28 focus beam path 14 at the centers of sextupoles 24, 26 so as to eliminate the second order aberrations of those sextupoles. For a given system with defined sextupole lengths and a defined distance between the centers of elements 26, 12, the average strength of sextupoles 24, 26 can be chosen so as to correct third order aberration in principle lens 12. The design of such a system for correcting third order aberration, designated by the numeral 36, was shown and described in U.S. Pat. No. 4,303,864 an invention of Dr. Albert Crewe, inventor of the present invention, and David A. Kopf, issued Dec. 1, 1981. In that application, mathematical relationships were defined for the sextupole strength values which provide the described third order aberration correction. However, after the average strength of sextupoles 24, 26 is adjusted, a large sized higher order radially asymmetric aberration results. By decreasing the strength of down stream sextupole 26 relative to upstream sextupole 24, and maintaining the required average overall strength of sextupoles 24, 26, a significant reduction in the size of higher order aberrations is obtained. The effect of such a system in the image plane of a round lens is shown in FIG. 3 as a function of the strength of the sextupoles, both sextupoles being held at equal strengths. The effect i.e. the size of the beam in an image plane of a round lens, shown for various values of .alpha. ranging from -30 mr to +30 mr depicts an improvement over single sextupole systems, but higher order aberrations are significant. According to the invention, the sextupoles are operated at unequal strengths, so that the noncylindrically symmetric input to the downstream sextupole will be acted upon so as to interact with third order aberrations of the input in precisely the same manner as a round lens, but in a direction opposite thereto. FIGS. 4a-4d l show various arrangements of upstream sextupole strengths k, and downstream sextupole strengths bk. Using a value of b=0.6 and choosing values of k in the range 8 to 8.2, ray bundles were constructed to find, using analytical techniques, the optimum image position for the system described above. The best position, (S.sub.min), shown in FIG. 5, is for b=0.6, k=8 which shows a beam approximately 2 A in radius at a defocus value of about 400 A. As in FIG. 1, image size is plotted as a function of defocus value for a range of .alpha. from -30 mr to +30 mr. The beam shape at this position (S.sub.min) was calculated analytically using .alpha.=5,10 . . . ,30 mr. The results are shown in FIGS. 6a-6f. It can be seen that the beam is almost cylindrically symmetric up to .alpha.=15 mr and thereafter becomes double-valued with a three-lobed appearance. Nevertheless, it would appear that such a system is usable up to .alpha.=25 mr. At this angle, the diffraction limited resolution would be about 1.36 A. In FIG. 7, the size of the beam in the image plane of the lens is shown as a function of k.sub.s for b=0.6. The general shape of the curves is the same as in the more approximate calculations, and corresponds most closely to b=0.7 in those computations. A complete digital solution of a ray bundle for k=8, b=0.6 is shown in FIG. 8. This corresponds most closely to k=9 in the previous case. Reasonable performance is found at k=8.5, b=0.5 with a 400 A under focus condition (S.sub.min). The shape of the beam at S.sub.min, according to a complete digital numerical technique, is shown in FIGS. 9a-9c for .alpha.=10 mr, 20 mr, and 30 mr. The maximum geometrical radius of the beam is less than 3 A for a 30 mr beam, a very substantial improvement over the case of no corrector (approximately a factor of 10). The final resolution is very close to the diffraction limit. In summary, a principal lens was described above as having a focal length of 0.1 cm, a coefficient of spherical aberration (C.sub.s), of 0.04 cm, and a semiangle of convergence of 15 mr. In order to increase the diffraction limited resolution of the lens, the semiangle of convergence of the lens must be doubled, resulting in an eight-fold increase of resolution, approximately 100 angstroms. Two sextupoles with an intermediate focusing lens were added to the above system. While maintaining an approximate equality of the strength of each sextupole, resolution was reduced to approximately 15 angstroms by correcting third order aberration in the principle lens, using techniques set forth in the aforementioned patent application of Albert V. Crewe and David A. Kopf. Then, by decreasing the strength of the downstream sextupole while maintaining the overall sextupole strength constant, resolution was reduced to approximately 2 angstroms for the above-described system. The ratio of downstream to upstream sextupole strengths was set at 60 percent, with an upstream sextupole strength of 8.0. According to the invention, the sextupole strength ratio can range from 40% to 80% depending primarily upon the length of the sextupoles and the distance between the focal planes of the downstream sextupole and the principle lens elements. The ratios for a particular system must be held within a fraction of a percent, typically to within a few parts in a thousand. The strengths of each sextupole must also be held to the same tolerances. |
summary | ||
048448613 | description | DETAILED DESCRIPTION OF A PREFERRED EMBODIMENT Referring to FIG. 1, a fuel assembly suitable for use in a spectral shift pressurized light water reactor is diagrammatically illustrated, of the tube also described, for example, in French No. 2,496,320 (Westinghouse) or French No. 2,535,502 (FRAMATOME et Cie) to which reference may be made. The fuel assembly 10 comprises fissile fuel elements (not shown) disposed at the nodes of a square mesh lattice. Guide tubes are located at a plurality of nodes of the lattice and are also distributed regularly. The guide tubes are of two different types. Guide tubes 12 form the framework of the assembly and are joined to end pieces and to grids, whereas other guide tubes 13 are not connected mechanically to the end pieces and are slidably received in cells of the grids. Some of the guide tubes are for guiding control elements (i.e., "black" or "grey" rods) containing neutron absorbing material and for controlling the reactivity. Other ones of the guide tubes are provided for guiding elongate elements for adjusting the moderation ratio, these will typically be elements containing fertile material and/or provided for driving out the water contained in guide tubes. In the center of the fuel element lattice an instrumentation tube 14 may be provided. The skeleton of the assembly comprises, in addition to the tubes, a lower end piece 15, an upper end piece 16 and a series of grids which will now be described. These grids, fixed to some at least of the guide tubes, may be divided into four categories, which will be successively described and which will be designated by the names of "bottom grids 18", "median grids 20", "top grids 21" and "endmost support grid 22". Grids 18, 20, 21 and 22 are formed by two sets of cross braced plates assembled together by lap jointing and defining cells. In general, grids 18, 20, 21 and 22 will be formed from a zirconium based alloy with low capture cross section, often an alloy called "ZIRCALOY". However, grid 22, which has a supporting function, may be formed from an alloy having better mechanical characteristics, for example an "INCONEL". FIG. 2 shows the appearance, in plan view, of girds 18, 20 and 21. These grids comprise two sets of plates 24 in which are formed with slits 26 (FIG. 3) for mutual interengagement of the plates. Once fitted together, the plates are welded at points 28, for example by an electron beam. Plates 24 are pressed so as to create bosses projecting from each side of the plate, into two adjacent cells, such as cells 30 and 32 in FIG. 2. These bosses provide a cross bracing of the fissile material elements, such as element 34 shown in FIG. 2. Grids 18 (FIGS. 3 and 4) comprise, on each face of a cell, a single boss. The bosses corresponding to cell 30 are designated by the reference 36 of FIGS. 3 and 4. The bosses on two opposed faces are at a different level from that of the bosses carried by the two perpendicular faces. Each fissile material element 34 is therefore cross braced by contact with four bosses. With each boss 36 is associated a boss 38 orientated in the opposite direction, except in the case of the walls which define a cell occupied by a guide tube 13 (FIG. 2). Grids 18 are not provided with fins. They participate therefore only to a small extent in mixing the fluid streams and consequently, cause only a very small pressure drop. This absence of mixing does not represent an appreciable disadvantage for, in the zone where they are situated, the coolant is till far from the maximum temperature which it will reach at the outlet of the assembly. Grids 18 essentially provide cross bracing of the elements, which result may be obtained with a relatively low longitudinal of the grids and without bulky fissile element support bosses. Grids 18 may be connected to the guide tubes 12 by lugs extending the plates and welded to the guide tubes. Grids 18 may easy fit in the cells concerned, or held in contact with the guide tubes 13 by projections formed on the plates by deformation. The median grids 20 may have the general construction shown in FIGS. 5 and 6. These grids fulfill practically simply be positioned with an used in conventional fuel assemblies except the axial support of the elements, since the grid shown in FIGS. 5 and 6 is without resilient holding springs. A first function of grids 20 is to protect the fissile material element bundle against lateral shocks which assembly 10 may undergo. These grids 20 must in particular withstand, without transverse crushing shocks of seismic origin or accidental during operation or handling. Grids 20 must have a thickness and a height such that there cannot be a deformation of the grid narrowing the hydraulic passages to such an extend that the temperature of the sheath of the elements reaches a dangerous value. There must be no deformation either of the guide tubes or of their lattice hindering the movement of the elements belonging to the clusters. For this reason, the plates 24 of grids 20 will generally be thicker and wider than the plates of grids 18. The second function of grids 20 is to introduce turbulences into the flow of the cooling fluid flowing upwardly through the cells such as 30. For that purpose, the plates may be provided with slanting fins 40, of the type described, for example, in French patent application No. FR 84 16803. For further increasing the rigidity of the grid, some at least of the plates are advantageously extended by a lip 42 having at least one longitudinal stiffening bend 44. Lips 42 have the further advantage of providing a leading edge at two distinct levels 46 and 48. Grids 20 further fulfill a function of cross bracing the elements, provided by bosses 36, 38 placed on each face at two levels, and not at a single level as in the case of grids 18. FIGS. 7 and 8 show on possible construction of grids 20 and show fixing tongues 49 on some of the guide tubes. Finally, the top grids 21 provide the same cross bracing function as grids 18 and further provide the function of mixing the fluid streams required in the part of the assembly where the coolant fluid is at maximum temperature. FIG. 9 (where the parts corresponding to those of FIG. 3 are designated by the same reference numbers) shows that the plates are provided with fins 40 which may have the same construction as those of grids 20. It can be seen that grids 21, placed at a critical position from the point of view of nucleated boiling or DNB fulfil two functions reduction of the pressure losses and homogeneization of the coolant. Figures will now be given, by way of example, for the dimensions which grids 18, 20 and 21 may have. Grids 18 may be formed from plates 24 made from a zirconium based alloy called "ZIRCALOY" having a height between 20 and 40 mm, advantageously 34 mm, and a thickness between 0.2 and 0.5 mm. Grids 20 may then be formed from "ZIRCALOY" plates having a height between 40 and 70 mm (advantageously 63 mm) and a thickness between 0.3 and 0.6 mm (advantageously 0.4 mm). Grids 21 have the same dimensions as grids 18 and only differ therefrom by the addition of fins. If, under these conditions, the pressure drop coefficient of a conventional type grid, comprising springs for holding the elements in position, is equal to 1, the pressure drop coefficients of grids 18, 20, 21 will be, respectively, about 0.5; 0.9; and 0.7. If assembly 10 comprises then three grids 18, three grids 20 and two grids 21, the total pressure drop is 5.6, instead of 8 for eight conventional grids. The gain is about 30%. Thus, for an equal pressure drop, the invention allows the number of grids to be increased for homogeneizing the coolant flow over the height of the bundle. This increase also allows variable spacing to be given to the grids and, in particular, the homogeneization to be reinforced in the downstream part of the bundle, with closely spaced grids 21. In addition, the increase in the number of grids improves the geometrical stability of the assembly and limits the buckling phenomena under irradiation of the elements containing the fissile material. Although the use of bosses 36 and 38 for retaining the elements represents a substantial advantage from the point of view of pressure loss reduction, the invention may also be used while retaining on some of the grids at least, undulations formed by cutting and pressing the plate. The assembly 10 shown in FIG. 1 further comprises an endmost grid 22 placed immediately under the upper endmost piece 16. This position in a region of the core where the neutron flow is reduced means that grid 22 may be made from a material having a greater neutron absorption cross section than that of "ZIRCALOY" and may be chosen for its mechanical strength qualities. In this case, grid 22 may be formed for example from a ferrous alloy or an Ni--Cr alloy such as "INCONEL". The sole function of grid 22 is to hold the fissile material elements 34 in position axially. It does not therefore comprise any fins and its components may be designed for minimizing the pressure losses. FIGS. 10 to 12 show a possible construction of grid 22. The plates 24 of grid 22 define cells in which the fissile material elements 34 are inserted. Each element is provided with a plug 50 having a collar 52 defining a lower annular shoulder. In plates 24 of grid 22 are formed, by cutting and pressing, bosses 54 of a depth such that they come into contact with the shoulder of collar 52 and retain the element. The external diameter of the shoulder is, for example, 10.5 mm, whereas the diameter of the element in its current part is 9.5 mm and the bosses 54 are shaped to engage the element. The plug further comprises, above collar 52, a shank 56 and a conventional nose cone 58, intended for gripping the element. FIG. 11 also shows a fraction of the upper endpiece 16 of assembly 10, placed in the vicinity of grid 22. Piece 16, also shown in FIG. 13, is formed of a plate 60 having wide openings for the passage of the coolant fluid. The guide tubes 12 and 13 belonging to the skeleton of the assembly are fixed to plate 60, by a screwed connection, for example. Grid 22 is welded directly to tubes 12 if the materials which form these two elements are compatible, for example if grid 22 and tubes 12 are made from a zirconium based alloy. When, on the other hand, gird 22 is made from stainless steel, an intermediate sleeve of stainless steel will be placed between the tube and the grid. FIG. 11 shows in a very schematic way one possible method of fixing, using a threaded sleeve 62 and a socket 63. As a general rule, only some of the guide tubes 12 and 13 will be connected to plate 60, thereby increasing the permeability of this plate and reducing the pressure losses imposed on the coolant by the upper end piece. In an assembly which may be considered as representative, having two hundred and sixty seven fissile material elements disposed in a square mesh lattice 18.times.18, sixteen guide tubes for guiding the control elements and forty guide tubes for guiding the fertile elements, about thirty of the guide tubes 13 are not fixed to plate 60. The upper end piece 16 carries, under plate 60, a plate 64 formed with a checker work aperture pattern whose nodes coincide with the axes of elements 34. Plate 64 is intended to limit the upward movement of elements 34. This plate 64 is welded to plate 60. It can be seen that, once in position, elements 34 are supported by grid 22 and their upward movement is limited by plate 64. Consequently, they can only expand axially, particularly under irradiation, toward the bottom of the assembly. The lower end piece 15 may have the construction shown schematically in FIGS. 14 and 15. This piece comprises (contrary to the lower end pieces of conventional fuel assemblies) a single supporting and fluid distributing plate 66. This plate may be formed by molding. It does not have the role of limiting the downward movement of elements 34, since this role is filled by grid 22. To plate 66 are fixed chamfered skirts 68 which extend it downwardly and facilitate engagement of the assembly on guides (not shown) during insertion thereof into the core of the reactor. Tubular cross braces 70 fixed to the lower face of plate 66 rigidify piece 15 in which are formed holes 72 for the passage of the coolant. A skirt 74 is welded to the upper face of piece 68 and forms the fixing frame for a grating 76 which defines cells for receiving the lower plugs of the fissile material elements 34 and end parts of those of the guide tubes 13 which do not belong to the skeleton of the assembly. This arrangement has several advantages. It reduces the pressure loss because the coolant passages have an increased cross-section area. It allows the axial growth of elements 34 and guide tubes 13 under irradiation. Grating 76 may be provided so as to hold the end of elements 34 and guide tubes 13 efficiently in position by clamping and so as to prevent the entering cooling jets of coolant from vibrating the elements and the tubes. It should be noted that the assembly may thus dispense with the element stopping plate generally provided in the assemblies of the prior art, which reduces the pressure losses. It should also be noted that the downward enlargement under irradiation of those of the guide tubes 13 which do not belong to the skeleton, coming simply into abutment against plate 60 is possible. The guide tubes 12 which belong to the skeleton may be fixed to plate 66 in a conventional way by a threaded connection. In the modification shown in FIG. 16, guide tube 12 is fixed by a stainless steel or INCNEL plug 78, pierced by a central bore 80 for passage of the coolant. The guide tube 12 is connected by mechanical deformation, obtained for example by rolling, to the top enlarged portion of plug 78. This enlarged portion ends in a shoulder 82 bearing on grating 76. The low part, of small diameter, of plug 78 passes through plate 66 to which it is welded. A spacer 84 surrounding the low part of the plug reinforces the strength of grating 76. FIGS. 17 and 18 show schematically one possible method of guiding elements 34 in the grid 76 of the lower end piece. The plug of the element comprises an extension 86 of a diameter such that it is guided in a mesh of grating 76. Such guiding allows the element to withstand the coolant jets leaving the plate of the lower end piece and avoids any excessive or untimely movement of element 34. But at the same time, this guiding method leaves the element free to expand under irradiation. By way of example, the following dimensions may be mentioned for grating 76 and the element: grating 76 is formed by crossed sound wires of "INCONEL" having a diameter of about 1.5mm, welded at their intersections. These wires are also welded to the frame formed by skirts 74. Extension 86 may have a diameter of 4mm, corresponding to the mesh dimension of grating 76. In the variant of the invention shown in FIG. 19, grating 76 is free instead of being fixed to the lower end piece by a skirt as in the case of FIG. 14. This solution reduces the amount of bending of the assembly due to the differential shortening of the guide tubes 12 and of elements 34 when the temperature of the reactor returns from the operating temperature to the temperature after shutdown. For retaining grating 76, those of elements 34 which are placed at the periphery of the bundles may have an extension 87 longer than the extensions 86 of the central elements and may be provided with an end retaining swelling 90. Similar swellings may be provided on the extensions 86. The amount of bending of the assembly is reduced due to the considerable friction exerted by grating 76 on the plugs of the elements, such friction is required since the grating must exert, on extensions 86 and 87, a clamping force sufficient to prevent the elements from being vibrated under the action of the coolant jets. Such friction of the elements and grating 76 is very much greater than that in grids 18, 20, 21 and 22. On the other hand, the guide tubes, whether they belong to the skeleton or whether they are slidably mounted, are able to slide freely in grating 76. Because of the additional length given to extensions 86, the differential lengthening possibly occurring between the elements 34 of the same assembly without there being jamming is increased. |
summary | ||
claims | 1. A radiation protection system, comprising:shielding material disposed between a source of radiation and locations outside the radiation protection system to provide shielding for primary radiation along predetermined directions in which radiation from the source may emerge; andan open viewing area provided in the shielding material from which locations outside the radiation protection system are viewable from within the radiation protection system, wherein the shielding material includes a shielded table providing shielding in a downward direction, two clam-shell shaped segments that pivot over a supine patient's midsection and legs to provide shielding in upward and lateral directions and in a direction of the feet, and a shielded cap that pivots over a patient's head. 2. The radiation protection system of claim 1, wherein the shielding material includes a shielded tunnel providing shielding in upward and lateral directions. 3. The radiation protection system of claim 2, wherein the shielded cap is hingedly attached to the shielded tunnel. 4. The radiation protection system of claim 2, wherein the shielded cap is hingedly attached to a table over which the shielded tunnel is disposed. 5. The radiation protection system of claim 1, wherein the shielding material includes two shielded caps that pivot over the patient's head and feet. 6. The radiation protection system of claim 1, wherein the shielding material is selected from the group consisting of lead, steel, tungsten, brass, concrete, and combinations of any of these materials. 7. The radiation protection system of claim 1, wherein the field of view encompasses an included angle of at least thirty degrees in a lateral plane. 8. The radiation protection system of claim 1, wherein the field of view encompasses an included angle of at least thirty degrees in a vertical plane. 9. The radiation protection system of claim 1, wherein the shielding material includes shielded elements that are moveable between a closed position and an open position. 10. The radiation protection system of claim 9 wherein the shielded elements are equipped with an actuation device to provide power for moving the elements. 11. A radiation protection system, comprising:shielding material disposed between a source of radiation and locations outside the radiation protection system to provide shielding for primary radiation along predetermined directions in which radiation from the source may emerge; andan open viewing area provided in the shielding material from which locations outside the radiation protection system are viewable from within the radiation protection system, wherein the shielding material includes a well providing shielding in downward and lateral directions and two clam-shell shaped segments that pivot over a midsection of a prone patient positioned on a shielded table, providing shielding in upward and lateral directions. 12. A radiation protection system, comprising:shielding material disposed between a source of radiation and locations outside the radiation protection system to provide shielding for primary radiation along predetermined directions in which radiation from the source may emerge; andan open viewing area provided in the shielding material from which locations outside the radiation protection system are viewable from within the radiation protection system, wherein the shielding material includes a chair having a shielded seat, back, leg support, sides and cover, providing shielding in downward, lateral and upward directions, and two clam-shell shaped segments that pivot over a breast area of a patient seated in the chair, providing shielding in forward and lateral directions. 13. The radiation protection system of claim 12 wherein the two clam-shell shaped segments pivot over a seated patient's lap providing shielding in the forward, lateral and upward directions. 14. A radiation protection system, comprising:shielding material disposed between a source of radiation and locations outside the radiation protection system to provide shielding for primary radiation along predetermined directions in which radiation from the source may emerge; andan open viewing area provided in the shielding material from which locations outside the radiation protection system are viewable from within the radiation protection system, wherein the radiation exposure rate at one meter from the system is less than fifty milliroentgens per hour when a high dose rate brachytherapy source is disposed within the system. 15. The radiation protection system of claim 14 in which about one millimeter of lead is disposed about the periphery of an area in which the radiation protection system is situated. 16. The radiation protection system of claim 14, further comprising:a layer of about one millimeter of lead disposed on a surface of the shielding material, in which the scattered radiation exposure rate at one meter from the system when a high dose rate brachytherapy source is disposed within the system is less than two milliroentgen per hour. 17. The radiation protection system of claim 15, wherein the about one millimeter of lead is disposed in walls of a room in which the radiation protection system is situated. |
|
046844988 | abstract | In a reconstitutable fuel assembly, a top nozzle attaching structure for mounting the top nozzle adapter plate in releasable locking engagement upon the guide thimble upper end portions includes several improved features. First, each locking tube is mounted within the guide thimble upper end portion for movement between an upper locking position wherein the adapter plate and guide thimble upper end portion are maintained in locking engagement and a lower unlocking position wherein the adapter plate is releasable from the guide thimble upper end portion. Also, cooperating means are defined on the locking tube and the guide thimble for retaining the locking tube at either of its upper or lower positions. The guide thimble cooperating means includes a pair of upper and lower circumferential bulges defined on the guide thimble upper end portion below the adapter plate. The bulges are axially spaced from one another such that the upper bulge is located to retain the locking tube at its upper locking position and the lower bulge is located to retain the locking tube at its lower unlocking position. The locking tube cooperating means includes a circumferential bulge defined on a lower portion of the locking tube which is seatable in either of the bulges of the guide thimble and several slots defined in the locking tube which extend upward along its lower portion from a lower edge thereof. The slots allow radial compression and expansion of the lower portion of the locking tube for facilitating its movement between and positioning at its upper and lower positions. |
description | This application claims the benefit under 35 USC 119(e) of U.S. Provisional Application Nos. 61/035,481, filed on Mar. 11, 2008, and 61/035,479, filed on Mar. 11, 2008, both of which are incorporated herein by reference in their entirety. This application relates to U.S. application Ser. No. 12/401,740 filed on Mar. 11, 2009, entitled “X-Ray Microscope with Switchable X-Ray source,” by Ziyu Wu et al. X-ray imaging techniques have become important parts of our lives since the invention in the 19th century. The majority of these x-ray imaging systems use table-top electron-bombardment x-ray sources, but synchrotron radiation sources, which provide highly collimated beams with 6 to 9 orders of magnitude higher brightness and tunable narrow bandwidths, have greatly expanded the capabilities of x-ray imaging techniques and also enabled spectral microscopy techniques that are able to selectively image specific elements in a sample. One significant limitation of synchrotron radiation facilities is the relatively long down-time compared with tabletop x-ray sources. While a tabletop source can run continuously between annual or semi-annual maintenance intervals, synchrotrons typically require more frequent maintenance intervals with long shutdown times. These maintenance requirements can lead to excessive down-time of the x-ray imaging instruments. The solution described here is to integrate a tabletop x-ray source to the x-ray microscope so that it can be used to power the instrument when the synchrotron x-ray beam is not available. A mechanical system is used to switch between these two x-ray sources. This invention pertains to the mechanical systems used to switch x-ray sources in a high-resolution x-ray imaging system. For example, an x-ray microscope stationed at a synchrotron radiation facility will normally perform the imaging operations using the high brightness synchrotron radiation, but it will switch to an alternative self-contained x-ray source such as a table-top x-ray source, when the synchrotron is not in operation, e.g., during maintenance periods. The design described in this disclosure uses a rotating anode type x-ray source in conjunction with the synchrotron radiation source and a mechanical translation system to switch the sources. In general according to one aspect, the invention features an x-ray imaging system that uses synchrotron radiation beams to acquire x-ray images and at least one integrated x-ray source. The system has an imaging system including a sample stage controlled by linear translation stages, an objective x-ray lens, and an x-ray sensitive detector system, placed on a fixed optical table and a mechanical translation stage system to switch x-ray sources. The above and other features of the invention including various novel details of construction and combinations of parts, and other advantages, will now be more particularly described with reference to the accompanying drawings and pointed out in the claims. It will be understood that the particular method and device embodying the invention are shown by way of illustration and not as a limitation of the invention. The principles and features of this invention may be employed in various and numerous embodiments without departing from the scope of the invention. FIG. 1 shows x-ray microscope system 100 using a table-top source 52 and synchrotron source 50 according to the principals of the present invention. Synchrotrons generate highly collimated x-ray radiation with tunable energy. They are excellent sources for high-resolution x-ray microscopes. The x-ray radiation 54 generated from the synchrotron 50 is controlled and aligned by the beam-steering mirrors 56. It then reaches a monochromator 58 to select a narrow wavelength band. The monochromator 58 is typically gratings or a crystal monochromator to disperse the x-ray beam 54 based on wavelength. When combined with entrance and exit slits, it will select a specific energy from the dispersed beam. The energy resolution will depend on the grating period, distance between the slits and grating, and the slit sizes. Also included is the table-top x-ray source 52. Typically this source is a rotating anode, microfocus, or x-ray tube source. Either of the table-top x-ray source 52 and the synchrotron 50 provides a radiation beam 62 to an x-ray imaging system 64. For high resolution applications, the imaging system 64 is a microscope, which includes sample holder or stage controlled by linear translation stages, for holding the sample, an objective lens for forming an image of the sample and a detector system for detecting the image formed by the objective lens. In one example, a zone plate lens is used as the objective lens. A compound refractive lens is used on other examples. In the preferred implementation, the imaging system 64 is full-field imaging x-ray microscope, but in other examples a scanning x-ray microscope is used. Preferably, a rotation stage is included on the linear translation stages of the imaging system to rotate a sample within the range of 360 degrees. The monochromator 58 is usually used to produce a monochromatic beam in order to satisfy energy bandwidth requirements of the imaging system 64. For example, commonly used objective lenses in x-ray microscopy are Fresnel zone plate lenses. They provide very high resolution of up to 50 nanometers (nm) with higher energy x-rays above 1 keV and 25 nm for lower energy x-rays. Since these lenses are highly chromatic, using a wider spectrum will lead to chromatic aberration in the image. Zone plates typically require a monochromaticity on the order of number of zones in the zone plate lens. This is typically 200 to several thousand, thus leading to a bandwidth of 0.5% to 0.05%. This energy selection process of the monochromator 58 typically makes use of a small portion of the x-ray radiation generated by the source and rejects the rest of the spectrum from the synchrotron 50. In contrast, emissions from a table-top x-ray sources typically contain a sharp characteristic emission line superimposed on a broad Bremsstrahlung background radiation. The characteristic emission line typically contains a large portion the total emission, typically 50-80%, within a bandwidth of 1/100 to 1/500. In order to create a monochromatic radiation, an absorptive energy filter system 66 is used to remove unwanted radiation from the table-top x-ray source 52 and only allow a particular passband. Two filters are often used: one to absorb primarily low energy radiation below the characteristic line and one to absorb energies above the emission line. This filtering system provides a very simple way to condition the beam but at a cost of some absorption loss of radiation. Alternatively, a monochromator system can also be used in the filter system 66. This typically contains a grating or multilayer to disperse the x-ray radiation and an exit slit to block unwanted radiation. The source switching system requires monochromatization devices for both synchrotron radiation source 50 and table-top x-ray source 52. In most applications, the synchrotron beam monochromator 58 is built into the beamline and the monochromator/filters 66 for the table-top source 52 are integrated into the x-ray source 52 or the switching system 110. Synchrotron radiation typically has much higher spatial coherence, i.e. too highly collimated, than is suitable for a full-field imaging microscope and must be reconditioned using beam conditioning optics 60 that modify the x-ray characteristics to meet the requirements of the x-ray imaging system 64. Typical methods to reduce the coherence use a diffusing element such as polymers arranged in random directions or a rotating element. This approach is very simple to implement but has the disadvantage of losing significant amount of radiation intensity. Alternatively, the conditioning optics 60 use a set of two mirrors that first deflect the beam off axis and then reflect the deflected beam toward to focal point on axis. This set of mirrors is allowed to rotate rapidly about the optical axis to create a cone shaped beam illumination pattern that will provide increased divergence. In some examples, the beam conditioning optics 60 include diffractive element(s) such as a grating and Fresnel zone plate lenses or reflective elements such as ellipsoidal lenses or Wolter mirrors. Compound refractive lenses can also be used. Another method to increase the beam divergence is to use a capillary lens as the conditioning optics 60 to focus the beam towards the focal point. This method provides a simple means of modifying the collimation of the beam. The capillary lens can be scanned rapidly in a random pattern. Finally, a grating upstream of the capillary lens can be used to further increase the beam divergence. The beam coherence of the beam 70 of laboratory source 52 is very different from that of synchrotron 50. Table-top sources behave like point sources so that radiation emitted is roughly omni-directional. With these types of sources a simple capillary lens is preferably used as a condenser 68 to project the source's radiation towards the sample. The capillary lens is generally designed in an ellipsoidal shape with the x-ray source and sample at the foci. The switch system 110 contains the condenser optics 68 for the table top source 52 and the conditioning optics 60 for the synchrotron 50. Both optics are contained in the switching system and switched along with the x-ray sources. The switching system 110 includes a mechanical positioning system that is integrated to ensure reliable repositioning of each optic after each switching action. This switching system 110 is based on a combination of kinematic mounting systems, mechanical stages, electromechanical motors, optical encoders, capacitance position measurements, etc. The system 110 switches between the synchrotron source 50 and table-top x-ray source 52 with a mechanical translation system that replaces the conditioning optics 60 with the table-top source 52, energy filters 66 and condenser 68 in beam axis to the imaging system 64. The table-top x-ray source 52 and its energy filters 66 and condenser optics 68 are integrated in a single assembly 112 and mounted on a motorized translation stage of the system 110 with optical encoders. The conditioning optics 60 for the synchrotron beam is mounted at the opposite end of the mechanical translation stage. Therefore, the switching action can be made by a simple translational action, see arrow 114. FIG. 2 shows the imaging system 64 installed in the optical table 204. The system 64 includes its chamber 202 and vacuum pump 203. In some systems with a vacuum connection, the conditioning optics 60 for the synchrotron beam will also contain provisions for the optics and possibly the microscope to operate in vacuum. In this implementation shown in FIGS. 2 and 3, the switching action is provided by a translation stage 110 that carries the x-ray source 52 and an additional set of stages 301 that switches condenser optics 68 on the optical table 204. When the synchrotron beam is available, the table-top x-ray source 52 is translated out of the beam path by the translation stage 110. This implementation also contains a standard vacuum port to connect to a high vacuum beam line port. In some cases, for example with high energy x-ray radiation, the vacuum connection is not required and an open window will be sufficient. However, when using low-energy x-ray radiation, air will absorb a substantial portion of the x-ray beam and a vacuum connection is necessary. In this configuration, the mechanical stages 301 that carry the condenser lens 68 for table-top x-ray source 52 is also translated out of the beam path and the conditioning optics 60 for the synchrotron beam is translated into the beam path. The monochromator 58 for the synchrotron beam is placed further upstream and remains fixed. When table-top x-ray source 52 is needed, the synchrotron 50 is disabled by a front-end shutter placed further upstream and the vacuum connection to the beam line is removed. The translation stage 110 is then used to move the x-ray source 52 into the beam path. In this implementation, the position of x-ray source 52 is recorded by an optical encoder during the alignment process and recorded as the future reference position. After the table-top x-ray source 52 is in position, the conditioning optics 60 for the synchrotron beam is moved out of the microscope's optical axis and the condenser lens 68 for the table-top source 52 is positioned into the beam axis. In this implementation, the condenser lens 68 for the table-top source 58 is an ellipsoidal shaped capillary lens designed with the x-ray source spot and sample position at the foci. An optical encoder tracks the 3-axis position and the yaw and pitch settings of the condenser lens 68 and is set to a reference value during the initial alignment procedure. Along with the x-ray source, energy filters 66 are also carried by the translation stage 110 and placed at the correct position in the beam path 62. In this implementation, it includes a series of absorptive filters that absorbs the spectra below and above the characteristic emission energy. The filter is mounted directly on the table-top x-ray source. The implementation described here is designed for a full-field imaging microscope, but will also function with scanning-type imaging systems. Furthermore, other x-ray instruments based at synchrotron radiation sources, such as protein crystallography and computed tomography (CT) can also incorporate this source-switching system to improve the instruments productivity making them functional during the facility's down time. While this invention has been particularly shown and described with references to preferred embodiments thereof, it will be understood by those skilled in the art that various changes in form and details may be made therein without departing from the scope of the invention encompassed by the appended claims. |
|
description | The present invention relates to a network analyzer used to calculate and measure circuit parameters of a device under test. There has conventionally been practiced measurement of circuit parameters (such as the S parameters) of a device under test (DUT). A description will now be given of the measurement method of the circuit parameters of the device under test (DUT) according to the prior art with reference to FIG. 18. A signal at frequency f1 is transmitted from a signal source 110 to a receiving unit 120 via a DUT 200. The signal is received by the receiving unit 120. It is assumed that the frequency of the signal received by the receiving unit 120 is f2. It is possible to acquire the S parameters and frequency characteristics of the DUT 200 by measuring the signal received by the receiving unit 120. On this occasion, measuring system errors are generated in the measurement due to mismatching between a measuring system such as the signal source 110 and the DUT 200, and the like These measuring system errors include, for example, Ed: error caused by the direction of a bridge, Er: error caused by frequency tracking, and Es: error caused by source matching. FIG. 19 shows a signal flow graph relating to the signal source 110 if the frequency f1=f2. RF IN denotes a signal input from the signal source 110 to the DUT 200 or the like, Slim denotes an S parameter of the DUT 200 and the like acquired by a signal reflected from the DUT 200 or the like, and S11a denotes a true S parameter of the DUT 200 and the like without measuring system errors. If the frequency f1=f2, the errors can be corrected in a manner described in a patent document 1 (Japanese Laid-Open Patent Publication (Kokai) No. H11-38054), for example. The correction in this way is referred to as calibration. A brief description will now be given of the calibration. Calibration kits are connected to the signal source 110 to realize three types of state: open, short circuit, and load (standard load Z0). In these states, a signal reflected from the respective calibration kits is acquired by a bridge to obtain three types of the S parameter (S11m) corresponding to the three types of state. The three types of variable Ed, Er, and Es are acquired from the three types of the S parameter. However, the frequency f1 may not be equal to the frequency f2. For example, the DUT 200 may be a device providing a frequency conversion function such as a mixer. In this case, a measuring system error caused by the receiving unit 120 cannot be neglected. FIG. 20 shows a signal flow graph if the signal source 110 and the receiving unit 120 are directly connected with each other. S21m denotes an S parameter of the DUT 200 and the like acquired based on a signal received by the receiving unit 120. As shown in FIG. 20, there are generated measuring system errors Et (transmission tracking error) and EL caused by the receiving unit 120. These errors cannot be acquired by the calibration as described in the patent document 1. Therefore, if the frequency f1 is not equal to the frequency 12, the errors are corrected as described in a patent document 2 (WO 03/087856, pamphlet). First, three types of calibration kit (open, short circuit, and load (standard load Z0)) are connected to a signal source This is the same as the method described in the patent document 1, and Ed, Es, and Er can thus be acquired. Further, a signal source 110 and a receiving unit 120 are directly connected with each other, and the transmission tracking errors Et and EL can be acquired based on a measured result on this occasion (refer to FIG. 8 and FIG. 9 in the patent document 2). It should be noted that the above example is applied to a case where a network analyzer including the signal source 110 and the receiving unit 120 has two ports. If a network analyzer has four ports, two ports are selected from the four ports, and are directly connected with each other, and it is thus necessary to carry out a total of 4×3/2=6 couplings. In general, if a network analyzer has n ports, two ports are selected from the n ports, and are directly connected with each other, and it is thus necessary to carry out a total of n×(n−1)/2 couplings. However, a large amount of labor is necessary to realize all combinations of two ports by selecting and directly connecting two ports out of the n ports as described above. An object of the present invention is to reduce the labor required to select and directly connect two ports out of ports of a network analyzer in order to measure transmission tracking errors. An aspect of the present invention is a network analyzer that is connected to a test set that includes network analyzer side ports, device under test side ports that are connected to a device under test, and a port connecting unit that selects any one of the device under test side ports, and connects the selected device under test side port to one of the network analyzer side ports, wherein the device under test side ports constitute a main port group and a sub port group whose connection to the network analyzer side ports is independently set, the network analyzer including: transmission/reception ports that are connected to the network analyzer side ports one by one, and are used to transmit/receive a signal; a transmission tracking error determining unit that determines a transmission tracking error of a combination of one of the possible connections of the main port group and one of the possible connections of the sub port group for au the possible connections of the main port group based on a signal before transmitted by the transmission/reception port, and a reception signal; and a transmission tracking error deriving unit that derives a transmission tracking error other than the transmission tracking errors determined by the transmission tracking error determining unit based on the transmission tracking error determined by the transmission tracking error determining unit. According to the thus constructed invention, a network analyzer that is connected to a test set that includes network analyzer side ports, device under test side ports that axe connected to a device under test, and a port connecting unit that selects any one of the device under test side ports, and connects the selected device under test side port to one of the network analyzer side ports, wherein the device under test side ports constitute a main port group and a sub port group whose connection to the network analyzer side ports is independently set, is provided. Transmission/reception ports are connected to the network analyzer side ports one by one, and are used to transmit/receive a signal. A transmission tracking error determining unit determines a transmission tracking error of a combination of one of the possible connections of the main port group and one of the possible connections of the sub port group for all the possible connections of the main port group based on a signal before transmitted by the transmission/reception port, and a reception signal. A transmission tracking error deriving unit derives a transmission tracking error other than the transmission tracking errors determined by the transmission tracking error determining unit based on the transmission tracking error determined by the transmission tracking error determining unit. According to the present invention, the transmission tracking error deriving unit may use two connections other than connections at a start point and an endpoint of the transmission tracking error to be derived to derive the transmission tracking error for a combination of one of the possible connections of the main port group and another possible connection of the sub port group. According to the present invention, the main port group may include three of the device under test side ports connected to two of the network analyzer side ports; the sub port group may include three of the device under test side ports connected to one of the network analyzer side ports; and two of the sub port groups may exist. According to the present invention, the network analyzer may includes a transmission signal measuring unit that measures a transmission signal parameter relating to a transmission signal transmitted from the transmission/reception port before a measuring system error factor is generated; and a reception signal measuring unit that measures a reception signal parameter relating to a reception signal received by the transmission/reception port. According to the present invention, the reception signal may include a reflected signal which is a reflected transmission signal. Another aspect of the present invention is a transmission tracking error measuring method of measuring a transmission tracking error of a network analyzer that is connected to a test set that includes network analyzer side ports, device under test side ports that are connected to a device under test, and a port connecting unit that selects any one of the device under test side ports, and connects the selected device under test side port to one of the network analyzer side ports, wherein the device under test side ports constitute a main port group and a sub port group whose connection to the network analyzer side ports is independently set, the network analyzer including transmission/reception ports that are connected to the network analyzer side ports one by one, and are used to transmit/receive a signal, the transmission tracking error measuring method including: a connection realizing step of realizing a combination of one of the possible connections of the main port group and one of the possible connections of the sub port group for all the possible connections of the main port group; a device under test side port coupling step of realizing all couplings for one combination of two ports for the device tinder test side ports connected to the network analyzer side ports if the combination is realized by the connection realizing step; a signal measuring step of measuring a signal before transmitted by the transmission/reception port, and a received signal; a transmission tracking error determining step of determining a transmission tracking error of the coupling realized by the device under test side port coupling step based on a measured result of the signal measuring step; and a transmission tracking error deriving step of deriving a transmission tracking error other than the transmission tracking error determined by the transmission tracking error determining step based on the transmission tracking error determined by the transmission tracking error determining step. According to the thus constructed invention, a transmission tracking error measuring method of measuring a transmission tracking error of a network analyzer that is connected to a test set that includes network analyzer side ports, device under test side ports that are connected to a device under test, and a port connecting unit that selects any one of the device under test side ports, and connects the selected device under test side port to one of the network analyzer side ports, wherein the device under test side ports constitute a main port group and a sub port group whose connection to the network analyzer side ports is independently set, is provided. The network analyzer includes transmission/reception ports that are connected to the network analyzer side ports one by one, and are used to transmit/receive a signal. A connection realizing step realizes a combination of one of the possible connections of the main port group and one of the possible connections of the sub port group for all the possible connections of the main port group. A device under test side port coupling step realizes all couplings for one combination of two ports for the device under test side ports connected to the network analyzer side ports if the combination is realized by the connection realizing step. A signal measuring step measures a signal before transmitted by the transmission/reception port, and a received signal. A transmission tracking error determining step determines a transmission tracking error of the coupling realized by the device under test side port coupling step based on a measured result of the signal measuring step. A transmission tracking error deriving step derives a transmission tracking error other than the transmission tracking error determined by the transmission tracking error determining step based on the transmission tracking error determined by the transmission tracking error determining step. According to an aspect of the present invention, the device under test side port coupling step may be realized by a four-port calibrator which can couple all combinations of two ports out of four ports. Another aspect of the present invention is a network analyzing method of analyzing the net work by using a network analyzer that is connected to a test set that includes network analyzer side ports, device under test side ports that are connected to a device under test, and a port connecting unit that selects any one of the device under test side ports, and connects the selected device under test side port to one of the network analyzer side ports, wherein the device under test side ports constitute a main port group and a sub port group whose connection to the network analyzer side ports is independently set, the network analyzer including: transmission/reception ports that are connected to the network analyzer side ports one by one, and are used to transmit/receive a signal; the network analyzing method including: a transmission tracking error determining step that determines a transmission tracking error of a combination of one of the possible connections of the main port group and one of the possible connections of the sub port group for all the possible connections of the main port group based on a signal before transmitted by the transmission/reception port, and a reception signal; and a transmission tracking error deriving step that derives a transmission tracking error other than the transmission tracking errors determined by the transmission tracking error determining step based on the transmission tracking error determined by the transmission tracking error determining step. Another aspect of the present invention is a program of instructions for execution by the computer to perform a processing for analyzing a network by using a network analyzer that is connected to a test set that includes network analyzer side ports, device under test side ports that axe connected to a device under test, and a port connecting unit that selects any one of the device under test side ports, and connects the selected device under test side port to one of the network analyzer side ports, wherein the device under test side ports constitute a main port group and a sub port group whose connection to the network analyzer side ports is independently set, the network analyzer including: transmission/reception ports that are connected to the network analyzer side ports one by one, and are used to transmit/receive a signal; the processing including: a transmission tracking error determining step that determines a transmission tracking error of a combination of one of the possible connections of the main port group and one of the possible connections of the sub port group for all the possible connections of the main port group based on a signal before transmitted by the transmission/reception port, and a reception signal; and a transmission tracking error deriving step that derives a transmission tracking error other than the transmission tracking errors determined by the transmission tracking error determining step based on the transmission tracking error determined by the transmission tracking error determining step. Another aspect of the present invention is a computer-readable medium having a program of instructions for execution by the computer to perform a processing for analyzing a network by using a network analyzer that is connected to a test set that includes network analyzer side ports, device under test side ports that are connected to a device under test, and a port connecting unit that selects any one of the device under test side ports, and connects the selected device under test side port to one of the network analyzer side ports, wherein the device under test side ports constitute a main port group and a sub port group whose connection to the network analyzer side ports is independently set, the network analyzer including: transmission/reception ports that are connected to the network analyzer side ports one by one, and are used to transmit/receive a signal; the processing including: a transmission tracking error determining step that determines a transmission tracking error of a combination of one of the possible connections of the main port group and one of the possible connections of the sub port group for all the possible connections of the main port group based on a signal before transmitted by the transmission/reception port, and a reception signal; and a transmission tracking error deriving step that derives a transmission tracking error other than the transmission tracking errors determined by the transmission tracking error determining step based on the transmission tracking error determined by the transmission tracking error determining step. A description will now be given of an embodiment of the present invention with reference to drawings. FIG. 1 is a diagram showing a configuration of a measuring system in which a network analyzer 1 according to an embodiment of the present invention is used. The measuring system includes a network analyzer 1, a nine-port test set 10, and a DUT 20. The network analyzer 1 includes transmission/reception ports 1a, 1b, 1c, and 1d. The nine-port test set 10 includes network analyzer side ports NP1, NP2, NP3, and NP4, and DUT side ports TP1, TP2, TP3, TP4, TP5, TP6, TP7, PT8, and TP9. The DUT (Device Under Test) 20 includes ports 20a, 20b, 20c, 20d, 20e, 20f, 20g, 20h, and 20j. The transmission/reception port 1a is connected to the network analyzer side port NP1. The transmission/reception port 1b is connected to the network analyzer side port NP2. The transmission/reception port 1c is connected to the network analyzer side port NP3. The transmission/reception port 1d is connected to the network analyzer side port NP4. The transmission/reception ports 1a, 1b, 1c, and 1d are ports used to transmit/receive a signal. The DUT side port TP1 is connected to the port 20a. The DUT side port TP2 is connected to the port 20b. The DUT side port TP3 is connected to the port 20c. The DUT side port TP4 is connected to the port 20d. The DUT side port TP5 is connected to the port 20e. The DUT side port TP6 is connected to the port 20f. The DUT side port TP7 is connected to the port 20g. The DUT side port TP8 is connected to the port 20h. The DUT side port TP9 is connected to the port 20j. FIG. 2 is a block diagram showing a configuration of the network analyzer 1 according to the embodiment of the present invention. The network analyzer 1 includes the transmission/reception ports 1a, 1b, 1c, and 1d, a signal source 2, a switch 3, bridges 4a, 4b, 4c, and 4d, receivers (reception signal measuring means) 5a, 5b, 5c, and 5d, a nine-port test set connection acquiring unit 6, a transmission tracking error determining unit 7, and a transmission tracking error deriving unit 8. The network analyzer 1 measures characteristics of the DUT 20 based on signals transmitted/received by the transmission/reception ports 1a, 1b, 1c, and 1d. The signal source 2 includes a signal output unit 2a, a bridge 2b, and a receiver (R) 2c (transmission signal measuring means). The signal output unit 2a outputs a signal at a predetermined frequency. This signal is a transmission signal transmitted from any one of the transmission/reception ports 1a, 1b, 1c, and 1d. The bridge 2b supplies the receiver (R) 2c and the switch 3 with the transmission signal output from the signal output unit 2a. The signal supplied by the bridge 2b is a signal which has not been influenced by measuring system error factors caused by the network analyzer 1. The receiver (R) 2c (transmission signal measuring means) measures S parameters of the signal received from the bridge 2b. The receiver (R) 2c thus measures S parameters (transmission signal parameters) relating to the transmission signal before there arises an influence of the measuring system error factors due to the network analyzer 1. The switch 3 supplies any one of the bridges 4a, 4b, 4c, and 4d with the transmission signal supplied by the signal source 2. The bridge 4a outputs the transmission signal supplied from the signal source 2 to the transmission/reception port 1a. Moreover, the bridge 4a receives a reception signal received by the transmission/reception port 1a, and outputs the reception signal to the receiver 5a. The reception signal is a transmission signal transmitted by any of the transmission/reception ports 1b, 1e, and 1d, and received by the transmission/reception port 1a. It should be noted that the transmission signal which is transmitted from the transmission/reception port 1a, is reflected, and is received by the transmission/reception port 1a (reflected signal) is also a reception signal. It should be noted that the transmission/reception port 1a and any of the transmission/reception ports 1b, 1e, and 1d are connected to the DUT 20 or a four-port calibrator 30 described later. A transmission signal transmitted by any of the transmission/reception ports 1b, 1c, and 1d is thus received by the transmission/reception port 1a. Moreover, a transmission signal transmitted from the transmission/reception port la is reflected by the DUT 20, the nine-port test set 10, or a four-port calibrator 30, which is described later, and is received by the transmission/reception port 1a. The bridge 4b outputs the transmission signal supplied from the signal source 2 to the transmission/reception port 1b. Moreover, the bridge 4b receives a reception signal received by the transmission/reception port 1b, and outputs the reception signal to the receiver 5b. The reception signal is a transmission signal transmitted by any one of the transmission/reception ports 1a, 1c, and 1d, and received by the transmission/reception port 1b. It should be noted that the transmission signal which is transmitted by the transmission/reception port 1b, is reflected, and is received by the transmission/reception port 1b (reflected signal) is also a reception signal. It should be noted that the transmission/reception port 1b and any of the transmission/reception ports 1a, 1c, and 1d are connected by the DUT 20 or the four-port calibrator 30 described later. A transmission signal transmitted by any of the transmission/reception ports 1a, 1c, and 1d is thus received by the transmission/reception port 1b. Moreover, a transmission signal transmitted from the transmission/reception port 1b is reflected by the DUT 20, the nine-port test set 10, or the four-port calibrator 30, which is described later, and is received by the transmission/reception port 1b. The bridge 4c outputs the transmission signal supplied from the signal source 2 to the transmission/reception port 1c. Moreover; the bridge 4c receives a reception signal received by the transmission/reception port 1c, and outputs the reception signal to the receiver 5c. The reception signal is a transmission signal transmitted by any of the transmission/reception ports 1a, 1b, and 1d, and received by the transmission/reception port 1c. It should be noted that the transmission signal which is transmitted by the transmission/reception port 1c, is reflected, and is received by the transmission/reception port 1c (reflected signal) is also a reception signal. It should be noted that the transmission/reception port 1c and any of the transmission/reception ports 1a, 1b, and 1d are connected by the DUT 20 or the four-port calibrator 30 described later A transmission signal transmitted by any of the transmission/reception ports 1a, 1b, and 1d is thus received by the transmission/reception port 1c. Moreover, a transmission signal transmitted from the transmission/reception port 1c is reflected by the DUT 20, the nine-port test set 10, or the four-port calibrator 30, which is described later, and is received by the transmission/reception port 1c. The bridge 4d outputs the transmission signal supplied from the signal source 2 to the transmission/reception port 1d. Moreover the bridge 4d receives a reception signal received by the transmission/reception port 1d, and outputs the reception signal to the receiver 5d. The reception signal is a transmission signal transmitted by any of the transmission/reception ports 1a, 1b, and 1c, and received by the transmission/reception port 1d. It should be noted that the transmission signal which is transmitted by the transmission/reception port 1d, is reflected, and is received by the transmission/reception port 1d (reflected signal) is also a reception signal. It should be noted that the transmission/reception port 1d and any of the transmission/reception ports 1a, 1b, and 1c are connected by the DUT 20 or the four-port calibrator 30 described later. A transmission signal transmitted by any of the transmission/reception ports 1a, 1b, and 1c is thus received by the transmission/reception port 1d. Moreover, a transmission signal transmitted from the transmission/reception port 1d is reflected by the DUT 20, the nine-port test set 10, or the four-port calibrator 30, which is described later, and is received by the transmission/reception port 1d. The receiver (reception signal measuring means) 5a measures S parameters of the signal received from the bridge 4a. The receiver (Ta) 5a thus measures S parameters relating to the reception signal (reception signal parameters) received by the transmission/reception port 1a. The receiver (reception signal measuring means) 5b measures S parameters of the signal received from the bridge 4b. The receiver (Tb) 5b thus measures S parameters relating to the reception signal (reception signal parameters) received by the transmission/reception port 1b. The receiver (reception signal measuring means) 5c measures S parameters of the signal received from the bridge 4c. The receiver (Tc) 5c thus measures S parameters relating to the reception signal (reception signal parameters) received by the transmission/reception port 1c. The receiver reception signal measuring means) 5d measures S parameters of the signal received from the budge 4d. The receiver (Td) 5d thus measures S parameters relating to the reception signal (reception signal parameters) received by the transmission/reception port 1d. The nine-port test set connection acquiring unit 6 acquires which network analyzer side ports are respectively connected to which DUT side ports (referred to as connections), and provides the transmission tracking error determining unit 7 with the acquired connections. The connections may be provided by a user, for example. The nine-port test set connection acquiring unit 6 may be connected to the nine-port test set 10, and the connections of the nine-port test set 10 may be supplied to the nine-port test set connection acquiring unit 6 as a signal. The transmission tracking error determining unit 7 receives the measured results from the receiver (R) 2c, and the receivers 5a, 5b, 5c, and 5d, and determines transmission tracking errors. To which connection the transmission tracking errors respectively relate to is determined by the connections provided by the nine-port test set connection acquiring unit 6. The transmission tracking error deriving unit 8 derives transmission tracking errors other than the transmission tracking errors determined by the transmission tracking error determining unit 7 based on the transmission tracking errors determined by the transmission tracking error determining unit 7. FIG. 3 shows a configuration of the nine-port test set 10. The nine-port test set 10 includes port connecting units 12a, 12b, and 12c, the network analyzer side ports NP1, NP2, NP3, and NP4, and the DUT side ports TP1, TP2, TP3, TP4, TP5, TP6, TP7, PT8, and TP9. The port connecting unit 12a selects either one of the DUT side ports TP1 and TP2, and connects the selected DUT side port to the network analyzer side port NP1. The port connecting unit 12a further selects either one of the DUT side ports TP2 and TP3, and connects the selected DUT side port to the network analyzer side port NP2. It should be noted that if the network analyzer side port NP1 is connected to the DUT side port TP2, the DUT side port TP2 is not connected to the network analyzer side port NP2. If the network analyzer side port NP2 is connected to the DUT side port TP2, the DUT side port TP1 is not connected to the network analyzer side port NP2. The port connecting unit 12b selects any one of the DUT side ports TP4, TP5, and TP6, and connects the selected DUT side port to the network analyzer side port NP3. The port connecting unit 12c selects any one of the DUT side ports TP7, TP8, and TP9, and connects the selected DUT side port to the network analyzer side port NP4. FIG. 4 shows possible states of the connections which represent which network analyzer side ports are respectively connected to which DUT side ports on this occasion. In a connection A, the DUT side port TP1 is connected to the network analyzer side port NP1. The DUT side port TP2 is connected to the network analyzer side port NP2. The DUT side port TP4 is connected to the network analyzer side port NP3. The DUT side port TP7 is connected to the network analyzer side port NP4. This connection is denoted by A1 for the DUT side port TP1. This connection is denoted by A2 for the DUT side port TP2. This connection is denoted by A3 for the DUT side port TP4. This connection is denoted by A4 for the DUT side port TP7. In a connection B, the DUT side port TP1 is connected to the network analyzer side port NP 1. The DUT side port TP3 is connected to the network analyzer side port NP2. The DUT side port TP5 is connected to the network analyzer side port NP3. The DUT side port TP8 is connected to the network, analyzer side port NP4. This connection is denoted by B1 for the DUT side port TP1. This connection is denoted by B2 for the DUT side port TP3. This connection is denoted by B3 for the DUT side port TP5 This connection is denoted by B8 for the DUT side port TP7. In a connection C, the DUT side port TP2 is connected to the network analyzer side port NP1. The DUT side port TP3 is connected to the network analyzer side port NP2. The DUT side port TP6 is connected to the network analyzer side port NP3. The DUT side port TP9 is connected to the network analyzer side port NP4. This connection is denoted by C1 for the DUT side port TP2. This connection is denoted by C2 for the DUT side port TP3. This connection is denoted by C3 for the DUT side port TP6. This connection is denoted by C4 for the DUT side port TP9. FIG. 5 shows an example of connections between the network analyzer side port and the DUT side port. In the example shown in FIG. 5, the DUT side port TP2 is connected to the network analyzer side port NP1 (C1). The DUT side port TP3 is connected to the network analyzer side port NP2 (C2). The DUT side port TP4 is connected to the network analyzer side port NP3 (A3). The DUT side port TP7 is connected to the network analyzer side port NP4 (A4). On this occasion, the DUT side ports TP1, TP2, and TP3 are referred to as main port group 14a, the DUT side ports TP4, TP5, and TP6 are referred to as sub port group 14b, and the DUT side ports TP7, TP8, and TP9 are referred to as sub port group 14c. It is possible to independently determine the connections in the main port group 14a, the connection in the sub port group 14b, and the connection in the sub port group 14c. In the example shown in FIG. 5, though the connections in the main port group 14a are C, the connection in the sub port group 14b, and the connection in the sub port group 14c may not necessarily be C, and may be A. FIG. 6 is a functional block diagram showing an example of a configuration of the DUT 20. The DUT 20 is a duplexer, for example. The DUT 20 includes a GSM duplexer (DPX) 22a, a DCS duplexer (DPX) 22b, and a duplexer (DPX) 22c. The GSM duplexer (DPX) 22a is connected to an antenna port 20a, a transmission port 20j, and a reception port 20f. The GSM duplexer (DPX) 22a receives a signal from the antenna port 20a, and outputs the signal to the reception port 20f. Moreover, the GSM duplexer (DPX) 22a receives a signal from the transmission port 20j, and transmits the signal from the antenna port 20a. The DCS duplexer (DPX) 22b is connected to an antenna port 20b, a transmission port 20h, and a reception port 20e. The DCS duplexer (DPX) 22b receives a signal from the antenna port 20b, and outputs the signal to the reception port 20e. Moreover the DCS duplexer (DPX) 22b receives a signal from the transmission port 20h, and transmits the signal from the antenna port 20b. The duplexer (DPX) 22c is connected to an antenna port 20c, a transmission port 20g, and a reception port 20d. The duplexer (DPX) 22c receives a signal from the antenna port 20c, and outputs the signal to the reception port 20d. Moreover, the duplexer (DPX) 22c receives a signal from the transmission port 20g, and transmits the signal from the antenna port 20c. In the measuring system shown in FIG. 1 are generated measuring system error factors. These measuring system error factors include Ed: error caused by the direction of a bridge, Er: error caused by frequency tracking, Es: error caused by source matching, Et: transmission tracking error, and EL. It is necessary to measure these measuring system error factors, and to remove errors of a measured result of the DUT 20. Namely, calibration is necessary. FIG. 7 shows a configuration to calibrate the measuring system. To the nine-port test set 10 is connected a four port calibrator 30 in place of the DUT 20. It should be noted that the connections of the nine-port test set 10 are A1, A2, A3, and A4. The four-port calibrator 30 includes calibration ports 32a, 32b, 32c, and 32d. The calibration port 32a is to be connected to the transmission/reception port 1a via the nine-port test set 10. The calibration port 32b is to be connected to the transmission/reception port 1b via the nine-port test set 10. The calibration port 32c is to be connected to the transmission/reception port 1c via the nine-port test set 10. The calibration port 32d is to be connected to the transmission/reception port 1d via the nine-port test set 10. Since the connections of the nine-port test set 10 are A1, A2, A3, and A4, the DUT side port TP1 is connected to the calibration port 32a, the DUT side port TP2 is connected to the calibration port 32b, the DUT side port TP4 is connected to the calibration port 32c, and the DUT side port TP7 is connected to the calibration port 32d. FIG. 8 is a block diagram showing a configuration of the four-port calibrator 30. The four-port calibrator 30 includes switches 33a, 33b, 33c, and 33d, sub calibrators 34a, 34b, 34c, and 34d, and a two-port coupler 36. The switch 33a connects the calibration port 32a to the sub calibrator 34a, or the two-port coupler 36. The switch 33b connects the calibration port 32b to the sub calibrator 34b, or the two-port coupler 36. The switch 33c connects the calibration port 32c to the sub calibrator 34c, or the two-port coupler 36. The switch 33d connects the calibration port 32d to the sub calibrator 34d, or the two-port coupler 36. FIG. 9 is a block diagram showing a configuration of the sub calibrator 34a. The sub calibrator 34a includes an open calibration tool 38op, a short circuit calibration tool 38s, a standard load calibration tool 38L, and a calibration connecting unit 37. The calibration tools are well-known calibration tools which realize three types of state: open, short circuit, and load (standard load Z0) as described in Japanese Laid-Open Patent Publication (Kokai) No. H11-38054. The open calibration tool 38op realizes an open state for the transmission/reception port 1a. The short circuit calibration tool 38s realizes a short circuit state for the transmission/reception port 1a. The standard load calibration tool 38L realizes a standard load state for the transmission/reception port 1a. The calibration tool connection unit 37 connects any one of the open calibration tool 38op, the short circuit calibration tool 38s, and the standard load calibration tool 38L to the transmission/reception port 1a. The calibration tool connecting unit 37 is a type of a switch. It should be noted that the sub calibrators 34b, 34c, and 34d have the same configuration as that of the sub calibrator 34a. However, the sub calibrator 34b is connected to the transmission/reception port 1b. The sub calibrator 34c is connected to the transmission/reception port 1c. The sub calibrator 34d is connected to the transmission/reception port 1d. The two-port coupler 36 is connected to the transmission/reception port 1a via the calibration port 32a and the switch 33a, to the transmission/reception port 1b via the calibration port 32b and the switch 33b, to the transmission/reception port 1e via the calibration port 32c and the switch 33c, and to the transmission/reception port 1d via the calibration port 32d and the switch 33d. The two-port coupler 36 can realize all combinations of two ports out of the transmission/reception ports 1a, 1b, 1c, and 1d. Namely, there are six possible types of couplings: the transmission/reception ports 1a and 1b, the transmission/reception ports 1a and 1c, the transmission/reception ports 1a and 1d, the transmission/reception ports 1b and 1c, the transmission/reception ports 1b and 1d, and the transmission/reception ports 1c and 1d. The two-port coupler 36 selects and realizes these six types of couplings one by one, and finally realizes all six types. FIG. 10 is a signal flow graph showing a state where the transmission/reception port 1a and 1b are connected by the DUT 20. It should be noted that S11, S12, S21, and S22 are true S parameters of the DUT 20 without a measuring system error. In the state shown in FIG. 10, a transmission signal is output from the transmission/reception port 1a, and is received by the transmission/reception port 1b. Moreover, the transmission signal output from the transmission/reception port 1a is reflected, and is received by the transmission/reception port 1a. The measuring system error factors include Ed (error caused by the direction of a bridge), Er (error caused by frequency tracking), Es (error caused by source matching), Et (transmission tracking error), and EL. The four-port calibrator 30 is used to measure these error factors. First, the switch 33a is caused to connect the calibration port 32a to the sub calibrator 34a. FIG. 11 shows this state as a signal flow graph. It should be noted that reference numeral X denotes an S parameter of the open calibration tool 38op, the short circuit calibration tool 38s, and the standard load calibration tool 38L Reference numeral R denotes an S parameter relating to a transmission signal measured by the receiver (R) 2c. Reference numeral Ta denotes an S parameter relating to a reflected signal measured by the receiver (Ta) 5a. On this occasions there holds a relationship: Ta/R=Ed+Er·X/(1−Es·X). Since X takes three types of value (the S parameters of the open calibration tool 38op, the short circuit calibration tool 38s, and the standard load calibration tool 38L), Ed, Er, and Es can be acquired. Then, the switch 33a is caused to connect the calibration port 32a to the two-port coupler 36. Moreover, the switch 33b is caused to connect the calibration port 32b to the two-port coupler 36. The two-port coupler 36 couples the transmission/reception ports 1a and 1b to each other by coupling the calibration port 32a and the calibration port 32b. FIG. 12 shows this state as a signal flow graph. It should be noted that reference numeral Tb denotes an S parameter relating to a reception signal measured by the receiver (Tb) 5b. On this occasion, Et can be obtained based on Tb/R. Moreover, EL can be obtained based on Ta/R. In this way, Et (transmission tracking error) and the like can be measured. In order to determine Ft, it is necessary to couple two transmission/reception ports by means of the two port coupler 36. The coupling of the two transmission/reception ports is represented as two connections. For example in the state shown in FIG. 7, it is assumed that the transmission/reception ports 1a and 1b are coupled by the two-port coupler 36. This coupling is represented as the two connections A1 and A2. FIG. 13 shows couplings represented by connections required to determine Et (transmission tracking error) to be determined for the measuring system. In FIG. 13, notations such as A1 and A2 represent connections. It should be noted that since “A1=B1” implies that both A1 and B1 connect the DUT side port TP1 to the network analyzer side port NP1, both of them represent the same state. Moreover: since “B2=C2” implies that both B2 and C2 connect the DUT side port TP3 to the network analyzer side port NP2, both of them represent the same state. In addition, a line connecting the respective connections with each other implies connections to be coupled to measure Et (transmission tracking error). For example, it is necessary to couple the connections A1 and A2 to each other. However, it is not necessary to couple the connections A4 and B3. FIG. 13 indicates that couplings of one of the connections A1 and A2 of the main port group 14a to all the connections A3, A4, B3, B4, C3, and C4 of the sub port groups 14b and 14c are necessary. Similarly, couplings of one of the connections B1 and B2 of the main port group 14a to all the connections A3, A4, B3, B4, C3, and C4 of the sub port groups 14b and 14c are necessary. Similarly, couplings of one of the connections C1 and C2 of the main port group 14a to all the connections A3, A4, B3, B4, C3, and C4 of the sub port groups 14b and 14c are necessary. If all the couplings shown in FIG. 13 are to be realized by the two-port coupler 36 of the four-port calibrator 30, it is necessary to repeat attachment/detachment of the four-port calibrator 30 to/from the nine-port test set 10 seven times. It should be noted that since the network analyzer 1 according to the embodiment of the present invention includes the transmission tracking error deriving unit 8, the attachment/detachment is actually necessary three times as described later. FIG. 14 shows how the four-port calibrator 30 is attached to/detached from the nine-port test set 10 (f the transmission tracking deriving unit S is not available). The sequence of the attachment/detachment is not limited to the one shown in FIG. 14. First, as shown in FIG. 14(a), the four-port calibrator 30 is attached to the nine-port test set 10, and (1) the connections A1, A2, A3, and A4 are coupled. Namely, there are sequentially realized a coupling of the connections A1 and A2, a coupling of the connections A1 and A3, a coupling of the connections A1 and A4, a coupling of connections A2 and A3, a coupling of the connections A2 and A4, and a coupling of the connections A3 and A4. Then, the four-port calibrator 30 is detached from and attached again to the nine-port test set 10, and (2) the connections B1, B2, B3, and B4 are coupled. Further, the four-port calibrator 30 is detached from and attached again to the nine-port test set 10, and (3) the connections C1, C2, C3, and C4 are coupled. Further, as shown in FIG. 14(b), the four-port calibrator 30 is detached from, and attached again to the nine-port test set 10, and (4) the connections C1, C2, A3, and A4 are coupled. Then, the four-port calibrator 30 is detached from, and attached again to the nine-port test set 10, and, as shown in FIG. 14(c), (5) the connections A1, A2, C3, and C4 are coupled. Finally, the four-port calibrator 30 is detached from, and attached again to the nine-port test set 10, (6) the connections A1, A2, B3, and B4 are coupled as shown in FIG. 14(d), the four-port calibrator 30 is detached from, and attached again to the nine-port test set 10, and (7) the connections C1, C2, B3, and B4 are coupled. However, since the network analyzer 1 according to the embodiment of the present invention includes the transmission tracking error deriving unit 8, as shown in FIG. 15, there are necessary only three types of the connections; the couplings of (1) the connections A1, A2, A3, and A4, the couplings of (2) the connections B1, B2, B3, and B4, and the couplings of (3) the connections C1, C2, C3, and C4. Et (transmission tracking errors) relating to the other couplings (indicated by dotted lines) can be derived from Et (transmission tracking errors) relating to the couplings (1), (2), and (3). FIG. 16 describes a principle of how to derive Et (transmission tracking errors). For the sake of description, as shown in FIG. 16(a), the network analyzer 1 is directly connected to the two-port coupler 36. It is assumed that the transmission/reception port 1a is connected to a port 1 of the two-port coupler 36, the transmission/reception port 1b is connected to a port 2 of the two-port coupler 36, the transmission/reception port 1c is connected to a port 3 of the two-port coupler 36, and the transmission/reception port 1d is connected to a port 4 of the two-port coupler 36. With reference to FIG. 16(a), a transmission tracking error Et12 can be measured by connecting the port 1 and the port 2. It should be noted that Etij denotes a transmission tracking error when a signal is transmitted from a transmission/reception port connected to a port i, and is received by a transmission/reception port connected to a port j. Moreover, a transmission tracking error Et13 can be measured by connecting the port 1 and the port 3. A transmission tracking error Et23 can be measured by connecting the port 2 and the port 3. A transmission tracking error Et14 can be measured by connecting the port 1 and the port 4. On this occasion, Et24 can be derived without connecting the port 2 and the port 4. Et34 can also be derived without connecting the port 3 and the port 4. This is based on a theorem: Etik/Etjk=constant regardless of k. It should be noted that i≠j, k≠i, and k≠j. For example, Et24/Et14=Et23/Et13. Since Et14, Et23, and Et13 have already been measured, Et24 can be derived. FIG. 16(b) shows how to derive Et24. Et24 is represented as an arrow proceeding from the port 2 to the port 4. To proceed from the port 2 to the port 4, a route from the port 2 to the port 3 may be taken (Et23), a route from the port 3 to port 1 may be taken (inverse of Et13), and a route from the port 1 to port 4 may be taken (Et14). This corresponds to the fact that Et24 can be derived from Et14, Et23, and Et13. Namely, Et24, which is represented as an arrow proceeding from the port 2 to port 4, can be obtained by means of other three arrows which proceed from the port 2 to the port 4 (the arrow proceeding from the port 2 to the port 3, the arrow proceeding from the port 1 to the port 3 (inverse direction), and the arrow proceeding from the port 1 to the port 4). With reference to FIG. 15, for example, it is appreciated that Et (transmission tracking error) for the coupling of the connection M and the connection B3 can be derived by means of the method described with reference to FIG. 16 from Et for the coupling of the connection A2 and the connection A1, Et for the coupling of the connection B1 (=A1) and the connection B2, and Et for the coupling of the connection B2 and the connection B3. In this way, it is appreciated that Et can be derived by means of the two connections A1 and B2 other than the connection A2 and the connection B3, which are respectively a start point and an end point of Et. Moreover, it is appreciated that Et (transmission tracking error) for the coupling of the connection 42 and the connection C3 can be derived from Ft for the coupling of the connection A2 and the connection A1, Et for the coupling of the connection B1 (=A1) and the connection B2, and Et for the coupling of the connection C2 (=B2) and the connection C3. In this way, it is appreciated that Et can be derived by means of the two connections B1 and C2 other than the connection A2 and the connection C3, which are respectively a start point and an end point of Et. The transmission tracking error determining unit 7 of the network analyzer 1 determines Et (transmission tracking errors) relating to the couplings (1), (2), and (3). For which coupling a measured result is obtained is determined by connections provided by the nine-port test set connection acquiring unit 6. The transmission tracking error deriving unit 8 uses the above-described deriving method to derive Et which have not been determined based on Et relating to the couplings (1), (2), and (3) determined by the transmission tracking error determining unit 7. A description will now be given of an operation of the embodiment of the present invention with reference to a flowchart in FIG. 17. First, one of the connections of the main port group 14a is realized by operating the port connecting units 12a, 12b, and 12c of the nine-port test set 10 (S10). The connections in the main port group 14a includes the three types: A1 and A2, B1 and B2, and C1 and C2. One of them such as A1 and A2 is realized. Then, one of the connections of the sub port groups 14b and 14c is realized by operating the port connecting units 12a, 12b, and 12c of the nine-port test set 10 (S12). The connections of the sub port groups 14b and 14c include the three types: A3 and A4, B3 and B4, and C3 and C4. One of them such as A3 and A4 is realized. Then, the four-port calibrator 30 is connected to the DUT side ports of the nine-port test set 10 (S14). If A1 and A2, and A3 and A4 are realized, the DUT side port TP1 is connected to the calibration port 32a; the DUT side port TP2 is connected to the calibration port 32b; the DUT side port TP4 is connected to the calibration port 32c; and the DUT side port TP7 is connected to the calibration port 32d. Namely, the DUT side ports respectively connected to the network analyzer side ports NP1, NP2, NP3, and NP4 are connected to the calibration ports 32a, 32b, 32c, and 32d. The network analyzer 1 then transmits a signal. Then, there are measured R (transmission signal parameter), Ta, Tb, Tc, and Td (reception signal parameters) (S16). The operation of the four-port calibrator 30 is as described above when the R, Ta, Tb, Tc, and Td are measured. The combinations of the two ports of the DUT side ports connected to the calibration ports 32a, 32b, 32c, and 32d (also connected to the network analyzer side ports) are realized one by one, and finally all the six types are realized. On this occasion, it is determined whether all the connections in the main port group 14a have been realized (S18). If there axe connections which have not been realized (“NO” to S18), the operation returns to the step to realize one of the connections in the main port group 14a (S10). Consequently, R, Ta, Tb, Tc, and Td are measured for the following couplings of the connections. First, one of the connections of the main port group 14a, A1 and A2, is realized (S10), and the one of the connections of the sub port groups 14b and 14c, A3 and A4, is realized (S12). As a result, R, Ta, Tb, Tc, and Td are measured for the couplings of (1) the connections A1, A2, A3, and A4 (refer to FIG. 15) (S16). Then, one of the connections of the main port group 14a, B1 and B2, is realized (S10), and the one of the connections of the sub port groups 14b and 14c, B3 and B4, is realized (S12). As a result, R, Ta, Tb, Tc, and Td are measured for the couplings of (2) the connections B1, B2, B3, and B4 (refer to FIG. 15) (S16). Finally, one of the connections of the main port group 14a, C1 and C2, is realized (S10), and the one of the connections of the sub port groups 14b and 14c, C3 and C4, is realized (S12) As a result, R, Ta, Tb, Tc, and Td are measured for the couplings of (3) the connections C1, C2, C3, and 64 (refer to FIG. 15) (S16). Up to this state, all the connections (the three types: A1 and A2, B1 and 132, and C1 and C2) in the main port group 14a have been realized (“YES” to the step S18). If all the connections in the main port group 14a have been realized (“YES” to S18), the transmission tracking error determining unit 7 determines Et (transmission tracking errors) based on the measured result of the R, Ta, Tb, Tc, and Td, and the connections acquired by the nine-port test set connection acquiring unit 6 (S20). The transmission tracking error deriving unit 8 uses the above-described deriving method to derive Et which have not been determined based on Et relating to the couplings (1), (2), and (3) determined by the transmission tracking error determining unit 7 (S22). According to the embodiment of the present invention, the transmission tracking error determining unit 7 can determine Et (transmission tracking errors) for couplings of one of the connections of the main port group 14a (such as A1 and A2), and one of the connections of the sub port groups 14b and 14c (such as A3 and A4). Moreover the measurement of Et (transmission tracking errors) is carried out for all the possible connections (the three types: A1 and A2, B1 and B2, and C1 and C2) in the main port group 14a. For example, with reference to FIG. 15, Et (transmission tracking errors) are measured for (1) the combination of A1 and A2, and A3 and A4, (2) the combination of B1 and B2, and B3 and B4, and (3) the combination of C1 and C2, and C3 and C4. Based on these measured Et (transmission tracking errors), the transmission tracking error deriving unit 8 derives the Et which are not measured For example, Et (transmission tracking error) of the coupling of the connection A1 and the connection B3 are derived by the two connections A1 and B2 other than the connection A1 and the connection B3, which are respectively the start point and the end point of Et. It is necessary to attach/detach the four-port calibrator 30 to/from the nine-port test set 10 only three times to measure and derive Et (transmission tracking errors) in this way. The number of the attachment/detachment can be reduced compared with the seven times of them (refer to FIG. 14) if the transmission tracking error deriving unit 8 does not derive Et (transmission tracking errors). The attachment/detachment of the four-port calibrator 30 to/from the nine-port test set 10 is carried out in order to directly connect two ports selected from the transmission/reception ports 1a, 1b, 1c, and 1d of the network analyzer 1. Since the number of the attachment/detachment of the four-port calibrator 30 to/from the nine-port test set 10 is reduced, the labor to directly connect the two ports selected from the transmission/reception ports 1a, 1b, 1c, and 1d of the network analyzer 1 is also reduced. Moreover, the above-described embodiment may be realized in the following manner. A computer is provided with a CPU, a hard disk, and a media (such as a floppy disk (registered trade mark) and a CD-ROM) reader, and the media reader is caused to read a medium recording a program realizing the above-described respective components (such as the nine-port test set connection acquiring unit 6, the transmission tracking error determining unit 7, and the transmission tracking error deriving unit 8), thereby installing the program on the hard disk. This method may also realize the above-described embodiment. |
|
description | The present invention relates to a pH adjusting basket and a pH adjusting device for adjusting pH in a reactor containment vessel at the time of an unusual event of a nuclear reactor contained in the reactor containment vessel. As a common nuclear power plant, a plant including a pressurized water reactor has been known, in which the pressurized water reactor is contained in a reactor containment vessel. In this case, with an assumption of an unusual event, a spray device that sprays cooling water in the reactor containment vessel is provided around the reactor containment vessel to reduce pressure in the reactor containment vessel. In such nuclear power plants, it is known that a mesh basket in which a pH adjuster such as trisodium phosphate (TSP) is stored is located on a ground level (above the floor) near the external wall (for example, Nonpatent Literature 1). With this configuration, at the time of an unusual event, cooling water is sprayed by the spray device, and the bottom of the reactor containment vessel is filled with the cooling water. The basket located above the floor in the reactor containment vessel is then inundated by the cooling water, and the pH adjuster stored in the basket is dissolved in the cooling water through the mesh. Thereafter, the cooling water in which the pH adjuster is dissolved (a pH adjuster solution) is circulated in the reactor containment vessel by the spray device, thus making it possible to adjust the pH level in the reactor containment vessel. By adjusting the pH level in the reactor containment vessel, it is possible to hold radioactive iodine inside the reactor containment vessel in the pH adjuster solution or to suppress degradation of durability of structural material or various devices in the reactor containment vessel due to oxidization. Nonpatent Literature 1: J. A. Reinhart, Site Director/Fort Calhoun Station, “Fort Calhoun Station, Unit No. 1 License Amendment Request (LAR) “Change of Containment Building Sump Buffering Agent from Trisodium Phosphate to Sodium Tetraborate””, [online], Aug. 21, 2006, U.S. NRC, [Searched on Mar. 10, 2008], Internet <URL:http://www.nrc.gov/→Select “Electronic Reading Room” →Select “Documents in ADAMS”→Select “Web-based access”→Select “Begin ADAMS Search”→Input “ML062340039”→Select “Rank 6. (80)”> To settle down the unusual event quickly, it is required to circulate the pH adjuster solution in the reactor containment vessel by the spray device as quickly as possible. For this reason, it is preferable to speed up the rate of solution of the pH adjuster dissolved from the basket inundated by cooling water. However, the configuration of the basket according to Nonpatent Literature 1 has not been disclosed. Therefore, an object of the present invention is to provide a basket and a pH adjusting device that can speed up the rate of solution of a pH adjuster. According to the basket of claim 1, because it is possible to cause the cooling water to flow into the fist space between the containment units by providing a plurality of containment units for storing a pH adjuster in a stacked manner in a vertical direction, it is possible to increase a contact dimension between the cooling water and the pH adjuster. Therefore, because it is possible to speed up the rate of solution of the pH adjuster, it is possible to perform a circulation of the pH adjuster solution in the reactor containment vessel quickly, and as a result, it is possible to settle down the unusual event quickly. According to the basket of claim 2, it is possible to partition a plurality of containment units by using a plurality of partition plates. Therefore, it is possible to suppress inflow of a pH adjuster solution of high concentration produced in each of the containment units to other containment units through the space between the containment units. With this configuration, because the cooling water other than the pH adjuster solution of high concentration flows into other containment units, a saturation of the pH adjuster solution hardly occurs in the containment units, which makes it possible to appropriately dissolve the pH adjuster. According to the basket of claim 3, because each of the partition plates is arranged in an inclined manner with respect to the horizontal plane, it is possible to lead the pH adjuster solution produced in each of the containment units from the upper side end toward the lower side end, and as a result, it is possible to cause the pH adjuster solution to flow out in a preferable manner. According to the basket of claim 4, by providing the inflow guide plate, it is possible to guide the cooling water other than the pH adjuster solution of high concentration produced in other containment units to appropriately flow into each of the containment units. According to the basket of claim 5, by providing the outflow guide plate, it is possible to guide the pH adjuster solution of high concentration produced in each of the containment units to appropriately flow out from each of the containment units so that the pH adjuster solution does not flow into other containment units. According to the basket of claim 6, because it is possible to cause the cooling water to flow into the second space between the divided containment units, it is possible to increase a contact dimension between the cooling water and the pH adjuster. Therefore, because it is possible to speed up the rate of solution of the pH adjuster, it is possible to perform a circulation of the pH adjuster solution in the reactor containment vessel quickly, and as a result, it is possible to settle down the unusual event quickly. According to the basket of claim 7, because a plurality of second spaces are formed along the direction of flow of the pH adjuster solution, the cooling water flows into each of the divided containment units through each of the second spaces, and the pH adjuster solution produced in each of the divided containment units flows out through each of the second spaces. Therefore, because it is possible to obtain efficient inflow of the cooling water and efficient outflow of the produced pH adjuster solution, it is possible to speed up the rate of solution of the pH adjuster. According to the pH adjusting device of claim 8, it is possible to produce the pH adjuster solution by dissolving the pH adjuster in the cooling water in the cooling water inflow vessel by causing the cooling water to flow into the cooling water inflow vessel and to cause the produced pH adjuster solution to flow out. As a result, it is possible to circulate the produced pH adjuster solution in the reactor containment vessel. Exemplary embodiments of a nuclear power plant that employs a basket and a pH adjusting device according to the present invention will be explained below in detail with reference to the accompanying drawings. The present invention is not limited to the embodiments. First Embodiment A nuclear power plant according to a first embodiment employs a pressurized water reactor (PWR) as a nuclear reactor. The pressurized water nuclear power plant heats light water that works as a primary coolant in a nuclear reactor, and then sends the heated light water to a steam generator by a pump. Thereafter, the nuclear power plant evaporates a second coolant by heat exchange between the heated light water and the second coolant in the steam generator, and performs a power generation by sending evaporated second coolant (steam) to a turbine to drive a power generator. FIG. 1 is a schematic configuration diagram of a nuclear power plant that employs a basket according to the first embodiment, and FIG. 2 is a schematic configuration diagram of a pH adjusting system that employs the basket according to the first embodiment. FIG. 3 is a schematic configuration diagram of a pH adjusting device including the basket according to the first embodiment, and FIG. 4 is an external perspective view of the basket according to the first embodiment. FIG. 5 is a cross section of the basket cut on a plane A shown in FIG. 4, and FIG. 6 is a cross section of the basket cut on a plane B shown in FIG. 4. A configuration of the nuclear power plant is briefly explained first with reference to FIG. 1. As shown in FIG. 1, a nuclear power plant 1 includes a nuclear reactor 5 and a steam generator 7 that is connected to the nuclear reactor 5 via a pair of coolant pipes 6a and 6b constituted by a cold leg 6a and a hot leg 6b. A pressurizer 8 is installed on the hot leg 6b of the pair of coolant pipes 6a and 6b, and a coolant pump 9 is installed on the cold leg 6a. A primary cooling system 3 is formed with the nuclear reactor 5, the pair of coolant pipes 6a and 6b, the steam generator 7, the pressurizer 8, and the coolant pump 9, which are contained in a reactor containment vessel 10. In the above configuration, the light water that works as the primary coolant flows into the steam generator 7 from the nuclear reactor 5 through the hot leg 6b, and thereafter the light water that flows out through the steam generator 7 flows into the nuclear reactor 5 through the cold leg 6a. That is, the light water circulates between the nuclear reactor 5 and the steam generator 7. A boric acid is dissolved in the light water to reduce the speed of neutrons generated by a nuclear fission reaction undergoing in the nuclear reactor 5, which makes the light water acidic. That is, the light water is used as a coolant and a neutron moderator. The nuclear reactor 5 is a pressurized water reactor as described above, and the inside thereof is filled with the light water. In the nuclear reactor 5, a fuel bundle 15 is contained, and at the same time, a plurality of control rods 16 for controlling the nuclear fission of the fuel bundle 15 are provided in a manner such that the control rods 16 can be inserted into the fuel bundle 15. When a nuclear fission of the fuel bundle 15 is performed while controlling the nuclear fission reaction with the control rods 16, a thermal energy is generated by the nuclear fission. The generated thermal energy heats the light water, and the heated light water is sent to the steam generator 7 through the hot leg 6b. Meanwhile, the light water sent from the steam generator 7 through the cold leg 6a flows into the nuclear reactor 5 to cool down the inside of the nuclear reactor 5. The pressurizer 8 installed on the hot leg 6b suppresses boiling of the light water by pressurizing the heated light water. The steam generator 7 evaporates a second coolant to generate a steam and cools down the hot pressurized light water by heat exchange between the hot pressurized light water with the second coolant. The coolant pump 9 circulates the light water in a loop of the primary cooling system 3, sending the light water from the steam generator 7 into the nuclear reactor 5 through the cold leg 6a and sending the light water from the nuclear reactor 5 into the steam generator 7 through the hot leg 6b. A series of operations in the primary cooling system 3 of the nuclear power plant 1 is explained below. When the light water is heated by the thermal energy generated by the nuclear fission reaction in the nuclear reactor 5, the heated light water is sent to the steam generator 7 through the hot leg 6b by the coolant pump 9. The hot light water passing through the hot leg 6b is pressurized by the pressurizer 8 to suppress its boiling, and flows into the steam generator 7 in a high temperature and a high pressure condition. The hot pressurized light water that flows into the steam generator 7 is cooled down by a heat exchanged with the second coolant, and the cooled light water is sent to the nuclear reactor 5 through the cold leg 6a by the coolant pump 9. By the cooled light water that flows into the nuclear reactor 5, the nuclear reactor 5 is cooled down. The nuclear power plant 1 further includes a turbine 22 that is connected to the steam generator 7 via a steam pipe 21, a water condenser 23 that is connected to the turbine 22, and a water feed pump 24 installed on a water condensing and feed pipe 26 that connects the water condenser 23 and the steam generator 7, by which a secondary cooling system 20 is formed. The secondary coolant circulating through the secondary cooling system 20 is evaporated in the steam generator 7 to be a vapor (steam), and is turned back into a liquid from the vapor in the water condenser 23. A power generator 25 is connected to the turbine 22. The steam that flows into the turbine 22 from the steam generator 7 through the steam pipe 21 causes the turbine 22 to rotate. When the turbine 22 rotates, the power generator 25 connected to the turbine 22 generates a power. Thereafter, the steam that flows out from the turbine 22 flows into the water condenser 23. The water condenser 23 includes a cooling pipe 27 therein. A water intake pipe 28 for supplying cooling water (for example, seawater) is connected to one end of the cooling pipe 27, and a drain pipe 29 for draining away the cooling water is connected to the other end of the cooling pipe 27. With this configuration, the water condenser 23 turns the steam into the liquid by cooling down the steam that flows from the turbine 22 by the cooling tube 27. The secondary coolant turned into the liquid is sent to the steam generator 7 through the water condensing and feed pipe 26 by the water feed pump 24. The secondary coolant sent to the steam generator 7 is turned into the steam again by a thermal exchange with the primary coolant in the steam generator 7. With an assumption of an unusual event, a pH adjusting system 30 is incorporated in the nuclear power plant 1 to cool down the inside of the reactor containment vessel 10 and suppress volatilization of the radioactive iodine and degradation of durability of the structural material and the like. The pH adjusting system 30 according to the first embodiment is briefly explained below with reference to FIGS. 2 and 3. As described above, the pH adjusting system 30 is for cooling down the inside of the reactor containment vessel 10 and suppressing the volatilization of radioactive iodine and the degradation of durability of the structural material and the like at the time of an unusual event. As shown in FIG. 2, the pH adjusting system 30 includes the reactor containment vessel 10 described above, a fuel-replacement water pit 35 provided on the bottom of the reactor containment vessel 10, a spray device 36 configured to spray a boric acid solution (cooling water) stored in the fuel-replacement water pit 35 on the inside of the reactor containment vessel 10, and a pH adjusting device 37 for adjusting pH in the reactor containment vessel 10. As shown in FIG. 2, an inspection stage 42 for placing the pH adjusting device 37 thereon is provided on the inside wall of the reactor containment vessel 10. The inspection stage 42 is formed with a grating and the like. Furthermore, in the reactor containment vessel 10, a work floor 43 is provided above the fuel-replacement water pit. A returned water pipe path is formed on the work floor 43, such that the boric acid solution sprayed from a spray ring 45 (described later in detail) of the spray device 36 is returned to the fuel-replacement water pit 35. The fuel-replacement water pit 35 is provided on the bottom of the reactor containment vessel 10. The inside of the fuel-replacement water pit 35 is constantly filled with the boric acid solution. Normally, the boric acid solution is used when replacing the fuel bundle 15 described above. However, the boric acid solution is also used as cooling water for cooling down the inside of the reactor containment vessel 10 at the time of an unusual event of the nuclear reactor 5. In addition, the boric acid solution is also used as a solvent for a pH adjuster that is described later. The spray device 36 includes the spray ring 45 mounted near the inside ceiling of the reactor containment vessel 10, a spray pipe 47 that connects the spray ring 45 and the fuel-replacement water pit 35, and a spray pump 46 installed on the spray pipe 47. Therefore, when the spray device 36 is activated, the spray pump 46 pumps the boric acid solution stored in the fuel-replacement water pit 35 to the spray ring 45, and the boric acid solution is spray on the inside of the reactor containment vessel 10 from the spray ring 45. At this time, the boric acid solution sprayed from the spray ring 45 flows into the pH adjusting device 37. As shown in FIG. 3, the pH adjusting device 37 is mounted at an arbitrary position of the inspection stage 42. Specifically, the pH adjusting device 37 is positioned above the fuel-replacement water pit 35 and right below the spray ring 45 (see FIG. 2). The pH adjusting device 37 includes the pH adjuster, a basket 50 that contains the pH adjuster, a basket containment vessel 51 (a cooling water inflow vessel) that contains the basket 50, an overflow pipe 52 (a cooling water outflow unit) provided on the basket containment vessel 51, and a vent pipe 53 provided on the overflow pipe 52. As the pH adjuster, for example, sodium tetraborate decahydrate (NaTB) is used, which is made in the form of powder to be easily dissolved in the boric acid solution. Although NaTB is used as the pH adjuster in the first embodiment, which is not limited to, trisodium phosphate (TSP) and the like can be also used. The basket containment vessel 51 is formed in a box shape with its top surface opened, in which the basket 50 is contained. At this time, the number of the baskets 50 to be contained in the basket containment vessel is an arbitrary number. Therefore, when the boric acid solution is sprayed from the spray ring 45 that is located right above onto the basket containment vessel 51, the sprayed boric acid solution flows into the basket containment vessel 51 through the top opening of the basket 50. At the same time, the boric acid solution is retained in the basket containment vessel 51, which inundates the basket 50 contained in the basket containment vessel 51. That is, the top opening of the basket 50 works as an inlet for the boric acid solution. An outlet of the basket containment vessel 51 is formed with the overflow pipe 52. The overflow pipe 52 is formed substantially in an inverted U shape. That is, the overflow pipe 52 has its beginning at the bottom of the basket containment vessel 51, extending upward from the beginning along the inside wall of the basket containment vessel 51, and is turned in a horizontal direction at the top of the outside wall of the basket containment vessel 51, passing through the top of the outside wall. The overflow pipe 52 that passed through the outside wall extends downward along the outside wall of the basket containment vessel 51, and its end is connected to the fuel-replacement water pit 35. The vent pipe 53 is formed substantially in an inverted J shape, and is provided on the top of the overflow pipe 52, causing the inside and the outside of the overflow pipe 52 to be communicated with each other. With this configuration, the vent pipe 53 opens the inside of the overflow pipe 52 to the atmosphere so that the inside of the overflow pipe 52 is not filled with the boric acid solution. The basket 50 according to the first embodiment is explained next with reference to FIGS. 4 to 6. The basket 50 includes a basket frame 60 that is formed with a plurality of openings, a wire net 61 that is put on the basket frame 60, and four legs 62 that support the basket frame 60. The basket frame 60 is formed in a rectangular cuboid shape. A rectangular upper surface opening 65 and a rectangular lower surface opening 66 are formed on the upper surface and the lower surface of the basket frame 60, respectively. The wire net 61 with coarse mesh is put on the upper surface opening 65, and the wire net 61 with fine mesh is put on the lower surface opening 66 (see FIG. 4). The wire net 61 with fine mesh causes the pH adjuster that is dissolved in the boric acid solution (a pH adjuster solution) to pass through the wire net while keeping the pH adjuster in the form of powder from passing through the wire net. A rectangular side surface opening 67 is formed on each of four side surfaces of the basket frame 60, and seven divided side surface openings 70 are formed on each of the four side surface openings 67 by arranging six horizontal frames 68, which extends in the horizontal direction, at predetermined intervals in a vertical direction. That is, the seven divided side surface openings 70 are formed in a stacked manner in the vertical direction, so that each of the divided side surface openings 70 is opened to extend in the horizontal direction. Among the seven divided side surface openings 70 arranged in a stacked manner in the vertical direction, the wire net 61 with fine mesh is put on each of four divided side surface openings 70 including the first, the third, the fifth, and the seventh from the top, and the rest of three divided side surface openings 70 including the second, the fourth, and the sixth from the top are remained in the opened state as they are. The six horizontal frames 68 are arranged on each of the side surface openings 67 on its four walls, and the first four horizontal frames 68 from the top on the side surface openings 67 on the four walls form a frame surrounding in the four directions. The wire net 61 with fine mesh is put on within this frame. The other layer four horizontal frames 68 from the top on the side surface openings 67 on the four walls similarly form a frame surrounding in the four directions, and the wire net 61 with fine mesh is put on within the frame. That is, six wire nets 61 are put on between the wire net 61 put on the top and the wire net 61 put on the bottom (see FIGS. 5 and 6). Therefore, in the basket 50, four spaces of rectangular cuboid shape partitioned by the wire nets 61 are formed in a stacked manner in the vertical direction, and each of the four spaces works as a containment unit 71 that contains the pH adjuster. That is, four containment units 71 are arranged with a predetermined first space L1 in a stacked manner in the vertical direction. The four legs 62 that support the basket frame 60 are provided at four corners on the bottom surface, which is integrally formed with the basket frame 60. A series of operations in the pH adjusting system 30 described above is explained. When an unusual event occurs, the spray device 36 is activated. That is, the spray pump 46 is activated to pump the boric acid solution from the fuel-replacement water pit 35. The pumped boric acid solution is then sprayed on the inside of the reactor containment vessel 10 via the spray ring 45. At this time, a part of the boric acid solution sprayed from the spray ring 45 flows into the pH adjusting device 37, and the rest of the boric acid solution cools down the inside of the reactor containment vessel 10. When the boric acid solution is sprayed on the pH adjusting device 37, the boric acid solution flows into the basket containment vessel 51. The boric acid solution then dissolves the pH adjuster stored in the basket 50 to produce the pH adjuster solution. The basket containment vessel 51 is finally filled with the boric acid solution and the pH adjuster solution in which the pH adjuster is dissolved, by which the basket 50 is inundated. At this time, because the four containment units 71 are arranged with the first space L1 in a stacked manner in the vertical direction, the basket 50 can cause the boric acid solution to flow into three first spaces L1, making it possible to increase a contact dimension between the boric acid solution and the pH adjuster. As a result, it is possible to speed up the rate of solution of the pH adjuster. The pH adjuster solution produced in the above manner flows into the fuel-replacement water pit 35 by free fall through the overflow pipe 52. The pH adjuster solution that flows into the fuel-replacement water pit 35 is mixed with the boric acid solution in the fuel-replacement water pit 35. Thereafter, the boric acid solution mixed with the pH adjuster solution in the fuel-replacement water pit 35 is pumped by the spray pump 46, and the pumped boric acid solution is sprayed on the inside of the reactor containment vessel 10 via the spray ring 45. With this mechanism, by circulating the pH adjuster solution inside the reactor containment vessel 10, the pH in the reactor containment vessel 10 is adjusted, and at the same time, the inside of the reactor containment vessel 10 is cooled down. With the above configuration, in the basket 50, it is possible to speed up the rate of solution of the pH adjuster by arranging the containment units 71 each containing the pH adjuster with the first space in a stacked manner in the vertical direction. Therefore, it is possible to perform a circulation of the pH adjuster solution in the reactor containment vessel 10 quickly, and as a result, it is possible to settle down the unusual event quickly. Second Embodiment A basket 80 according to a second embodiment is explained next with reference to FIGS. 7 and 8. To avoid redundant descriptions, only different contents are explained. FIG. 7 is a cross section of the basket according to the second embodiment cut on the plane A shown in FIG. 4, and FIG. 8 is a cross section of the basket according to the second embodiment cut on the plane B shown in FIG. 4. FIGS. 7 and 8 are the cross sections of the basket 80 according to the second embodiment cut along the cutting positions shown in FIG. 4, not the cross sections of the basket 50 according to the first embodiment. The basket 80 according to the second embodiment has a configuration in which a plurality of partition plates 81 are provided between the containment units 71. Specifically, as shown in FIGS. 7 and 8, the three first spaces L1 are formed between the containment units 71, and the metal partition plate 81 is provided in each of the first spaces L1. Each of three partition plates 81 is arranged to make a horizontal plane, and is mounted on the basket frame 60 to be located at the center of the first space L1 in the vertical direction. With this configuration, each of the partition plates 81 can suppress inflow of the pH adjuster solution that flows out from each of the containment units 71 located above into each of the containment units 71 located below. With the above configuration, because a solution other than the pH adjuster solution of high concentration that flows out from each of the containment units 71 located above each of the partition plates 81 flows into each of the containment units 71 located below, a saturation of the pH adjuster solution hardly occurs in the containment units 71 located below, which makes it possible to appropriately dissolve the pH adjuster. As a result, it is possible to further speed up the rate of solution of the pH adjuster. Third Embodiment A basket 90 according to a third embodiment is explained next with reference to FIGS. 9 and 10. Also in this case, to avoid redundant descriptions, only different contents are explained. FIG. 9 is a cross section of the basket according to the third embodiment cut on the plane A shown in FIG. 4, and FIG. 10 is a cross section of the basket according to the third embodiment cut on the plane B shown in FIG. 4. FIGS. 9 and 10 are the cross sections of the basket 90 according to the third embodiment cut along the cutting positions shown in FIG. 4, not the cross sections of the basket 50 according to the first embodiment. The basket 90 according to the third embodiment has a configuration in which the partition plates 81 provided between the containment units 71 of the basket 80 according to the second embodiment are inclined with respect to the horizontal plane. Specifically, as shown in FIGS. 9 and 10, the metal partition plate 81 is provided in each of the first spaces L1 between the containment units 71, and each of the three partition plates 81 is mounted on the basket frame 60 to be inclined with respect to the horizontal plane. With this arrangement, because one end (an upper side end 81a) of each of the partition plates 81 is higher than other end (a lower side end 81b), it is possible to lead the pH adjuster solution, which flows out from each of the containment units 71 located above, from the upper side end 81a toward the lower side end 81b. With the above configuration, because each of the partition plates 81 is arranged in an inclined manner with respect to the horizontal plane, it is possible to lead the pH adjuster solution produced in each of the containment units 71 from the upper side end 81a of each of the partition plates 81 toward the lower side end 81b, and as a result, it is possible to cause the pH adjuster solution to flow out in a preferable manner. Fourth Embodiment A basket 100 according to a fourth embodiment is explained next with reference to FIGS. 11 and 12. Also in this case, to avoid redundant descriptions, only different contents are explained. FIG. 11 is a cross section of the basket according to the fourth embodiment cut on the plane A shown in FIG. 4, and FIG. 12 is a cross section of the basket according to the fourth embodiment cut on the plane B shown in FIG. 4. FIGS. 11 and 12 are the cross sections of the basket 100 according to the fourth embodiment cut along the cutting positions shown in FIG. 4, not the cross sections of the basket 50 according to the first embodiment. The basket 100 according to the fourth embodiment has a configuration in which the basket 80 according to the second embodiment is provided in an inclined manner. Specifically, as shown in FIGS. 11 and 12, the metal partition plate 81 is provided in each of the first spaces L1 between the containment units 71, and each of the three partition plates 81 is mounted on the basket frame 60 to make a horizontal plane. The four legs 62 of the basket 100 are configured in a manner such that lengths of two adjacent legs 62a are longer than lengths of other two legs 62b, so that each of the partition plates 81 is included with respect to the horizontal plane. With this arrangement, because one end (the upper side end 81a) of each of the partition plates 81 is higher than other end (the lower side end 81b), it is possible to lead the pH adjuster solution, which flows out from each of the containment units 71 located above, from the upper side end 81a toward the lower side end 81b. With the above configuration, because each of the partition plates 81 can be arranged in an inclined manner with respect to the horizontal plane, it is possible to lead the pH adjuster solution produced in each of the containment units 71 from the upper side end 81a of each of the partition plates 81 toward the lower side end 81b, and as a result, it is possible to cause outflow of the pH adjuster solution in a preferable manner. Although the basket 100 is inclined by changing the lengths of the legs 62 in the fourth embodiment, which is not limited to, a floor surface on which the basket 100 is placed can be inclined instead with respect to the horizontal plane. That is, it is enough to simply incline each of the partition plates 81 with respect to the horizontal plane at the completion of installation of the basket 100. Fifth Embodiment A basket 110 according to a fifth embodiment is explained next with reference to FIGS. 13 and 14. Also in this case, to avoid redundant descriptions, only different contents are explained. FIG. 13 is a cross section of the basket according to the fifth embodiment cut on the plane A shown in FIG. 4, and FIG. 14 is a cross section of the basket according to the fifth embodiment cut on the plane B shown in FIG. 4. FIGS. 13 and 14 are the cross sections of the basket 110 according to the fifth embodiment cut along the cutting positions shown in FIG. 4, not the cross sections of the basket 50 according to the first embodiment. The basket 110 according to the fifth embodiment has a configuration in which an inflow guide plate 111 is provided on the upper side end 81a of each of the partition plates 81 of the basket 100 according to the fourth embodiment, and an outflow guide plate 112 is provided on the lower side end 81b of each of the partition plates 81 of the basket 100 according to the fourth embodiment. Specifically, as shown in FIGS. 13 and 14, the metal partition plate 81 is provided in each of the first spaces L1 between the containment units 71, and each of the three partition plates 81 is mounted on the basket frame 60 to make a horizontal plane. The inflow guide plate 111 formed in a plate shape extending upward in the vertical direction is provided on one end of each of the partition plates 81, and the outflow guide plate 112 formed in a plate shape extending downward in the vertical direction is provided on other end of each of the partition plates. The four legs 62 of the basket 100 are configured in a manner such that lengths of two adjacent legs 62a are longer than lengths of other two legs 62b, so that each of the partition plates 81 is included with respect to the horizontal plane. Therefore, each of the partition plates is inclined with respect to the horizontal direction, and each of the inflow guide plates 111 and each of the outflow guide plates 112 are inclined with respect to the vertical direction. At this time, the four legs 62 of the basket 110 are configured in a manner such that the one end (the upper side end 81a) on which the inflow guide plate 111 is provided is higher than the other end (the lower side end 81b) on which the outflow guide plate 112 is provided. With the above configuration, by providing the inflow guide plate 111, it is possible to guide the solution other than the pH adjuster solution of high concentration produced in other containment units 71 to appropriately flow into each of the containment units 71. In addition, by providing the outflow guide plate 112, it is possible to guide the pH adjuster solution of high concentration produced in each of the containment units 71 to appropriately flow out from each of the containment units 71 so that the pH adjuster solution does not flow into other containment units 71. The inflow guide plate 111 and the outflow guide plate 112 can be also applied to the basket 80 according to the second embodiment. Sixth Embodiment A basket 120 according to a sixth embodiment is explained next with reference to FIGS. 15 and 16. Also in this case, to avoid redundant descriptions, only different contents are explained. FIG. 15 is a cross section of the basket according to the sixth embodiment cut on the plane A shown in FIG. 4, and FIG. 16 is a cross section of the basket according to the sixth embodiment cut on the plane B shown in FIG. 4. FIGS. 15 and 16 are the cross sections of the basket 120 according to the sixth embodiment cut along the cutting positions shown in FIG. 4, not the cross sections of the basket 50 according to the first embodiment. The basket 120 according to the sixth embodiment has a configuration in which each of the containment units 71 of the basket 110 according to the fifth embodiment is divided by a predetermined second space L2. Specifically, as shown in FIGS. 15 and 16, each of the containment units 71 arranged in a stacked manner in the vertical direction includes a plurality of divided containment units 122 divided by the predetermined second space L2 that is perpendicular to the first space L1. A plurality of second spaces L2 are formed to extend along a direction of flow of the pH adjuster solution. That is, because the pH adjuster solution produced in each of the containment units 71 flows in a direction of the inclination of each of the partition plates 81 that are inclined with respect to the horizontal plane, the second space L2 is formed to extend along the direction of the inclination of the partition plate 81. With the above configuration, because it is possible to cause the boric acid solution to flow into the second space L2 between the divided containment units 122, it is possible to increase a contact dimension between the boric acid solution and the pH adjuster. Therefore, because it is possible to speed up the rate of solution of the pH adjuster, it is possible to perform a circulation of the pH adjuster solution in the reactor containment vessel 10 quickly, and as a result, it is possible to settle down the unusual event quickly. In addition, because the second spaces L2 are formed along the direction of flow of the pH adjuster solution, the boric acid solution flows into each of the divided containment units 122 through each of the second spaces L2, and at the same time, the pH adjuster solution produced in each of the divided containment units 122 flows out through each of the second spaces L2. With this mechanism, because it is possible to obtain efficient inflow of the boric acid solution and efficient outflow of the produced pH adjuster solution, it is possible to speed up the rate of solution of the pH adjuster. The second spaces L2 can be also applied to the baskets 50, 80, and 90 according to the first to third embodiments, respectively. FIG. 17 is a cross section of a basket according to a modification of the basket 80 according to the second embodiment cut on the plane B shown in FIG. 4. A basket 130 according to the modification has a configuration in which the center of the basket 130 is taken as an apex 131, and the containment units 71 and the partition plates 81 are formed in a manner such that they are inclined in a down-slope toward both sides from the apex 131. At this time, each of the containment units 71 can be divided symmetrically with respect to the apex 131. In addition, in the baskets 80, 90, 100, 110, and 120 according to the second to sixth embodiments, a flow path guide plate (not shown) that guides the pH adjuster solution from the upper side end 81a toward the lower side end 81b of each of the partition plates 81 can be provided on both sides in a width direction that is perpendicular to the direction of the inclination of each of the partition plates 81. With this arrangement, it is possible to guide the pH adjuster solution of high concentration produced in each of the containment units 71 from the upper side end 81a toward the lower side end 81b not to flow into other containment units 71. As described above, the basket and the pH adjusting device according to the present invention is useful for a pH adjusting device that adjusts pH in a reactor containment vessel, and are particularly suitable for a case of speeding up the rate of solution of a pH adjuster. 1 nuclear power plant 10 reactor containment vessel 30 pH adjusting system 35 fuel-replacement water pit 36 spray device 37 pH adjusting device 42 inspection stage 45 spray ring 46 spray pump 50 basket 51 basket containment vessel 52 overflow pipe 53 vent pipe 60 basket frame 61 wire net 62 leg 62a leg 62b leg 65 upper surface opening 66 lower surface opening 67 side surface opening 68 horizontal frame 70 divided side surface opening 71 containment unit 80 basket (second embodiment) 81 partition plate 81a upper side end 81b lower side end 90 basket (third embodiment) 100 basket (fourth embodiment) 110 basket (fifth embodiment) 111 inflow guide plate 112 outflow guide plate 120 basket (sixth embodiment) 122 divided containment unit 130 basket (modification) 131 apex L1 first space L2 second space |
|
description | 1. Field of the Invention The present invention relates to an accumulator incorporating a flow damper which is capable of statically switching flow rates from large to small. The present invention is useful when applied to an accumulator of an emergency injection system for a reactor in a pressurized water reactor (PWR) power plant, for example. 2. Description of the Related Art An emergency core cooling system is installed in the PWR power plant. The emergency core cooling system includes an accumulator and so forth on the assumption that the PWR might cause a loss of primary coolant accident. Water (coolant) is stored in the accumulator, and the water stored therein is pressurized by a pressurizing gas (nitrogen gas) which is filled in an upper part in the accumulator. Moreover, a flow damper is provided in the accumulator. The flow damper can switch a water injection flow rate in a reactor from a large flow to a small flow statically (without moving any part thereof). The flow damper includes a vortex chamber, a large flow pipe, a small flow pipe, an outlet pipe and the like, and is disposed at the bottom in the accumulator (see FIG, 1). A tip end of the outlet pipe is connected to a low temperature pipeline of a reactor primary coolant loop with a check valve interposed in between. The check valve is used for avoiding a back flow from a rector primary cooling system to the accumulator. If the pipeline or the like in the reactor primary cooling system of the PWR power plant is broken and the coolant flows out of a crack to the outside (i.e. upon occurrence of a loss of primary coolant accident), the amount of the coolant in a reactor vessel may be reduced, and thereby a reactor core may become exposed. In this situation, however, if a pressure of the primary cooling system drops below a pressure in the accumulator, the water stored in the accumulator is injected from the primary cooling system pipeline into the reactor vessel through the check valve, and thereby refloods the reactor core. In this case, the reactor vessel is refilled quickly by injecting water at a large flow rate at an initial stage thereof. Then, it is necessary to switch the water injection flow rate from the large flow to a small flow at a later stage when the reactor core is reflooded, because excessively injected water may spill out of the crack. In order to ensure this water injection flow rate switching operation, a reliable flow damper without a moving part is used for the accumulator. The principles of the water injection flow rate switching by use of such a flow damper will be explained on the basis of FIGS. 10A and 10B (horizontal sectional views). As shown in FIGS. 10A and 10B, a flow damper 10 has a structure in which a large flow pipe 2 and a small flow pipe 3 are connected to a peripheral portion (a circumferential portion) of a cylindrical vortex chamber 1, while an outlet 4 is formed in the center of the vortex chamber 1. The large flow pipe 2 and the small flow pipe 3 extend in mutually different directions from the outlet 4. Specifically, the small flow pipe 3 extends in the left direction along a tangential direction to the peripheral portion (the circumferential portion) of the vortex chamber 1. Meanwhile, the large flow pipe 2 extends in the right direction while forming a predetermined angle θ with the small flow pipe 3. Moreover, although illustration is omitted, an inlet of the small flow pipe 3 is located at the same level as the vortex chamber 1. Meanwhile, the large flow pipe 2 is connected to a standpipe which extends upward. An inlet of this standpipe is located higher than the vortex chamber 1 and the inlet of the small flow pipe 3. Furthermore, an outlet pipe is connected to the outlet 4 of the vortex chamber 1. Moreover, since the water level in the accumulator is higher than the inlet of the large flow pipe 2 at the initial stage of water injection, the water in the accumulator flows into the vortex chamber 1 from both of the large flow pipe 2 and the small flow pipe 3 as indicated with arrows A and B in FIG, 10A. As a result, the injected water (a jet) from the large flow pipe 2 collides with the injected water (a jet) from the small flow pipe 3, and angular momenta of the jets are offset. In this way, the water flows directly toward the outlet 4 as indicated with an arrow C in FIG, 10A. Specifically, no vortex is formed in the vortex chamber at this time. Accordingly, a flow resistance is reduced at this time, and thus a large amount of water flows out of the outlet 4 and is injected into the reactor vessel. By contrast, at the later stage of water injection, the water level in the accumulator drops below the inlet of the standpipe connected to the large flow pipe 2. Accordingly, there is no water flow from the large flow pipe 2 into the vortex chamber 1, and the water flows into the vortex chamber 1 only through the small flow pipe 3 as indicated with an arrow B in FIG, 10B. As a result, the injected water from this small flow pipe 3 proceeds to the outlet 4 while forming a vortex (a swirling flow) as indicated with an arrow D in FIG, 10B. Accordingly, the flow resistance is increased by the centrifugal force at this time, and an outflow (the water injected to the reactor vessel) from the outlet 4 becomes a small flow. This device is called a flow damper because it has the function to damp the flow rate as described above. As described above, the accumulator currently in development is the advanced accumulator which is capable of switching from a large flow to a small flow statically and securely by including the flow damper 10. Moreover, the flow damper 10 of this advanced accumulator is required to define a proportion between the large flow and the small flow as high as possible in order to achieve a reasonable tank volume. For this reason, it is essential not to form a vortex in the vortex chamber by surely offsetting the angular momenta between the jet from the large flow pipe 2 and the jet from the small flow pipe 3 at the time of the large flow injection. In addition, it is necessary to generate a high flow resistance by forming a strong vortex in the vortex chamber 1 when switching from the large flow to the small flow. For this reason, in the case of a large flow, it is necessary to control an angle θ defined between the large flow pipe 2 and the small flow pipe 3 (a collision angle of the two jets) and the flows (the flow rates) of the large flow pipe 2 and the small flow pipe 3 so that the jet from the large flow pipe 2 and the jet from the small flow pipe 3 mutually offset the angular momenta. Moreover, in the case of a small flow, a strong vortex is formed in the vortex chamber 1 by connecting the small flow pipe 3 to the peripheral portion (the circumferential portion) of the vortex chamber 1 along the tangential direction. However, in an attempt not to form a vortex in the vortex chamber at the time of the large flow injection by fine-tuning the values of the angle θ between the large flow pipe 2 and the small flow pipe 3 and the flows (the flow rates) of the large flow pipe 2 and the small flow pipe 3, it is necessary to rebuild the entire flow damper many times in order to adjust these values. Such an attempt may bring about numerous prototype flow dampers that would involve huge labors and fabrication costs. In view of the aforementioned circumstances, it is an object of the present invention to provide an accumulator including a flow damper which is capable of suppressing formation of a vortex in a vortex chamber at the time of a large flow injection without requiring huge labors and fabrication costs. To attain the object, an accumulator according to a first aspect of the present invention is an accumulator provided with a flow damper inside, the flow damper including a cylindrical vortex chamber, a small flow pipe connected to a peripheral portion of the vortex chamber along a tangential direction thereto, a large flow pipe connected to the peripheral portion while forming a predetermined angle with the small flow pipe, and an outlet pipe connected to an outlet formed at a central part of the vortex chamber. Here, the accumulator is characterized in that the flow damper includes a colliding jet controller for controlling a colliding jet composed of a jet from the large flow pipe and a jet from the small flow pipe flowing into the vortex chamber at the time of a large flow injection so that the colliding jet may proceed directly to the outlet without forming a vortex in the vortex chamber, the colliding jet controller being provided at a junction of the small flow pipe and the vortex chamber. Moreover, an accumulator according to a second aspect of the present invention, in the case of the accumulator of the first aspect, is characterized in that the colliding jet controller is a bevel formed at the junction of the small flow pipe and the vortex chamber. Furthermore, an accumulator according to a third aspect of the present invention, in the case of the accumulator of the first aspect, is characterized in that the colliding jet controller is a projection formed at the junction of the small flow pipe and the vortex chamber. The accumulator of the first aspect of the present invention is characterized in that the flow damper includes a colliding jet controller for controlling a colliding jet composed of a jet from the large flow pipe and a jet from the small flow pipe flowing into the vortex chamber at the time of a large flow injection so that the colliding jet may proceed directly to the outlet without forming a vortex in the vortex chamber, the colliding jet controller being provided at a junction of the small flow pipe and the vortex chamber. Accordingly, it is possible to cause the jet from the large flow pipe and the jet from the small flow pipe to offset the mutual angular momenta easily and securely so as not to generate a vortex in the vortex chamber at the rime of a large flow only by adjusting a control amount of the colliding jet by use of the colliding jet controller (i.e. only by rebuilding the colliding jet controller) instead of rebuilding the entire flow damper. Hence is it possible to drastically reduce labors and fabrication costs for adjusting the colliding jet. In particular, according to the accumulator of the second or the third aspect of the present invention, either the bevel or the projection is formed as the colliding jet controller, and the colliding jet is controlled by use of the bevel or the projection. Hence, it is possible to obtain a significant effect as similar to the first aspect merely by an extremely simple adjustment work for adjusting either the size of the bevel or a projecting amount of the projection. Hereinafter, a preferred embodiment of the present invention will be described below in detail with reference to the accompanying drawings. (Configuration) An accumulator 21 shown in FIG, 1 is an apparatus constituting part of an emergency core cooling system, which is installed in a pressurized water reactor (PWR) power plant on the assumption that a loss of primary coolant accident might occur in the PWR power plant. As shown in FIG, 1, water (a coolant) 22 is stored in the accumulator 21, and the water 22 stored therein is pressurized by a pressurizing gas (nitrogen gas) 23 which is filled in an upper part in the accumulator 21. Moreover, a flow damper 24, which can switch a water injection flow rate in a reactor from a large flow to a small flow statically, is provided in the accumulator 21. The flow damper 24 includes a vortex chamber 25, a large flow pipe 26, a small flow pipe 27, an outlet pipe 28 and the like, and is disposed at the bottom in the accumulator 21. Although illustration is omitted, a tip end of the outlet pipe 28 is connected to a low temperature pipeline of a reactor primary coolant loop with a check valve interposed in between. The check valve is used for avoiding a back flow from a rector primary cooling system to the accumulator 21. As shown in FIG, 1 to FIG, 5B, the flow damper 24 has a structure in which the large flow pipe 26 and the small flow pipe 27 are connected to a peripheral portion (a circumferential portion) of the cylindrical vortex chamber 25, while an outlet 29 is formed in the center of an upper surface 25b of the vortex chamber 25. Alternatively, the outlet 29 may be provided in the center of a lower surface 25c of the vortex chamber 25. In view of horizontal surfaces as illustrated in FIG, 3 and FIG, 4, the large flow pipe 26 and the small flow pipe 27 extend in mutually different directions from the outlet 29. Specifically, the small flow pipe 27 extends in a direction (which is the left direction in the drawings) along a tangential direction to the peripheral portion (the circumferential portion) of the vortex chamber 25. Meanwhile, the large flow pipe 26 extends in another direction (which is the right direction in the drawings) while forming a predetermined angle θ (in a range from 90°<θ<180°; such as 95°, 100° or 110°) with the small flow pipe 27. Cross sections of flow passages of the large flow pipe 26 and the small flow pipe 27 are formed into rectangular shapes. Specifically, as shown in FIGS. 5A and 5b, for example, the large flow pipe 26 (a horizontal portion 26a) has a parallel pair of inner surfaces (vertical surfaces) 26d and 26e which face each other in the horizontal direction, and a parallel pair of inner surfaces (horizontal surfaces) 26f and 26g which face each other in the vertical direction. Meanwhile, the small flow pipe 27 has a parallel pair of inner surfaces (vertical surfaces) 27b and 27e which face each other in the horizontal direction, and a parallel pair of inner surfaces (horizontal surfaces) 27d and 27e which face each other in the vertical direction. The heights of the flow-passage cross sections of the large flow pipe 26 and the small flow pipe 27 (the heights of the inner surfaces 26d and 26e and of the inner surfaces 27b and 27c) are the same as the height of an inner peripheral surface 25a of the vortex chamber 25. On the other hand, the widths of the flow-passage cross sections of the large flow pipe 26 (the widths of the inner surfaces 26f and 26g) are greater than the widths of the flow-passage cross sections of the small flow pipe 27 (the widths of the inner surfaces 27d and 27e). Moreover, an inlet 27a of the small flow pipe 27 is located at the same height as that of the inner peripheral surface 25a of the vortex chamber 25. On the other hand, the large flow pipe 26 includes a standpipe 26b connected to the horizontal portion 26a, and an inlet 26c thereof is located higher than the vortex chamber 25 and the inlet 27a of the small flow pipe 27. It is to be noted, however, that a water level 22a of the stored water 22 is usually located higher than this inlet 26c of the large flow pipe 26. The outlet pipe 28 is connected to the outlet 29 of the vortex chamber 25. Anti-vortex plates 30 and 31 are respectively provided to the inlets 26c and 27a of the large flow pipe 26 and the small flow pipe 27. As shown in FIG, 4 and FIG, 6, the inner surface 27b, at the side of the large flow pipe 26, of the small flow pipe 27 is connected to the inner surface 26e, at the side of the small flow pipe 27, of the large flow pipe 26. Moreover, in consideration of a spread of a jet from the small flow pipe 27 (a free-jet-spread proportion), a junction 32 of the inner surface 26d, at the opposite side of the small flow pipe 27, of the large flow pipe 26 and an extended surface portion (a flat surface portion) 25a-1 of the inner peripheral surface 25a of the vortex chamber 25 is located outside an extension line of the inner surface 27b, at the side of the large flow pipe 26, of the small flow pipe 27 (the line extending from the junction 33 in the tangential direction). It is to be noted, however, that the present invention is not limited to the foregoing configuration. It is also serves the purpose to adopt a structure in which the junction of the inner surface 26d and the inner peripheral surface 25a does not include the extended surface portion (the flat surface portion) 25a-1 as indicated with a dashed line K in the drawing. Moreover, the inner surface 27c, at the opposite side of the large flow pipe 26, of the small flow pipe 27 is connected to the inner peripheral surface 25a of the vortex chamber 25 at a junction 34. This junction 34 is located upstream of the junction 33 in terms of the direction of the flow (the direction of the jet: see an arrow B) from the small flow pipe 27. Moreover, in this embodiment, as shown in FIG, 4 and FIG, 6, the flow damper 24 includes a bevel 41 functioning as a colliding jet controller which is provided at the junction 34 of the small flow pipe 27 (the inner surface 27c) and the vortex chamber 25 (the inner peripheral surface 25a). Specifically, by forming the bevel 41 in an appropriate size at the junction 34, it is possible to control a colliding jet composed of a jet from the large flow pipe 26 and a jet from the small flow pipe 27 flowing into the vortex chamber 25 at the time of a large flow injection so that the colliding jet may proceed directly to the outlet 29 securely without forming a vortex in the vortex chamber 25. For example, a decrease in the size of the bevel 41 as indicated with a dashed line L in FIG, 6 causes an increase in the amount of the jet from the large flow pipe 26, which flows along the direction of the jet from the small flow pipe 27 while bypassing the bevel 41 as indicated with an arrow N. As a result, the colliding jet composed of the jet from the large flow pipe 26 and the jet from the small flow pipe 27 tends to form a clockwise vortex as indicated with an arrow P. On the other hand, an increase in the size of the bevel 41 as indicated with a dashed line M in FIG, 6 causes a decrease in the amount of the jet from the large flow pipe 26, which flows along the direction of the jet from the small flow pipe 27 while bypassing the bevel 41. As a result, the colliding jet composed of the jet from the large flow pipe 26 and the jet from the small flow pipe 27 tends to form a counterclockwise vortex as indicated with an arrow O. In other words, it is possible to control the colliding jet by the size of the bevel 41. Accordingly, it is possible to cause the colliding jet to proceed directly toward the outlet 29 as indicated with an arrow C by adjusting the bevel 41 into an appropriate size. Incidentally, the bevel formed at the junction 34 is not limited to the bevel 41 which is cut away in an orthogonal direction to the direction of the jet from the small flow pipe 27 (the tangential direction). For example, the bevel may be formed in an oblique direction relative to the direction of the jet from the small flow pipe 27. Moreover, the bevel may be a bent bevel or a curved bevel. Furthermore, the flow damper 24 shown in FIG, 7 and FIG, 8 includes a projection 51 functioning as the colliding jet controller which is provided at the junction 34 of the small flow pipe 27 (the inner surface 27c) and the vortex chamber 25 (the inner peripheral surface 25a). The projection 51 in the illustrated example has a plate shape. By forming the projection 51 in an appropriate projecting amount at the junction 34, it is possible to control the colliding jet composed of the jet from the large flow pipe 26 and the jet from the small flow pipe 27 flowing into the vortex chamber 25 at the time of a large flow injection so that the colliding jet may proceed directly to the outlet 29 securely without forming a vortex in the vortex chamber 25. For example, an increase in the projecting amount of the projection 51 causes an increase in the amount of the jet from the large flow pipe 26, which flows along the direction of the jet from the small flow pipe 27 while bypassing the projection 51 as indicated with an arrow Q. As a result, the colliding jet composed of the jet from the large flow pipe 26 and the jet from the small flow pipe 27 tends to form a clockwise vortex as indicated with the arrow P. On the other hand, a decrease in the projecting amount of the projection 51 causes a decrease in the amount of the jet from the large flow pipe 26, which flows along the direction of the jet from the small flow pipe 27 while bypassing the projection 51. As a result, the colliding jet composed of the jet from the large flow pipe 26 and the jet from the small flow pipe 27 tends to form a counterclockwise vortex as indicated with the arrow O. In other words, it is possible to control the colliding jet by the projecting amount of the projection 51. Accordingly, it is possible to cause the colliding jet to proceed directly toward the outlet 29 as indicated with the arrow C by adjusting the projecting amount of the projection 51 into an appropriate size. Incidentally, the projection formed at the junction 34 is not limited to the projection 51 which is projected straight in the direction of the jet from the small flow pipe 27 (the tangential direction). For example, the projection may be formed into a plate in an oblique direction relative to the direction of the jet. Moreover, the projection may be a bent projection or a curved projection. Furthermore, the projection may be formed into a shape other than the plate shape (one having a triangular horizontal cross section is also applicable, for example). (Operation and effects) The accumulator 21 having the above-described configuration exerts the following operation and effects. If a pipeline or the like in a reactor primary cooling system of a PWR power plant is broken, and the coolant flows out of a crack to the outside (i.e. upon occurrence of a loss of primary coolant accident), thereby reducing a pressure of the primary cooling system below a pressure in the accumulator 21, the stored water 22 in the accumulator 21 is injected from the primary cooling system pipeline into a reactor vessel through a check valve, and thereby refloods a reactor core. At this time, the water injection flow rate to the reactor vessel is switched from a large flow to a small flow statically by way of the flow damper 24. Specifically, since the water level in the accumulator 21 is higher than the inlet 26c of the large flow pipe 26 at an initial stage of water injection, the water 22 in the accumulator 21 flows into the vortex chamber 25 from both of the large flow pipe 26 and the small flow pipe 27 as indicated with arrows A and B in FIG, 9A. As a result, the injected water (a jet) from the large flow pipe 26 collides with the injected water (a jet) from the small flow pipe 27, and angular momenta of the jets are offset. In this way, the water 22 flows directly toward the outlet 29 as indicated with an arrow C in FIG, 9A. Specifically, no vortex is formed in the vortex chamber 25 at this time. Accordingly, a flow resistance is reduced at this time, and a large amount of water flows out of the outlet 29 and is injected into the reactor vessel. By contrast, at a later stage of water injection, the water level in the accumulator 21 drops below the inlet 26c of the standpipe connected to the large flow pipe 26. Accordingly, there is no water 22 flowing from the large flow pipe 26 into the vortex chamber 25, and the water 22 flows into the vortex chamber 25 only through the small flow pipe 27 as indicated with an arrow B in FIG, 9B. As a result, the injected water from this small flow pipe 27 proceeds to the outlet 29 while forming a vortex (a swirling flow) as indicated with an arrow D in FIG, 7B. Accordingly, the flow resistance is increased by the centrifugal force at this time, and an outflow (the water injected to the reactor vessel) from the outlet 29 becomes a small flow. Although FIG, 9 illustrates the example of providing the bevel 41, similar water injection flow rate switching is achieved in the case of providing the projection 51 as well. Moreover, according to the accumulator 21 of this embodiment, the flow damper 24 includes the colliding jet controller (the bevel 41 or the projection 51), which is configured to control the colliding jet composed of the jet from the large flow pipe 26 and the jet from the small flow pipe 27 flowing into the vortex chamber 25 at the time of a large flow injection so that the colliding jet may proceed directly to the outlet 29 without forming a vortex in the vortex chamber 25, the colliding jet controller being provided at the junction 34 of the small flow pipe 27 and the vortex chamber 25. Accordingly, it is possible to cause the jet from the large flow pipe 26 and the jet from the small flow pipe 27 to offset the mutual angular momenta easily and securely so as not to generate a vortex in the vortex chamber 25 at the rime of a large flow, only by adjusting a control amount of the colliding jet by use of the colliding jet controller (i.e., only by rebuilding the colliding jet controller in the vortex chamber 25) instead of rebuilding the entire flow damper 24. Hence is it possible to drastically reduce labors and fabrication costs for adjusting the colliding jet. In particular, according to the flow damper 24 of this accumulator 21, either the bevel 41 or the projection 51 is formed as the colliding jet controller, and the colliding jet is controlled by use of the bevel 41 or the projection 51. Hence, it is possible to obtain a significant effect as described above merely by an extremely simple adjustment work for adjusting either the size of the bevel 41 or the projecting amount of the projection 51. Incidentally, application of the bevel or the projection for controlling the colliding jet may be selected as appropriate depending on the angle θ between the large flow pipe 26 and the small flow pipe 27 or on the proportion of the flows (the flow rates) between the large flow pipe 26 and the small flow pipe 27 (i.e. a balance in the angular momenta between the jet from the large flow pipe 26 and the jet from the small flow pipe 27), for example. The invention thus described, it will be obvious that the same way may be varied in many ways. Such variations are not to be regarded as a departure from the spirit and scope of the invention, and all such modifications as would be obvious to one skilled in the art are intended to be included within the scope of the following claims. |
|
053373367 | summary | The present invention relates generally to nuclear reactors, and, more specifically, to reducing release of volatile radioactive iodine from a reactor core following an accident condition. BACKGROUND OF THE INVENTION A nuclear reactor, such as a boiling water reactor (BWR) for example, includes a pressure vessel containing a reactor core including a plurality of fuel rods submerged in water. The pressure vessel is disposed in a drywell enclosure, which in turn is disposed in a containment vessel in an exemplary design. Each of the fuel rods includes a plurality of nuclear fuel pellets contained in a tubular enclosure or cladding, which fuel undergoes fission during normal operation of the reactor for heating the water in the pressure vessel to generate steam which is conventionally channeled to a steam turbine-generator for producing electrical power for a utility grid for example. The fuel rods have useful lifetimes of several years and are continually shuffled into different positions within the reactor core, and removed and replaced with fresh fuel rods as required for attaining uniform burning, or fissioning, of the fuel rods. One of the by-products of the fission process is iodine, which may take the form of both stable or non-volatile species; or volatile species which vaporize, both of which species may be radioactive or non-radioactive. Typical iodine species include cesium iodide (CsI), hydrogen iodide (HI), and elemental iodine (I.sub.2). Iodine may also be found in organic species such as methyl iodide (CH.sub.3 I), and anomalous species which are presently unknown. The various iodine species may either be stable or non-volatile such as the cesium iodide compound, or volatile such as the elemental iodine, the hydrogen iodide, the methyl iodide, and the anomalous species. The iodine species may also be either radioactive or non-radioactive, with the total amount thereof in a typical reactor core sized for generating about 1,000 MWe (megawatt electric) being about 10-20 kg after the reactor has been operated for several years. As long as the fuel rod cladding remains intact, the iodine species will remain therein and not be released. However, in a postulated accident such as a loss-of-coolant accident (LOCA), one or more of the fuel rods may be overheated, which can rupture the fuel rod cladding and allow the iodine therein to be released into the reactor coolant water. Although only a very small fraction of the relatively small total iodine in the reactor core may be expected to be released into the reactor water following the LOCA, the volatile radioactive iodine species will vaporize and be released from the water and escape with the steam into and through the pressure vessel and in turn into the drywell, and further in turn into the containment through any leakage sites contained therein. The radioactive iodine will, therefore, be spread wherever it is allowed to travel, which increases the radioactive contamination area in the reactor plant. It is, therefore, an object of the present invention to decrease or prevent the release of volatile radioactive iodine from the pressure vessel following an accident condition such as the LOCA SUMMARY OF THE INVENTION A method and an apparatus in accordance with the invention decrease the release of volatile radioactive iodine from a nuclear reactor pressure vessel containing a reactor core having fuel rods submerged in water. The method includes monitoring the pressure vessel to determine an accident condition and then injecting into the pressure vessel a stable iodide in liquid solution to mix with the reactor water for decreasing vaporization of volatile radioactive iodine discharged from the fuel rods. A reservoir initially stores the stable iodide in the liquid solution and is operatively joined to the pressure vessel through a supply conduit and a normally closed valve which is selectively opened following the accident condition to inject the stored iodide liquid solution into the pressure vessel to mix with the water therein. |
summary | ||
056028873 | summary | FIELD OF THE INVENTION This invention relates to tooling which is useful in installing hardware in a nuclear reactor. In particular, the invention relates to tooling for installing hardware for stabilizing the core shroud of a nuclear reactor to resist deflection in response to a seismic event and/or loss-of-coolant accident (LOCA). BACKGROUND OF THE INVENTION As seen in FIG. 1, a conventional boiling water reactor has a reactor pressure vessel 10 and a core shroud 12 arranged concentrically in the RPV with an annular region 8, commonly referred to as the "downcomer annulus" therebetween. The core shroud 12 is a stainless steel cylinder surrounding the nuclear fuel core comprising a plurality of fuel bundle assemblies (not shown). Each array of fuel bundle assemblies is supported at the top by a top guide and at the bottom by a core plate. During operation of the reactor, water is continuously recirculated down the downcomer annulus and then up through the core. This flow is induced by a multiplicity of jet pumps located in the downcomer annulus and driven by recirculation pumps (not shown) outside the reactor pressure vessel. The core shroud 12 comprises a shroud head flange 12a for supporting the shroud head 22; a circular cylindrical upper shroud wall 12b having a top end welded to shroud head flange 12a; an annular top guide support ring 12c welded to the bottom end of upper shroud wall 12b; a circular cylindrical middle shroud wall comprising three sections 12d, 12e and 12f welded in series, with a top end of section 12d being welded to top guide support ring 12c; and an annular core plate support ring 12g welded to the bottom end of middle shroud wall section 12f and to the top end of a lower shroud wall 12h. The entire shroud is supported by a shroud support 14, which is welded to the bottom of lower shroud wall 12h, and by annular shroud support plate 16, which is welded at its inner diameter to shroud support 14 and at its outer diameter to RPV 10. In the event of a seismic disturbance, it is conceivable that the ground motion will be translated into lateral deflection relative to the reactor pressure vessel of those portions of the shroud located at elevations above shroud support plate 16. Such deflections would normally be limited by acceptably low stresses on the shroud and its weldments. However, if the shroud weld zones have failed due to stress corrosion cracking, there is the risk of misalignment and damage to the core and the control rod components, which would adversely affect control rod insertion and safe shutdown. Stress corrosion cracking in the heat affected zone of any shroud girth seam welds diminishes the structural integrity of shroud 12, which vertically and horizontally supports the core top guide and the shroud head 22. In particular, a cracked shroud increases the risks posed by a loss-of-coolant accident (LOCA). During a LOCA, the loss of coolant from the reactor pressure vessel produces a loss of pressure above the shroud head 22 and an increase in pressure inside the shroud, i.e., underneath the shroud head. The result is an increased lifting force on the shroud head and on the upper portions of the shroud to which the shroud head is bolted. If the core shroud has fully cracked girth welds, the lifting forces produced during a LOCA could cause the shroud to separate along the areas of cracking, producing undesirable leaking of reactor coolant. A repair method for vertically restraining a weakened core shroud utilizes tensioned tie rods 54 coupled to the shroud flange 12a and to the shroud support plate 16, as seen in FIG. 1. The lower end of the tie rod/lower spring assembly hooks underneath a clevis pin 20 inserted in a hole machined into gusset plate 18, which plate is in turn welded to shroud support plate 16 and RPV 10. In addition, the shroud 12 is restrained laterally by installation of wishbone springs 56 and 72, which are components of the shroud repair assembly. Referring to FIG. 1, the shroud restraint tie rod/lower spring assembly comprises a tie rod 54 having a circular cross section. A lower end of tie rod 54 is anchored in a threaded bore formed in the end of a spring arm 56a of lower spring 56. Tie rod 54 extends from the end of spring arm 56a to a position adjacent the outer circumferential surface of the top guide support ring 12c. The upper end of tie rod 54 has a threaded portion. The lower spring 56 is anchored to a gusset plate 18 attached to the shroud support plate 16. The lower spring 56 has a slotted end which straddles gusset plate 18 (see FIG. 3) and forms a clevis hook 56c. The clevis hooks under opposite ends of a clevis pin 20 inserted through a hole machined in gusset plate 18. Engagement of the slotted end 56c with the gusset plate 18 maintains alignment of lower spring 56 under the action of seismic motion of the shroud, which may be oblique to the spring's radial orientation. The tie rod 54 is supported at its top end by an upper support assembly 62 which hangs on the shroud flange 12a. A pair of notches or slots are machined in the shroud head ring 22a of shroud head 22. The notches are positioned in alignment with a pair of bolted upper support plate segments 64 of upper support assembly 62 when the shroud head 22 is properly seated on the top surface of shroud flange 12a. These notches facilitate coupling of the tie rod assembly to the shroud flange. The pair of notches at each tie rod azimuthal position receive respective hook portions 64a of the upper Support plates 64. Each hook 64a conforms to the shape of the top surface of shroud flange 12a and the shape of the steam dam 24. The distal end of hook 64a hooks on the inner circumference of shroud dam 24. The upper support plates 64 are connected in parallel by a top support bracket (not shown) and a support block 66 which forms the anchor point for the top of the tie rod. Support block 66 has an unthreaded bore, tapered at both ends, which receives the upper end of tie rod 54. After the upper end of tie rod 54 is passed through the bore, a threaded nut 70 is torqued onto the upper threaded portion of the tie rod 54. As seen in FIG. 1, the assembly comprised of support plates 64 with hooks 64a, support block 66, tie rod 54, lower spring 56, clevis pin 20 and gusset plate 18 form a vertical load path by which the shroud flange 12a is connected to the shroud support plate 16. In the tensioned state, the upper support plates 64 exert a restraining force on the top surface of shroud flange 12a which opposes separation of the shroud 12 at any assumed failed circumferential weld location. Lateral restraint at the elevation of the top guide support ring 12c is provided by an upper spring 72 having a double cantilever "wishbone" design. The end of the radially outer arm of upper spring 72 has an upper contact spacer 74 rotatably mounted thereon which bears against the inner surface of the wall of RPV 10. Spring arm 56a of lower spring 56 laterally supports the shroud 12 at the core plate support ring 12g, against the vessel 10, via a lower contact spacer 76. The top end of spring arm 56a has a threaded bore to provide the attachment for the threaded bottom end (not shown) of tie rod 54. The member 56d connecting the wishbone spring arms 56a, 56b to clevis hook 56c is offset from the line of action between the lower end of tie rod 54 and clevis pin 20 to provide a vertical spring compliance in the load path to the tie rod. A middle support 80 is preloaded against the vessel wall at assembly by radial interference which bends the tie rod 54, thereby providing improved resistance to vibratory excitation failure of the tie rod. During installation of the shroud repair hardware shown in FIG. 1, the tie rod/lower spring assembly comprising tie rod 54 screwed into lower spring 56 is suspended from a cable and lowered into the annulus. During its descent, the assembly must be carefully maneuvered past various obstacles without damaging internal reactor components. Ultimately, the assembly is positioned so that it hangs plumb over the gusset plate. At this juncture, the tie rod/lower spring assembly must be maneuvered so that the clevis hook is hooked underneath the clevis pin on the gusset plate, as seen in FIG. 3. To accomplish this, the clevis hook at the bottom of the suspended assembly must be displaced radially inward in the downcomer annulus until there is radial clearance vis-a-vis the clevis pin. With the clevis hook in this radially inwardly displaced position, the tie rod assembly is lowered a few inches until the tip of the clevis hook clears the bottom of the clevis pin. Then the force displacing the bottom end of the suspended tie rod assembly radially inward is removed, allowing the lower spring clevis 56c to "drift" under the clevis pin 20. The tie rod assembly is now properly positioned and simply lifted up to engage the clevis pin in the clevis hook. After clevis hook 56c has been hooked under clevis pin 20, the lower end of the tie rod assembly is braced in the hooked position and the upper end of the tie rod assembly is uncoupled from the hoisting cable to allow the upper support assembly 62 to be installed, followed by upper spring 72. SUMMARY OF THE INVENTION The present invention is a tool for pushing the suspended shroud repair tie rod assembly radially inward in the downcomer annulus of a boiling water reactor during shroud repair hardware installation. Although the preferred embodiment is described with reference to the application wherein the bottom end of the tie rod assembly is displaced radially inward to effect engagement of the clevis hook with the clevis pin on the gusset plate, the tool of the invention is also useful for maneuvering the tie rod assembly past other obstructions during the assembly's descent in the annulus. The tool can be used to push on the lower spring, on the tie rod, on a strongback or cable supporting the tie rod/lower spring assembly. Nor is application of this tool limited to maneuvering shroud repair hardware. Any mass suspended in the downcomer annulus of a boiling water reactor can be pushed using this versatile tool. In accordance with one preferred embodiment of the invention, the pusher tool comprises a pole adaptor for coupling to the end of a service pole, a pole adaptor extension having one end connected to the pole adaptor, a mounting channel connected to the other end of the pole adaptor extension, a hydraulic spreader mounted on the mounting channel, an adaptor bracket having a proximal end connected to the pivoting member of the hydraulic spreader, a rocker plate pivotably mounted on the distal end of the adaptor bracket, and a saddle mounted on the rocker plate. Using a service pole, the tool is lowered into a position whereat the saddle contacts the tie rod assembly when the hydraulic spreader is actuated. The contacting surface of the saddle has a channel with a curved concave profile for receiving the pushed member. The hydraulic spreader, which resembles a duckbill, has a fixed member attached to the mounting channel and a pivoting member which pivots away from the fixed member in response to actuation of a hydraulic cylinder. In the open position, the concave surface of the saddle bears against a member of the tie rod/lower spring assembly with sufficient force to displace the contacted portion of the suspended tie rod assembly radially inward. In a specific application, the bottom end of the assembly is displaced radially inward until the clevis hook clears the clevis pin installed on the gusset plate. Then the tie rod assembly is lowered a few inches until the tip of the clevis hook clears the bottom of the clevis pin. During this brief descent of the tie rod assembly, it slides against the saddle of the pusher tool. The saddle is made of ultra-high-molecular weight (UHMW) polyethylene or other suitable material to prevent scratching of the tie rod assembly. Alternatively, only the surface layer of the saddle is made of UHMW polyethylene. When the pressurized fluid to the spreader is cut off, the suspended tie rod assembly drifts radially outward, causing the clevis pin to enter the clevis hook. Then the tie rod assembly is lifted to fully engage the clevis pin in the clevis hook prior to installing a vertical support tool which braces the clevis hook against the clevis pin from below. In accordance with an alternative preferred embodiment, a roller made of made of UHMW polyethylene or other suitable material is rotatably mounted on the rocker plate in place of the stationary saddle. This configuration is especially useful when the member being pushed by the tool undergoes a lengthy descent while in contact with the pusher tool. For example, the roller can be configured to roll against the tie rod of the tie rod/lower assembly while the assembly is being lowered into the downcomer annulus. An advantageous feature of the present invention is that a standard hydraulic spreader can be modified to suit the needs of different application by attaching interchangeable adaptor brackets. The length of the adaptor bracket, attached to the pivoting member of the hydraulic spreader, can be varied to achieve a corresponding radially inward displacement of the pushed member in response to hydraulic actuation. Alternatively, interchangeable rocking plates carrying either a stationary saddle or a roller can be mounted on the end of the adaptor bracket. This modular construction reduces the overall cost of shroud repair installation tooling by enabling interchangeable parts to be used at different shroud repair sites. In accordance with the present invention, the tool for pushing the tie rod assembly radially inward can be installed and operated remotely. The tool is positioned by attaching the tool to the end of a service pole and then manipulating the handle of the service pole from a station on the refueling bridge. The tool is operated by actuating the supply of pressurized fluid, e.g., water, to the hydraulic cylinder by opening a valve at a water control station located outside the reactor pressure vessel. |
description | 1. Field The present invention relates to a chemical separations method for processing a nuclear fuel. 2. Description of Related Art After a reactor accident, efforts are typically made to have the reactor core reprocessed and/or placed in interim storage. However, the mitigation of the reactor accident may be complicated by the introduction of foreign materials. For instance, in the Fukushima Daiichi accident in 2011, seawater was used in an attempt to cool the reactors. As a consequence of the use of seawater, sea salts were deposited in the reactors. Accordingly, a conventional facility for subsequently processing the melted fuel may be damaged or degraded by the presence of the sea salts. Furthermore, the integrity of metal containers intended for subsequently storing the recovered fuel from the reactor core may be compromised by the corrosive action of the sea salts. A method for stabilizing a nuclear material may include loading the nuclear material into an electroreducer. The electroreducer may include a first molten salt electrolyte and a reducer cathode assembly configured to hold the nuclear material. The nuclear material may be reduced in the first molten salt electrolyte of the electroreducer to produce a reduced material in the reducer cathode assembly. A reducer waste may be accumulated in the first molten salt electrolyte as a byproduct of the electroreduction process. After the electroreduction process, the reduced material may be loaded into an electrorefiner. The electrorefiner may include a second molten salt electrolyte, a refiner cathode assembly, and a refiner anode assembly configured to hold the reduced material. The reduced material may be electrolytically dissolved in the second molten salt electrolyte of the electrorefiner to produce a purified metal product on the refiner cathode assembly. As a result of the electrorefining, a first refiner waste may be accumulated in the second molten salt electrolyte and a second refiner waste may be accumulated in the refiner anode assembly. It should be understood that when an element or layer is referred to as being “on,” “connected to,” “coupled to,” or “covering” another element or layer, it may be directly on, connected to, coupled to, or covering the other element or layer or intervening elements or layers may be present. In contrast, when an element is referred to as being “directly on,” “directly connected to,” or “directly coupled to” another element or layer, there are no intervening elements or layers present. Like numbers refer to like elements throughout the specification. As used herein, the term “and/or” includes any and all combinations of one or more of the associated listed items. It should be understood that, although the terms first, second, third, etc. may be used herein to describe various elements, components, regions, layers and/or sections, these elements, components, regions, layers, and/or sections should not be limited by these terms. These terms are only used to distinguish one element, component, region, layer, or section from another region, layer, or section. Thus, a first element, component, region, layer, or section discussed below could be termed a second element, component, region, layer, or section without departing from the teachings of example embodiments. Spatially relative terms (e.g., “beneath,” “below,” “lower,” “above,” “upper,” and the like) may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. It should be understood that the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements described as “below” or “beneath” other elements or features would then be oriented “above” the other elements or features. Thus, the term “below” may encompass both an orientation of above and below. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly. The terminology used herein is for the purpose of describing various embodiments only and is not intended to be limiting of example embodiments. As used herein, the singular forms “a,” “an,” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms “includes,” “including,” “comprises,” and/or “comprising,” when used in this specification, specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, and/or groups thereof. Example embodiments are described herein with reference to cross-sectional illustrations that are schematic illustrations of idealized embodiments (and intermediate structures) of example embodiments. As such, variations from the shapes of the illustrations as a result, for example, of manufacturing techniques and/or tolerances, are to be expected. Thus, example embodiments should not be construed as limited to the shapes of regions illustrated herein but are to include deviations in shapes that result, for example, from manufacturing. For example, an implanted region illustrated as a rectangle will, typically, have rounded or curved features and/or a gradient of implant concentration at its edges rather than a binary change from implanted to non-implanted region. Likewise, a buried region formed by implantation may result in some implantation in the region between the buried region and the surface through which the implantation takes place. Thus, the regions illustrated in the figures are schematic in nature and their shapes are not intended to illustrate the actual shape of a region of a device and are not intended to limit the scope of example embodiments. Unless otherwise defined, all terms (including technical and scientific terms) used herein have the same meaning as commonly understood by one of ordinary skill in the art to which example embodiments belong. It will be further understood that terms, including those defined in commonly used dictionaries, should be interpreted as having a meaning that is consistent with their meaning in the context of the relevant art and will not be interpreted in an idealized or overly formal sense unless expressly so defined herein. FIG. 1 is a flow diagram of a method for stabilizing a nuclear material according to a non-limiting embodiment of the present invention. Referring to step 110 in FIG. 1, nuclear material from a reactor may be treated in an electroreducer to produce a reduced material and a reducer byproduct. Referring to step 120 in FIG. 1, the reduced material from the electroreducer may be treated in an electrorefiner to produce a refined material and a refiner byproduct. Referring to step 130 in FIG. 1, the reducer byproduct from the electroreducer and the refiner byproduct from the electrorefiner may be treated to produce stable waste forms. The nuclear material referred to herein may be corium, although example embodiments are not limited thereto. As understood by those of ordinary skill in the art, corium is a fuel containing material (FCM) that is formed during a nuclear meltdown. In particular, corium is a lava-like molten mixture of portions of a nuclear reactor core and may include nuclear fuel, fission products, control rods, structural materials from the affected parts of the reactor, products of their chemical reaction with air, water, and steam, and/or molten concrete from the floor of the reactor room in situations where the reactor vessel is breached. The composition of corium depends on the type of the reactor and, specifically, on the materials used in the control rods and the coolant. For instance, there are differences between pressurized water reactor (PWR) corium and boiling water reactor (BWR) corium. In addition to corium, it should be understood that the nuclear material referred to herein may include used nuclear fuel or other analogous materials in need of similar treatment. FIG. 2 is a flow diagram of another method for stabilizing a nuclear material according to a non-limiting embodiment of the present invention. Referring to step 210 in FIG. 2, nuclear material may be removed from a reactor. Referring to step 220 in FIG. 2, the nuclear material from the reactor may be processed in an electroreducer to produce a reduced material and a reducer waste. Referring to step 230 in FIG. 2, the reduced material from the electroreducer may be processed in an electrorefiner to produce a purified metal product, a first refiner waste, and a second refiner waste. Referring to step 240 in FIG. 2, the purified metal product may be recovered from the electrorefiner. Additionally, the purified metal product may be further processed to recover a desired metal from the purified metal product. Referring to step 250 in FIG. 2, the reducer waste in the electroreducer may be converted into a ceramic waste form. Referring to step 260 in FIG. 2, the first refiner waste in the electrorefiner may be converted into a ceramic waste form. Referring to step 270 in FIG. 2, the second refiner waste in the electrorefiner may be converted into a metallic waste form. FIG. 3 is a flow diagram of step 210 in FIG. 2 according to a non-limiting embodiment of the present invention. Referring to step 210a in FIG. 3, nuclear material may be removed from a reactor vessel. Referring to step 210b in FIG. 3, the nuclear material from the reactor vessel may be submerged in water. For example, the nuclear material may be submerged in an existing pool (e.g., fuel pool, suppression pool) of the reactor. Referring to step 210c of FIG. 3, the nuclear material may be divided into a plurality of smaller pieces while underwater. FIG. 4 is a flow diagram of step 220 in FIG. 2 according to a non-limiting embodiment of the present invention. Referring to step 220a in FIG. 4, the nuclear material may be loaded in a reducer cathode assembly while underwater. Referring to step 220b in FIG. 4, the nuclear material and the reducer cathode assembly may be dewatered. Referring to step 220c in FIG. 4, the reducer cathode assembly with the nuclear material may be immersed into the first molten salt electrolyte of the electroreducer. Referring to step 220d in FIG. 4, the nuclear material may be electrolytically reduced by the first molten salt electrolyte so as to produce a reduced material in the reducer cathode assembly. Referring to step 220e in FIG. 4, a reducer waste may be generated as a byproduct of the electrolytic reduction of the nuclear material in the reducer cathode assembly of the electroreducer. The reducer waste may be accumulated in the first molten salt electrolyte of the electroreducer. FIG. 5 is a flow diagram of step 230 in FIG. 2 according to a non-limiting embodiment of the present invention. Referring to step 230a in FIG. 5, the refiner anode assembly with the reduced material may be immersed into the second molten salt electrolyte of the electrorefiner. Referring to step 230b in FIG. 5, the reduced material in the refiner anode assembly may be electrolytically dissolved in the second molten salt electrolyte of the electrorefiner. Referring to step 230c in FIG. 5, the electrolytically dissolved material may deposit as a purified metal product on the refiner cathode assembly of the electrorefiner. Referring to step 230d in FIG. 5, first and second refiner wastes may be generated as byproducts of the electrorefining. The first refiner waste may be accumulated in the second salt electrolyte of the electrorefiner, while the second refiner waste may be accumulated in the refiner anode assembly of the electrorefiner. Various examples for processing nuclear materials will be discussed in further detail below. In a non-limiting embodiment, a method for stabilizing a nuclear material may include loading the nuclear material into an electroreducer. The electroreducer may include a first molten salt electrolyte and a reducer cathode assembly configured to hold the nuclear material. The nuclear material may be reduced in the first molten salt electrolyte of the electroreducer to produce a reduced material in the reducer cathode assembly. A reducer waste may be accumulated in the first molten salt electrolyte of the electroreducer. After the reduction reaction in the electroreducer, the reduced material may be loaded into an electrorefiner. The electrorefiner may include a second molten salt electrolyte, a refiner cathode assembly, and a refiner anode assembly configured to hold the reduced material. The reduced material may be electrolytically dissolved in the second molten salt electrolyte of the electrorefiner to produce a purified metal product which deposits on the refiner cathode assembly. A first refiner waste may be accumulated in the second molten salt electrolyte of the electrorefiner, and a second refiner waste may be accumulated in the refiner anode assembly of the electrorefiner. The nuclear material loaded into the electroreducer may include corium. However, it should be understood that the nuclear material may also include used nuclear fuel (e.g., used nuclear, fuel with zirconium cladding without damage) or other analogous materials in need of similar treatment. In a non-limiting embodiment, the nuclear material may include a feed material including uranium oxide. Furthermore, the nuclear material may include foreign materials as a result of a nuclear accident. For example, where sea water is injected to cool a reactor during a nuclear accident, the feed material may include sea salts. In particular, the feed material may include sodium chloride (NaCl), sulfur (S), magnesium (Mg), calcium (Ca), potassium (K), and/or boron (B). However, because the electrochemical method herein is a dry process (performed using a salt bath), substantially all of the residual salts from the sea water injections may be effectively removed and/or stabilized. The nuclear material may be placed into the reducer cathode assembly while the nuclear material and the reducer cathode assembly are underwater to mitigate contamination spread. For example, the nuclear material may be placed into the reducer cathode assembly while the nuclear material and the reducer cathode assembly are submerged in an existing pool (e.g., fuel pool, suppression pool) of a nuclear reactor. The nuclear material may also be divided into a plurality of pieces prior to loading the nuclear material into the electroreducer. The dividing may be performed to a desired size using conventional shears or a conventional grinder. The dividing of the nuclear material may be performed underwater. For example, the dividing of the nuclear material may be performed while the nuclear material is submerged in an existing pool (e.g., fuel, pool, suppression pool) of a nuclear reactor. In a non-limiting embodiment, the nuclear material may be divided into a plurality of pieces and then placed into a reducer cathode assembly while submerged in the same existing pool. The reducer cathode assembly loaded with the nuclear material may be dewatered prior to reducing the nuclear material in the first molten salt electrolyte of the electroreducer. In a non-limiting embodiment, the dewatering may be performed by removing the reducer cathode assembly loaded with the nuclear material from the water and allowing it to heat up from the natural decay heat. The dewatering may also be assisted with a heater and/or a vacuum. Additionally, when a vacuum is used, a high-efficiency particulate air (HEPA) filter may be utilized to minimize or prevent the escape of harmful particles. The first molten salt electrolyte in the electroreducer may include a molten salt bath of lithium chloride (LiCl). When immersed in the first molten salt electrolyte, the nuclear material may be reduced to its metallic form. For example, uranium oxide undergoing electroreduction becomes a uranium metal. During the electrolytic reduction of the nuclear material to a reduced material, a reducer waste may be accumulated in the first molten salt electrolyte. The reducer waste may include halides including at least one of a Group 1 element and a Group 2 element. In a non-limiting embodiment, the halide may be a chloride, the Group 1 element may be cesium (Cs), and the Group 2 element may be strontium (Sr). In particular, during the electroreduction process, the cesium, strontium, and/or other Group 1 and 2 fission products may oxidize to form chlorides. After the electroreduction process, the reduced material is loaded into an electrorefiner. In particular, the reduced material from the electroreducer is contained within a refiner anode assembly and immersed into the second molten salt electrolyte of the electrorefiner. The second molten salt electrolyte may be a molten salt bath of lithium chloride, a LiCl—KCl eutectic, or an equivalent thereof. In the second molten salt electrolyte, the reduced material may be electrolytically dissolved such a metal from the reduced material deposits on the refiner cathode assembly as a purified metal product. As a result of the electrorefining, a first refiner waster and a second refiner waste may be generated in the electrorefiner. In particular, a first refiner waste may be accumulated in the second molten salt electrolyte, while a second refiner waste may be accumulated in the anode refiner assembly. For example, the first refiner waste may include halides including a transuranic element. It is understood by those of ordinary skill in the art that a transuranic element is one having an atomic number greater than that of uranium. The second refiner waste may include zirconium and/or a noble metal. The reducer waste from the electroreducer and/or the first refiner waste from the electrorefiner may be converted into a ceramic waste form. The conversion into a ceramic waste form may include producing a glass-bonded sodalite (Ca—Al—Si) and/or SYNROC. The glass-bonded sodalite may be produced from the thermal conversion of zeolite or other glass systems to stabilize the salts resulting from the electroreducing and/or electrorefining. For example, the waste salts may be occluded into a zeolite structure in a heated V-mixer mixed with glass frit and consolidated into a monolithic form in a furnace. SYNROC may be composed of titanate minerals (e.g., zirconolite, perovskite) along with rutile, a small amount of metal alloy, and a portion of the nuclear waste. The mixture may be calcined and compressed to form a hard, dense synthetic rock. The ceramic waste form will contain a majority of the fission products and transuranics. The second refiner waste from the electrorefiner may be converted into a metallic waste form. The conversion into a metallic waste form may include producing metal ingots. The metal ingots may contain stainless steel. The metal ingots may stabilize noble metal fission products, non-actinide fuel containing components, and/or damaged zirconium (Zr) cladding materials. The ceramic waste forms and the metallic waste forms herein are more leach-resistant to future water emission than uranium oxide fuel by at least a factor of one million. The electrochemical method herein has a passive process safety aspect. In particular, the electrochemical reactions of the electroreducer and electrorefiner are nonspontaneous and are driven by supplying electricity. As a result, if power is lost, the electrochemical reactions will stop and the molten salt electrolytes of the electroreducer and electrorefiner will freeze, thereby solidifying the radioactive elements therein. The electrorefiner referred to herein may be as described in U.S. application Ser. No. 13/335,082, filed Dec. 22, 2011, “ELECTROREFINER SYSTEM FOR RECOVERING PURIFIED METAL FROM IMPURE NUCLEAR FEED MATERIAL,” HDP Ref. 8564-000252/US, GE Ref. 24NS250931, the entire contents of which is incorporated herein by reference. The electrorefiner system may be used to recover a purified metal (e.g., uranium) from a relatively impure nuclear feed material (e.g., impure uranium feed material). The impure nuclear feed material may be a metallic product of an electrolytic oxide reduction system. The electrolytic oxide reduction system may be configured to facilitate the reduction of an oxide to its metallic form so as to permit the subsequent recovery of the metal. The electrolytic oxide reduction system (also referred to herein as an electroreducer) may be as described in U.S. application Ser. No. 12/978,027, filed Dec. 23, 2010, “ELECTROLYTIC OXIDE REDUCTION SYSTEM,” HDP Ref. 8564-000228/US, GE Ref. 24AR246140, the entire contents of which is incorporated herein by reference. Generally, the electrorefiner system may include a vessel, a plurality of cathode assemblies, a plurality of anode assemblies, a power system, a scraper, and/or a conveyor system. The power system may be as described in U.S. application Ser. No. 13/335,121, HDP Ref. 8564-000254/US, GE Ref. 24AR252783, filed Dec. 22, 2011, titled “CATHODE POWER DISTRIBUTION SYSTEM AND METHOD OF USING THE SAME FOR POWER DISTRIBUTION,” the entire contents of which are incorporated herein by reference. The scraper may be as described in U.S. application Ser. No. 13/335,209, HDP Ref. 8564-000255/US, GE Ref. 24AR252787, filed Dec. 22, 2011, titled “CATHODE SCRAPER SYSTEM AND METHOD OF USING THE SAME FOR REMOVING URANIUM,” the entire contents of which are incorporated herein by reference. The conveyor system may be as described in U.S. application Ser. No. 13/335,140, HDP Ref. 8564-000260/US, GE Ref. 24AR256355, filed Dec. 22, 2011, titled “CONTINUOUS RECOVERY SYSTEM FOR ELECTROREFINER SYSTEM,” the entire contents of which are incorporated herein by reference. However, it should be understood that the electrorefiner system is not limited thereto and may include other components that may not have been specifically identified herein. A table of the related applications incorporated by reference is provided below. Related Applications Incorporated by ReferenceU.S. Appl. No.HDP/GE Ref.Filing DateTitle12/978,0278564-000228/USFiled onELECTROLYTIC OXIDE24AR246140Dec. 23, 2010REDUCTION SYSTEM13/335,0828564-000252/USFiled onELECTROREFINER24NS250931Dec. 22, 2011SYSTEM FORRECOVERING PURIFIEDMETAL FROM IMPURENUCLEAR FEED MATERIAL13/335,1398564-000253/USFiled onBUS BAR ELECTRICAL24AR252782Dec. 22, 2011FEEDTHROUGH FORELECTROREFINER SYSTEM13/335,1218564-000254/USFiled onCATHODE POWER24AR252783Dec. 22, 2011DISTRIBUTION SYSTEMAND METHOD OF USINGTHE SAME FOR POWERDISTRIBUTION13/335,2098564-000255/USFiled onCATHODE SCRAPER24AR252787Dec. 22, 2011SYSTEM AND METHODOF USING THE SAMEFOR REMOVING URANIUM13/335,1408564-000260/USFiled onCONTINUOUS24AR256355Dec. 22, 2011RECOVERY SYSTEMFOR ELECTROREFINER SYSTEM As noted above, the impure nuclear feed material for the electrorefiner system may be a metallic product of an electrolytic oxide reduction system. During the operation of an electrolytic oxide reduction system, a plurality of anode and cathode assemblies are immersed in a molten salt electrolyte. In a non-limiting embodiment of the electrolytic oxide reduction system, the molten salt electrolyte may be lithium chloride (LiCl). The molten salt electrolyte may be maintained at a temperature of about 650° C. (+50° C., −30° C.). An electrochemical process is carried out such that a reducing potential is generated at the cathode assemblies, which contain the oxide feed material (e.g., metal oxide). Under the influence of the reducing potential, the metal ion of the metal oxide is reduced and the oxygen (O) from the metal oxide (MO) feed material dissolves into the molten salt electrolyte as an oxide ion, thereby leaving the metal (M) behind in the cathode assemblies. The cathode reaction may be as follows:MO+2e−→M+O2− At the anode assemblies, the oxide ion is converted to oxygen gas. The anode shroud of each of the anode assemblies may be used to dilute, cool, and remove the oxygen gas from the electrolytic oxide reduction system during the process. The anode reaction may be as follows:O2−→½O2+2e− The metal oxide may be uranium dioxide (UO2), and the reduction product may be uranium metal. However, it should be understood that other types of oxides may also be reduced to their corresponding metals with the electrolytic oxide reduction system. Similarly, the molten salt electrolyte used in the electrolytic oxide reduction system is not particularly limited thereto and may vary depending of the oxide feed material to be reduced. After the electrolytic oxide reduction, the basket containing the metallic product in the electrolytic oxide reduction system is transferred to the electrorefiner system according to the present invention for further processing to obtain a purified metal from the metallic product. Stated more clearly, the metallic product from the electrolytic oxide reduction system will serve as the impure nuclear feed material for the electrorefiner system according to the present invention. Notably, while the basket containing the metallic product is a cathode assembly in the electrolytic oxide reduction system, the basket containing the metallic product is an anode assembly in the electrorefiner system. Compared to prior art apparatuses, the electrorefiner system according to the present invention allows for a significantly greater yield of purified metal. The electrorefiner system includes a vessel, a plurality of cathode assemblies, a plurality of anode assemblies, a power system, a scraper, and/or a conveyor system. Each of the plurality of cathode assemblies may include a plurality of cathode rods. The power system may include an electrical feedthrough that extends through the floor structure. The floor structure may be a glovebox floor of a glovebox. Alternatively, the floor structure may be a support plate of a hot-cell facility. The conveyor system may include an inlet pipe, a trough, a turn idler, a chain, a plurality of flights, an exit pipe, and/or a discharge chute. The vessel is configured to maintain a molten salt electrolyte. In a non-limiting embodiment, the molten salt electrolyte may be LiCl, a LiCl—KCl eutectic, or another suitable medium. The vessel may be situated such that a majority of the vessel is below the floor structure. For instance, an upper portion of the vessel may extend above the floor structure through an opening in the floor structure. The opening in the floor structure may correspond to the dimensions of the vessel. The vessel is configured to receive the plurality of cathode assemblies and the plurality of anode assemblies. The plurality of cathode assemblies are configured to extend into the vessel so as to at least be partially submerged in the molten salt electrolyte. For instance, the dimensions of the plurality of cathode assemblies and/or the vessel may be adjusted such that the majority of the length of the plurality of cathode assemblies is submerged in the molten salt electrolyte in the vessel. Each cathode assembly may include a plurality of cathode rods having the same orientation and arranged so as to be within the same plane. The plurality of anode assemblies may be alternately arranged with the plurality of cathode assemblies such that each anode assembly is flanked by two cathode assemblies. The plurality of cathode assemblies and anode assemblies may be arranged in parallel. Each anode assembly may be configured to hold and immerse an impure uranium feed material in the molten salt electrolyte maintained by the vessel. The dimensions of the plurality of anode assemblies and/or the vessel may be adjusted such that the majority of the length of the plurality of anode assemblies is submerged in the molten salt electrolyte in the vessel. The electrorefiner system may have eleven cathode assemblies and ten anode assemblies, although the example embodiments herein are not limited thereto. In the electrorefiner system, a power system is connected to the plurality of cathode assemblies and anode assemblies. During operation of the electrorefiner system, the power system is configured to supply a voltage adequate to oxidize the impure uranium feed material in the plurality of anode assemblies to form uranium ions that migrate through the molten salt electrolyte and deposit on the plurality of cathode rods of the plurality of cathode assemblies as purified uranium. To initiate the removal of the purified uranium, the scraper is configured to move up and down along the length of the plurality of cathode rods to dislodge the purified uranium deposited on the plurality of cathode rods of the plurality of cathode assemblies. As a result of the scraping, the dislodged purified uranium sinks through the molten salt electrolyte to the bottom of the vessel. The conveyor system is configured such that at least a portion of it is disposed at the bottom of the vessel. For example, the trough of the conveyor system may be disposed at the bottom of the vessel such that the purified uranium dislodged from the plurality of cathode rods accumulates in the trough. The conveyor system is configured to transport the purified uranium accumulated in the trough through an exit pipe so as to remove the purified uranium from the vessel. The conveyor system may include an inlet pipe, a trough, a turn idler, a chain engaged with the turn idler, a plurality of flights, an exit pipe, and/or a discharge chute. The trough is positioned in the vessel so as to be below the plurality of cathode assemblies and anode assemblies. The size of the trough may be adjusted such that the trough covers all or substantially all of the bottom surface of the vessel. The trough has a V-shaped cross-section, although example embodiments are not limited thereto. Alternatively, the trough may have a U-shaped cross-section. In a non-limiting embodiment, the upper portion of the trough may have a V-shaped cross-section, while the bottom portion of the trough may have a U-shaped or semicircular cross-section. Additionally, the trough may have a U-shaped track along the bottom of the vessel. For example, the track may extend linearly from the outlet opening of the inlet pipe, curve at a portion corresponding to the opposite end of the vessel, and extend linearly to the inlet opening of the exit pipe so as to have a U-shape based on a plan view. The conveyor system may be configured to operate continuously during oxidation of the impure uranium feed material held by the plurality of anode assemblies, during deposition of the purified uranium on the plurality of cathode assemblies, and/or during dislodging of the purified uranium by the scraper. Alternatively, the conveyor system may be configured to operate intermittently during the operation of the electrorefiner system. The conveyor system includes a chain and a plurality of flights secured to the chain. The chain is configured to run along the bottom of the vessel and through the exit pipe. The chain and the plurality of flights are configured to engage in an endless motion of entering, exiting, and reentering the vessel. For instance, the chain and the plurality of flights may enter the vessel through the inlet pipe, travel along the U-shaped track defined by the trough at the bottom of the vessel, exit the vessel through the exit pipe, and reenter the vessel through the inlet pipe. The plurality of flights secured to the chain may be oriented in the same direction. For instance, the plurality of flights may be oriented perpendicularly to the chain. During operation of the electrorefiner system, the plurality of flights are configured to push the purified uranium dislodged by the scraper into and through the exit pipe to a discharge chute so as to remove the purified uranium from the vessel. The anode assembly is configured to hold and immerse an impure nuclear feed material in the molten salt electrolyte maintained by the vessel. The anode assembly may include an upper basket, a lower basket, and an anode plate housed within the upper and lower baskets. When assembled, the anode plate will extend from a top end of the upper basket to a bottom end of the lower basket. The side edges of the anode plate may be hemmed to provide rigidity. A reverse bend may also be provided down the center of the anode plate for added rigidity. The lower basket may be attached to the upper basket with four high strength rivets. In the event of damage to either the lower basket or the upper basket, the rivets can be drilled out, the damaged basket replaced, and re-riveted for continued operation. The anode basket (which includes the upper basket and the lower basket) may be electrically connected to the anode plate. Each anode assembly is configured to engage one or more pairs (e.g., two pairs) of knife edge contacts (e.g., four knife edge contacts) so as to receive power from a suitable power supply. For example, each anode assembly may receive power from a dedicated power supply. Alternatively, all of the anode assemblies may receive power from a single dedicated power supply. The anode basket may be formed of a porous metal plate that is sufficiently open to allow molten salt electrolyte to enter and exit during the process yet fine enough to retain the impure nuclear feed material. Stiffening ribs may be provided inside the anode basket to reduce or prevent distortion. Where vertical stiffening ribs are provided in the lower basket, the anode plate will have corresponding slots to allow clearance around the stiffening ribs when the anode plate is inserted into the anode basket. For instance, if the lower basket is provided with two vertical stiffening ribs, then the anode plate will have two corresponding slots to allow clearance around the two stiffening ribs. Additionally, position spacers may be provided near the midsection of both faces of the anode plate to ensure that the anode plate will remain in the center of the anode basket when loading the impure nuclear feed material. The position spacers may be ceramic and vertically-oriented. Furthermore, staggered spacers may be provided on the upper section of both faces of the anode plate to provide a thermal break for radiant and conductive heat transfer to the top of the anode assembly. The staggered spacers may be ceramic and horizontally-oriented. The anode assembly may also include a lift bracket with lift tabs disposed on the ends. The lift tabs are designed to interface with a lift system of the electrorefiner system. Each of the plurality of cathode assemblies includes a plurality of cathode rods connected to a cathode bus bar. The plurality of cathode assemblies are connected to a common bus bar. When positioned within the vessel of the electrorefiner system, the cathode bus bars of the plurality of cathode assemblies may be arranged parallel to each other and perpendicularly to the common bus bar. The common bus bar is connected to an electrical feedthrough. The upper and lower portions of each cathode rod may be formed of different materials. For instance, the upper portion of the cathode rod may be formed of a nickel alloy, and the lower portion of the cathode rod may be formed of steel, although example embodiments are not limited thereto. The lower portion of the cathode rod may sit below the molten salt electrolyte level during the operation of the electrorefiner system and may be removable to allow the lower portion to be replaced or changed to another material. The cathode bus bar may be segmented to reduce thermal expansion, wherein each segment of the cathode bus bar may be formed of copper. The segments of the cathode bus bar may be joined with a slip connector. Additionally, the slip connector may attach to the top of a cathode rod to ensure that the cathode rod will not fall into the molten salt electrolyte. The cathode assembly is not to be limited by any of the above examples. Rather, it should be understood that other suitable configurations and materials may also be used. When the cathode assembly is lowered into the electrorefiner system, the cathode rods will extend into the molten salt electrolyte in the vessel. The plurality of cathode assemblies may have seven cathode rods each, although the example embodiments are not limited thereto. Thus, each cathode assembly may include less than seven cathode rods or more than seven cathode rods, provided that sufficient current is being provided to the electrorefiner system. During operation of the electrorefiner system, the cathode assembly may be kept to a suitable temperature. To maintain the appropriate operating temperature, the cathode assembly may include a cooling line that supplies a cooling gas. The cooling gas may be supplied to each side of the cathode assembly header and discharged into the glovebox, hot-cell facility, or other suitable environment where it is cooled and recycled. The cooling gas may be an inert gas (e.g., argon). As a result, the temperature of the off-gas may be lowered. The cooling gas may be provided by the glovebox atmosphere. In a non-limiting embodiment, no pressurized gases external to the glovebox are used. In such a case, a gas supply can be pressurized using a blower inside the glovebox. All motors and controls for operating the gas supply may be located outside the glovebox for easier access and maintenance. The power system for the electrorefiner system may include the common bus bar for the plurality of cathode assemblies. Power may be supplied to the common bus bar through the floor structure via the electrical feedthrough. As previously noted above, in addition to the disclosure herein, the electrical feedthrough may be as described in U.S. application Ser. No. 13/335,139, HDP Ref. 8564-000253/US, GE Ref. 24AR252782, filed Dec. 22, 2011, titled “BUS BAR ELECTRICAL FEEDTHROUGH FOR ELECTROREFINER SYSTEM,” the entire contents of which are incorporated herein by reference. The scraper is configured to mate with the plurality of cathode assemblies when the scraper is installed in the electrorefiner system. When installed, the plurality of cathode rods of the plurality of cathode assemblies extend through the scraper. The scraper moves along a length of the plurality of cathode rods to dislodge the purified uranium deposited thereon during the operation of the electrorefiner system. The scraper includes a plurality of scraping units. Each of the plurality of scraping units is configured to mate with each of the plurality of cathode rods of the plurality of cathode assemblies. For instance, each of the plurality of scraping units has a hole configured to receive a corresponding cathode rod. The plurality of scraping units corresponding to each cathode assembly are connected to a common frame. The scraper may have eleven common frames, and each common frame may connect seven scraping units, although the example embodiments are not limited thereto. It should be understood that the number of common frames may be adjusted as needed to correspond to the number of cathode assemblies, and the number of scraping units may be adjusted as needed to correspond to the number of cathode rods. The electrorefiner system may further include a screw mechanism configured to move the scraper along the length of the plurality of cathode rods, although the example embodiments are not limited thereto. It should be understood that another suitable mechanism may be used to move the scraper upwards and downwards along the length of the plurality of cathode rods. The electrorefiner system may further include a lift system configured to selectively engage any combination of the plurality of anode assemblies so as to facilitate the simultaneous lifting of any combination of the plurality of anode assemblies that are to be removed while allowing one or more of the plurality of anode assemblies that are not to be removed to remain in place. The lift system may include a pair of lift beams arranged along a lengthwise direction of the electrorefiner system. The lift beams may be arranged in parallel. A shaft and a mechanical actuator are associated with each end portion of the lift beams. The lift system may participate in engaging and lifting all of the plurality of anode assemblies. Alternatively, it should be understood that only some of the plurality of anode assemblies may be lifted and any combination of the plurality of anode assemblies may be allowed to remain in the vessel of the electrorefiner system. Thus, all of the anode assemblies may be simultaneously removed with the lift system or only one anode assembly may be removed. Additionally, the electrorefiner system may have ten anode assemblies and eleven cathode assemblies, although the example embodiments are not limited thereto, because the modular design of the electrorefiner system allows for more or less of the anode and cathode assemblies to be used. The two parallel lift beams of the lift system extend along the alternating arrangement direction of the plurality of anode and cathode assemblies. The plurality of anode and cathode assemblies are arranged between the two parallel lift beams. The two parallel lift beams may extend in a horizontal direction. The shaft of the lift system is secured underneath both end portions of each lift beam. For example, the shaft may be secured perpendicularly to both end portions of each lift beam. The mechanical actuators of the lift system are configured to drive the two parallel lift beams in a vertical direction via the shafts. A mechanical actuator is provided beneath each end portion of the two parallel lift beams. The shaft may extend through the floor structure by way of a hermetic slide bearing. The hermetic slide bearing may include two bearing sleeves and two gland seals. The bearing sleeves may be formed of high molecular weight polyethylene. A space between the two gland seals may be pressurized with an inert gas (e.g., argon) using a port to 1.5-3″ water column positive pressure (assuming a maximum glovebox atmosphere of 1.5″ water column negative). The gland seals are designed to be replaced without compromising the glovebox atmosphere. An external water-cooled flange may connect the vessel to the floor structure so as to maintain a hermetic seal while limiting a temperature of the floor structure to an acceptable temperature. The lift system may include a plurality of lift cups dispersed along the longitudinal direction of each of the lift beams. Assuming the electrorefiner system has ten anode assemblies (although example embodiments are not limited thereto), ten lift cups may be disposed on each lift beam so as to provide two lift cups for each anode assembly. The lift cups are disposed on the inner side surface of the parallel lift beams. The lift cups may be U-shaped with the ends flaring outwards. However, it should be understood that the lift cups are not limited to such but, instead, are intended to include other shapes and forms (e.g., hook) that are suitable for engaging the lift pin of an anode assembly. Each lift cup may be provided with a solenoid, although example embodiments are not limited thereto. Each solenoid may be mounted on the opposing outer side surface of the lift beam and is configured to drive (e.g., rotate) the corresponding lift cup. By providing each lift cup with a solenoid, each lift cup can be independently driven. However, it should be understood that the lift cups (which may be in different shapes and forms) may also be operated in different ways so as to engage the lift pin of an anode assembly. For example, instead of being rotated, the lift cup may be configured to extend to extend/retract so as to engage/disengage the lift pin of an anode assembly. The lift cups may be arranged along each lift beam such that a pair of lift cups is associated with each of the plurality of anode assemblies. A “pair” refers to a lift cup from one lift beam and a corresponding lift cup from the other lift beam. The lift cups are spaced along each lift beam such that a pair of lift cups will be aligned with the lift tabs protruding from the side ends of each anode assembly of the electrorefiner system. The lift cups may be vertically aligned with the corresponding lift tabs. Each pair of the lift cups is configured so as to be able to rotate and be positioned under the lift tabs protruding from side ends of a corresponding anode assembly. Otherwise, the lift cups may be rotated so as to be positioned above the lift tabs. When a pair of lift cups are positioned above the lift tabs of a corresponding anode assembly, lifting will not occur for that anode assembly when the lift beams are raised. The lift system may be employed during the operation or maintenance of the electrorefiner system. For example, after the electrorefining process, the existing batch of anode assemblies may be removed from the electrorefiner system with the lift system to allow a new batch of anode assemblies to be processed. In the raised position, a portion of the anode assembly may remain under the cover of the vessel so as to act as a heat block until ready for removal. During the electrorefining process, the lift cups may be inverted above the lift tabs of the anode assemblies. When one or more anode assemblies are to be removed, the lift beams are lowered, and the lift cups on the lift beams are rotated by the solenoid so as to be positioned under the lift tabs of the anode assemblies to be removed. Next, the mechanical actuators drive the shafts upward in a vertical direction, thereby raising the parallel lift beams along with the pertinent anode assemblies. While in the raised position, an electrical lock-out may keep the lift cups from actuating until the lift beams have been fully lowered. This feature will ensure that the anode assemblies will not disengage while in the raised position. Once the existing batch of anode assemblies has been retrieved and substituted with a new batch of anode assemblies containing impure nuclear feed material, the anode assemblies with the impure nuclear feed material may be lowered into the molten salt electrolyte in the vessel of the electrorefiner system via the lift system. Alternatively, the anode assemblies may be removed from the electrorefiner system to allow for inspection, repairs, the replacement of parts, or to otherwise allow access to the portion of the vessel that is normally occupied by the anode assemblies. The lift process may be as described above. Once the pertinent maintenance or other activity has been performed, the anode assemblies may be lowered into the molten salt electrolyte in the vessel of the electrorefiner system via the lift system. All of the anode assemblies may be simultaneously removed when the lift system is in the raised position. Alternatively, it should be understood that the lift system is configured to allow the removal of anywhere from one to all of the anode assemblies, wherein the anode assemblies may be adjacent or non-adjacent. Once the desired anode assemblies are in the raised position, their removal from the lift system may be achieved with another mechanism (e.g., crane) within the glovebox or hot-cell facility. While a number of example embodiments have been disclosed herein, it should be understood that other variations may be possible. Such variations are not to be regarded as a departure from the spirit and scope of the present disclosure, and all such modifications as would be obvious to one skilled in the art are intended to be included within the scope of the following claims. |
|
description | This patent application is a continuation of U.S. patent application Ser. No. 16/267,302, filed Feb. 4, 2019, issued as U.S. Pat. No. 10,477,935 on Nov. 19, 2019, which is a continuation of U.S. patent application Ser. No. 15/659,545, filed Jul. 25, 2017, which is a continuation of U.S. patent application Ser. No. 14/848,256, filed Sep. 8, 2015, issued as U.S. Pat. No. 9,713,371 on Jul. 25, 2017, which claims the benefit of U.S. patent application 62/046,453, filed Sep. 5, 2014. These applications and U.S. patent application 62/002,763, filed May 23, 2014, are incorporated by reference along with all other references cited in this application. The present invention relates generally to providing a portable ultraviolet (UV) light source for curing UV-curable gel nail polish. More particularly, the present invention relates to a portable UV nail lamp with a light emitting diode light source and rechargeable battery. The present invention also relates to a UV nail lamp with a light emitting diode (LED) light source and a platform for a user's hand. UV nail lamps are available for the salon and home to cure UV-curable nail polish. These nail lamps typically have UV fluorescent tubes or bulbs that use alternating current (AC) power. So, these nail lamps have an AC cord that needs to be plugged into the wall, which restricts their placement, since they need to be close to a wall socket. This can be problematic. In a salon, for example, this can restrict the number of lamps in use, the location of nail lamp stations, and thus, the number of customers that can use the lamps at a given time. The tubes or bulbs of these nail lamps consume rather significant amounts of power and generate heat, which makes these nail lamps typically large and bulky to accommodate the bulb size and to allow for heat dissipation. This makes these nail lamps somewhat difficult to move, and certainly very difficult to travel with and use in a location without a wall socket, such as while on an airplane. Further, the light from the bulbs of these lamps tends be uneven, so a person's nails are exposed to difference intensities of light output, which causes the nails to dry at different times or to cure unevenly. Further, traditional nail lamps use light bulbs that tend to produce uneven light, so a person's nails are exposed to difference intensities of light output, which causes the nails to dry at different times or to cure unevenly. These bulbs also tend to be bulky which causes the nail lamps to be large and cumbersome. Conventional bulbs can also consume much electrical energy while operating. These lamps often have a flat platform on an inside of the lamp for a user to place their hand during drying. With long drying times, the user's hand can become uncomfortable or cramp up with the fingers in a strained, stretched out position within the lamp. There is a risk that the nails can smudge before setting as the user's nails brush up against other fingers or inside the lamp. As can be appreciated, an improved nail lamp is needed. What is also needed is a method and an apparatus which can accommodate a user's five fingers in a comfortable and ergonomic resting position within a nail lamp. What is also desired is an efficient way to evenly cure UV-curable nail polish on each of the user's nails. A nail lamp for curing UV-curable nail gel uses light emitting diodes (LEDs) that emit ultraviolet light and are relatively lower power. The nail lamp is powered from an exterior power source, such as a wall socket, or by a rechargeable battery pack. A battery compartment of the nail lamp holds the battery pack, which is removable without disassembling the nail lamp. The nail lamp is easily transportable to different locations and can be used even when a wall socket is unavailable. A curing time of the nail lamp is user-selectable. The nail lamp can also include detection sensors to detect a person's hand or foot in a treatment chamber and automatically turn on or off the LEDs. A nail lamp for curing UV-curable nail gel is powered by direct current (DC) and can be battery operated. The nail lamp uses surface-mounted light emitting diodes (SMD LEDs) which are relatively lower power. The nail lamp is easily transportable and can be used even when a wall socket is unavailable, such as while traveling on an airplane or in a car. The nail lamp has a cavity or treatment chamber that can accept a user's five fingers. So, the nail lamp can evenly cure nail polish on up to five fingers at once. A compact portable LED nail curing lamp has surface-mounted light emitting diode (SMD LED) lights. The lamp provides fast and consistent results producing high gloss finish and even curing of nail polish (e.g., UV-curable gel polish). The nail lamp has a micro-USB port, which can be used to power the lamp using a wall adapter, car charger, laptop USB port, or mobile power bank for ultimate portability. In an implementation, a system includes a compact LED nail curing lamp and a mobile power battery pack. The system also includes a cable to connect the nail lamp and the mobile power battery pack. The battery pack provides portable power to the nail lamp so that the nail lamp can be used portably, such as during travel or on an airplane when a wall outlet is unavailable. A compact LED nail curing lamp has a sleek design with advanced technology, highly efficient surface-mounted light emitting diode (SMD LED) lights. The lamp provides excellent results producing high gloss finish and even curing of nail polish (e.g., UV-curable gel polish). A specific implementation of a compact LED nail curing lamp is the SMD LED Lamp S2 product by LeChat Nail Care Products of Hercules, Calif. The compact LED nail curing lamp has a micro USB port, which is convenient to use. The user can power this SMD LED lamp (e.g., LeChat's LED Lamp S2 product) using a wall adapter (included), car charger (optional), laptop USB port, or mobile power bank for ultimate portability. In an implementation, a mobile power bank battery that can be used with the SMD LED Lamp S2 product is the LeChat Mobile Power™ battery pack by LeChat Nail Care Products. This product is approved by the Underwriters Laboratories. The packaging of the product can include the certification “UL Approved.” The product is also compliant with U.S. and international standards of the Restriction of Hazardous Substances Directive (RoHS) for environmental friendly products. In an implementation, a system includes a compact LED nail curing lamp (e.g., LeChat S2 product) and a mobile power battery pack (e.g., LeChat Mobile Power product). The system also includes a cable to connect the nail lamp and the mobile power battery pack. In an implementation, the nail lamp has a micro-B USB connector input and the mobile power battery pack has a type A USB receptacle, and the cable connects these together. The battery pack provides portable power to the nail lamp so that the nail lamp can be used portably, such as during travel or on an airplane when a wall outlet is unavailable. The lamp has a large, illuminated single-button that turns the lamp on for a preset cure time of 30 seconds for efficient, rapid LED/UV gel curing. The compact design saves space and allows for portability that is convenient for travel and pedicure applications. The lamp is lightweight and designed for carrying from place to place. The nail lamp includes professional durable materials that are long lasting and reliable. In an implementation, the nail lamp is a 6-watt LED lamp that includes forty-two SMD LED lights that provide evenly distributed light that allows for an efficient cure in about 30 seconds. In an implementation, a system includes: an upper housing having a button and a power input; and a lower housing, connected to the upper housing, the cavity or treatment chamber including openings through which surface-mounted light emitting diodes can emit light through. The cavity is sufficiently wide (e.g., about 4.25 inches or 10.6 centimeters) to accommodate five fingers of a human hand placed on a flat surface. In an enclosure formed between the upper and lower, there is circuitry. The circuitry includes at least one printed circuit board with the surface-mounted light emitting diodes; a button; a multiplexer, connected to the power input; a control circuit, connected to button and the multiplexer; a timer, connected to the control circuit and the multiplexer; a recharging circuit, connected and the multiplexer. The system includes a rechargeable battery comprising a battery output coupled to the multiplexer. The recharging circuit is connected to the rechargeable battery, so it can be recharged from, for example a wall outlet, that is connected to the power input. The multiplexer switches between the power input and the rechargeable battery to supply power circuitry. The housing can include a USB power output, which can be used to power or charge other devices. The power input can be a micro USB power input, which is readily available. A nail lamp includes a housing including a base and an outer cover. On a front side of the housing, there is an opening to a cavity within the housing. Inside the housing are inner surfaces of the housing including a platform, an inner side wall, and an inner roof of the housing. The opening is shaped and sized to allow a user's hand or foot to pass through the opening into the space within the housing. A finger plate is positioned on an inside of a housing of a nail lamp. The finger plate includes five side by side depressions that are adapted to support a user's fingers when the user places a hand inside the housing on the plate. In an implementation, the finger plate is removable from the housing. Different finger plates (or foot plates) can be used for users with different size hands or feet. An arrangement of light sources is positioned on sidewalls and inner roof of an inside of a housing. The light sources can be LEDs using surface mount technology (SMT), or surface mount devices (SMD) LEDs. In an implementation, a SMD LED can produce UV light in a range of about 340 nanometers to about 410 nanometers. Other objects, features, and advantages of the present invention will become apparent upon consideration of the following detailed description and the accompanying drawings, in which like reference designations represent like features throughout the figures. FIGS. 1-8 show views of a nail lamp 100. FIG. 1 shows a perspective view, FIG. 2 shows a top view, FIG. 3 shows a front side view, FIG. 4 shows an upside down view, FIG. 5 shows a right side view, FIG. 6 shows a back side view, FIG. 7 shows a bottom or underside view, and FIG. 8 shows the nail lamp as part of a kit 800. The nail lamp device has an exterior surface 102 and at one side, an opening 104 through which a user can place their hand into an interior space 106 of the nail lamp. There is a control button on the exterior that is used to turn on an interior lighting source 108 of the device, which exposes the interior space to light from the interior lighting source. As an example, a user can insert their fingers into the interior space, turn on the cure interior lighting source, and cure their UV-curable nail polish or UV-curable nail gel coated nails with the interior light. In an implementation, there is also an exterior lighting source (e.g., an LED) of the device, which also turns on in response to the control button and is on when the interior lighting source is on. Light from the exterior lighting source is visible through a translucent material (e.g., translucent plastic) of the control button. When the interior lighting source is off, the light from the exterior lighting source will also be off. The exterior lighting source is used as an indicator that the device is on—that the interior lighting source is on. In an implementation, the interior lighting source emits light of a different wavelength from the exterior lighting source. The interior lighting source can emit UV light (wavelengths ranging approximately from 100 nanometers to 400 nanometers) to cure UV-curable gel polish. And the exterior lighting source emits wavelengths of light within the visible light spectrum (wavelengths ranging approximately from 390 nanometers to 700 nanometers). In specific implementations, the exterior lighting source emits red, green, blue, or any combination of red, green, or blue colors. The red colors include wavelengths ranging approximately from 620-740 nanometers. The green colors include wavelengths ranging approximately from 495-570 nanometers. The blue colors include wavelengths ranging approximately from 450-495 nanometers. More specifically, the nail lamp includes a housing. The housing includes an outer cover (also be referred to as an exterior surface) and inner walls. In an implementation the outer cover is made a plastic material that has a glossy sheen finish (e.g., metallic finish). On a side of the housing, there is an opening to a space (or cavity or interior space or treatment chamber) within the housing. The space within the housing is defined by inner walls of the housing. The inner walls can be made of a reflective material. This material can direct emitted light from SMD LEDs into the cavity toward the user's nails. In an implementation, the interior of the lamp includes six inner walls. One of the walls forms a ceiling of the cavity. The other walls are angled with respect to this wall. In another implementation, shown in FIG. 4, the interior of the lamp includes seven inner walls, 110, 112, 114, 116, 118, 120, and 122. In an implementation, the opening is shaped and sized to allow a user's hand to pass through the opening into the cavity. In another implementation, the opening is adapted to allow a foot to pass through the opening. In another implementation, the nail lamp is adapted to be used for both a hand and foot. FIG. 6 shows a specific implementation of a nail lamp that includes a port 124 for a micro-USB connector cable. A power source can be coupled to the port to provide the nail lamp with operating power. In other implementations, the port can be a USB port, or plug, or other types of ports for electrical power transfer. As shown in FIG. 7, on a bottom of the housing, there are grip members 126 that prevent the housing from sliding on a work surface. The grip member is one or more rubber pads which provide friction against the surface. The grip members can help stabilize the nail lamp during curing to prevent nudging the nails during use or on uneven or unlevel surfaces (e.g., table on a train or airplane). FIG. 8 shows a specific implementation of a nail lamp that is part of kit 800. The kit includes a packaging (e.g., a box) that includes the nail lamp 100, a power adaptor 128, and a USB/micro-USB cable 130. Below is a table of operational modes of the SMD LED lamp. TABLE AModeOperational Mode1. No power to power inputUV light is not operational2. Power to power inputPower UV light components and operational3. Press button when UV light turns on and turns off automaticallyUV light offafter 30 seconds (or other preset time)4. Press button while UV light immediately turns offUV light on FIG. 9 shows a block diagram of a cross-section of a nail lamp 900. There are five inner walls of the cavity that are visible. There is a first wall 902 that forms a ceiling of the cavity. There are two walls 904 and 906 next to the right and left of the first wall that are angled with respect to the first wall. The first, second, and third walls have SMD LEDs 907 that are attached to printed circuit boards arranged between these inner walls and the outer cover. The cavity also includes a fourth wall 908 adjacent the second wall and a fifth wall 910 adjacent the third wall. These walls have a reflective material 912 (e.g., iron, steel, aluminum, aluminum alloy, other metal or metal alloy, or other sheet metal) to direct 913 light into the cavity, and do not include SMD LEDs. A button 914 is coupled to an exterior 916 of the nail lamp. FIG. 10 shows a block diagram of a specific implementation of a first printed circuit board 1000 (PCB1). A power input 1002 (e.g., a universal serial bus (or USB) power connector input) provides power to a timer 1004, a control circuit 1006, and an LED driver 1008 of PCB1. A button 1010 is connected to the control circuit that is connected to the timer. The button can activate the control circuit that controls the timer which activates the LED driver to activate one or more SMD LEDs 1012 of PCB1. The LED driver can also control an LED 1014 that connects to the button. For example, the LED will turn on behind the button to cause the button to light up. FIG. 11 shows a block diagram of a cross section of a double-sided printed circuit board PCB1 1100 with SMD LED lights 1102 and 1104 attached to opposite sides of PCB1. There are two SMD LEDs 1102 on one side of PCB1 that emit light in a first direction away from PCB1 toward a button 1106 of the nail lamp (e.g., a back-lit control button). On an opposite side of PCB1, there is a group of SMD LEDs 1104 that emit light in a second direction away from PCB1 into a cavity of the lamp housing. FIGS. 12A-12B shows a comparison between a standard LED 1202 and a SMD LED 1204. Light from a standard LED is emitted at a smaller beam angle (angle A) compared to the SMD LED which has a greater beam angle (angle B) and beam spread. At a given distance away from a surface, the SMD LED and standard LED will each emit light in the shape of a cone. The SMD LED has a greater beam spread and will emit a greater area of illumination than the standard LED. So, a base of the cone of light (e.g., circle) for the SMD LED will have a greater area (e.g., greater diameter, B is greater than A) than that of a standard LED. Thus, fewer SMD LEDs are needed to light an area, allowing for less power used and greater energy savings. FIG. 13 shows a block diagram of a specific implementation of a nail lamp 1300 with four internal printed circuit boards. PCB1 1302 is connected to a second printed circuit board PCB2 1304 and a third printed circuit board PCB3 1306. PCB2 and PCB3 each includes at least one SMD LED light. PCB1 is also connected to a fourth printed circuit board PCB4 1308, which includes a USB connector input 1310. PCBs 1-3 provide the SMD LEDs that light the UV light cavity of the nail lamp housing. The cavity has a top horizontal section (light provided by PCB1) and two angled sections (light provided by PCBs 2 and 3) relative to the top horizontal section. And a micro USB connector (provided by PCB4) is positioned at a back of the nail lamp housing. In a specific implementation, PCBs 1-3 provide 42 LEDs, of which 24 are on PCB1, 9 are on PCB2, and 9 are on PCB3. In a specific implementation, a compact LED nail curing lamp has a sleek design with advanced technology, highly efficient surface-mounted light emitting diode (SMD LED) lights. The lamp provides excellent results producing high gloss finish and even curing of nail polish (e.g., UV-curable gel polish). A specific implementation of a compact LED nail curing lamp is the SMD LED Lamp S2 product by LeChat Nail Care Products of Hercules, Calif. The compact LED nail curing lamp has a micro USB port, which is convenient to use. The user can power this SMD LED lamp (e.g., LeChat's LED Lamp S2 product) using a wall adapter (included), car charger (optional), laptop USB port, or mobile power bank for ultimate portability. In an implementation, a mobile power bank battery that can be used with the SMD LED Lamp S2 product is the LeChat Mobile Power™ battery pack by LeChat Nail Care Products. This product is approved by the Underwriters Laboratories. The packaging of the product can include the certification “UL Approved.” The product is also compliant with U.S. and international standards of the Restriction of Hazardous Substances Directive (RoHS) for environmental friendly products. In a specific implementation, the lamp has a large, illuminated single-button that turns the lamp on for a preset cure time of 30 seconds for efficient, rapid LED/UV gel curing. The compact design saves space and allows for portability that is convenient for travel and pedicure applications. The lamp is lightweight and designed for carrying from place to place. The nail lamp includes professional durable materials that are long lasting and reliable. In a specific implementation, the nail lamp is a 6-Watt LED lamp that includes forty-two SMD LED lights that provide evenly distributed light that allows for an efficient cure in about 30 seconds. An SMD LED is mounted and soldered into a circuit board. Compared to a standard LED, an SMD LED is small in size since it has no leads or surrounding packaging that a standard LED has. A SMD LED does not have the standard LED epoxy enclosure, and thus, SMD LED lights emit a much wider viewing angle instead of the focused, narrow light of the standard LED. SMD LEDs provide advantages over standard LEDs. The SMD LED has lower voltage and current requirements which allows it to give off very little heat. SMD LEDs emit a higher level of brightness while consuming less power than standard LEDs. With standard LEDs, the UV light produced to cure UV gels over time breaks down the epoxy surrounding the standard LED causing the epoxy to crack. Once cracked, the standard LED no longer flows evenly, which disrupts the transmission of light, resulting in an uneven cure. In contrast, SMD LEDs have no epoxy that surrounds it, and thus, will not crack. The resulting emission of light will be even throughout the lifetime of the light. Further, standard LEDs use a higher voltage and therefore, produce more heat. The heat produced by the higher voltage LED lights can shorten the life of the standard LED, which causes them to go out faster compared to SMD LEDs. In a specific implementation, the SMD LED Lamp S2 product is a nail lamp having a 6-Watt LED lamp with an output voltage of 5 volts and 1.2 amps. The lamp includes 42 SMD LED lights. A width of the lamp is about 103.5 millimeters. A length of the lamp is about 146.5 millimeters. A height of the lamp is about 56 millimeters. In an implementation, the nail lamp product is part of a kit which includes a universal AC adapter. The adapter has an input power of about 100 volts to about 200 volts at 50 or 60 hertz. The adaptor has an output power of about 12 volts at 1.2 amps. The kit also includes a user guide or manual which includes operating instructions, safety warranty, product specifications, a certificate of warranty, and a warranty registration card. To use the SMD LED Lamp S2 product, a user can follow the following instructions (which are included on the user manual): 1. Plug the power adaptor into the back of the SMD LED lamp and then plug the other end into a wall outlet, a car outlet, a computer, or a mobile power bank. 2. To turn the SMD LED lamp “on,” press the power button that is located on top of the lamp to the “on” position, where the LED light of the button lights up. The lamp will automatically shut off after 30 seconds. 3. The SMD LED lamp can be used with both fingernails and toenails. For toenails, the user can place the lamp over toes and perform steps 1 and 2 above. The user should follow the following safety precautions when using the SMD LED lamp product. These precautions are included on the user guide as part of the kit. 1. Never look directly into the LED/UV lights when machine is ON. 2. Do not overexpose the nails or skin under light. 3. Do not use the LED light in or around water. 4. Unplug the LED light when not being used. 5. Certain cosmetics or prescriptive lotions can cause sensitivity to LED light. Do not use lamp if using any. 6. Do not pull the cord to unplug. Instead, grab plug firmly and pull to unplug. 7. Do not use any corrosive sanitizer, solvents, thinners, or scrubbing to clean the machine. 8. Do not stack anything on top of the LED Lamp. 9. Do not disassemble the LED Lamp. This will void the Warranty. 10. Do not try to repair the machine. Please contact the distributor for service. 11. The plastic bag in packaging is a choking hazard. Do not place over head. Keep away from children and pets. 12. The electric power system is labeled on the box. Please pay attention to the voltage and frequency. FIG. 14 shows a block diagram of a specific implementation of a nail lamp that is adapted to be used with a rechargeable battery pack 1402 that is external 1404 to the housing 1406 of the nail lamp. The rechargeable battery is a unit that is separate from the nail lamp. Circuitry to recharge this rechargeable battery pack is contained within (or internal 1408 to) a housing of the rechargeable battery pack. There battery pack (or the nail lamp) may have a battery gauge or charge level indicator that indicates a charge level remaining in the battery. For example, the battery gauge can indicate there 75 percent charge remaining in the battery pack. For example, in an implementation, the display of the nail lamp can display the battery charge level of the battery pack (such as by the user pressing a battery charge level button). For example, the rechargeable battery is a portable power pack with a USB plug output (e.g., type A USB receptacle). The nail lamp has a USB power connector 1410 (e.g., micro-B USB receptacle) that can connect to the rechargeable battery using a cable. The micro-B USB receptacle of the nail lamp is connected to the type A USB receptacle of the rechargeable battery via a micro USB cable. Then, the battery pack supplies power to the nail lamp (which consumes 6 watts maximum). In an implementation, the nail lamp consumes 6 watts or less of power. Through the USB, the power adapter or batter can provide about 5 volts and 1.2 amps. In other implementations, the nail lamp consumes 5 watts or less of power (e.g., 5 volts and 1 amp), 4.5 watts or less (e.g., 5 volts and 900 milliamps), or 2.5 watts or less of power (500 milliamps). In another implementation, the nail lamp consumer more than 6 watts, such as 10 watts (e.g., 5.1 volts and 2.1 amps) or 12 watts (5.1 volts and 2.4 amps). With more power, the cavity of the nail lamp can be made larger (allow for more comfort or larger hands), or there can be more LEDs (for more even light coverage), or higher intensity LEDs (possibly for better nail curing), or any combination of these. Thus the nail lamp and rechargeable battery are a nail lamp system that allow for cordless (e.g., not connected to a wall outlet) and portable use. Users and customers need not rely on being within proximal distance to a wall outlet. In a salon, this can restrict the number of lamps in use, the location of nail lamp stations, and thus, the number of customers that can use the lamps at a given time. With a portable rechargeable nail lamp, salon customers can dry their nails anywhere in the salon, which allows for more customers that can be serviced at a given time, and reduced wait times for customers. Further, a portable rechargeable nail lamp is convenient to use during travel (e.g., on a train or airplane), and in places where there is limited or no access to wall outlets. Users can also save time by drying their nails while doing other tasks that would otherwise had to have been done at other times. For example, while working on a laptop or making phone calls at work, a person can concurrently cure their nails while the nail lamp is running on batteries or connected to their laptop. Although this application specifically describes the nail lamp as having a micro-B USB receptacle and the battery pack as having a type A USB receptacle, one having ordinary skill in the art understands that other connector types can be used to provide power. For example, some other connectors may be used such as mini-USB connector (e.g., USB mini-B), mini-A, micro-AB, or Apple's lightning connector. In a specific implementation, a portable external battery pack is the LeChat Mobile Power™. The Mobile Power pack product includes a battery housing having a USB output port, a micro USB input port, an LED power indicator, a power or flashlight button, and an LED light. The Mobile Pack product also includes a cable for connecting the battery housing with a nail lamp (e.g., the SMD LED Lamp S2 product). The cable includes a USB cable, a micro USB connector on one end of the cable, and a USB connector on an opposite end of the cable. To charge the Mobile Power product, a user can connect the micro USB connector of the cable to the micro USB input port of the external battery housing, and the other USB connector end of the cable to a USB port of a power source including a wall adapter (to a wall outlet), a laptop USB port, a desktop USB port, or a DC 5-volt USB charger. The LED power indicator of the battery pack will flicker to indicate that the external battery has started charging. When all LED power indicator lights are lit, this indicates that the battery is fully charged. In an implementation, there are four battery indicator lights arranged in a row on an external surface of the battery pack. When the Mobile Power battery pack is fully charged and ready to be used to power an electronic device, the user should first check whether the charging voltage of the digital or electronic device is matched with an output voltage (DC 5 volts) of the external battery. The user can connect the USB connector of the cable to the USB port of the battery pack, and the other micro USB connector end of the cable to a micro USB port of an electronic device such as the SMD LED nail lamp. The can be used as a general mobile power pack, and can be used to power other electronic devices such as a smart phone, tablet device, or any electronic device with a DC 5-volt USB input. A number of the battery LED power indicator lights will light according to the remaining charge capacity of the battery pack. In a specific implementation, there are four indicator lights (L1-L4) in a row with L1 on a left end, L2 to the right of L1, L3 to the right of L2, and L4 to the right of L3, and on the right end. When L1 is flashing, this indicates that there is about 0 to about 25 percent charge capacity level in the battery. When L1 and L2 are flashing, this indicates that there is about 25 to about 50 percent charge capacity level in the battery. When L1, L2, and L3 are flashing, this indicates that there is about 50 to about 75 percent charge capacity level in the battery. And when L1, L2, L3, and L4 are flashing, this indicates that there is about 75 to about 100 percent charge capacity level in the battery. When the capacity remaining in the battery is less than about 5 percent, the first light (L1) will blink to remind the user to recharge the external battery. In a specific implementation, the external battery includes a flashlight button for a flashlight function. To activate the flashlight option, the user can double click the flashlight (or power) button on the battery. Brightness of the light will cycle between 10 percent, 50 percent, and 100 percent brightness. The flashlight should not be turned on under hot temperature environments for long periods of time. In a specific implementation, when the power button is pressed, the LED indicator lights will turn on. These lights will automatically turn off in about 10 seconds for power saving. When needing to charge or power digital or electronic products, the user can simply plug the cable into the external battery device, and it will start charging when it detects the load. The user should follow the following safety precautions when using the Mobile Power product. These instructions are included in a kit containing the Mobile Power product. 1. Charge fully before using the mobile power device. 2. Do not place or use mobile device at high temperature or in humid environment. Do not expose to excessive sunlight. (Operating temperature range: charging: 0 degrees Celsius to 45 degrees Celsius; discharging: −10 degrees Celsius to about 60 degrees Celsius; and storage environment: about −20 degrees Celsius to about 60 degrees Celsius). 3. The user should not throw the mobile power device in fire or water so as to avoid fire, explosion, or both. 4. Keep the mobile power device out of reach of children. 5. Do not disassemble the device arbitrarily, since in some of the products, there are no removable or maintainable parts that are installed in the product. 6. Do not vigorously shake, hit or impact the mobile power device. 7. If the mobile power device has exposed liquid or other abnormalities, discontinue use, and contact customer service. 8. If the mobile power device has liquid leakage and splashes into the user's eyes, do not rub the eyes, wash with clean water immediately, and go to the hospital for medical treatment. 9. It is normal for the temperature of the mobile power device to rise during use; do not operate in a confined environment. 10. The transmission lines and connectors of the mobile power device must be provided by the original manufacturer. The use of transmission lines or connectors of nonoriginal manufacturer may result in severe or fatal injuries and property losses. 11. Do not cover or block the mobile power device with paper or other objects, to avoid blocking the heat dissipation and cold cutting. 12. Do not use the mobile power device if nobody is watching it in the car or anywhere. 13. Before using mobile power device, check its voltage demand. 14. If the mobile power device is not used for a long period of time, please charge or discharge it once every three months to ensure service life. 15. Remove power supply and power cord when the mobile power device is not in use. 16. Fully charge the mobile power device after the mobile power device is fully discharged. FIG. 15 shows a block diagram of a specific implementation of a nail lamp 1500 having a PCB5 1502 that can receive power from a USB power connector 1504 (e.g., micro-B USB receptacle) or rechargeable battery pack 1506. Unlike the FIG. 14 system, the rechargeable battery pack is specifically adapted to connect directly to the nail lamp circuitry (powering the nail lamp) without using the USB power connector. Specifically, power is not provided from the battery pack through the USB power connector, but rather directly from the battery. Further, the rechargeable battery pack can integrate with the housing of the nail lamp. In an example, the rechargeable battery pack snaps into place into a bottom of the nail lamp via a latching mechanism. And the rechargeable battery pack can be unlatched to be removed and replaced with a new pack, which may be desirable when the pack is spent or no longer holding charge (e.g., at the end of life of the pack). In an implementation, compared to the FIG. 14 system, circuitry to recharge this rechargeable battery pack is contained within a housing of the nail lamp (e.g., PCB5 of the nail lamp). Referring to FIG. 16, PCB5 is similar to PCB1 as described previously, but includes a recharging circuit 1602 and other circuitry to multiplex 1604 (mux), switch, or other switching mechanism to switch between taking power from the USB power connector or the rechargeable battery pack. Power from the USB power connector (such as connected to a wall adapter or other power source) can be used to power the nail lamp and also recharge (via the recharging circuit) the rechargeable battery too. FIG. 17 shows an implementation where the nail lamp of FIG. 16 includes one or more USB power output connectors 1701. These connectors can be used to charge a user's or customer's device, such as a phone or tablet. The user or customer will connect their device (e.g., phone) via a cable to one power output connectors. The device will be charged from the power from the USB power connector input 1702 or the battery 1703 through a mux 1704 or switch. Typically when the USB power input is connected to power, this power is used to charge the user's device (and also the rechargeable battery pack of the nail lamp). When the USB power input is not connected to power, the user's device is charged by the nail lamp battery. FIG. 18 shows an example of a rechargeable battery pack 1802 that can be connected 1803 to the housing of nail lamp 1804. In this implementation, the battery is contained within a base plate 1806 of the nail lamp. When the nail lamp is used, the user or customer places their fingers (that will be exposed to the UV light) onto the battery pack base plate. The battery pack base plate snaps or latches into place in the housing of the nail lamp. FIG. 19 shows an outline of a plan view of the battery pack base plate. More specifically, referring to FIG. 18, the rechargeable battery pack connects to the nail lamp at one or more connection points via connectors. For example, the nail lamp has a connector for connecting to the external rechargeable battery pack which the nail lamp is designed for. In a specific implementation, the nail lamp has a female connector while the external rechargeable battery pack has a corresponding male connector that fits into the nail lamp's connector. In another specific implementation, the nail lamp includes a male connector that fits into the external rechargeable battery pack's female connector. In other implementations, however, the nail lamp's connector can have any number or combination of pins and shapes in order to interface with the external rechargeable battery pack that the nail lamp is designed for. In a specific implementation, the nail lamp can include a fastening member that fastens to the external rechargeable battery pack to ensure a tight fit. As an example, the nail lamp can include a latch to secure the lamp to the battery. In another specific implementation, when the external rechargeable battery pack is connected to the nail lamp, the nail lamp looks for an authentication or handshaking signal (e.g., sending of an authentication code). If the lamp does not receive the proper authentication, the lamp may display a signal (e.g., flashing lights) that the battery is not an authorized peripheral for the lamp or the lamp can simply not allow the lamp circuitry to interface with the battery (e.g., not allow charging). An authentication circuit can be included in the circuitry of the lamp to provide proper authentication to the nail lamp. FIG. 19 shows a specific implementation an outline of a plan view of the battery pack base plate 1806 that is designed for a nail lamp. In an implementation, the nail lamp is the SMD LED Lamp S2 product by LeChat Nail Care Products. The shape of the external rechargeable battery pack corresponds to the shape of a base of the nail lamp, which connects to the external rechargeable battery pack. The shape of the external rechargeable battery pack allows a user to align the battery with the shape of the nail lamp base for connecting the two portions together. When connected, where the lamp and battery portions meet, the exterior surfaces become flush with each other. There will be a seam that is between the nail lamp and the battery pack. At the seam, the surfaces of the lamp and battery are relatively flush with each other. The seam line remains visible and can be felt tactilely. The battery pack base plate can have a finger plate integrated with the plate. In an implementation, the finger plate is removable from the base plate to allow for replacement or cleaning between uses. More discussion on a finger plate is in U.S. patent application 62/002,763, which is incorporated by reference. FIG. 20 shows a block diagram of a specific implementation of a kit 2000 for a nail lamp. The kit includes a UV light unit 2002, a battery pack 2004, a USB charger 2006, a USB charging cable 2008, and a user guide 2010 or instructions on use. These components can be arranged in a packaging of the kit which can include a box. In an implementation, the box can have compartments or trays for holding the components in place within the box. For example, one kit implementation is the system described in connection with FIG. 14 above. This kit has the battery pack connecting to the lamp with the USB connector input, and also the recharging circuitry is contained within the battery pack housing. Another kit implementation is the system described in connection with FIGS. 15-19 above. This kit has the battery pack directly connecting to the lamp, rather than through the USB connector input. The recharging circuitry is contained within the nail lamp housing. FIG. 21-23 show views of another implementation of a nail lamp 2100. FIG. 21 shows a perspective view, FIG. 22 shows a top view, and FIG. 23 shows a right side view. The nail lamp device has an exterior surface and at one side, an opening through which a user can place their hand into an interior space of the nail lamp. There are controls on the exterior that are used to turn on an interior lighting source of the device, which exposes the interior space to light from the interior lighting source. As an example, a user can insert their fingers into the interior space, turn on the cure interior lighting source, and cure their UV nail polish or UV nail gel coated nails with the interior light. In an implementation, the device includes sensors that detect when a hand is present inside the unit. This turns on both the interior curing lights as well as the exterior glowing lights for an allotted time (e.g., turning off after 15, 30, or 60 seconds). The light can also be manually turned on or off with, for example, button controls as an additional convenience. In an implementation, there is also an exterior lighting source of the device, which also turns on in response to the controls and is on when the interior lighting source is on. Light from the exterior lighting source is visible through a translucent shell (e.g., translucent plastic) of the exterior of the device. The translucent shell can be clear material or a light-diffusing material. When the interior lighting source is off, the light from the exterior lighting source will also be off. The exterior lighting source is used as an indicator that the device is on—that the interior lighting source is on. The entire exterior surface of the device can be lighted when on. This exterior lighting feature will make it easier for the user to know that the light is on and the curing cycle is continuing. The user will be able to see the exterior light is on from many positions and many angles, especially compared to attempting to peek into the opening (which will be partially blocked by a hand) and trying to see whether the interior lighting source is on. And the interior lighting source may not be visible light. In an implementation, on the exterior, there is a digital display. The display shows a length time in digits that the light will be turned on for. Further, the display can be a count down (or count up) timer that shows the time remaining for the light to be on. The digital display is optional and can be omitted in some implementations. More specifically, the nail lamp includes a housing 2102. The housing includes a base 2103 and an outer cover 2105. On a front side of the housing, there is an opening 2107 to a space (or cavity) within the housing. The space within the housing is defined by inner surfaces of the housing including a platform 2109, an inner side wall 2111, and an inner roof (not visible). The inner surfaces of the inside of the housing can be made of metal, plastic, or a combination of these. In an implementation, the opening is shaped and sized to allow a user's hand to pass through the opening into the space within the housing. The user's hand can be positioned within a cavity formed by the space, surrounded by the inner surfaces of the housing. In another implementation, the opening is adapted to allow a foot to pass through the opening. In another implementation, the nail lamp is adapted to be used for both a hand and foot. The outer cover of the housing includes a screen or display 2120 and controls, which in an implementation, are button features 2122a, 2122b, and 2122c. The screen may be an LED-backlit liquid crystal display (LCD) to display to a user a status or parameter of the nail lamp such as a time elapsed or a time remaining for a particular cure setting of the lamp. The display can also indicate other parameters of the lamp such as a power setting (e.g., “ON,” “OFF,” “LOW,” “HIGH,” or other messages). The screen can display images such as words, digits, 7-segment displays, meters, and others. The button features can indicate various cure settings of the nail lamp. Each button can be associated with a certain time of curing. For example, a first button can indicate a first timer setting for a first interval of time (e.g., 15 seconds). When a user selects the first timer setting by pushing the first button, an LED light source of the lamp will turn on for a time of 15 seconds of curing. A second button can indicate a second timer setting for a second interval of time (e.g., 30 seconds), and a third button can indicate a third timer setting for a third interval of time (e.g., 60 seconds). In other implementations, there can be fewer buttons (e.g., 1 or 2 buttons) or more than 3 buttons (e.g., 4, 5, or 6, or greater). FIG. 24 shows a view of an inside of a housing of a nail lamp, as viewed from a lower surface of the interior space looking toward the upper surface (e.g., inner roof). Side surfaces or side surfaces are angled with respect to the lower surface. The upper surface and side surfaces include a number of light source structures as shown. In an implementation, the light source structures are surface mounted light emitting diodes (LEDs). The LEDs can be referred to a surface mounted devices or SMDs. The LEDs are surface mounted to one or more printed circuit boards that housed within the device's enclosure, between surfaces of the interior space and exterior shell of the device. In other implementation, light sources can include other types of LEDs (other than SMDs), laser diodes, light bulbs, or other lighting. Some light source structures can be different from other light source structures. For example, first light structures 2421, 2423, 2425, 2427, 2429, 2431, 2433, 2435, 2437, 2439, 2441, 2443, 2445, and 2447 are different from the other light structures, which can be referred to as second light structures. In an implementation, the first light structures have higher energy output than the first light structures. For example, the first light structures can be 2-watt LEDs, while the second light structures are 1-watt LEDs. The light sources can include lights of the same or different output power and wavelength. In the specific arrangement of lights in FIG. 24, LED lights are positioned on the side walls and roof of the inside of the housing. There are seven side walls connected to the roof. The shaded LED lights (2421, 2423, 2425, 2427, 2429, 2431, 2433, 2435, 2437, 2439, 2441, 2443, 2445, and 2447) indicate 2-Watt output LEDs, while the remaining unshaded LED lights are 1-Watt output LEDs. Generally, on side walls of the housing, each 2-Watt LED is positioned between two 1-Watt LEDs. This distribution of LEDs can provide each nail of a user's hand (or foot) with an even exposure of light since a 2-Watt LED is positioned near each nail, as shown in FIG. 18. In other implementations, the LEDs can be arranged in another arrangement, such as an alternating pattern. On the inner roof of the housing, there is a combination of 2-Watt and 1-Watt LED lights. The 2-Watt LEDs can be arranged to correspond to a user's nails, so that a 2-Watt LED is near each nail. For example, when the user's left hand is inserted into a cavity of the housing, as shown in FIG. 18, each nail of the hand is irradiated by at least two nearby 2-Watt LEDs. Referring to FIG. 24, with the user's hand placed in the cavity, each nail is irradiated by at least one nearby sidewall LED and one nearby inner roof LED. Table B below shows how each nail is irradiated for both right and left hands of the user. TABLE BRight HandLeft HandSidewallRoof SidewallRoof FingerLEDLEDFingerLEDLEDThumb nail24212435Thumb nail24332447Index nail24252439Index nail24292443Middle nail24272441Middle nail24272441Ring nail24292443Ring nail24252439Little nail24312445Little nail24232437 Each nail is also irradiated by at least two 1-Watt LEDs. For example, when the left hand is placed in the cavity, the thumbnail is irradiated by 2-Watt LEDs 2421 and 2437, and by the two 1-Watt LEDs surrounding LED 2421. The index fingernail is irradiated by 2-Watt LEDs 2425 and 2439, and by two 1-Watt LEDs between LEDs 2425 and 2427, and between LEDs 2439 and 2441. FIG. 25 shows an inside view of a housing of a nail lamp in relief. Light sources are positioned along sidewalls and inner roof of the housing. The side walls and roof include openings or apertures to expose a light source, which can be positioned in or behind the opening. Light from the light source radiates through the opening and into the space provided by the housing. By using surface mounted LEDs, the LEDs are recessed in openings of the enclosure. This is in comparison to other not-surface-mounted types of LEDs that have a bulb-portion that extend through the openings. Also in some implementations, the LEDs can be flush with the enclosure surface. FIG. 26 shows specific arrangement of LED lights on sidewalls and inner roof of a housing. The LEDs that are circled are 2-Watt LEDs using surface mount technology (SMT). These LEDs are referred to as surface mount devices (SMD) LEDs. The LEDs that are not circled, that are positioned between the 2-Watt LEDs, are 1-Watt SMD LEDs. In an implementation, a SMD LED can produce UV light in a range of about 340 nanometers to about 410 nanometers. In a specific implementation, the SMD LEDs can produce UV light at about 395 nanometers peak irradiance. In another specific implementation, the SMD LEDs can produce UV light at about 350 nanometers. In another specific implementation, the SMD LEDs can produce UV light at about 365 nanometers. FIG. 27 shows a specific arrangement of LED lights on sidewalls and inner roof of a housing with five inner sidewalls of the housing. The configuration of LED lights in FIG. 27 is slightly different from that shown in FIGS. 24, 25, and 26. There are two fewer LEDs than the other configurations. The circled LEDs indicate 2-Watt SMD LEDs, and the uncircled LEDs indicate 1-Watt SMD LEDs. For each sidewall, one 2-Watt LED is positioned between two 1-Watt LEDs. FIG. 28 shows a specific arrangement of SMD LED lights on sidewalls and inner roof of a housing with seven inner sidewalls of the housing. Compared to the arrangement in FIG. 7, this housing includes 2 additional sidewalls, each with a 2-Watt LED 2806 and 2808. So, the arrangement in FIG. 7 has five 2-Watt LEDs on sidewalls, while this arrangement includes seven 2-Watt LEDs positioned on sidewalls. The arrangement with two additional LEDs can increase the cost of the device, but provides the irradiation for curing, which can reduce curing time and improve a uniformity of the curing. FIG. 29 shows a top view of a finger plate 2901. The finger plate is placed onto the lower surface of the interior space of a nail lamp. The finger plate is a guide for the fingers, so the fingers will be properly positioned inside the nail lamp. The user places the fingers on the finger plate, and the nails are held in position for exposure to the curing light. The finger plate can be removable (e.g., sliding out from a bottom of the lamp), such as for cleaning or so other finger plates can be used for different sized fingers. The finger plate is designed for the right or left hand, but in other implementations, there may be a specific finger plate design for each hand. The finger plate includes five side by side depressions or grooves that are adapted to support a user's fingers when the user places a hand inside the housing on the plate. A first depression 2902 can be a sloped surface (or indentation, groove, or recess) for supporting the user's thumb or little finger. A second depression 2903 can be a groove (or indentation or recess) for supporting the user's index or ring finger. A third depression 2904 can be a groove (or indentation or recess) for supporting the user's middle finger. A fourth depression 2905 can be a groove (or indentation or recess) for supporting the user's index or ring finger. A fifth depression 2906 can be a sloped surface (or groove, indentation, or recess) for supporting the user's thumb or little finger. The finger plate can include thumb guides 2910 and 2911 that include circular grooves in the finger plate. The circular groove can provide a tactile guide for the user to place the thumb when the user inserts the hand into the housing. The thumb guide allows the user to keep the hand in the same position through the curing so that the nails cure evenly and without smudging. In an implementation, the finger plate is removable from the housing. Different finger plates can be used for users with different size hands. The finger plate can also be removed to facilitate cleaning of the plate and of the inside of the housing. In salons, the plate can be removed between uses to sterilize the plate for a new user. The finger plate can also be replaced with a foot plate for curing polish on a person's foot for a pedicure. FIG. 30 shows an outline of the finger plate overlaid on a bottom up view of an inside of a housing of a nail lamp. This figure shows the positioning of the light structures in relation to the finger plate grooves. Light sources are arranged along an inner roof of the housing. The roof includes openings or apertures to expose a light source (e.g., LED, or SMD LED, or others), which can be positioned in or behind the opening. Light from the light source radiates through the opening and into the space provided by the housing. FIG. 30 shows a specific arrangement of light sources relative to a finger plate of the housing. The finger plate includes finger grooves, with spacers (e.g., raised regions or ridges) between adjacent finger grooves. There is at least one light source positioned over each finger groove. Over a first finger groove 3002, there are two openings with a light source at each opening. There is a light source positioned over a second finger groove 3003, third finger groove 3004, and fourth finger groove 3005. A light source is positioned between and over the second and third finger grooves, and the third and fourth finger grooves. There are two light sources positioned over a fifth finger groove 3006. FIG. 31 shows a specific implementation of a finger plate 3101 with extended grooves for fingers of a user's hand. There can be spacers 3105 between adjacent grooves. The finger plate includes stops 3107 in some grooves to prevent the user's fingers from sliding in the grooves (e.g., away from or toward the light sources). The stops can provide a tactile gauge for the user to indicate where to place the fingers during curing. In a specific implementation, a height of the stops is about 3 millimeters from a surface of the groove. In other implementations, the height is less than 3 millimeters (e.g., 0.5, 1, 1.5, 2, or 2.5 millimeters or greater). In other implementations, the height is greater than 3 millimeters (e.g., about 3.1, 3.2, 3.3, 3.4, 3.5, 3.6, 3.7, 3.8, 3.9, or 4 millimeters or more). In an implementation, a finger plate can have shorter or longer grooves than that of FIG. 31. FIG. 32 shows an implementation of a finger plate with grooves that are shorter compared to the finger plate in FIG. 31. An edge 3202 of the finger plate provides a stop for a user's fingers. The edge can have raised regions or stops to provide the user with a tactile guide for placement of the fingers or fingertips. In a specific implementation, a height of the stops is about 1.5 millimeters from a surface of the groove. In other implementations, the height is less than 1.5 millimeters (e.g., 0.5, 1, 1.1, 1.2, 1.3, or 1.4 millimeters). In other implementations, the height is greater than 1.5 millimeters (e.g., about 1.6, 1.7, 1.8, 1.9, or 2 millimeters or more). In other implementations, the edge does not have a raised rim, and the user can place the fingertips at the edge itself. FIG. 33 shows the positioning of a user's hand (e.g., left hand) in the finger plate of FIG. 31, against the finger stops. FIG. 34 shows the positioning of a user's hand (e.g., left hand) in the finger plate of FIG. 32, against the finger stops. FIG. 35 shows a rear perspective view of a finger plate. A top view of the finger plate is in FIG. 29. As discussed, the plate can include five depressed regions (e.g., finger grooves) with adjacent regions separated by a raised region 3505 (or ridge). Three of the finger grooves, in the middle, are elevated compared to the other two finger grooves, on either side of the middle three. The depressed regions can be contoured or curved to provide comfort to a user's fingers when resting in the depressed regions. The depressed regions and raised regions can also prevent the fingers from moving while curing which can cause uneven curing or smudging. FIG. 36 shows a front perspective view of a finger plate. A first groove 3602 and a fifth groove 3603 are less raised from a base of the housing than second, third, and fourth grooves 3604, 3605, and 3606. The first and fifth grooves are slightly angled away from the second, third, and fourth grooves. A surface of the fingerplate between a front edge of the grooves and a base of the finger plate can be sloped. By elevating the second, third, and fourth finger grooves, the fingers will be positioned closer to the upper surface and the light structures. This will increase the radiation to the fingers which improve curing of the polish or gel. Curing time will be reduced and the uniformity of the curing will improve. Further, this structure reflects a natural positioning of a person's fingers at rest. So, when a user places fingers into the grooves of the finger plate, the fingers can rest in a natural position that ergonomic and comfortable than if the grooves were positioned at the same height from the base of the housing. FIG. 37 shows an irradiation pattern for light structures for the arrangement of FIG. 27. This specific arrangement of lights (e.g., LEDs) has sidewalls and inner roof of a housing with five inner sidewalls of the housing. A user's hand is positioned in the housing and each nail is irradiated by nearby light sources. A thumbnail is irradiated by three nearby light sources while a little finger nail 3705 is irradiated by two nearby light sources. In a specific implementation, for each sidewall of the housing, there is one 2-Watt LED that is surrounded by two 1-Watt LEDs. The thumbnail is irradiated by all three LEDs, while the little finger nail is irradiated by two 1-Watt LEDs. FIG. 38 shows an irradiation pattern for light structures for the arrangement of FIGS. 24, 25, 26, and 28. This specific arrangement of lights (e.g., LEDs) has sidewalls and inner roof of a housing with seven inner sidewalls of the housing. Compared to the arrangement in FIG. 37, there are two additional sidewalls 3803 and 3805, each sidewall with a light source 3806 and 3808. In this arrangement, the user's nails (right hand or left hand) can be evenly irradiated. The thumbnail and little finger nail of each hand can be each irradiated by at least three light sources. In a specific implementation, for each sidewall of the housing with three light sources, there is one 2-Watt LED that is surrounded by two 1-Watt LEDs. On each sidewall 3803 and 3805, there is one 2-Watt LED. The thumbnail and little finger nail is each irradiated by one 2-Watt LED and two 1-Watt LEDs. FIG. 39 shows a finger plate for an inside space having five inner sidewalls, such as used in connection with the light structure arrangement of FIG. 27. FIG. 40 shows a finger plate for an inside space having seven inner sidewalls, such as used in connection with the light structure arrangement of FIG. 28. The finger plates described in this application can be adapted or modified to be used with the configuration of FIG. 27 or 28, or both. For example, the finger plate in FIG. 40 can be used with the FIG. 27 configuration. And the finger plate in FIG. 39 can be used with the FIG. 28 configuration. Compared to the configuration in FIG. 39, two additional side walls 4006 and 4008 can be added at corners 3906 and 3908. The finger plate also includes indicator members 4010 (finger points) positioned in the grooves of the finger plate. In an implementation, the indicator members are raised dots or bumps analogous to Braille dots that provide the user a tactile guide that the fingertips are positioned properly. Note that for the first and fifth grooves, these include two indicator dots. This is because there grooves, depending on which hand, are for the thumb or pinkie, which are a different length. In other implementation, the indicator members can be other raised regions (e.g., bump, projection, or ridge, or others) or recessed regions that can provide the user tactile feedback. When the user inserts the hand into grooves of the finger plate, the user cannot see how far to extend the fingers into housing. With the indicator members, the user can feel where to position the hand during curing. FIG. 41 shows a front view of an inside of a housing of a nail lamp with an outer cover of the housing removed. The side walls and roof include openings 4105. Light source structures 4110 can be located in or behind the openings and are exposed through the openings. Light sources can be connected to circuit boards 4115. In a specific implementation, light sources are SMD LEDs that are mounted onto circuit boards. Circuit boards 4115 may be printed circuit boards upon which the surface mounted LEDs are soldered. There can also be heat sinks or heat fins to which the LEDs are attached to dissipate heat. There can be LEDs mounted on both sides of a printed circuit board. One side will include the LEDs facing the inside of the interior space, while the other side will include the LEDs for lighting the exterior of the device. There can be multiple printed circuit boards, with boards for the sidewalls and upper surface of the interior space. FIG. 42 shows a front view of an inside of a housing of a nail lamp with five inside side walls. Side walls are angled with respect to a vertical y-axis to allow the light sources to be angled toward a finger plate of the housing. In a specific implementation, an angle 4209 at which a side wall is angled with respect to the vertical axis is about 30 degrees. In other implementations, the angle is less than 30 degrees (e.g., about 20, 21, 22, 23, 24, 25, 26, 27, 28, or 29 degrees). In other implementations, the angle is greater than 30 degrees (e.g., about 31, 32, 33, 34, 35, 36, 37, 88, or 39 degrees, or more). FIG. 43 shows a front view of an inside of a housing of a nail lamp with seven inside side walls. Compared to the configuration in FIG. 42, the side walls can be less angled with respect to the vertical y-axis. In a specific implementation, an angle 4309 at which a side wall is angled with respect to the vertical axis is about 26 degrees. In other implementations, the angle is less than 26 degrees (e.g., about 18, 19, 20, 21, 22, 23, 24, or 25 degrees). In other implementations, the angle is greater than 26 degrees (e.g., about 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 88, or 39 degrees, or more). FIG. 44 shows a top view of an exterior of a nail lamp. There are preset settings for a user to select for curing. In an implementation, the user can select a preset curing time (e.g., 15 seconds, 30 seconds, or 60 seconds). The UV nail lamp in FIG. 44 is set to a setting of 60 seconds curing time. When the user presses the button for the selected setting, the button can light up and remain lit during the curing. A display can indicate to the user how much time has elapsed or is remaining on the curing time. The display shows 20 seconds (or 2 seconds) has elapsed or is remaining of the selected 60 seconds. Once the time expires, the UV lights, along with the lights of the housing, will turn off. In an implementation, when the user selects the desired cure time by pressing the button, the display will display the selected time. In an implementation, an exterior lighting source of the device does not turn on until a person's hand is inserted inside of the nail lamp. When the hand is inside, a sensor of the device detects when a hand is present inside the unit. This turns on both the interior curing lights as well as the exterior glowing lights for duration of the selected curing. When curing begins, exterior light source of the device will turn on, causing the exterior surface of the lamp to glow a soft and steady light for the duration of the curing time. The exterior lights can be positioned within the device, between interior curing lights and an outer translucent cover of the device. The translucent cover can be a translucent plastic material. The translucent plastic material can be a diffusing material or a diffuser, or the translucent plastic material can be combined with another diffusing material or diffuser, such as a composite material including both a translucent plastic layer and a light diffusing layer. In an implementation, the translucent plastic material of the lamp shell includes a light diffusing property. When light irradiated from the exterior light source hits an inside surface of and is transmitted through the translucent plastic material, the plastic material diffuses or spreads out (i.e., scatters) the light to give a softer light relative to the more concentrated light initially radiated from the exterior lighting source (e.g., diode on the circuit board). The scattered light can be across the entire exterior shell and cause the device to have a soft and steady glow of light. For example, in FIG. 44, about six exterior lights sources are used to illuminate and cause the lamp's exterior surface to glow. The light diffuser material spreads and homogenizes the nonuniform or uneven illumination of six light sources into a more uniform illumination. In an implementation, light diffusing property is present across an entire exterior surface area of the shell. When light from an exterior lighting source (located inside the nail lamp housing) enters an inside surface of the lamp shell, the light diffusing material scatters the light across the entire exterior surface area of the shell. This causes a more even glow across the entire lamp shell. In an implementation, the lamp shell has a light diffusing property when the lamp shell is made of a translucent material and a light diffuser film is coupled to an interior surface, or exterior surface, or both interior and exterior surfaces of the translucent lamp shell material. Examples of light diffusing films includes mylar or acetate, or similar films. Other examples of light diffusing film include films that have varying degrees of opacity. In another implementation, the lamp shell has a light diffusing property when the lamp shell includes a roughened surface, which scatters light. In a specific implementation, the lamp shell includes randomly sized and randomly placed particles on a surface of the lamp shell. In another specific implementation, particles can be of sizes large enough to be visible to the eye. In another specific implementation, the lamp shell includes a matting agent. The matting agent can blur spots of relatively more intense light produced by individual light sources. Examples of a matting agent can include silica powder, calcium carbonate powder, alumina powder, or the like. In a further implementation, the matting agents can have a particle size of approximately 1 to 5 microns. In an implementation, the light diffusing material is positioned over all of the exterior lighting sources so that all of the light from the exterior lighting sources will enter the light diffusing material and exit as an even glow that is spread across the entire surface of the shell. In a specific implementation, the light diffusing material is applied over an entire inner surface of the shell. In another implementation, the light diffusing material is applied over an outer surface of the shell. In another implementation, the light diffusing material is positioned over a portion of the exterior lighting sources. A portion of the light will enter and exit the light diffusing material and a portion of the light will not enter the light diffusing layer. This can result in various glow patterns across the shell the nail lamp. Each glow pattern can have a functional purpose, such as using a certain glow pattern to show when customers are close to finishing curing their gel nail polishes. In an implementation, a greater portion of the lamp shell's exterior surface area includes light diffusing property (or light diffusing material) than a portion that does not have light diffusing property. In another implementation, the lamp shell's exterior surface includes a portion with light diffusing property and an opaque portion, which does not let light travel through. In a specific implementation, the portion of the lamp shell's exterior surface that includes light diffusing property ranges from 10 percent to 100 percent. The remaining portion of the lamp shell's exterior surface is opaque. In another implementation, the lamp shell's exterior surface includes a portion with light diffusing property, a transparent portion, and an opaque portion. In an implementation, the nail lamp housing includes a first layer with light diffusing properties that is coupled to a second layer of material, which blocks out light. In a specific implementation, the light blocking material can block out specific wavelengths of light, such as UV light. Some of the interior light sources can emit UV light. Though the interior light sources are directed into the cavity (or interior space), some light rays may reflect off the inner walls of the cavity and be emitted through the shell of the nail lamp. To prevent the UV light from emitting through the shell, a layer of UV light blocking material can be added to the housing. Examples of materials that block out UV light are polycarbonate, acrylic, acrylic glass, and the like. In an implementation, the exterior light sources are positioned in regions of rather than the entire device. For example, the exterior lights can be positioned along an outer perimeter of the device. When the light is transmitted through and scattered by the translucent outer cover, the regions closest to the light sources will glow brighter than the regions farther away from the light sources (e.g., a top region of the outer cover). Typically, the LEDs for the exterior lighting are not the same wavelength as the interior lighting. In an implementation, the exterior lights are non-UV lights. In an implementation, these lights can produce visible colored light, all the same color, such as in blue. Other colors can include pink, orange, yellow, red, green, or purple or others. In other implementations, there can be different colors of exterior light (such as blue and yellow, or red and green). In other implementations, the lights are LEDs such as RGB LEDs that can produce changing colors of light during curing. FIG. 45 shows a perspective view of an exterior of a nail lamp. The display shows 44 seconds has elapsed or is remaining of the selected 60 seconds. Once the time expires, the UV lights, along with the lights of the housing, will turn off. FIG. 46 shows a top perspective view of an exterior of a nail lamp that is turned on (i.e., curing mode). A timer displays 20 seconds (or 2 seconds) has elapsed or is remaining of the selected 60 seconds. UV lights on an inside of the housing are turned on, and glow from an opening of the housing of the lamp. A specific process flow for operating a UV nail lamp is presented in table C below. It should be understood that the invention is not limited to the specific flows and steps presented. A flow of the invention may have additional steps (not necessarily described in this application), different steps which replace some of the steps presented, fewer steps or a subset of the steps presented, or steps in a different order than presented, or any combination of these. Further, the steps in other implementations of the invention may not be exactly the same as the steps presented and may be modified or altered as appropriate for a particular application. TABLE CStepFlow1Power on UV lamp.2Select curing mode. This can include a user selecting a curing time, or a level of curing,or other parameters from a preset options (e.g., menu or buttons). The user can alsomanually input a desired curing time or level of curing (e.g., buttons, dial, knob, ormenu). In an implementation, the user presses one of a plurality of buttons to select a predetermined curing time (e.g., 15 seconds, 30, seconds, and 60 seconds). A display can display the selected curing time or setting. Lights between an inside of the housing and an outer cover of the housing will light up, causing the housing to light up or glow during curing.3A user inserts a hand (or foot) into the housing. The user's hand can rest on a finger plate. The finger plate can have finger indicator members that allow the user to feel where to rest the fingertips.4Timer starts when the user's hand is inside the housing. As the timer starts, UV light sources within the housing turn on to irradiate the user's nails.5Timer stops after the selected time expires. When the timer stops, the UV light sources turn off. Lights between the inside of the housing andthe outer cover of the housing willturn off, causing the housing to dim.6User removes hand from the housing.7Power off UV lamp. FIG. 47 shows a block diagram of a specific implementation a nail lamp that is adapted to be used with a power source that is external to the nail lamp. The nail lamp includes a shell 4702 (also referred to as an exterior surface) and an enclosure 4704 (also referred to as a cavity or interior space), which is defined by an upper surface 4706 (also referred to as inner wall of a nail lamp's housing) of the enclosure. A user can place a hand inside the enclosure. A removable finger plate 4708 can optionally attach to the nail lamp and further define the enclosure. A power circuit 4710, inside the lamp, is coupled to an external battery 4712 or an adapter 4714, both of which are outside of the nail lamp. The external battery can be connected to a charger 4716. The adapter can be connected to an external power supply (e.g., a wall outlet). The external battery or external power supply provides power to a power circuit. The power circuit provides power to sensors 4718, one or more interior LEDs 4720, a control circuit 4722 that includes a control unit 4724 and a timer display 4726, and one or more LED units 4728 that include exterior LEDs 4730 and interior LEDs 4720. The interior LED can also be referred to as an interior lighting source, discussed above, and used to cure the gel polish. The exterior LED can also be referred to as an exterior lighting source, discussed above, and produces light to indicate that the interior LED is activated. A button 4732, located outside of the shell, is connected to the control circuit. When pressed, the button activates the control circuit that controls the timer display and activates one or more SMD interior LEDs 4720 or LED units 4728. Heat sinks can be coupled to the interior LEDs within the shell. The heat sink can absorb heat given off by an activated LED so that a user's hand will not feel hot and uncomfortable inside the nail lamp. The power circuit can optionally include an internal battery 4734. The internal battery can be charged by connecting to an external battery or an adapter that is connected to an external power source such as a wall outlet. After the internal battery has been charged by the external battery or external power supply, the nail lamp can operate without being connected to an external battery or adapter. The power circuit can also include a switch between the internal battery and external power connections (e.g., such as connection to an external battery or wall outlet) to allow the nail lamp to switch between internal and external power sources. FIGS. 48-50 show an implementation of a nail lamp 4802 that includes a battery input port 4804 (also referred to as a power input) so that the nail lamp can be used with a rechargeable battery pack that is external to the housing of the nail lamp. The rechargeable external battery 4806 can provide power to the nail lamp. The external battery can be removably coupled to a cable 4808, which is removably coupled to the battery input port. FIG. 48 shows a block diagram of nail lamp 4802. FIG. 49 shows a side view of the nail lamp including the external battery attached to the nail lamp via the cable. FIG. 50A shows a first short side of the external battery. FIG. 50B shows a second short side of the external battery. FIG. 50C shows a first long side of the external battery. FIG. 50D shows a top face of the external battery. The external battery supplies power to the nail lamp. With an external battery coupled to the nail lamp and providing power, the nail lamp does not have to be coupled to a wall outlet or laptop for power supply, the nail lamp can be moved around a room to any location. To charge the external battery, the external battery can be connected to an adapter, which can be connected to a wall outlet. The external battery can also be charged by being connected to a charging dock. After the external battery is charged, it can be disconnected from the adapter or dock and coupled to the nail lamp. FIG. 51 shows a block diagram of a charging dock 5102 and an external battery 5104. The charging dock includes a battery dock 5106 for the external battery, and optionally a latch 5108 to prevent the battery from falling out of position in the battery dock. Once the external battery is inserted into the battery dock, the charging dock starts charging it. The charging dock stops charging the external battery after the battery is removed. The charging dock can be connected to a power supply via a cable 5110 that can be connected to an adapter 5112, which can be connected to the power supply (e.g., a wall outlet). FIGS. 52-54 show an implementation of a nail lamp 5202 including a battery dock attachment 5204 that can be removably coupled to an exterior of the nail lamp. FIG. 52 shows a block diagram of the nail lamp and the battery dock attachment. FIG. 53 shows a side view of the nail lamp and the battery dock attachment attached to the nail lamp. FIG. 54 shows a side view of the nail lamp with the battery dock attachment detached from the nail lamp. The battery dock includes a slot for a battery 5208 and a latch 5210 to hold the battery firmly to the battery dock. The latch can be, for example, a spring loaded release latch. The battery can be inserted into the slot. The battery dock attachment provides for easy removal of the battery when the battery needs to be recharged. FIGS. 55-57 show an implementation of a nail lamp 5502 that includes an internal battery dock 5504 where a rechargeable battery pack 5506 can integrate with the housing of the nail lamp. The internal battery dock is removably coupled to a battery 5506 to be removably coupled within the housing of the nail lamp. FIG. 55 shows a block diagram of the nail lamp including the internal battery dock. FIG. 56 shows a specific implementation of nail lamp 5502 in which the internal battery dock is located at a bottom 5606 of the nail lamp. The battery can be inserted into the bottom of the nail lamp. In other implementations, the battery dock can be located elsewhere, such as the top or side of the nail lamp, for easy access to the battery dock. The internal battery dock optionally includes a latch 5508 to hold the battery firmly to the battery dock. The latch can be, for example, a spring loaded release latch. The battery can be inserted into the slot. FIG. 57 shows a perspective view of the battery. The battery can include leads (e.g., copper strips) or pins that interface with the battery dock. FIG. 58 shows a specific implementation of an interior lighting source unit 5801. The interior lighting source unit includes at least one UV wavelength (which is approximately 100-400 nanometers) light source and at least one LED. The LED can produce light of a wavelength that is same or different from that produced by a UV wavelength light source. In a specific implementation (shown in FIG. 59), four UV light sources and one LED can be arranged such that the one LED lighting source 5803 is in the middle and the UV light sources 5805 surround the LED lighting source on four sides, like a rectangle, or square, or diamond shape. FIG. 59 shows another arrangement 5901 where three UV lighting sources surround one LED lighting source in a triangle shape. In a specific implementation, the LED produces light of 405 nanometers and can be 1-3 Watt LEDs. In another specific implementation, the UV lighting source produces light of 365 nanometers. FIG. 60 shows a strip 6001 of interior lighting source units 6002 and a magnification (indicated by broken line 6003) of one of the interior lighting source unit. An LED 6004 is adjacent to another LED 6006. The LEDs produce light of different wavelengths from each other. In a specific implementation, LED 6004 produces light of 405 nanometers, which can be used to cure LED gel. And LED 6006 produces light of 365 nanometers, which can be used to cure UV curable gel or extension gel. This arrangement of UV and LED light sources allow for universal usage of the nail lamp because the nail lamp can be used to cure both LED and UV-curable gel polish. In a further implementation, the nail lamp can be an inductive nail lamp, which the power required to generate light is transferred from outside the nail lamp to the gas inside via an electric or magnetic field. A benefit to an inductive nail lamp is extended lamp life. This description of the invention has been presented for the purposes of illustration and description. It is not intended to be exhaustive or to limit the invention to the precise form described, and many modifications and variations are possible in light of the teaching above. The embodiments were chosen and described in order to best explain the principles of the invention and its practical applications. This description will enable others skilled in the art to best utilize and practice the invention in various embodiments and with various modifications as are suited to a particular use. The scope of the invention is defined by the following claims. |
|
claims | 1. A semiconductor manufacturing apparatus comprising a light source emitting light of a first wavelength to a surface of a wafer and a mask through which at least a part of the light of the first wavelength passes and removing a material of a part of the wafer exposed by the light of the first wavelength by vaporization, wherein the light source comprises an electron beam generating means for generating an electron beam and a light emitting means for emitting light of a second wavelength which is longer than the first wavelength, and the light of the first wavelength is inverse Compton scattered light obtained by collision of electrons in the electron beam with photons in the light of the second wavelength causing the energy of the electrons to be given to the photons. 2. A semiconductor manufacturing apparatus as set forth in claim 1 , wherein the light emitting means comprises a laser. claim 1 3. A semiconductor manufacturing apparatus as set forth in claim 2 , wherein the laser comprises a pulsed laser. claim 2 4. A semiconductor manufacturing apparatus as set forth in claim 1 , wherein the light source has at least a pair of reflecting means for reflecting the light of the second wavelength back and forth. claim 1 5. A semiconductor manufacturing apparatus comprising a light source emitting pulsed light to a surface of a wafer and a mask through which at least part of the pulsed light passes and removing a material of the part of the wafer exposed by the pulsed light by vaporization, wherein the pulsed light emitted from the light source has a wavelength of not more than about 300 nm and a pulse width of not more than about 1 ns. 6. A semiconductor manufacturing apparatus as set forth in claim 5 , wherein the light source emits a synchrotron radiation light. claim 5 7. A semiconductor manufacturing apparatus as set forth in claim 5 , wherein the light source emits a laser plasma light. claim 5 |
|
description | 1. Field of the Invention The present invention relates to X-ray and gamma-ray shielding glass, as well as a method to produce X-ray and gamma-ray shielding glass. 2. Description of the Related Art Gamma radiation is understood to be radiation with quantum energies of more than 200 keV, regardless of the nature of their origin. In this general sense, the description differentiates from the X-ray radiation. In contrast, X-ray radiation comprises an energy between 100 eV to 300 keV. Gamma-ray and X-ray shielding glass with a high lead content have become known from a multitude of applications. Glasses marketed by the Schott Company, having a composition of 34.3 weight-% SiO2, 5.6 weight-% K2O and 59.6 weight-% PbO that are sold under Schott-Glass 8531 are known in the art. This glass composition can be gathered from “Schott Guide to Glass” Second Edition, Chapman & Hall, London 1996, Table 6.3 page 132-133. EP 1 939 147 A1 shows a gamma-ray shielding glass plate, wherein the glass plate has a glass composition with a high lead content and is characterized in that—at a thickness of 10 mm or more—it offers total light transmission at a wavelength of 400 nm of 50% or more. From DE 454 430 a glass composition with more than 50% lead oxide and a maximum of 10% zirconium oxide has become known. It is not described in DE 454 430 whether the percentage values regarding the glass composition refer to mol-% or weight-%. Further, a single embodiment having 30 percent SiO2, 5 percent ZrO2, 60 percent PbO and 5 percent K2O has become known from DE 454 430. It is also not known from DE 454 430 that the glass composition can be converted to a plate having high transparency. WO 2011/052336 A1 describes a lead-containing glass composition having high lead contents of 75 weight-% to 82 weight -% and zirconium contents between 0.5 weight-% and 5.5 weight-%. The problem also exists in WO 2011/052336 that these types of glasses cannot be converted into high transparency plates. JP 2001/29444 A specifies materials for a plasma display, including a glass powder of a base glass and of a glass filler. The base glass is a glass having a high lead content with 50-75 weight-% PbO, as is the case also with the glass filler. The lead contents of the glasses that, in JP 2001/29444 A contain ZrO2, are higher than 67 weight-%. DE 102 03 226 A1 describes an optical glass with 55-88 weight-% PbO and 0-10 weight-% ZrO2. The optical glasses according to DE 102 03 226 A1 relate in particular to optical glasses for projection purposes. Plates manufactured from such glasses are not shown in DE 102 03 226 A1. One disadvantage of all aforementioned glasses during manufacture of the same is the crystallization tendency of these glasses. Because of this, such glasses cannot be produced for example in a draw process, because they crystallize too quickly for such draw processes. An additional problem may be that with such glasses the transmission of light is strongly limited. The crystallization rate should generally not exceed a limit of 0.1 μm/min. within a temperature range that between the melting temperature of the glass (in this case the melting temperature of the mixture in the melting chamber) and the temperature at which the viscosity of the glass of 106.5-7.0 dPa·s is limited. The liquidus temperature is the temperature at which, when exceeded a material is completely melted. In practice, it is the highest temperature above which no more crystals are observed. Regarding the observation of crystals, we refer to the following description. The crystallization rate is herein defined by factor dØ/dt, namely the time derivative of the average diameter of the crystals, measured for a certain temperature. If the crystallization rate is greater than 0.1 μm/min. It is necessary—because of their size—to again polish the crystals mechanically. This improves the surface quality as well as the transmission of the glass plate. Methods for producing glass plates of this type are for example down-draw or up-draw processes, without being limited thereto. The glasses can of course also be produced by other methods, for example by a redraw method, a rolling method or smaller sizes also in a press process. In the up-draw process a thin or flat glass is produced, wherein the glass ribbon is drawn from the bottom upwards, via a draw nozzle and various rolls through a cooling section. Up-draw methods are characterized by excellent surface quality and a wide thickness spectrum of 0.8 mm to 20 mm, for example 0.8 mm to 10 mm. Alternatively to the up-draw method, a down-draw method may be used. The down-draw method serves to produce thin or thinnest glass. In the down-draw method a glass ribbon is also drawn over several rolls through a cooling section, but it is drawn downwards. In the down-draw method, glass ribbons having a low surface roughness that can be less than 1 nm can be produced, wherein the thickness spectrum generally is between 25 μm to 1.1 mm. An additional disadvantage of the glasses according to the state of the art is the glasses insufficient hydrolytic resistance, which leads to problems, especially when cleaning the glasses, for example when they are used in the medical field. What is needed in the art is an X-ray and gamma-ray shielding glass composition that avoids the disadvantages of the current state of the art. Plates produced from such a glass composition should have a high transparency and facilitate shielding of X-rays and gamma-rays. In addition to high transparency, such glasses should also have a high hydrolytic resistance. The glass compositions should moreover have a low crystallization tendency and should be suitable for a draw process, for example an up-draw process. The present invention provides a glass composition that includes the following in weight-%: 10-35%, for example 20-30% SiO2 60-70%, for example 60-67%, for example 60-65% PbO 0-8% B2O3, for example 1-5% B2O3, for example 1.5-2.5% B2O3 0-10% Al2O3, for example 0% Al2O3 0-10%, for example 0.05-2% Na2O 0-10%, for example 0.1-3% K2O 0-0.3% As2O3 0-2%, for example 0.1-0.5% Sb2O3 0-6% BaO %, for example 0% BaO 0.05-2% ZrO2, for example 0.1-2% ZrO2, for example 0.5-2% ZrO2, for example, 1-2% ZrO2 According to the invention the glass is thus characterized in that it contains ZrO2. The ZrO2 content can be in the range of 0.05-2 weight-%, for example between 0.1-2 weight-%, for example between 0.5 and 2 weight-%, for example between 1 and 2 weight-%. The addition of ZrO2 in an amount of at least 0.1 weight-%, for example 0.5 weight-% to 2 weight-% greatly increases the hydrolytic resistance of the glass, so that cleaning of the glass with different aqueous media is possible. Furthermore, no crystals could be found in the glasses that had at least 0.1 weight-% ZrO2. BaO-free glasses have less crystallization tendency and can therefore be drawn easier. For example, glasses that, except for contaminants, are free of BaO, in other words glasses whose BaO content is around 0% can be drawn easier. Furthermore, the glass can have a content of 0.1-10 weight-% Al2O3, for example 1-5 weight-% Al2O3. The addition strengthens the glass against aqueous corrosion. In another embodiment, the inventive composition includes 1-8 weight-% B2O3, for example 1-5 weight-% B2O3, for example 1.5-2.5 weight-% B2O3. Surprisingly it was found that a certain B2O3 content in the glass composition stabilizes the same against too rapid crystallization, in other words, it inhibits crystallization. According to the invention the glass composition includes 0.05-8 weight-% ZrO2, for example 0.1-8 weight-% ZrO2, 0.5-6 weight-% ZrO2, 0.5-4 weight-% ZrO2, or 0.75-1.5 weight-% ZrO2. ZrO2 in the glass composition surprisingly causes the glasses to be more resistant hydrolytically than conventional glasses without ZrO2. The resistance to aqueous solutions increases with ZrO2. If there is a ZrO2 content in the glass, then the Al2O3 content can be at 0%. This means the glass can be Al2O3-free with the exception of contaminants, and notwithstanding the Al2O3-freedom, sufficient resistance against aqueous solutions is achieved. Regarding the alkali content, the sum of all alkalis Na2O+K2O can be between 0.25 and 10 weight-%, for example 0.25 and 5 weight-%. The alkalis in the glasses produce eutectic and thus reduce the liquidus temperature. Consequently, the melting temperature also drops, leading to a reduction in the energy consumption. The addition of alkalis also facilitates the reduction of the liquidus temperature in such a way that highly viscous melts are provided which in turn are compatible with the draw process. The glass composition can be selected so that the kinetic of crystallization dØ/dt is less than 0.3 μm/min., for example less than 0.2 μm/min., for example less than 0.01 μm/min. Such crystallization kinetic in the glass composition surprisingly ensures that the glass can be produced in an up-draw process and that it has a lower crystallization tendency, wherein also high transparency and better surface quality is achieved. The required crystallization kinetic ensures, that the crystals form at temperatures that are separate from process temperatures during the up-draw process. It is therefore possible to present the crystallization kinetic as a function of the viscosity in order to be able to completely characterize the process window. Surprisingly, the inventors found that it is important for a low crystallization tendency that the PbO-content be less than 70 weight-%, for example less than 67 weight-% and greater than 60 weight-%, and for the ZrO2 content to be greater than 0.05 weight-%, and a maximum of 2 weight-%. Such PbO and ZrO2 contents ensure on the one hand sufficient transmission of glass plates produced from such materials, and on the other hand such glass compositions can be drawn into glass plates. The crystallization kinetic can be determined with the assistance of the Pt-sheet method or the Pt-plate method or platinum carrier plate method uniformly spaced apart recesses that hold glass samples. These are heated in a gradient kiln for a certain retention time (for example 16 hours). The glass samples in the individual recesses in the Pt-plate are thermalized at various temperatures, depending on the arrangement of the recesses. After thermalization, the glass samples are analyzed, for example with polarized light. From the measurements with polarized light in a microscope, the average size of the crystals in the recesses can then be determined and from this, the average crystal growth kinetic for every recess temperature. The transmission of the glasses is measured with the assistance of a Lambda 950 spectrophotometer, produced by Perkin-Elmer. The samples measured 30×30×10 mm. After finish grinding of the light ingress surfaces and light-emitting surfaces, the samples are polished (optical polish). The front and rear surfaces are parallel to one another and have a maximum deviation of 1°. The front and rear surfaces are moreover produced as square relative to the floor as possible. The flatness is around PV=200 nm (PV=peak-to-valley) on a 10 mm diameter. The transmission measurements are conducted at a low speed. The scanned optical range that is the wavelength range is between 250 nm and 2500 nm and was examined in 2 nm increments. The light source is a tungsten-halogen lamp. The measurements are performed in climate controlled surroundings at T=22.0±1°. The measurement precision of the transmission is at ±0.3% for wavelengths that are specified as having an accuracy of ±1 nm. A transmission of a 10 mm thick glass plate consisting of an inventive glass composition, measured as previously described, at a wavelength of 400 nm can be greater than 50%, for example greater than 70%, greater than 75%, or greater than 80%. The transmission of a 10 mm thick plate can be in the range of 75% to 90% of a 400 nm wavelength. The viscosity of the glasses is determined with the assistance of various measuring methods: In the range of 102-106.5 dPa·s the viscosity is determined with an adjusted rotational viscometer by Haake (model Viscotester 550). The process is performed according to DIN ISO 7884-2 guide lines. With this method, it is possible to measure the measurement points with a 20 K temperature interval. In the viscosity range of 107.6-109 dPa·s the glasses are examined with the fiber viscometer. This measuring process is also performed according to DIN ISO 7884-3. The temperature for which the viscosity is 106.5 dPa·s is interpolated with the assistance of the data measured with the aforementioned analytical method and the VFT approximation (Vogel-Fulcher-Tammann equation). The value for dØ/dt results from an approximation dØ/dt≈ΔØ/ΔØ(t), with ΔØ(t)=Ø(t)−Ø(t0) and Δt=t−t0. Ø(t0)=0 and t0=0 are defined. At the beginning of the crystal growth analysis the material is always glassy, that is free of crystals, that is Ø(t0)=0. The inventors surprisingly found that a crystallization tendency can be avoided if the glass is free of elements from the earth alkali metal group. In addition to the glass composition, the current invention also provides a glass plate, including such glass composition. The glass plate has a thickness of 5 μm to 50 mm, for example 0.8 mm to 20 mm, and can be produced in various methods, for example by means of the draw-method, but also by means of roll or press methods. The invention also cites a method to produce X-ray and gamma-ray shielding glass plates. Due to the low crystallization tendency, it is possible to produce the glass with an up-draw process, as well as with a down-draw process. The indicated production methods are only exemplary and are not to be understood as being restrictive in any way. Possible additional production methods are a redraw processes, a roll processes or a press processes. In the up-draw process, the glass composition is initially provided in the form of a melt. Then, a glass ribbon with a thickness in the range of 0.8 mm to 20 mm, for example 0.8 mm to 10 mm are drown upwards against gravity, whereby the glass ribbon is guided in rolls and runs through a cooling section. In the up-draw method for the production of a glass ribbon, essentially three parameters influence the draw process. These are the temperature, the density of the glass and the viscosity of the glass, and the crystallization speed. In the up-draw process, a warm ribbon of a solid glass is drawn from the melt. The pull forces must act against the viscosity of the glass and against gravitational forces. The magnitude of the gravitational force is determined by the density of the glass. If the glass is too dense, this could lead to the glass plate breaking. To compensate this, the temperature can be reduced to increase the viscosity of the glass. The draw speed can also be reduced to allow the glass ribbon more time for cooling along the thickness. The viscosity of the glass can be increased with reduced draw speed. Due to the crystallization phenomenon, it is however not always possible to reduce the temperature as much as necessary or to increase the draw dwell time. Also, the control of the crystallization is a very essential point if glasses are to be produced in accordance with the up-draw process. For a glass with a composition of: 4-6 weight-%, for example 4.29 weight-% BaO 0.1-3 weight-%, for example 0.55 weight-% K2O 0.05-2 weight-%, for example 0.06 weight-% Na2O 60-70 weight-%, for example 65.68 weight-% PbO 0.1-0.5 weight-%, for example 0.28 weight-% Sb2O2 20-35 weight-%, for example 28.12 weight-% SiO2 0.05-5 weight-%, for example 1.02 weight-% ZrO2 it was noted that this is difficult to achieve with the assistance of an up-draw process, since the crystallization tendency of these glasses is too high due to a BaO-content that, in the present embodiment is almost 5%. The crystals in this glass moreover reduce the quality of the surface and the transmission. An alternative production method with which a plate can be produced from one of the previously specified glasses with high BaO content is for example casting with subsequent cutting. Glasses produced in this manner have a transmission of greater than 75%, for example greater than 80% at a wavelength of 400 nm and a thickness of 10 mm. If glasses are free of BaO except for contaminants, such crystallization processes can be avoided, which is why an up-draw process as described above can be used. In addition to the up-draw process, wherein the glass ribbon is drawn upward from the melt against gravitational forces, it is naturally also possible to produce a glass ribbon in a down-draw process. With the down-draw process especially thin glasses can be produced. A glass that is BaO-free except for contaminants can be within the composition range of: 1-4 weight-%, for example 2.46 weight-% B2O3 0.1-3 weight-%, for example 2.52 weight-% K2O 0.05-2 weight-%, for example 1.48 weight-% Na2O 55-70 weight-%, for example 60.67 weight-% PbO 0.1-0.5 weight-%, for example 0.28 weight-% Sb2O3 20-35 weight-%, for example 31.32 weight-% SiO2 0.5-2 weight-%, for example 1.00 weight-% ZrO2 Such a glass can be drawn easily with the assistance of a down-draw process. It maoreover provides high transparency of more than 75%, for example more than 80% at a wavelength of 400 nm and a thickness of 10 mm. Corresponding reference characters indicate corresponding parts throughout the several views. The exemplifications set out herein illustrates embodiments of the invention and such exemplifications are not to be construed as limiting the scope of the invention in any manner. For two embodiments with a ZrO2 content of 1 weight-% according to the invention, the increased resistance to a weight loss due to the influence of an aqueous solution according to DIN ISO 695 is shown below in comparison with comparative examples. To demonstrate the resistance, the surface weight loss, the so-called surface ablation in mg/100 cm2 is determined by three-hour cooking in a mixture of same volume shares of a sodium hydroxide solution of 1 mol/l and sodium-carbonate solution with a concentration of 0.5 mol/l. A first composition of a glass according to the invention includes: 4.29 weight-% BaO 0.55 weight-% K2O 0.06 weight-% Na2O 65.68 weight-% PbO 0.28 weight-% Sb2O3 28.12 weight-% SiO2 1.02 weight-% ZrO2 For this, a value of 240 mg/100 cm2 surface ablation is measured according to ISO DIN 695. An alternative composition of a glass according to the invention includes: 2.46 weight-% B2O3 2.52 weight-% K2O 1.48 weight-% Na2O 60.67 weight-% PbO 0.25 weight-% Sb2O3 31.32 weight-% SiO2 1.00 weight-% ZrO2 This alternative glass has a value for the surface ablation of 291 mg/100 cm2 (according to ISO DIN 695). Because of the low crystallization tendency, the alternative glass can be drawn in the up-draw as well as in the down-draw process. Moreover, the glass according to example 1, as well as the glass according to example 2 has a high transmission of more than 75% at 400 nm wavelength and a plate thickness of 10 mm. In contrast to above glasses, the comparative glasses have a ZrO2-content of 0 weight-%. For these glasses without ZrO2, the weight loss at 591 mg/100 cm2 or 564 mg/100 cm2 respectively is almost twice as high as for the inventive glasses with a ZrO2 content of 1 weight-%. As can be seen from the comparative examples, the hydrolytic resistance is surprisingly increased by the ZrO2-content according to the invention. This is also clarified by Table 1 below, when viewing comparative examples 1 and 2. TABLE 1ComparisonComparisonWeight-%Example 1Example 1Example 2Example 2Example 3Example 4B2O302.492.462.462.071.89Al2O3000100BaO4.2900000K2O0.552.542.522.522.121.94Na2O0.061.491.481.481.241.14PbO6.6861.2960.6760.6866.9669.74Sb2O30.280.250.250.250.210.19SiO228.1231.9431.6231.6226.5624.33ZrO21.020100.840.77Σ:100100100100100100Alkaline solutionA3A3A3A3——DIN ISO 695 classResistance per DIN ISO 695240591291564——weight loss mg/100 cm2Transmission (thickness = 10 mm)0.8020.8470.8210.8250.7980.7507λ = 400 nm:Max dØ/dt μm/min. (log(η)0.250 (4.87)0.015 (7.17)— (—)— (—)0.011 (4.84)0.061 (5.41)log (dPa · s))(retention time = 16 hrs.) The lye class of the alkali resistance according to ISO 695 is stated in Table 1 for example 1 and example 2, as well as for the comparison examples, as well as the resistance according to ISO 695 against a weight loss in mg/100 cm2. As can be seen in Table 1, the weight loss for example 1 and example 2 is only half as great than that of the comparison examples. This is due to the ZrO2 content in the glass compositions. It is further shown in the Table that the transmission of a 10 mm thick plate and a wavelength of λ=400 nm is greater than 75%. In current example 2 a transmission of 0.82 is achieved. Moreover, the maximum crystallization rate dØ/dt is specified in Table 1 for the various glass compositions. The specified value specifies herein the crystallization rate in μm/min after 16 hours. Also, stated, in parenthesis after the crystallization rate is the viscosity of the melt in log(dPa·s). As can be seen in Table 1, in example 1 that contains BaO, the crystallization rate is very high at a viscosity of log η=4.87 log(dPa·s). The high crystallization rate calls for a rapid formation of crystals in a glass according to example 1, with 4.29 weight-% BaO, resulting in that the glass is difficult to produce. A glass of this type can be produced through casting, since a draw process of such glass compositions is eliminated. Also specified are examples 3 and 4 for zirconium based lead glasses according to the invention which are also characterized by a high transmission at 400 nm wavelength. Examples 2 and 3 with a lead content <67 weight-% are also specified. Glasses with a lead content <67 weight-% and a BaO-content of 0 weight-% are characterized by a very low crystallization tendency, resulting in being easy to draw and high transmission. FIG. 1 illustrates schematically a device to determine the crystallization kinetic. The device includes a plate 1 with uniformly spaced apart recesses 3. Glass samples 5 are inserted into the recesses and are thermalized at different temperatures for 16 hours in a gradient kiln, contingent upon the arrangement of recesses 5. In FIG. 1 this is identified with Phase 1. After thermalization, glass samples 5 are illuminated with the assistance of a microscope 7 with polarized light and from the measurement with the microscope the average size of the crystals is determined and from this in turn the crystal growth kinetic dØ/dt for each recess temperature. This is identified as Phase 2 in FIG. 1. The measurements are performed in a temperature range between 500° C. and 1000° C. Since in measurements in a gradient kiln can only be performed in a 200° C. wide temperature range, the temperatures are recorded gradually in 200° C. steps. FIG. 2a illustrates the crystallization kinetic subject to the viscosity for the glass with the composition from example 1, according to Table 1. The greatest crystallization kinetic dØ/dt results from approximately 0.25 μm/min. at a viscosity η of approximately equal to 105 dPa·s (log(η)=5 log(dPa·s). As can be seen in FIG. 2a, the crystallization kinetic in the viscosity range of η=105 dPa·s to 106.5 dPa·s (respectively log(η)=5 log(dPa·s) and log(η)=6.5(log(dPa·s) that is used for draw processes like the up-draw process is so high that drawing the glass composition is not possible. The reason for this is the high BaO content. In contrast to the composition according to example 1, the composition according to example 2 can be produced in a draw process. The reason for this is the presence of B2O3 in the composition according to example 2. B2O3 lowers the crystallization kinetic drastically so that the glass can be produced in a draw process. This also applies to examples 3 and 4 with a B2O3 content of 1 to 3 weight-% B2O3 whose crystallization rate is below 0.1 μm/min. The draw characteristic of the glass according to the comparison example 1 can be gathered from the crystallization kinetic dØ/dt per FIG. 2b. FIG. 2b illustrates the crystallization kinetic subject to the viscosity for the glass with the composition per comparison example 1, according to Table 1. The greatest crystallization kinetic dØ/dt results from approximately 0.015 μm/min. at a viscosity η of approximately equal to 107.17 dPa·s (log(η)=7.17 log (dPa·s). As can be seen in FIG. 2b, the crystallization kinetic in the viscosity range ofη=105 dPa·s to 106.5 dPa·s (respectively log(η)=5 log(dPa·s) and log(η)=6.5 log(dPa·s) which is used for draw processes like the up-draw process is practically at 0, so that the glass according to comparison example 1 can be easily drawn in contrast to the glass according to example 1. This is due to the fact that the glass composition is BaO-free with the exception of contaminants. This also applies to the composition according to example 2, which is why this glass can also be drawn easily. FIG. 3 illustrates a device for implementation of an up-draw process, for example for a glass composition according to comparison example 1. The melt with the inventive glass composition is identified as 100 and the draw nozzle through which the melt is drawn as 103. Refractory wall of the draw tank is identified as 105. A glass ribbon 107 is guided upwards over rolls 109 and is cooled in a cooling section. The glass ribbon thus drawn in an upward directed draw process, the so-called up-draw process has a thickness in the range of 0.8-20 mm. The glass composition according to the present invention offers a glass composition for the first time that is characterized by high hydrolytic resistance, as shown by the examples in contrast to the comparison tests. The glasses moreover have a low crystallization tendency and such crystallization kinetic that it is possible to draw such glass compositions—for example without limitation thereto—in an up-draw process into glass ribbons or glass plates having high transmission, for example greater than 75%, for example greater than 80% at 400 nm wavelength and a 10 mm thick plate. The plates produced from the inventive glass compositions moreover are characterized by a high transmission greater than 75%, for example greater than 80% at 400 nm wavelength with 10 mm thick plates. While this invention has been described with respect to at least one embodiment, the present invention can be further modified within the spirit and scope of this disclosure. This application is therefore intended to cover any variations, uses, or adaptations of the invention using its general principles. Further, this application is intended to cover such departures from the present disclosure as come within known or customary practice in the art to which this invention pertains and which fall within the limits of the appended claims. |
|
055090435 | abstract | An X-ray analysis apparatus comprises a dispersive system of crystals for monochromatizing an incoming beam in a diffractometer or for analyzing an X-ray beam in an X-ray spectrometer. The system of crystals comprises crystals whose crystal lattice planes do not extend parallel to effectively reflective crystal surfaces. As a result, a substantially higher effective radiation intensity can be obtained, for example notably for (220) crystal faces in germanium. |
052271293 | claims | 1. A process for enhancing the wear and corrosion resistance of a cladding tube for a nuclear fuel rod, comprising reactively depositing zirconium nitride on the surface of said cladding tube by a cathodic arc plasma deposition process to form a thin wear resistant coating. 2. The process of claim 1 wherein the coating comprises a thin film. 3. The process of claim 2 further comprising forming a film having a thickness in the range of approximately 3-7 microns. 4. The process of claim 1 comprising depositing the zirconium nitride on a cladding tube having a zirconium-alloy composition. 5. The process of claim 1 further comprising heating the cladding tube to a temperature in the range of approximately 300.degree. to 400.degree. C. in the presence of nitrogen in a vacuum chamber. 6. The process of claim 1 comprising flash evaporating zirconium in a vacuum chamber in the presence of nitrogen. 7. A method for enhancing the wear and corrosion resistance of a zirconium-alloy cladding tube for a nuclear fuel rod, comprising; supporting and heating the tube in a vacuum chamber that is back filled with nitrogen gas; positioning zirconium source material adjacent the tube; electrically biasing the tube as an anode and the source material as a cathode in an electric arc circuit; initiating an electric arc on the cathode surface sufficient to produce arc spots which move along the cathode and flash evaporate source material ions from the surface of the cathode toward the tube; forming zirconium nitride by a chemical reaction between the evaporated source material ions and the nitrogen gas as the source material ions move toward the tube; whereby the zirconium nitride deposits as a film on the tube. 8. The method of claim 7, wherein the arc spots have a diameter in the range of about 1-3 microns. 9. The method of claim 7, wherein the arc spots move along the cathode surface at a velocity of approximately 100 m/sec. 10. The method of claim 7, wherein the current density of the arc spots is about 10 amps per square micron. 11. The method of claim 7, wherein the tube is heated to a temperature in the range of about 300.degree. to 400.degree. C. in the presence of said nitrogen gas at a total chamber pressure of about 0.26 to 1.3 Pa. |
054917336 | abstract | The present invention provides a fuel rod for a light water nuclear reactors that comprises a part-length fuel rod and an extension tube having at least one wall member defining an enclosed flow path therethrough, the extension tube being coupled to a portion of the part-length fuel rod so as to be disposed axially above the part-length fuel rod, and including at least one inlet opening, for allowing fluid that surrounds the rod and initially comprises a two phase mixture of steam and liquid, to enter the enclosed fluid path and at least one outlet opening located above the inlet opening, the extension tube includes means for separating at least some of the steam located in the fluid from the liquid located therein. The improved fuel rod allows steam to bypass the upper active portions of the fuel assembly. A coolant diverter is positioned in a spacer of a nuclear fuel rod assembly in the large subchannel above a part length fuel rod for increasing the hydraulic resistance of the large subchannel and for separating liquid from two phase flow. |
description | The present invention relates generally to seek-scan probe (SSP) memories and in particular, but not exclusively, to SSP memories including micro-electro-mechanical (MEMS) probes having mechanisms for track correction. Seek-scan probe (SSP) memories are a type of memory that uses non-volatile storage media as the data storage mechanism and offers significant advantages in both cost and performance over conventional charge-storage memories. Typical SSP memories include storage media made of materials that can be electrically switched between two or more states having different electrical characteristics such as resistance, polarization dipole direction, or some other characteristic. SSP memories are written to by passing an electric current through the storage media or applying an electric field to the storage media. Passing a current through the storage media, or applying an electric field to the media, is typically accomplished by applying a voltage between a sharp probe tip on one side of the storage media and an electrode on the other side of the storage media. Current SSP memories use probe tips positioned on a free end of one or more MEMS probes. In an idle state each MEMS probe maintains the probe tip at a certain distance from the storage media, but before the electric field or current can be applied to the storage media the probe tip must usually be brought close to, or in some cases in direct contact with, the storage media. FIGS. 1A-1C illustrate tracking in a common SSP memory configuration. FIG. 1A illustrates an SSP memory configuration in which a cantilever probe is anchored to a substrate (the cantilever wafer), and can be actuated to contact or de-contact the storage media on a mover that carries a storage media and is positioned over the cantilever wafer. The data tracks are stored in the storage media in one of two ways, depending on how the media mover scans relative to the cantilever tips. FIG. 1B illustrates axial scanning, where data is stored in the storage media in-line with the cantilever direction, such that the mover scans in the direction parallel to a longitudinal axis of the cantilever to read/write/erase (R/W/E) each data track. FIG. 1C illustrates transverse scanning, where the media mover scans in a direction perpendicular to the longitudinal axis of the cantilever probe to R/W/E each data track; data is consequently stored in lines that are transverse to the cantilever's longitudinal axis. To maximize the amount of data that can be written in the storage media the data density should be very high, meaning that the data tracks in the storage media—whether axial or transverse—can be very close together (e.g., <20 nm). During axial or transverse media mover data scanning, the data track will drift differently due to various factors such as temperature change from environment. Furthermore, the localized temperature gradient generated by surrounding electronics can also cause significant data track drift. As a result the cantilever could perform incorrect data streaming on the wrong tacks (e.g., it could intend to R/W/E on track #1, but instead R/W/E on track #3 instead of track #1) and thus cause data errors. Since the mover can only correct the scanning globally and the cantilever can only be actuated vertically, these two elements can be used for only very limited and localized correction of track error. In a worst case, only one cantilever can be used at a time. This will drastically limit the data rate and thus result in very poor device performance. Embodiments of an apparatus, system and process for track correction in seek-scan probe (SSP) memories are described herein. In the following description, numerous specific details are described to provide a thorough understanding of embodiments of the invention. One skilled in the relevant art will recognize, however, that the invention can be practiced without one or more of the specific details, or with other methods, components, materials, etc. In other instances, well-known structures, materials, or operations are not shown or described in detail but are nonetheless encompassed within the scope of the invention. Reference throughout this specification to “one embodiment” or “an embodiment” means that a particular feature, structure, or characteristic described in connection with the embodiment is included in at least one embodiment of the present invention. Thus, appearances of the phrases “in one embodiment” or “in an embodiment” in this specification do not necessarily all refer to the same embodiment. Furthermore, the particular features, structures, or characteristics may be combined in any suitable manner in one or more embodiments. FIGS. 2A and 2B together illustrate an embodiment of a MEMS probe 200 capable of unidirectional axial track correction in an SSP memory. MEMS probe 200 includes a pair of anchors 202 that are formed on (i.e., surface micromachined) or formed in (i.e., bulk micromachined) a substrate or wafer 201. A suspension, in this case made up of four beams 204, is coupled to anchors 202 and to a movable platform 206, such that movable platform 206 is suspended above the surface of substrate 201. A cantilever beam 212/214 is coupled to movable platform 206 and includes a proximal end coupled to movable platform 206 and a free distal end 214 with a tip thereon for reading, writing and erasing in a storage media carried on a media mover (see, e.g., FIGS. 1A-1C). A longitudinal axis 220 of probe 200 is parallel to the centerline of cantilever beam 212/214. Actuation electrode 216 is formed on substrate 201 and exerts a force on cantilever 212 that drives distal end 214 toward and/or away from (in this case, in the +z and −z directions) a media mover that carries the storage media. Similarly, platform actuation electrodes 210 are formed on substrate 201 and exert a force on movable platform 206 that moves the platform in the −x direction, as shown by arrow 218. Anchors 202 can be formed on substrate 201 using standard micromachining techniques such as photolithographic patterning and etching. Examples of materials that can be used for anchors 202 include polysilicon, single-crystal silicon, silicon germanium (SixGey), other materials not listed here, or combinations of materials. Each suspension beams 204 is coupled at one end to an anchor 202 and at its other end to movable platform 206. Since the embodiment shown is designed to provide axial track correction for transverse scanning of the media mover (see, e.g., FIG. 1C), suspension beams 204 are designed to have a relatively compliant spring constant in the x direction (e.g., kx=10 N/m) but stiff spring constants in the y and z directions (e.g., ky>>40 N/m and kz≧40 N/m). Beams with a compliant kx allow movable platform 206 to move in an axial direction (e.g., in the −x direction) with adequate displacement (>100 nm) and reasonable low voltage (<40V) for axial track correction in an SSP memory with a transverse-scanning (+y/−y direction) media mover. Suspension beams with stiff ky and kz ensure stable support to the cantilever beam 212/214. The kz of the movable platform (>40 N/m in one embodiment) is also significantly stiffer than the cantilever's spring constant (kz<0.1 N/m in one embodiment), so that the tip contact force or the cantilever vertical actuation force will not deform the movable platform. As the media mover scans in transverse direction (+y/−y direction), the stiff ky of the suspension beams also provide strong support to the cantilever against tip contact friction. As a result, the cantilever will encounter no significant load difference as compared to fully substrate-anchored cantilevers. To achieve relative compliant kx and stiff kz, thick (e.g., >2 um) and narrow (e.g., 1 um wide) suspension beams can be used; a stiff ky can also be achieved with proper suspension beam design. Suspension beams 204 can be formed using standard micromachining techniques such as photolithographic patterning and etching. In different embodiments, anchors 202 and formed separately from beams 204 or can be integrally formed with beams 204. Moreover, anchors 202 can be made of the same or different materials as beams 204. Although the illustrated embodiment shows all beams 204 having the same shape and size, in other embodiments all the beams need not have the same shape and/or size, but can instead be individually tailored to provide the required track correction. Examples of materials that can be used for beams 204 include polysilicon, single-crystal silicon, silicon germanium (SixGey), other materials not listed here, or combinations of materials. Movable platform 206 is coupled to the ends of suspension beams 204 opposite anchors 202, such that movable platform 206 is suspended above the substrate. Movable platform 206 includes a pair of openings 208 that are positioned over platform actuation electrodes 210. A platform actuation electrode 210 is also positioned outside and along an edge of movable platform 206. Movable platform 206 can be formed using standard micromachining techniques such as photolithographic patterning and etching. Although shown with two openings, other embodiments of movable platform 206 can include a greater or lesser number of openings, and the openings need not have the size, shape or orientation shown; still other embodiments can include combinations of openings in different sizes, shapes and orientations. In different embodiments, movable platform 206 can be formed separately from beams 204 or can be integrally formed with beams 204. Moreover, movable platform 202 can be made of the same or different materials as beams 204. Examples of materials that can be used for movable platform 206 include polysilicon, single-crystal silicon, silicon germanium (SixGey), other materials not listed here, or combinations of materials. The illustrated embodiment uses a surface vernier drive to move the movable platform 206, so that platform actuation electrodes 210 are positioned under openings 208 in movable platform 206. In the configuration shown, one electrode 210 is positioned near movable platform 206 and under each opening 208, although in other configurations there can be more than one electrode under each opening or there can be openings under which there is no electrodes. As described above, the number of openings 208 in movable platform 206 can vary, and the number of platform actuation electrodes 210 can vary correspondingly. Platform actuation electrodes 210 can be made of an electrically conductive material; examples include metals, metal alloys, conductive non-metals or semiconductors such as polysilicon, single-crystal silicon, silicon germanium (SixGey), other materials not listed here, or combinations of materials. Cantilever beam 212/214 has a proximal end coupled to movable platform 206 and a distal end 214 designed to be actuated in a vertical direction (i.e., in the +z or −z) direction. In the embodiment shown, cantilever beam 212 includes two separate beams 212, both of which are coupled to movable platform 206, and distal end 214 to which both beams 212 are also coupled. To make the cantilever beam actuatable in the z direction so that it can come close to or contact the storage media on the media mover (see, e.g., FIGS. 1A-1C), it is designed to be very compliant in z direction. The value of the spring constant kz in the z direction for the cantilever is substantially smaller (e.g., kz<0.1 N/m in one embodiment) that the value of kz for movable platform 206 (e.g., kz≧40 N/m in one embodiment), so that the tip contact force or the cantilever vertical actuation force will not deform the movable platform. In other embodiments, cantilever beam 212/214 can, of course, have a different construction. Examples of materials that can be used for beams 204 include polysilicon, single-crystal silicon, silicon germanium (SixGey), other materials not listed here, or combinations of materials. As with other elements of MEMS probe 200, cantilever beam 212/214 can be formed using standard micromachining techniques. Actuation electrode 216 is formed on substrate 201 such that it is positioned between the substrate and cantilever beam 212/214. Actuation electrode 216 is formed on the substrate using standard micromachining techniques such as patterning and etching, and the exact size and thickness of actuation electrode 216 will depend on the desired gap between actuation electrode 216 and cantilever 212/214, the voltage to be applied to the actuation electrode, the stiffness of cantilever 212/214 and so forth. Actuation electrode 216 can be made of an electrically conductive material; examples include metals, metal alloys, conductive non-metals or semiconductors such as polysilicon, single-crystal silicon, silicon germanium (SixGey), other materials not listed here, or combinations of materials. FIG. 2B illustrates the operation of the surface vernier drive used to move movable platform 206 for track correction. Other embodiments can, of course, use different types of actuators to move movable platform 206, such as parallel-plate drives (see, e.g., FIGS. 3A & 3B), comb drives (see, e.g., FIG. 5B), or other types of actuators not listed or shown here. Platform actuation electrodes 210 are formed on substrate 201, and platform 206 is suspended above the substrate, such that there is a distance d in the z direction between the platform actuation electrodes and the bottom surface of movable platform 206. The force exerted on movable platform 206 by each actuation electrode depends on the voltage applied to the actuation electrode and the separation d. One 25 μm long surface vernier actuation electrode produces less force at 30V with 0.5 μm gap compared to parallel-plate and comb-finger actuation, but the surface vernier actuation requires less lateral actuation area for structure configuration, more parallel actuation electrodes can thus be designed with same space to compensate smaller force produced by each actuation electrode set. Similar to parallel-plate and comb finger actuation configurations, many actuation electrodes can be designed in parallel to increase the total force exerted on the movable platform, depending on the required force and the available design area. FIGS. 3A and 3B together illustrate an alternative embodiment of a MEMS probe 300 that includes bi-directional axial track correction for use with transverse media mover scanning (see, e.g., FIG. 1C). Bi-directional track correction can substantially increase track correction coverage. MEMS probe 300 uses a parallel-plate drive to create bi-directional tracking motion of the movable platform. MEMS probe 300 includes a pair of anchors 302 that are formed on (i.e., surface micromachined) or formed in (i.e., bulk micromachined) a substrate or wafer 301. A suspension, in this case made up of a pair of beams 304, is coupled to anchors 302 and to a movable platform 306 having a crossbar 305, such that movable platform 306 is suspended above the surface of substrate 301. A cantilever beam 312/314 is coupled to movable platform 306 and includes a proximal end coupled to movable platform 306 and a distal end 314 with a tip thereon for reading, writing and erasing in a storage media carried on a media mover (see FIGS. 1A-1C). Actuation electrode 316 is formed on substrate 301 and exerts a force on cantilever 312 that drives distal end 314 toward and/or away from (in this case, the +z and −z directions) the storage media. Similarly, platform actuation electrodes 310 are formed on substrate 301 and exert a force on movable platform 306 that moves the platform in the +x and −x directions, as shown by arrow 318. The elements of MEMS probe 300 can be made using the materials and processes listed above for the analogous elements of probe 200, as well as others not listed. Similarly, the different elements can have different shapes, sizes, proportions, etc, and can be built separately or integrally. FIG. 3B illustrates the operation of the parallel-plate drive used to move movable platform 306. In MEMS probe 200, actuation electrodes 210 positioned relative to the movable platform to produce unidirectional axial track correction—that is, the movable platform is actuated in one direction only. By contrast, in MEMS probe 300 the platform actuation electrodes can be arranged so that the movable platform can move bi-directionally for axial track correction. A parallel-plate actuation drive is shown in this case. Platform actuation electrodes 310 and 311 are positioned in openings 308 such that they are on both sides of crossbar 305 of movable platform 306. When a voltage is applied to the electrode 310 movable platform 306 will be pulled to the right (i.e., +x direction with compliant kx). Conversely, when a voltage is applied to the electrode 311, movable platform 306 will be pulled to the left (i.e., −x direction with compliant kx). The magnitude of force applied by platform actuation electrodes 310 on movable platform 306 depends on the voltage applied to the electrodes and on the distances d and g. An actuation electrode 25 μm long and 2 μm high actuation electrode can produce ˜0.75 μN force at 30V with 0.5 μm gap. With two or more platform actuation electrodes the device can produce >1.5 μN of force at 30V, which can displace the movable platform by >150 nm with the suspension spring kx of 10 N/m. This displacement is capable of covering five to ten tracks correction. When a stiffer kx is used for beams 304, more actuation electrodes can be design to produce even more electrostatic force to achieve desired displacement. FIGS. 4A and 4B together illustrate an alternative embodiment of a MEMS probe 400 that includes unidirectional transverse track correction for an SSP memory with axial scanning (see FIG. 1B). MEMS probe. 400 uses a parallel-plate drive to create bi-directional tracking motion of the movable platform. MEMS probe 400 includes a pair of anchors 402 that are formed on (i.e., surface micromachined) or formed in (i.e., bulk micromachined) a substrate or wafer 401. A suspension, in this case made up of a pair of beams 404, is coupled to anchors 402 and to a movable platform 406, such that movable platform 406 is suspended above the surface of substrate 401. Movable platform 406 includes a forked end 408, and a torsion beam 412 coupled to both branches of forked end 408 and suspended between them. A cantilever beam 414 includes a proximal end coupled to torsion beam 412 and a distal end with a tip thereon for reading, writing and erasing in a storage media carried on a media mover (see FIGS. 1A-1C). Actuation electrode 416 is formed on substrate 401 and exerts a force on cantilever beam 414 that drives its distal end toward and/or away from (in this case, the +z and −z directions) the storage media. The embodiment shown is configured with a parallel-plate type actuator, so that platform actuation electrodes 410 are formed on substrate 401 and exert a force on movable platform 406 that moves the platform in the +y direction, as shown by arrow 418. In other embodiments different actuators, such as surface vernier drives (see FIGS. 2A-2B) or comb drives (see FIG. 5B), can be used. Since the embodiment shown is designed to provide transverse track correction for axial scanning of the media mover (see, e.g., FIG. 1B), suspension beams 404 are designed to have a relatively compliant spring constant in the y direction (e.g., ky=10 N/m) but stiff spring constants in the x and z directions (e.g., k×>>40 N/m and kz≧40 N/m). Beams with a compliant ky allow movable platform 406 to move in a transverse direction (e.g., in the +y direction) for axial track correction in an SSP memory with a axial-scanning (+x/−x direction) media mover. The elements of MEMS probe 400 can be made using the materials and processes listed above for the analogous elements of probe 200, as well as others not listed. Similarly, the different elements can have different shapes, sizes, proportions, etc, and can be built separately or integrally. FIGS. 5A and 5B together illustrate an alternative embodiment of a MEMS probe 500 that includes bi-directional transverse track correction that can be used in an SSP memory with axial scanning. MEMS probe 500 uses a parallel-plate drive to create bi-directional tracking motion of the movable platform. MEMS probe 500 includes a pair of anchors 502 that are formed on (i.e., surface micromachined) or formed in (i.e., bulk micromachined) a substrate or wafer 501. A suspension, in this case made up of a pair of beams 504, is coupled to anchors 502 and to a movable platform 506/508 that includes platform 508 and edge combs 506, such that movable platform 506 is suspended above the surface of substrate 501. A cantilever beam 512/514 includes a proximal end with two beams 512 coupled to platform 508 and a distal end with a tip 514 thereon for reading, writing and erasing in a storage media carried on a media mover (see FIGS. 1A-1C). Actuation electrode 516 is formed on substrate 501 and exerts a force on cantilever beam 512/514 that drives distal end 514 toward and/or away from (in this case, the +z and −z directions) the storage media. The embodiment shown is configured with a comb drive actuator, so that platform actuation electrodes 510 and 511 are formed on substrate 501 and exert a force on movable platform 508 through edge combs 506. The elements of MEMS probe 500 can be made using the materials and processes listed above for the analogous elements of probe 200, as well as others not listed. Similarly, the different elements can have different shapes, sizes, proportions, etc, and can be built separately or integrally. FIG. 5B illustrates the details of the bi-directional comb drive used in MEMS probe 500. In other embodiments different actuators, such as surface vernier drives (see FIGS. 2A-2B) or comb drives (see FIG. 5B), can be used. Platform actuation electrodes 510 and 511 are formed on substrate 501 and when activated exert a force on movable platform 508 through edge combs 506. When a voltage is applied to the electrode 510 movable platform 506/508 will be pulled upward (i.e., +y direction with compliant ky). Conversely, when a voltage is applied to the electrode 511, movable platform 506/508 will be pulled downward (i.e., −y direction with compliant ky). The magnitude of force applied by platform actuation electrodes 510 on movable platform 506/508 depends on the voltage applied to the electrodes and on the electrode separation gap g and the comb finger gaps gf. One 25 μm long actuation electrode can produce force of ˜0.5 μN at 40V with 0.8 μm lateral gap and 0.3 μm finger gap. When the actuation lateral gap is smaller, the electrode force increases drastically due to contribution from parallel-plate part of the comb finger electrode. In an embodiment with two or more actuation electrodes, the device can produce >1.6 μN of force at 40V, which can displace movable platform 506/508 by >180 nm. As a result, the comb finger driver is capable of achieving lateral correction of >200 nm with finger gap gf<0.3 um with the same electrode thickness and voltage as parallel-plate drive. FIG. 6 illustrates an embodiment of a system 600 that includes a seek-scan probe (SSP) memory using one or more of MEMS probes 200, 400, 400 or 500. System 600 includes a processor 602 to which are coupled a memory 606 and an SSP memory 604. Processor 602, in addition to being coupled to memories 604 and 604, has an input and an output through which it can receive data and send data, respectively. In one embodiment processor 602 can be a traditional general-purpose microprocessor, although in other embodiments processor 602 can be another type of processor, such as a programmable controller or an application-specific integrated circuit (ASIC). Memory 606 can be any type of volatile or non-volatile memory or storage. Volatile memories that can be used in different embodiments of memory 606 include random access memory (RAM), dynamic random access memory (DRAM), synchronous random access memory (SRAM) and synchronous dynamic random access memory (SDRAM), erasable programmable read only memory (EPROM), electrically erasable programmable read only memory (EEPROM), and the like. Non-volatile memories that can be used in different embodiments of memory 606 include magnetic and optical disk drives. SSP memory 604 can, in different embodiments, be a memory that includes one or tracking correction MEMS probes 200, 300, 400 or 500. In operation of system 600, processor 602 can receive and send data through its input and output, and can both read and write data to both the memory 606 and the SSP memory 604. Through appropriate software, processor 602 can control the reading, writing and erasure of data in SSP memory 604 by selectively changing the relevant media property (phase change, electric dipole formation, etc) of the storage media used in the SSP memory. The above description of illustrated embodiments of the invention, including what is described in the abstract, is not intended to be exhaustive or to limit the invention to the precise forms disclosed. While specific embodiments of, and examples for, the invention are described herein for illustrative purposes, various equivalent modifications are possible within the scope of the invention, as those skilled in the relevant art will recognize. These modifications can be made to the invention in light of the above detailed description. The terms used in the following claims should not be construed to limit the invention to the specific embodiments disclosed in the specification and the claims. Rather, the scope of the invention is to be determined entirely by the following claims, which are to be construed in accordance with established doctrines of claim interpretation. |
|
060884207 | claims | 1. A reactor core comprising: a plurality of fuel assemblies; and a plurality of control elements disposed between said fuel assemblies and having blades defining gaps between said fuel assemblies, wherein: a support pad at an upper portion of said channel box arranged to mutually support fuel assemblies adjacent to each other in a transverse direction. a grid-shaped spacer arranged to hold said fuel rods in said bundle in a triangular shape. a grid; and a spring mechanism attached to the grid and arranged to prevent vibration of the fuel rods. each of said fuel assemblies comprises a fuel spacer in said channel box arranged to hold said fuel rods, said fuel rods have a fuel cladding tube filled with a fuel material, and at least one of said channel box and said fuel cladding tube comprises a stainless steel. 2. A reactor core according to claim 1, wherein said fuel assemblies have an arrangement pitch of about 300 mm or more. 3. A reactor core according to claim 1, wherein each of said fuel assemblies further comprises: 4. A reactor core according to claim 1, wherein each of said fuel assemblies further comprises: 5. A reactor core according to claim 4, wherein said grid-shaped spacer comprises: 6. A reactor core according to claim 5, wherein said spring mechanism and said grid are integral with each other. 7. A reactor core according to claim 4, wherein said grid-shaped spacer comprises a stainless steel or inconell. 8. A reactor core according to claim 1, wherein: 9. A reactor core according to claim 8, wherein said fuel material comprises plutonium and recovery uranium. 10. A reactor core according to claim 1, wherein said fuel assemblies comprise at least a first fuel assembly with a first exothermic portion length and a second fuel assembly with a second exothermic portion length, wherein said second exothermic portion length is shorter than said first exothermic portion length. 11. A reactor core according to claim 10, wherein said first exothermic portion length is 2 m or less and said second exothermic portion length is 1 m or less. 12. A reactor core according to claim 1, wherein said fuel rods form a triangular shape. 13. A reactor core according to claim 1, wherein said channel box has a rectangular cross-section with four sides and an outer protrusion on each of said four sides. 14. A reactor core according to claim 1, wherein said channel box has a rectangular cross-section with four sides and an outer protrusion at the center of each of said four sides. |
056217765 | description | DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS The reactor protection system of the invention will be described in detail hereinbelow in terms of application to an exemplary nuclear reactor (namely, a liquid metal-cooled breeder reactor). However, it should be noted that the concept is not limited to breeder reactors but is also applicable to light water reactors, gas-cooled reactors, etc. The invention also provides a highly reliable, fault-tolerant safety system which can be employed with any process or system where critical parameters are monitored for the initiation of a safety action. Referring to FIG. 1, the reactor protection system (RPS) in accordance with the present invention is a four-division system, with quad redundant sensors 2 for each scram (safe shutdown--also called "trip") parameter providing input to four independent microprocessor-based electronic chassis 4. The electronic chassis perform software divisional data processing based upon information from all four sensors and send the divisional scram signals to a hardware logic panel 6. To increase system availability and reduce false scrams, the RPS employs two levels of voting on a need for reactor scram: a software 2/3 with spare voting on the need for scram from the sensed data, followed by a 2/4 division hardware logic vote on the execution of a scram command. Each RPS division acquires the scram parameter data from its own sensor, digitizes the information, then transmits the sensor reading to the other three RPS divisions. Each electronics chassis 4 is coupled through dedicated optical fibers 8 to the other three so that each chassis sees and makes a divisional scram decision based on data from all sensors. Each division evaluates the data from the other three divisions (e.g., division A processes the data from divisions B, C and D), while holding its own data as "spare". For example: division A evaluates B, C and D data and votes 2/3 for scram; division B evaluates A, C and D data and votes 2/3 for scram; division C evaluates A, B and D data and votes 2/3 for scram; and division D evaluates A, B and C data and votes 2/3 for scram. If data are invalid or missing from any of the other divisions, the processing division automatically substitutes its own sensor reading. A 2/3 software vote is taken on the need for scram by each division. If a scram is called for, each division outputs a scram command to its own 2/4 hardware logic relays. If all cross communications between divisions for the exchange of data should fail, each division independently evaluates the need for scram based on its own sensor readings. The hardware logic continues to require 2/4 divisions to call for scram before the scram sequence is begun. This failure mode (no cross communications) means the RPS is performing like a conventional quad redundant, protection system. The automatic substitution of a division's own sensor data, in the event of invalid or missing data from the other divisions, means that no bypassing is required for divisional testing, calibration, servicing, maintenance, repair or replacement. With one division "off line", a "failsafe" scram command is issued by that division to the 2/4 hardware logic (a "half scram" condition for the conventional protection system). However, with the RPS of the present invention, the "half scram" is shielded from inadvertent scram action by each operating division's software logic requiring 2/3 sensor readings to indicate a need for scram before sending a scram command to the hardware logic. The reactor parameters used by the RPS for scram are neutron flux, core inlet and outlet temperature, primary flow (calculated from core inlet sodium and cover gas pressure sensors), and sodium level in the reactor. In addition, the RPS scram parameters include secondary sodium (intermediate loop) pressure and containment radiation level and pressure. All design basis events for which scram is required (such as transient overpower, loss of primary flow, IHX rupture, vessel leak, excessive pressure in the secondary from a steam generator sodium-water reaction) are sensed through deviations in these parameters. The neutron flux is measured by monitors located within conduits at the reactor bottom A (see FIG. 4). Core inlet temperature is measured by thermocouples located in the discharge plenum of each pump C. Core outlet temperature is measured by thermocouples located approximately 5 ft above the core to obtain the mixed mean outlet temperature rather than the outlet temperature of a single assembly D. Primary flow is derived from measurements by pressure sensors located in the discharge plenum of each pump C and in the cover gas region E. Primary sodium level is measured by conventional level sensors inside the reactor G. Secondary sodium pressure is measured by pressure sensors in the secondary pipes outside the reactor but close to the IHTS valves F. All sensors are located within instrument thimbles or conduits for easy servicing and replacement. Quad redundancy is maintained for each RPS trip parameter. The general location of each RPS sensor is shown in FIG. 4. These sensors are as follows: A) neutron flux; B) sodium leakage (into the space between the reactor vessel 101 and the containment vessel 105); C) core inlet temperature, EM pump pressure, TSS pump temperature; D) core outlet temperature; E) cover gas pressure; F) IHTS pressure; G) sodium level (level probe 134); H) carriage bottomed switches; I) rod stop position; J) carriage position; K) upper containment radiation; L) CVIS effluent radiation; M) RVACS exit temperature; N) RVACS mass flow; O) RVACS effluent radiation; P) ambient air temperature; and Q) containment pressure. All penetrations for in-vessel sensors and actuators are made through the reactor head. There are no penetrations in the reactor vessel walls. The sensor and actuator cables come out through penetrations in the domed containment to the RPS electronics located in the RPS vaults. There are no RPS electronics in the reactor or containment. In addition to having scram action based upon the direct measurement of parameters, the RPS also uses these data in calculations (ratios, rate of change, event counting, correlations, time between events, levels, percentages, etc.) as the basis for scram action. The RPS minimizes the need for human intervention by having three distinct modes of automatic operation: (1) Shutdown/Maintenance--reactor shutdown, not operating; (2) Startup/Operate--normal reactor operation; and (3) Scram--reactor emergency shutdown. To minimize human error and increase safety, in each mode of operation, the operator input to the RPS is well defined and limited. In the Shutdown/Maintenance mode, normal reactor maintenance, refueling, testing and calibration activities can occur. The RPS responds to operator requests to permit the necessary maintenance activities. The RPS does not permit actions that could lead to reactor power operation. When reactor power operation is desired, the operator must request a mode change to the Startup/Operate mode. In response to an operator input request to change to the Startup/Operate mode, the RPS first satisfies itself that all monitored parameters and its own operation are nominal. Part of the RPS startup checking includes a comparison of all RPS software (including setpoints) with a fifth software file, independently maintained by the control room reactor operators. This comparison is made by the plant control system (PCS) with the RPS awaiting a positive response before continuing with the mode change. If there is even a one-bit error, the RPS will not transition to the Startup/Operate mode until the discrepancies have been resolved. The RPS then facilitates reactor startup and operation. In the Startup/Operate mode, the RPS only responds to two operator input requests: SCRAM or Return to the Shutdown/Maintenance mode. A SCRAM request causes the RPS to interrupt its current data processing, etc. and immediately begin the reactor scram sequence. A request to return to the Shutdown/Maintenance mode is only executed if the control elements have been placed in their fully inserted position (minimum reactor power) as for shutdown, refueling and or maintenance. If the control elements cannot be fully inserted, a SCRAM request must be issued for the protection system to initiate a scram sequence and shut the reactor down. If the RPS executes a scram sequence automatically or in response to an operator input request, the RPS enters the Scram mode. In this mode, the reactor is fully shut down and, presumably, cooling. The only recovery from the Scram mode is a manually input request to return to the Shutdown/Maintenance mode. This satisfies a "Deliberate operator action to return the safety systems to normal" requirement and begins recovery from scram. Normal transitions are made from the Shutdown/Maintenance mode to the Startup/Operate mode and from the Startup/Operate mode to the Shutdown/Maintenance mode. In the event of a reactor trip, the RPS will automatically go into the Scram mode where the only request recognized will be a manually input request to change to the Shutdown/Maintenance mode for post-scram analysis, testing, repair (if necessary), refueling, etc. The RPS and all sensor inputs must be nominal and operating correctly before the RPS will honor an operator input request to change from the Shutdown/Maintenance mode to the Startup/Operate mode and permit the PCS access to the control rods for startup. The RPS is an independent system interlocking the PCS. By design, the RPS must be operational before the PCS can operate. A request for a normal change from the Startup/Operate mode to the Shutdown/Maintenance mode will be honored only if all control rod carriages are "bottomed" and the reactor is at shutdown power. A transition from the Startup/Operate mode to the Scram mode can be made at any time by manually initiating a scram. A manual scram may be started from any of several locations. Referring to FIG. 2, manual scram may be started by simultaneously pressing two dedicated safety system SCRAM buttons that bypass all electronics. An electronic scram is automatically invoked as a backup action to the manual command. Scram buttons are located at the operator's console 72 in the main control room (MCR) 74 or the console 76 in the remote shutdown facility (RSF) 78, and at the control panel for each division of the RPS. Alternatively, manual scram may be started by requesting the RPS to initiate a scram sequence by typing an appropriate command on a computer keyboard communicating with the RPS. The keyboard may be at the operator's console in either the MCR or the RSF, at the control panel for each division of the RPS in the RPS instrumentation vaults 82 or at the control panel for each division of the PCS in the PCS instrumentation vaults 84. The vaults are supported on a seismically isolated reactor base mat. The RPS of the present invention is divided into four identical divisions, each located within its own seismically isolated instrument vault 82 adjacent to the reactor upper containment area 114 (see FIG. 4). Each division is provided with its own sensor for each measurement parameter. Thus, there are four identical sensors for each monitored parameter. As seen in FIG. 3, a division consists of a multiplexer 200 connected to a sensor 2 and a reference voltage 201. The selected voltage is then amplified by a controlled gain amplifier 202 and filtered by a bandwidth adjustable filter 204. The filtered signal is sampled by sample and hold circuit 206 and digitized by analog-to-digital converter 210. The sensor verification flag is set by the sensor verification circuit 208. The digitized parameter value and its associated sensor verification flag are stored in buffer memory 212. Digital signals are input at this point 214. Digital inputs include the hardware logic diagnostics, carriage bottomed switches, valve position sensing switches, rotating plug seated and locked interlocking switches, "card out of file" sensors, etc. The data in buffer memory 218 is then communicated to the other divisions, to the data handling and transmission system (DHTS) and to the RSF via data exchange output 220. Conversely, data from the other divisions, from the DHTS and from the RSF is received via exchanged data input 222 and stored in buffer memory 224. The central processing (software logic) unit then evaluates the data values and flags (step 226), processes the data if necessary (step 228), inputs the new data into the limited historical data file 230, tests the parameter value against the set point retrieved from read only memory 234, performs the 2/3 with spare vote (step 236), and then outputs a scram command (step 238), if required, to the 2/4 hardware logic 6, which also receives trips from other RPS divisions and manual scrams from the MCR, RSF or RPS. In addition, the vote results, scram command and parameter values are output to a display processor 240, which converts the parameter values into engineering units. This information is then displayed on local display 244. The display processor also receives data from other RPS divisions via optical fiber 239 and data input by the operator via keyboard 242. In response to at least two trips, the 2/4 hardware logic changes state and, depending on whether the safety actuator 36 is to be turned on or turned off, either opens or breaks the connection between the safety actuator and its power supply circuit 38. In the example shown in FIG. 5, hardware logic 6 controls the supply of power from dual uninterruptible battery-backed power supplies 38 to the control rod latch coils 102 of a liquid metal reactor. The four divisions of the RPS operate asynchronously, in parallel (with inter-divisional data exchange) as a single fault-tolerant system. The four divisions share their sensor data via inter-divisional optical fiber cables. Two levels of voting (software followed by hardware) are employed to reduce spurious scrams, eliminate the need for bypassing and maintain a high reliability for initiation of a safe shutdown sequence when needed and provide a high protected system availability by preventing spurious scrams. Each division votes 2 out of 3 on the data from the other three divisions (with each division holding its own sensor data as spare) to determine whether scram should occur. Each division's output goes to trip breakers in the actuator power circuit arranged to provide a hard-wired 2 out of 4, failsafe logic for each RPS actuation. The 2 out of 3 with spare software voting in each division is accomplished by fully qualified software. The 2 out of 4 inter-divisional voting for safe shutdown is accomplished by hard-wired logic utilizing optically isolated relays, contactors, or breakers. As used in the claims, the term "breakers" encompasses relays, contactors or breakers. A division holds its own sensor's data as spare while processing the data obtained from the other three divisions. If any data is missing or fails to verify or validate, the division will automatically substitute its own sensor reading. If a division's own sensor data is also faulty, the division will evaluate the need for scram based upon the remaining two good communicated sensor readings. A division will evaluate the four sensor readings to assure "sameness" then continue to process the information and vote 2 out of 3 on the need for a trip. If a trip is called for, the division will actuate its trip breakers in the 2 out of 4 hard-wired logic network. With this logic, one division may fail or be taken out for service and returned at any time without causing a trip or requiring a bypass. Two sensors for a safety parameter are still required to indicate the need before a scram command will be issued even if one division is not operating. The off-line division will automatically be accepted back on-line without the need for any special procedures or software. The use of inter-divisional communication to transfer data and permit a 2/3 software vote for scram in each division provides the RPS design with a significant availability improvement over conventional RPS designs. For conventional designs, each division reads only its own sensor and gives a divisional scram on the basis of 1/1 logic. Thus, if one division is down and the hardware logic is in a half scram state, the conventional protection system will result in a scram if any one of the other sensors indicates scram or fail (making it prone to inadvertent scrams), whereas the RPS still requires two of the remaining good sensors to indicate scram before it issues a trip command. The improved resistance to inadvertent scrams permits one division of the RPS to be taken off-line automatically for periodic end-to-end self testing and calibration, service or replacement. There is no need for any manual switching or bypassing. This is because even if one division is taken off-line, the other divisions retain their 2/3 sensor scram software logic such that it still takes two sensor readings exceeding the scram set point to result in the execution of a scram. A division may be taken off-line for service at any time without the need for a bypass, without fear of an inadvertent scram, without any reduction in the protection offered, and without the need for any special consideration to bring the division back on-line. The elimination of manual bypasses reduces the incidence of inadvertent scrams due to operator error. In the presence of two failed sensors for a given parameter, the RPS will modify its software to a 1/2 logic and issue a trip command if either of the two remaining good sensors indicates the need for a trip. A description of key features of the RPS design in accordance with the invention is given below. Input Data Processing Each division of the RPS electronics receives sensor inputs either by direct analog or digital connection or through a sensor signal conditioning device. There is no data bus between the RPS electronics and the sensors. All division sensor inputs appear continuously on that division's input cards and are read in by commands from the division's central processing unit (CPU). Referring to FIG. 3, the input data processing for each trip sensor consists of the following steps: 1) Read input data from the first RPS sensor 2. Analog inputs are amplified by an analog amplifier 202 (gain is set to accommodate individual sensor calibrations and appropriate safety set points for sensor signal voltage normalization) and passed through an anti-aliasing filter 204 (to reduce noise). All sensor inputs are processed as a normalized voltage. Conversion to engineering units is only done for the man-machine interface, not for the protection system calculation. Processing the sensor readings as a normalized voltage simplifies and reduces the algorithms necessary for data evaluation. PA1 2) Sample and hold (block 206 in FIG. 3) the normalized sensor signal voltage value. [It is this voltage value that is used both for A/D conversion and for sensor verification.] PA1 3) Perform analog-to-digital (A/D) conversion (block 210) on input reading. PA1 4) In parallel with the A/D conversion, the sampled analog voltage reading is averaged with the past few readings and compared to predetermined sensor reasonability limits for indication of sensor failures (block 208). Bad sensors are flagged by exception so that a "sensor verification" flag is set for good sensors and not set for bad sensors. PA1 5) Form a data word which includes the sensed parameter identifier, sensor identification, reading, verification flag, validation flag, time tag; then store that data word in a buffer memory. PA1 6) Steps 1-4 are repeated for the next polled sensor in that division, so that after one polling cycle, a data word for each of the division's polled sensors is stored in a data buffer. PA1 7) The digitized sensor data for all input readings taken during the polling cycle, along with the verification flags and the sensor identification and data time tags, are now ready to be shared with other divisions. Prior to sending to other divisions, a processing division identifier is also added to the data word. PA1 (1) Interactive quad redundancy, including: four sensors per sensed variable, four independent divisions of electronics (typically separated into four individual instrument vaults), and four pairs of solid-state trip relays in each hardware output logic. Each division actively uses the other divisions' sensor readings in determining the need for trip. PA1 (2) Controlled gain amplifiers wherein the gain setting is based on the sensor calibration factors, the sensed parameter safety setpoints, and the system voltage normalization requirements. PA1 (3) Solid-state electronics, microprocessors, logic circuits, solid-state relays and load drivers, and fiber optic and hardwire signal transmission routes. PA1 (4) Asynchronous sensor data exchange and evaluation of all sensor data by each RPS division. No file server (master/slave) or other common point of failure. Asynchronous operation assures independence of action by each of the four RPS divisions. PA1 (5) Automatic spare data substitution for missing or faulty data values. Each division evaluates the other division's data, holding its own sensor readings as spare. Decisions are made based upon a 2-out-of-3 software logic. The division's own sensor value is automatically substituted for any non-verified, non-validated, missing or corrupted readings and the 2-out-of-3 logic processing continues. No manual actions are necessary to accommodate a missing or erroneous signal or to return to normal upon correction of an off-normal condition. PA1 (6) Intelligent data processing and evaluation beyond simple logic. The RPS is capable of evaluating like data between the divisions and of using selected data for trips based upon ratios between parameters, rate of change of a parameter, accounting specific events, averaging, and other computations as required. PA1 (7) Data are processed as a normalized voltage value rather than being converted to engineering units, which requires a different processing algorithm for each parameter. Conversion to engineering units is done only for the Man-Machine Interface (MMI) as an off-line process. The MMI is not involved in the determination of the need for scram and performs on a non-interference basis. PA1 (8) Only one trip set point for all parameters. Variations between sensors are accommodated as amplifier gain adjustment factors at the input. PA1 (9) High-speed operation and sensor oversampling are used such that data averaging, statistical processing, and other computations may be employed to increase reliability and reduce spurious scrams. PA1 (10) Automatic self-testing--sensor input through actual scram breaker activation automatic test and monitoring. A limited test, sensor input through scram decision (without a scram breaker activation) is performed at least once each sensor polling cycle. An extended test, sensor input through scram breaker activation, is performed once each four hours for each division. Because the Plant Control System receives all RPS sensor and diagnostic data, the PCS is able to do additional, detailed off-line diagnostic and trend analysis with failure prediction as the objective. This off-line analysis cannot interfere with the RPS safety function but can result in early fault detection and problem correction. In addition, the PCS analyzes all RPS data for early fault detection purposes. PA1 (11) The scram actuator contacts are monitored continuously as a normal sensor input for detection of any inadvertent change of state and to confirm a correct change of state in response to a test activation or output of an actual scram command. All contacts in the hardware logic are continuously monitored as a normal parameter. Any change of state during normal operation (a failure) is immediately sensed and a notice to maintenance is issued. If a scram command or sensor-input-through-trip-breaker test is issued, this monitoring function is able to confirm that the action is correctly performed or will immediately sense the failure to act and issue a failure notice. All breaker contacts in the hard-wired logic are continuously monitored, in-parallel by all four divisions. PA1 (12) No bypasses, either manual or automatic, are required for normal operation, fault accommodation, testing, maintenance or repair operations. Almost all RPS test, maintenance and repair operations may be performed without the need to shut the entire system down. One division at a time may be taken off-line at any time. Restoration to on-line operation is fully automatic. PA1 (13) There are three defined modes of operation with limited operator input/communications in each to reduce the chance of human error. These modes are Shutdown/Maintenance, Startup/Operate, and Scram. PA1 (14) The RPS is active even in the Shutdown/Maintenance mode of operation, providing continued safety. Because of its interlocking design with other systems, primarily the PCS, the RPS must be functioning for most reactor refueling, test, maintenance, and repair operations. PA1 (15) The power sources for each division of the RPS electronics are dual, isolated, battery-backed dc power supplies with no dc-ac inverters and no switching involved in changing from facility power to battery power. The sources utilize both physical and electrical isolation such that the failure of one power system cannot cause the failure of the second. Independent, dual battery-backed actuator power sources, not shared by the RPS electronics, are provided for each scram breaker 2/4 logic train and its actuated safety device. PA1 (16) Maximum electrical and physical isolation between components and divisions of the RPS are used to assure that no failure of one component can interfere with the overall operation of the RPS or the reactor. PA1 (17) The RPS is quad redundant with each division of electronics and power physically separated into its own instrument vault. Maximum use of fiber optics is made for communications between the divisions. The extensive use of fiber optics provides a high degree of system and component isolation. PA1 (18) All safety system electronics are located in the RPS instrument vaults. Only sensors and actuators are located within the containment and/or reactor. Analog and digital sensors are directly connected to the Data Acquisition Unit (DAU). There are no electronics within the reactor area, including the head access area and containment. Wherever possible, the interface between a sensor and its input electronics is located within a RPS instrument vault. Thus, all electronics are available under accident conditions. All RPS sensors are installed on the example reactor design such that replacement can be accomplished without major component disassembly. Most RPS sensors have installed spares such that a simple wiring change in an RPS vault will result in the "repair/replacement" of a faulted sensor. PA1 (19) The RPS is fully modularized down to the least module tested and identified by the automatic diagnostics. Failures are automatically detected and annunciated, including module identification, to facilitate rapid location and repair. PA1 (20) The system is tolerant of most human interactions (accidental or otherwise). To minimize the effects of human error, the system is fully automated and minimizes human interaction to that required by regulations (scram recovery) and for changes in mode of operation (Startup/Operate or Shutdown/Maintenance) as directed by the reactor operator. PA1 (21) In response to an operator request to change mode of operation from Shutdown/Maintenance to Startup/Operate, the RPS will first check its own software. Each division checks its software against an independent file maintained by the Reactor Control Operators in a higher level computer. Any failure to positively match between the five software files, will require resolution before the RPS will continue to execute a mode change. Another part of the mode change requirement is that all RPS monitored parameters must be "nominal". This not only includes trip parameters but the monitoring of interlocks and critical valve positions. Finally, the RPS will energize the control rod latch coils, de-energize the drive-in motors on all control rod carriages, and notify the operator that an ascent to power may be started. PA1 (22) The liquid metal reactor RPS makes extensive use of digital and computer technology for data evaluation, software logic, continuous self-testing, etc. and requires no bypassing (either manual or automatic) for reactor operation, system test, calibration, and/or maintenance operations. PA1 (23) The system accommodates failure and most multiple failures without a reduction in the protection capability and without initiating an unnecessary reactor shutdown. PA1 (24) The RPS design concept is independent of the size of the reactor. PA1 (25) The RPS is totally independent of other systems. However, because of functional interlocking, the Plant Control System (PCS) cannot operate the reactor without the proper functioning of the RPS. PA1 (26) All RPS active modules and components are failsafe (or "fail-as is" dependent upon which is the safer option). PA1 (27) Automated self-testing and diagnostic monitoring, sensor input through output relay logic elements, virtually eliminate the need for routine, manual surveillance testing of the safety system. All four RPS divisions perform this input data processing in parallel, asynchronously, with their own sensors, continuously and in real time. Inter-Divisional Data Communications Each division sends its data to all other divisions via optical fiber cables 8 (FIG. 1) which provide electrical isolation. This inter-division, asynchronous, cross communication provides for sensor data exchange between the divisions. The data exchange function allows each division to have all four sensor readings for a given parameter along with a processing division identifier and flags that delineate the validity of the data. Data are exchanged through serial data ports. Each RPS division has three output and three input ports for this purpose. When data are ready to be exchanged, each division sends the information to the other three divisions and to its own data processor. The incoming data from the other divisions are stored in buffer memories 224 (FIG. 3) (to accommodate the asynchronous operation of each division) prior to being evaluated for the trip function. The buffer memories accommodate the division's own information. The four data readings are then ready to be processed and voted upon for the determination of the need for a divisional scram command output. Software Logic Operation According to the inter-divisional data communications described above, the data word formed by each division is automatically sent to all the other divisions. Thus, each division has all four sensor readings and flags (contained in four "identical" data words) to work with for each polled observation. As each word is received by a division it is stored in a buffer memory 224, and then recalled and tested to see if the sensor reading is good as determined by the status of the sensor verification flags (block 226 in FIG. 3). If verified, the sensor readings are checked against each other for uniformity. Then the sensor readings are passed through for any necessary calculations (block 228) and software voting (block 236) (see FIG. 6). Computed parameters such as rate of change and ratio require additional calculation steps (added software routines but no additional hardware). If one or two successive readings of a given division's sensor are "out-of-spec" the readings are ignored and the division substitutes its own data. However, if information from one division continues to be erroneous or drift away from the sensor readings of other division sensors, or is missing repeatedly, an error message (call for service) is issued. After all scram parameters are calculated, they are compared to a safety set point. If 2 out of 3 exceed the set point for any parameter, a scram signal is issued from that division (block 238 in FIG. 3), actuating its 2/4 hardware logic relays. If two or more divisions issue scram signals, a reactor scram will occur. Hardware Scram Logic The RPS uses hardware logic 6 to perform a two out of four division vote on the need for a scram. Any two RPS divisions issuing a trip command results in the initiation of a scram sequence. Each actuator 36 has one set of hardware logic connected thereto. Two different types of hardware logic, series and parallel, are used. The series logic consists of switch contacts placed in a series/parallel arrangement such that any two divisional sets of contacts interrupt the flow of current through the actuator circuit. An example of this current interrupt hardware logic is the latch coil holding circuit (see FIG. 7A). In contrast, the parallel logic consists of switch contacts placed in a series/parallel arrangement such that any two divisional sets of contacts enable current to flow through the actuation circuit, a voltage make logic. An example of this hardware logic to energize and actuator is illustrated in FIG. 8A. In each of these configurations, all of the "A" contacts are physically located in the RPS Division A instrument vault; the "B" contacts are in the "B" vault, etc. (see FIG. 5). Diagnostic Self Testing and Continuous Monitoring Additional features of the RPS to enhance system availability include automatic, frequent self-testing, continuous diagnostic monitoring, and periodic divisional testing, sensor input through output relay actuation. The RPS automatically checks its own performance in two ways. First, it performs a limited test of the electronic components and circuits by injecting a reference voltage 201 as a sensor input and comparing measured response to predetermined values (see FIG. 3). The RPS also automatically performs a periodic, on-line, extended test of an entire division without manually bypassing the division and without resulting in an inadvertent scram. Testing is done from input to output, and includes actuation of the scram hardware logic circuit. All four divisions continually monitor the status of the 2/4 hardware logic components and use this information for test scheduling, confirmation of correct operation, and as a diagnostic to identify problems. Active Limited Testing: Each sensor polling cycle includes sampling of a divisional reference voltage. The reference voltage 201 (see FIG. 3) is treated as a sensor input, sufficient to result in a scram decision. However, the actual output of a scram command is inhibited. The response to this test voltage is diagnostically evaluated for evidence of erroneous performance by a division and, when compared to the input from other divisions, for detection of system performance, reference voltage error, and/or cross communication degradation. If any portion of the system is not performing correctly, the defective item is automatically identified to the smallest replaceable module, and service is automatically summoned. This electronic component test is designed to take place continuously, on-line, by automatic piecewise testing. A normal scram inhibit command continues to be output to the division's hardware logic such that a "half scram" condition is prevented during a limited test. A limited test is performed with each sensor polling cycle. [The reference voltage is polled as if it were a sensor input.] Thus the limited test is "piecewise" continuous. Any problems detected result in a message being output to request timely service. Because all digitized sensor and reference input voltage readings are exchanged and compared by all divisions, systematic errors are rapidly detected. This includes errors with individual sensor or reference voltage readings, the input data processing, and cross communications. Each division sensing a problem of its own or of another division, will output a call for maintenance, thus assuring prompt fault detection and notification. Extended Testing: The extended test is designed to evaluate the state-of-health of an entire division, sensor input through output hardware logic. The extended test is the same as a limited test without the inhibited scram output. Thus, the extended test results in actuation of a division's output scram relays. This test is performed by only one division at a time, only if no other division is likewise testing, and only if all divisions appear to be functioning correctly. A division scheduled to conduct a test will first check to see if everything is normal. If not, it will wait for a random amount of time, then try again later. The monitoring of the 2/4 hardware logic by all divisions is used to assure that two divisions do not perform the test simultaneously and cause an inadvertent scram. To perform this extended periodic test, the limited test is extended by allowing the testing division to issue a scram command to actuate its 2/4 hardware logic components. During the few milliseconds required for the extended test, two of the three active divisions are still required to command a scram before a shutdown sequence is actually initiated. This shields "half scram" condition problems which would occur with a conventional protection system when the testing division sends out a test "scram" signal to the hardware logic. In a half-scram condition, one division's scram relays are opened so that scram from any one of the other divisions results in reactor scram. This is the only time in the testing that the system is in the "half scram" condition. The time for this test segment is equivalent to a normal sensor read period so the chance of inadvertent scram due to simultaneous testing by another division is small. In addition, all divisions are software scheduled for testing based upon their monitoring of test activities of other divisions. Reconfiguration of hardware logic (to 2/3 logic) during division testing and maintenance is commonly done in conventional RPS designs. The key difference is that conventional protection system designs use manual bypass to conduct these tests whereas the RPS of the present invention continues to require 2/3 sensors to indicate a need for scram and is therefore less prone to inadvertent operational and maintenance errors and does not require bypassing. An extended test is not conducted if any of the four divisions is operating in an off-normal condition. For example, the extended test does not occur during a full division maintenance operation, when the division CPU, power, or digital output cards are inoperative. This puts the full hardware logic into a "half scram" condition. Yet the software logic screen still requires two out of three sensor readings to indicate the need for scram before a scram command is issued. This greatly reduces the chance of inadvertent scrams. Present estimates show that the inadvertent scram probability is acceptably low. The results of a division's extended test are monitored by all divisions by the continuous monitoring of the hardware logic relay contacts (see FIGS. 7 and 8). Each division looks for any contact pair change of state and correct operation. This capability is based upon monitoring the current or voltage at different points throughout the hardware logic circuits. The state of the contacts (with relays actively powered) is continuously monitored during normal operation as an additional digital sensor input. This provides a failure (change of contact state: open to close, or close to open, or failure to change state, depending upon the logic configuration) detection capability during normal operation as well as during testing. The divisional testing feature (either manually requested or computer scheduled) actually operates the relays without causing a scram. Diagnostic sensing confirms the correct operation of the relay contacts or identifies and reports the failure to operate correctly. Each division is able to use the information gathered to assess the health and status of all other divisions. The conduct of the extended test helps to assure that the data processing algorithms, set point comparisons and scram output circuits are functioning properly. The present invention provides an automatic test capability to assure that the 2/4 hardware logic relay contacts are operating correctly and ready to respond to a scram demand. The test is performed periodically in the automatic mode or immediately, in response to a manually input keyboard demand for test. Testing must not cause a scram. Testing opens or closes the 2/4 relays contacts to interrupt or establish flow of current through the contacts. An automatic or manually input keyboard demand for test will not be executed if another division is performing a self test, is out of service for any reason or in the presence of any off-normal indication from the 2/4 logic circuits. Referring to FIG. 7A, under normal circumstances, relay contacts are held closed by the application of a control voltage from each division of the RPS. Testing will open only one divisional set of contacts (four for each division) at a time. No two divisions will test at the same time. Testing will be suspended if one division is out of service. The electrical current through the 2/4 logic circuit normally will divide nearly equally between the two sides of the bridge arrangement. Under normal circumstances, no current will flow through the three crossover paths. Current will flow through these crossover paths depending upon the contact set opened during testing. Non-contact (for isolation) current sensors S1-S8 will be arranged between pairs of contacts as illustrated in FIG. 7A. The sensed current is measured and converted to an analog voltage by amplifier 10. The amplified voltage is fed to a comparator 12. The comparator tests the input voltage against a reference voltage. If the input voltage exceeds the reference, a digital one value is output. If not, a digital zero is output. For example, when the signal is sent to open contacts A during testing, sensors S1, S4, S6 and S7 should go low, while sensors S2, S3, S5 and S8 remain high. Any deviation from these sensor outputs indicates that one or more of the A contacts failed to open. The faulty contact can be easily identified from the unique 8-bit (or any number of bits depending upon the number of diagnostic points sensed) code produced from the sensor outputs. The digital output from each of the eight sensors for each hardware logic train are input, in parallel, to an 8-bit data input card 40 (see FIGS. 3 and 7B) plugged into the electronics chassis 4 for each RPS division. The digital I/O output from all eight sensors forms a unique 8-bit digital word for each correct or faulty operation of the 2/4 hardware logic relays. That word is processed by the CPU on the electronics chassis 4. Monitoring of the diagnostic, digital input port will permit each division to recognize that another division is conducting a test or is out of service and be able to report the results of each test. If a division is scheduled to conduct a test, it will query its diagnostic, digital input port. If the port is busy, the division will wait a random amount of time, then try again. Retries after a random wait will continue until such time that the digital input port is clear. (This assures no testing while one division is out of service and automatic resumption of testing without need for manual restart when the port is clear.) Testing will then consist of the division issuing a scram command to its relays. The division will read the diagnostic, digital input port. If the returned sensor pattern is correct, the test is successful and the division's display will show that the test was conducted and passed. If the pattern is incorrect, the CPU will be able to identify which hardware element failed and output an appropriate message. In the case of the hardware logic relay contacts shown in FIG. 8A, high-value resistors 14 are arranged in parallel with contacts (see FIG. 8B). An isolated analog amplifier 16 measures the voltage drop across each resistor. The amplified voltage is fed to a comparator 18. The comparator tests the input voltage against a threshold voltage. If the input voltage exceeds the threshold, a digital one value is output. If not, a digital zero is output. For example, when the signal is sent to close contacts A during testing, sensors S1, S4, S6 and S8 should go low, while sensors S2, S3, S5 and S7 remain high. Any deviation from these sensor outputs indicates that one or more of the A contacts failed to close. The faulty contact can be easily identified from the unique 8-bit code produced from the sensor outputs. The digital output from each of the eight sensors for each hardware logic train are input, in parallel, to the 8-bit data input card 40 for each RPS divisional computer (see FIG. 7B). The digital I/O output from all eight sensors forms a unique 8-bit digital word for each correct or faulty operation of the 2/4 hardware logic relays, which is monitored as described above. Upon completion of the test, the division will resume normal monitoring and protective service. The automatic testing, limited and extended, the exchange and comparison of sensor and reference readings, and the diagnostic monitoring replace the need for human surveillance testing, thus reducing a major cause of unintentioned scrams. Environmental and Maintenance Capability The RPS electronics are designed to operate at elevated temperatures (approximately 170.degree. F.) without the need for active cooling or heating, ventilation, and air conditioning (HVAC) systems. A division may be serviced, on-line, at any time without causing a reactor trip. The RPS is designed as a highly modularized system and may be functionally updated as technology progresses to satisfy the 60-year life requirement. Diagnostics to locate problems to the smallest, plug-in, replaceable modules are provided. This means that the system can be easily and rapidly maintained by minimally skilled technicians. The RPS electronic modules (e.g., CPUs, signal conditioning cards and data input cards, all plugged into the electronics chassis 4) for all four divisions and all reactors are identical, resulting in a reduced stock of spare parts required to maintain the system. RPS Electrical Power Typical electrical power distribution for the RPS instrumentation electronics is illustrated in FIG. 9, which illustrates the single line diagram for only two RPS instrument vaults. The other two division vaults are supplied electrical power in a similar fashion but with origins from two different high-voltage busses. All RPS instrumentation is operated by direct current (dc) voltage. Each division of the RPS is supplied battery-backed, dc electrical power from two parallel, electrically isolated, dc sources (located in two different RPS division vaults) via dc busses 30 and isolation devices (e.g., diodes) 32. Battery chargers 20 in each vault serve as qualiliable electrical isolation devices. Each alternating current (ac) bus 22 is supplied from two different sources. Each of the ac busses is sourced from either of two high-voltage ac busses for added reliability and availability. Facility power is distributed throughout the plant as alternating current (ac) at a kilovolt level via busses 24. A step-down transformer(s) 26 reduces the ac voltage level and supplies the battery charger 20. The battery charger outputs a dc voltage for the RPS division load and to maintain the charge on a battery 28. Normally an RPS division takes its power from the battery charger. However, should the facility input ac power source fail, an RPS division is supplied continuing power from the battery. No switching or dc to ac inversion is involved, thus simplifying the system and eliminating additional component failure potential. RPS Scram Logic Operation with Failures The RPS is designed to ensure that: (1) no single failure results in loss of the protection function and (2) removal from service of any component or channel does not result in loss of the required protection function. It is also designed to minimize false (inadvertent) scrams due to sensor malfunctions without compromising safety. Normally, with four good sensors and all electronics and communication systems operational (see FIG. 10), RPS divisional trips are based on a 2/3 division sensor data vote with each division keeping its own sensor reading as spare. However, this trip logic is different if failures occur either in the sensors, processing electronics, or communications. In the case of a failed sensor with operational electronics and communications, the sensor fault produces sensor readings which are outside the reasonability bands, and are easily detected by noting the status of the sensor verification flags. Bad sensor readings are not used in the scram vote. For example, if sensor B shown in FIG. 10 is bad, then the 2/3 software logic 4b for each of divisions A, C and D substitutes its own sensor reading as needed (so that each division still has three good readings from sensors A, C and D) and performs the 2/3 scram vote. The software logic for division B performs its 2/3 scram vote using the normal sensor readings (from divisions A, C and D). If two sensors are bad, then each division has two good sensor readings and issues a scram signal if either or both sensors say scram (i.e., it performs a 1/2 vote). If all three (or four) sensors are bad, then each division issues a scram command. The hardware logic 6 issues a signal to the operator if two out of four divisions issue a trip signal. Alternatively, it is possible to have failed electronics accompanied by correctly functioning sensor and communications. Electronics failures include failures in key signal processing chips (signal conditioning circuit 4a in FIG. 10) in the microprocessor-based chassis 4 or failures of the systems supplying power to the chassis. Such electronic failures prevent the division output circuitry from sending the divisional "no scram" signal and that automatically puts the division in a "scram" condition, regardless of the sensor and communication system status. Thus two (or more) such electronic (CPU) failures will trigger scram regardless of whether any of the sensors are indicating scram (Fail-safe). This is equivalent to what is done in conventional RPS systems. Thirdly, it is possible to have failed communications with operational sensors and electronics. Communications failures include failures in the inter-processor communication system due to either hardware/software problems in the sending or receiving units, or noise pick-up during transmission. Such communication failures are not serious, and are detected by absence of incoming communicated sensor data. If one such failure occurs, such that a division receives data from only two instead of three other divisions, it substitutes its own data and does a 2/3 vote with no loss of reliability for the protective function. If a division receives data from only one of the other three divisions, it substitutes its own data to give two good readings and votes scram if either is above the trip setting (i.e., it does a 1/2 vote). If a division receives no data from any of the other divisions, then it uses its own data and issues a divisional scram with 1/1 logic, as in conventional RPS designs. Thus with a total communication failure, where no division sends or receives data from any other division, the RPS acts like a conventional RPS without inter-processor communication. Under normal conditions, two correctly functioning sensors must call for a trip before a trip is issued. This is consistent with the 2 out of 3 plus spare software logic of the RPS electronics and the 2 out of 4 hardware logic of the RPS scram breakers. Unlike conventional systems, the two-sensor trip requirement continues to hold even if one sensor is not functioning correctly and one different division of the RPS logic is out of service. This shielding of RPS from sensor faults decreases inadvertent scrams and provides a high degree of confidence that any trip issued is for cause as indicated by sensor input. When applied to a nuclear reactor, the RPS functions are to: (1) continuously monitor the safety parameters in the reactor (neutron flux, cold pool and core outlet temperatures, pump discharge pressure, and primary sodium level); (2) determine if reactor shutdown, EM pump trip, and containment isolation are needed; (3) send a trip signal to the control rod release mechanisms and drive-in motors to ensure insertion of the control rods; (4) initiate coastdown of the primary EM pumps; and (5) initiate containment isolation through IHTS valve closure and containment ventilation valve closure. The RPS has four identical, parallel logic trains or divisions to perform these functions. Each logic train consists of a sensor, analog input/amplifier/digital converter, digital logic unit, and trip actuator. Seven parameters are used for reactor trips. Each logic train has one sensor input for each parameter. A polling of the analog inputs is performed and that determines which parameter is processed by the RPS at any instant of time. Several levels of diagnostics are performed automatically by the RPS at differing intervals. These levels include: individual component calibration, checking of subsystem calibration/wellness, overall system performance, signal verification and validation, data exchange validation, and trip validation. The four RPS divisions work together as a fault-tolerant system, that is, any failure that occurs within any division is detected and confined. Reconfiguration occurs automatically to bypass a problem area. The system is capable of being repaired while operating. One entire division may be removed for service at any time without system degradation. The inputs are fully fault tolerant, that is, if a failure occurs within an input section, the failure is isolated and the system is reconfigured around the failure. Each of the four central processing logic units is capable of error detection, containment, and reconfiguration. Each optically coupled circuit breaker is provided with a test feature such that the complete division may be automatically tested (from sensor input through to, and including, the trip breakers) at any time without the release of a control rod or initiating a reactor scram. RPS Operation: If a design basis event occurs and any of the reactor trip parameters exceed their safety set points, the RPS electronics 4 automatically initiates a scram sequence (see FIG. 2). The reactor scram sequence begins by controlling 2/4 hardware logic 6 to interrupt the power to the latch coil holding circuits 102 which hold control elements 106 and to apply power to the drive-in motors 104, and by sending a message to the PCS 56 indicating that a reactor trip is in progress. Upon confirmation of scram (a flux decrease), the power to the electromagnetic pump 108 from EM pump power unit 110 is disconnected by controlling 2/4 hardware logic 6, which in turn trips RPS-EM pump breakers 62 (there are two breakers for each of the three EM pump phases), thereby initiating primary flow coastdown. The EM pump shutoff action does not occur until there is a confirmation that control element 106 has been inserted. When the scram command is initially issued, the measured flux at that instant is noted. The flux level is rechecked and the EM pump shutoff action is not allowed to occur until the flux has decreased by a preset percentage from its scram initiation level. If the control rods 106 should fail to insert, this confirmation logic will allow the reactor to heat up until the passive shutdown features decrease the flux by the specified percentage and then the Thermal Shutoff System (TSS) will initiate EM pump shutoff and flow coastdown will occur. As seen in FIG. 11, the TSS comprises four thermal sensors 64 connected to 2/4 current interruption hardware logic via four thermal trip units 66 respectively. Each thermal sensor 64 provides an independent sensor reading of the EM pump temperature. Release of the control rods 106 occurs within 50 msec of a decision to issue a trip command. Gravitational insertion of the rods is completed within 2 sec. Gravitational insertion is backed by a drive-in motor powered control element insertion. The drive-in motor 104 ensures complete rod insertion within 18 sec. After scram, the reactor power decreases to less than 10% within about 2 to 3 sec. The EM pump coastdown then ensures that the primary flow is reduced to a near natural circulation level over a 200-sec period of time. The mixed core outlet temperature drops rapidly, then gradually increases, peaking at about 500 sec, then gradually decreases as the reactor 100 continues to cool. The flow coast-down curve consists of a sharp drop in coolant flow from 100% to about 85% as soon as the power is disconnected from the EM pump 108, and then a gradual flow decrease for the next few hundred seconds as the synchronous machine 112 delivers its energy to the EM pump and flow slows down. All operation of the RPS is automated. There is no requirement for operator safety action at any time. However, manual scram can be initiated either locally or remotely to prevent challenges to the inherent safety features. The RPS accepts a manually input request to execute a trip sequence from its own scram buttons (an action that bypasses all electronics and interrupts power to the trip breakers directly). Manual scram may also be initiated through diverse non-safety-related electronics via an operator's console 72 in the main control room 74 (FIG. 2). The first method is via the DHTS to the RPS controllers, and the diverse method is via direct connection to the manual scram actuation electronics. Further, a safety-related, manually input scram command may be input to the RPS from the scram buttons located on the face of the console 76 in the remote shutdown facility 78. Once a reactor scram sequence is complete, operator action is required to initiate scram recovery, determine the cause of the scram, and restart the reactor if all is nominal. In addition to the reactor scram function (including EM pump shutoff), the RPS also performs three additional functions: (1) provide data and displays for post-accident monitoring (PAM); (2) close the Intermediate Heat Transport System (IHTS) isolation valves 116 via IHTS valve controller 118 when a large steam generator sodium-water reaction occurs (see FIG. 13); and (3) close containment ventilation valves 120 when radiation in the containment dome and air containment effluent becomes too high (see FIG. 13). In case of a major steam generator sodium-water reaction, the RPS prevents reactor damage by closing the IHTS isolation valves 116 which isolate the intermediate heat exchanger (IHX) 117. The sodium-water reaction event is sensed by the RPS pressure sensors F (FIG. 4) located in the IHTS loop, which trigger both closure of the isolation valves and reactor scram. The containment ventilation valves 120 are closed by the RPS removal of power to normally open RPS breakers 88 in series with the PCS-controlled breakers 90 which connect facility power 132 to the ventilation valves 120 (see FIG. 12). The scram function is independent of the containment isolation function. Most scram events (those caused by events within the reactor) do not trigger IHTS or containment valve closures. Scram Control Rods: An RPS scram command results in the release of neutron absorber material (the lower part 106 of the control rod assembly contains the absorber material) into the reactor core 124. Typical control rod system elements are shown in FIG. 12. The control assemblies are used by the Plant Control System (PCS) to adjust the operating power level of the reactor module. The absorber bundle 106 is held at the top of its rod-like structure by a collet (latch) 126. The collet 126 is connected by a rod 128 to the control rod drive mechanism where a pair of continuously energized electromagnets (latch coils) 102 hold the collet 126 closed. An interruption of the electrical current to the latch coils 102 (a trip) opens the collet 126, releasing the absorber bundle 106, which allows it to drop into the core 124 under its own weight (gravity-assisted insertion). Each control assembly has two motors to control the positioning of the absorber bundle. A shim stepping motor 130 is provided such that the PCS can make major or vernier adjustments to raise or lower each absorber bundle 106 for controlling the power of the reactor. A unidirectional (in only) dc drive-in motor 104 (four times more powerful than the shim motor 130), when activated by the RPS as part of a scram sequence, drives each control assembly driveline to the bottom of its stroke to assure complete insertion of the absorber material. The RPS has no control rod withdrawal capability. [This is typical of the present invention, wherein the RPS operates DC motion devices in the safe direction or safe action only. Withdrawing or resetting of these devices must be done by another system such as the PCS.] Each control rod carriage 106 has limit switches that turn off the drive-in motor power at the end of the control assembly insertion. Activation of these limit switches is recognized as confirmation that the control assembly insertion is complete. Initiate EM Pump Coastdown: In addition to control rod insertion, the RPS also shuts off power to the EM pump 108 (after confirmation of rod insertion) as part of the scram sequence. The RPS confirms rod insertion by noting that the flux level has decreased by a predetermined percentage, and then issues the command for EM pump shutoff. For this actuation, the RPS opens breakers between the PCS power conditioning unit 110 and the EM pump 108/synchronous machine 112. This disconnects the EM pump/synchronous machine from its normal power source. The EM pump 108 uses inertial energy stored in a flywheel connected to the synchronous machine 112 (self-excited) to provide coast-down flow. A separate Thermal Shutoff System (TSS) 65 is provided which opens the RPS breakers 62 and shuts off the power to the EM pumps 108 if the temperature of the pump sodium exceeds a predetermined value. This provides primary pump shutoff for ATWS events when the RPS has failed. FIG. 11 is a simplified single line diagram showing the EM pump power circuit and the PCS, RPS, and TSS interfaces. Isolate Secondary Sodium System and Containment Ventilation System: The RPS is responsible for automatic containment ventilation and IHTS isolation valve closure for events that challenge containment. Conceptual designs for these functions are shown in FIG. 13. Closure of the IHTS valves 116 is achieved by closing RPS-controlled solenoid valves in the line that delivers pneumatic power to the IHTS valves. Closure of the containment ventilation valves 120 is achieved by opening RPS-controlled breakers 88 in the valve electrical power line 132. Normally the IHTS valves 116 (four total, two in the cold and two in the hot IHTS lines) are open when the reactor is operating to allow IHTS flow. These valves are only shut in the remote event that a sodium-water reaction causes a high-pressure condition in the IHTS lines. The closure is automatic and done by the RPS controller 50 only. The PCS 84 has no capability to close the valves 116. This prevents the control room operators from inadvertently closing the valves when the reactor is operating. Further, the RPS has no capability to open the valves. The PCS cannot open the valves unless the reactor has scrammed and the RPS has transitioned to the Shutdown/Maintenance mode. Once in this mode, the valves can be opened (in order to start secondary sodium flow before startup) by PCS command from the control room. For service and test purposes, the valves may be manually opened and closed from a local pneumatic control panel near the valves when the reactor is shutdown and the RPS is in the Shutdown/Maintenance mode. Normally, the containment ventilation valves 120 (four total, two in the intake and two at the exhaust) are closed when the reactor is operating. The only time that these valves need to be opened during operation is to freshen the air so that operations personnel can enter the containment. After personnel leave the containment, the ventilation valves are again shut. Opening and closing of these valves under normal conditions is done manually either from a local panel near the containment entrance or from the maintenance room and/or control room, using PCS electronics. However, if high radiation is detected in the containment dome 114 (see FIG. 4) or effluent, the RPS automatically opens breakers 88 and closes valves 120, and the PCS cannot open the valves until the reactor has been shut down and the RPS has transitioned to the Shutdown/Maintenance mode. FIG. 13 illustrates containment ventilation valve control by the PCS and the RPS for normal and abnormal situations. As shown in FIG. 12, three auxiliary safety systems, the rod stop system (RSS) 136, the thermal shutoff system (TSS) 138 and the ultimate shutdown system (USS) 140, have been incorporated into the liquid metal reactor design to provide margin to safety in the remote event that the RPS fails. The RSS 138 electronically adjusts mechanical rod stops and limits the maximum reactivity addition potential of an unprotected transient overpower event. The RSS ensures a benign response to unprotected rod withdrawal events by passively limiting out-motion of the control rods by physical interference with carriage motion. The components in the rod stop system include a quad-redundant controller, a rod stop drive selector, and a limited capacity power supply which controls power to each of the six rod stop adjustment drive motors, one for each control rod. Absolute position sensors are used to determine control rod and stop positions. The rod stop system controller is separate from the RPS controller. The RSS obtains reactor power and absolute control rod position data from the redundant sensors through the RPS controller. The RSS is activated by operations only as required to adjust the rod stop position. The RSS controller, power breakers, power supply, stepper motor controller and distributor are located in the RPS electronics vaults 82 (FIG. 4) adjacent to the upper containment area. The TSS 138 is designed to automatically shut-off the EM pumps on high temperature, in case the heat sink (IHTS) is lost (so that only RVACS cooling is available) and the RPS fails. For this scenario it is assumed that the loss-of-heat-sink event starts from full power and that neither the PCS nor the RPS can shut down the pumps. The temperature within the reactor rises rapidly and triggers the inherency mechanisms to bring the reactor to a hot standby level within about 30 hr if the EM pumps are not operating. However, if the EM pumps continue to operate, each EM pump adds heat to the reactor. If the EM pumps are not shut off, the reactor's integrated heat input will exceed the heat sink capability. Thus, there is a need for a mechanism to automatically turn the EM pumps off should the reactor temperature exceed a given threshold. As seen in FIG. 2, the RPS 50 provides the control power for the RPS breakers 62 in the EM pump/synchronous machine power circuit. As part of a normal scram sequence, the RPS will release these breakers to initiate an EM pump coastdown of the primary flow. In normal operation, the RPS breakers 62 are actively held in the closed position such that the EM pump (108)/synchronous machine (112) receive electrical power from the power conditioning unit (110). As seen in FIG. 11, the TSS 138 monitors the temperature of the exit sodium in each pump. It consists of four safety-related thermocouples 64 (one in each pump inlet plenum) each with a thermal trip unit 66. The sensors and trip units are separate from the RPS. If the temperature in a pump should rise above the predetermined set point, the thermal trip unit 66 associated with the pump issues a trip signal. The trip signal opens contacts 68 in the trip signal line from the RPS to the EM pump RPS breakers. The contacts are arranged such that a trip signal from any two of the four thermal trip units will result in opening the EM pump RPS breakers 62 for all four EM pumps. This will initiate a flow coastdown in all four pumps, and will terminate the thermal input by the EM pump power supply to the reactor. Each EM pump contains one thermal sensor 64 connected to a thermal trip unit 66 located in one of the RPS instrument vaults 84 (FIG. 4). The thermal trip unit 66 consists of conventional signal conditioning electronics, a set point comparator, and output circuitry. Each thermal trip unit outputs a signal to four optically isolated relays, arranged to form a 2-out-of-4 hardware logic 68 with the relay contacts from the other three thermal trip units as shown in FIG. 4. As with the RPS, all of these relays are failsafe, that is, they require an active signal to keep the contacts closed. If there should be a multiple failure or loss of power, the contacts will open to initiate an EM pump coastdown. The USS 140 provides for the shutdown of a reactor in the extremely unlikely, hypothetical condition that all other methods have failed. For the ultimate shutdown system to be required, the PCS must have failed to run in the control rods and the RPS must have failed to scram the control rods. If such failures occur, the negative reactivity feedback characteristics of the system will bring the reactor to a safe, stable condition at an elevated temperature. At this point the ultimate shutdown system can be actuated by the operator to bring the reactor to cold subcritical conditions. Operator manual action is required to initiate activation of the ultimate shutdown system from either the remote shutdown facility or the RPS vaults. The ultimate shutdown system consists of a container with a poison (B.sub.4 C absorber balls) that is released into the reactor to bring it to cold shutdown. As seen in FIG. 4, the USS is activated from a pair of buttons located in a case on the wall of the RSF and also in the RPS vaults. The reactor protection system (RPS) in accordance with the invention represents a design departure from traditional reactor protection systems. This system design meets or exceeds all stated goals and maximizes the availability that can be provided by the design of a protection system. Many features of the disclosed RPS separate this design from traditional systems, including the following: Surveillance testing is frequent, on-line, and automatic. No manual actions are necessary. Diagnostics are available to identify problems down to the smallest exchangeable module. Because of the automatic exchange of digitized sensor and reference readings, each division is able to compare its limited and extended testing with that of the other divisions. Likewise, all divisions are able to evaluate the test of one division and independently call for service should a problem be detected. This assures early detection of systematic errors that are not detectable if a division is limited to the observation only of its own parameters and performance. Self-diagnostics and modular design permit maintenance and repair of most system failures within 4 hr with automatic restart after the maintenance operation is complete. All RPS repairs can be accomplished without need to shut the reactor down. The RPS performs an active role during all modes of reactor operation, including shutdown, maintenance and refueling. By interlocking control rod carriage functions, the RPS is able to prevent accidental control element withdrawal and unplanned reactor operation. The RPS also controls the EM pump breakers such that primary fluid pumping cannot occur unless the RPS is correctly operating. The RPS must be active to permit control rod carriage withdrawal for refueling operations, and must perform correctly to permit one control element at a time to be withdrawn for mandatory rod drop testing. Following a reactor scram, no PCS interlocked reactor control operations are possible until the RPS is manually requested to transition to the Shutdown/Maintenance mode. This meets the U.S. Code of Federal Regulations mandated operator input to initiate recovery following scram. All RPS sensor data, once digitized, are forwarded to the PCS. RPS parameters such a flux, flow, and core temperature are primary control feedback parameters for the PCS. The RPS is an active system that must be operating correctly for reactor operation. The RPS is totally independent of the Plant Control System (PCS) for operation and operates interactively to support the PCS. Thus the PCS is dependent upon the correct operation of the RPS for reactor major control parameter information and for control element coupling such that the PCS can withdraw control elements for reactor operation. The RPS further, independently, limits the amount of control element withdrawal that the PCS can make at any time. The RPS has no capability to withdraw any control element, a function performed by the PCS and limited by the RPS. The passive safety features of the example reactor design mean that the after scram-required actions of the RPS are complete, the RPS continues to provide sensor data for accident monitoring. Thus the RPS design is greatly simplified. The foregoing preferred embodiment has been disclosed for the purpose of illustration. Variations and modifications to the preferred embodiment will be readily apparent to persons skilled in the design of reactor protection systems. All such variations and modifications are intended to be encompassed by the claims set forth hereinafter. |
abstract | When making moisture content adjustment by adding liquid such as water to a raw material such as pulverulent material including bentonite, uniform mixing of the liquid such as water with the raw material is given with relatively simple facilities, moisture content adjustment of a large quantity of raw materials is attainable, and besides, a material having satisfactory performances such as impermeability is obtainable through uniform moisture content adjustment. Within a mixing tank (1) configured with a normal powder mixer kept at low temperatures, pulverulent bentonite (A) and fine granular ice (B) are stirred and mixed. Stirring and mixing of the fellow pulverulent materials are adapted to uniformly mix the pulverulent bentonite (A) and the fine granular ice (B), enabling uniformly moisture content-adjusted bentonite to be obtained. A liquid-nitrogen gas bomb (21), for instance, is connected to the mixing tank (1), causing the inside of the mixing tank to be kept at low temperatures with nitrogen gas supplied from the bomb, before putting the prepared fine granular ice (B) through an inlet port (20). |
|
048775754 | summary | BACKGROUND OF THE INVENTION 1. The Field of the Invention The present invention is directed to a reactivity computer and method that allows the period for determining control rod worth to be minimized and, more particularly, to a system that verifies that control rod worth can be determined before indicating to an operator that the control rods in a nuclear reactor core can be moved. 2. Description of the Related Art A requirement has long been in force that, at startup of each new operations cycle of a nuclear power plant, measurements be made to verify that the nuclear characteristics of an installed reactor core meet certain previously established acceptance criteria. Among the characteristics to be checked are certain differential and integral reactivity worths, such as control bank worths (the neutron absorbtion value of the control rods in a bank), moderator temperature coefficients, and the like. It has also long been common practice to use an analog or digital "reactivity computer" to relate time dependent changes in core neutron flux level to instantaneous core reactivity. The well known algorithms used in making the relation are referred to as the "kinetics" equations. In practice, a continuous electrical signal derived from one or more neutron sensitive detectors and believed to be proportional to the neutron flux level in the core is input to an analog or digital computer programmed to solve the point kinetics equations and an output, usually analog, representative of core reactivity is generated by the computer and is supplied to a recording device, such as a strip chart recorder. Methods of analysis and evaluation of the recorded reactivity traces developed over the years allow the user to extract from the recordings the values of various differential reactivity worths which are to be measured. These methods involve, among other things, the identification of certain nominally linear segments of the strip chart traces and the extrapolation of fits to the linear segments into portions of the record where the traces are not linear. If the fits of the lines on which the extrapolations are based are not correct, either because of analyst error or because the nominally linear segment of the strip chart trace is not truly linear, the values of the reactivity worths extracted will be incorrect, erroneous conclusions regarding the characteristics of the .re will be drawn and conceivably a potentially hazardous condition could pass undetected. Certain nuclear properties of the large reactor cores found in a modern nuclear power plant can give rise to changes in the neutron flux distribution or shape in the core in response to a perturbation in the core, typically control rod movement. This flux redistribution temporarily "confuses" the reactivity computer and results in phenomena called "overshoots" and "undershoots" in the recorded reactivity traces. The "overshoots" and "undershoots" cause segments of the reactivity traces that should be linear to be initially non-linear and, if not properly accounted for, give rise to the errors in the extrapolation noted above and to the consequences of such errors. The suspicion always exists that, even if the analyst conscientiously follows the established methods of analysis and evaluation, the results obtained may be incorrect. Special test data illustrated in FIG. 1, obtained during the recent startup of a nuclear power plant after refueling have yielded a clear and unambiguous demonstration that indeed conventional analysis and evaluation can yield detectably incorrect results. During a period of reactivity measurement, the boron concentration in the reactor coolant system is continuously reduced at a nominally constant ate by normal dilution operations. The reduction in boron concentration gives rise to a continuous, linear increase in core reactivity which would appear on a single neutron detector reactivity trace as a line of constant positive slope. Movement of the control bank to compensate for the effects of continuous boron concentration reduction results in the abrupt reduction in core reactivity. Since the control rods move very quickly, with a time constant of about 1 second, and since the reduction in boron concentration is virtually linear in time, it is normally expected that the reactivity trace would consist of a linearly increasing segment, a nearly instantaneous negative step and another linearly increasing segment with the same slope as the first segment. Neutron flux redistribution in the reactor core in response to control rod movement gives rise to the otherwise unexpected non-linear behavior of the indicated reactivity trace in the time period following movement of the control rods. FIG. 1 shows the indicated differential reactivity worth of a bank of control rods as seen by each of two neutron detectors which see different regions of the reactor core and which are affected differently by the flux redistribution in the reactor core caused by control rod movement. The fact that the two plots 10 (bottom detector) and 12 (top detector) of differential reactivity worth differ is clear evidence that at least one, and probably both, indicated measurements are invalid and ought not to be used to verify that the reactor can be operated safely. Therefore, what is needed is a device that performs the intended function of the reactivity computer in such a way that the errors noted above cannot occur, provided the test operations are carried out correctly, and that the results obtained can be demonstrated to be valid and correct within the accuracy of the values of the several physical constants that are used in solving the point kinetics equations. SUMMARY OF THE INVENTION It is an object of the present invention to determine core reactivity when all regions of the core show the same fractional rate of change in local neutron population. It is another object of the present invention to determine stability in the rate of change by comparing reactivity from neutron detectors viewing different regions of the core. It is another object of the present invention to allow the test period for determining control rod worth in a nuclear power reactor to be minimized. It is an additional object of the present invention to avoid pretest calculations concerning reactor settling time and allow the reactor to tell the operator when the settling down period is over. The above objects can be attained by a smart reactivity computer that processes signals from multiple neutron detectors to generate indications of regional core reactivity. The computer compares the reactivity values from the different detectors and, when the values are coincident for a long enough time to satisfy the criteria of a standard statistical test, indicates to the operator that true core reactivity can be determined. After the operator moves the control rods, the computer determines control rod worth using a statistical fit to the coincident portion of the reactivity values for the multiple neutron detectors and uses the fit from successive control rod movements to determine the reactivity change that occurred during the rod movement between the fitted lines. These together with other objects and advantages which will be subsequently apparent, reside in the details of construction and operation as more fully hereinafter described and claimed, reference being had to the accompanying drawings forming a part hereof, wherein like numerals refer to like parts throughout. |
050858246 | summary | TECHNICAL FIELD The present invention relates generally to a gantry for moving a load in three orthogonal directions, and, more specifically, to a drive system for a nuclear refueling platform or gantry. BACKGROUND ART A conventional refueling platform, or gantry, is used for transporting nuclear fuel bundles over a nuclear boiling water reactor, for example. The platform spans the reactor and spent fuel water pool and runs on platform guide rails typically embedded in a concrete floor. The platform is used for removing spent fuel bundles from the reactor core and transporting them underwater to the spent fuel pool, as well as transporting new fuel bundles from a storage area to the reactor core. The platform typically includes a bridge spanning the water pool which is joined to left and right drive trucks by end frames. The bridge includes a trolley which is selectively positionable along the longitudinal axis of the bridge between the end frames. The trolley includes a main hoist for selectively raising and lowering fuel bundles in the water. The bridge is selectively movable in forward and reverse transverse directions perpendicular to the bridge longitudinal axis along the guide rails. Accordingly, a fuel bundle may be raised and lowered by the main hoist, and may be translated left and right by the trolley along the longitudinal axis of the bridge, and may also be translated in the transverse direction upon forward and reverse movement of the drive trucks supporting the bridge. The bridge typically spans a water pool of about 45 feet (13.7 meters) and is sized for translating under water at speeds between 0 and about 50 feet per minute (15.2 meters per minute) fuel bundles weighing about 750 pounds (340 kilograms). In order to effectively translate the fuel bundle under water, the bridge must be made substantially rigid for accommodating the fuel bundle weight, and inertia and drag forces from movement of the fuel bundle under water without undesirable distortion. To accommodate the relatively high weight of the refueling platform, the installed guide rails typically have a rating of about 35 pounds (15.9 kilograms) in the original facility design. The refueling platform also includes a drive system for translating the bridge in the forward and reverse directions along the guide rails. The drive system typically includes a single electrical motor driving a double output shaft gearbox that drives corresponding chain and sprocket final drive assemblies for driving a bridge driven wheel located in each of the left and right drive trucks. A second design of conventional refueling platform includes a single motor driving a double output shaft gearbox that drives corresponding right angle gearbox drive assemblies in each of the left and right drive trucks. This design of platform is conventionally sized more rigidly than the above first design platform using chain and sprocket drives, and requires higher rated guide rails, for example rated at about 85 pounds (38.6 kilograms). In both of the above conventional single motor double output refueling platform designs, skewing, or differential transverse travel of the left and right drive trucks may occur during operation. For example, as the fuel bundle load joined to the main hoist and trolley is positioned off center along the bridge longitudinal axis, a torque is generated on the bridge from the inertia and drag forces of the fuel bundle in the water as the bridge is moved transversely along the guide rails. This skewing torque temporarily slows down travel of one of the two left and right trucks relative to the other. The differential transverse travel between the drive trucks is a function of the inertia and drag of the fuel bundle, its position and trolley position from the center of the bridge, and the velocity and acceleration of the bridge in its transverse motion along the guide rails. Substantially no skewing occurs when the fuel bundle is placed at the center of the bridge, and a maximum amount of skewing occurs when the fuel bundle is placed at either the left or right end of the bridge. The differential transverse travel between the left and right drive trucks is due in part to the structural flexibility of the bridge. It is also due in part to inherent backlash found in the transmissions joining the motor to the drive trucks. The transmissions which include chain and sprocket reduction drive assemblies, gear reduction drive assemblies, and/or drive shafts typically have backlash, or a hesitation or lag before the motor rotates sufficiently to begin rotation o the driven wheel. The differential transverse travel may also be due to any slippage of a driven wheel on the guide rail which would allow the other driven wheel to advance itself relative thereto. In operation of the exemplary chain driven fueling platform described above, such skewing has resulted in up to about 2 feet (0.6 meters) of differential transverse travel between the left and right trucks which has occasionally caused the trucks to bind on the guide rails and, therefore, prevent further travel. To release the trucks from their binding condition, heavy duty equipment was required. Although the second design refueling platform described above is substantially more rigid than the first design, and therefore subject to less differential transverse travel of the drive trucks, it is also more expensive than the first platform and requires more expensive higher rated guide rails. OBJECTS OF THE INVENTION Accordingly, one objective of the present invention is to provide a new and improved drive system for a gantry movable by a pair of drive trucks. Another object of the present invention is to provide a new and improved drive system for a nuclear refueling platform for reducing differential transverse travel between a pair of spaced drive trucks thereof. Another object of the present invention is to provide a new and improved drive system which may be retrofitted into an existing refueling platform for reducing skewing thereof. Another object of the present invention is to provide a drive system being effective for automatically compensating for differential transverse movement between a pair of drive trucks of a gantry. DISCLOSURE OF INVENTION A drive system for propelling first and second drive trucks of a gantry bridge includes first means for driving the first truck, second means for driving the second truck which is independent of the first driving means, and means for controlling the first and second driving means for maintaining differential transverse travel between the first and second drive trucks to less than a predetermined maximum. The controlling means includes a closed-loop first velocity control means for controlling velocity of the first drive truck, a closed-loop second velocity control means for controlling velocity of the second drive truck, and an auxiliary closed-loop travel control means for sensing a difference in travel of the first and second drive trucks and providing a travel error signal for modifying first and second velocity command signals which control velocity of the first and second drive trucks. |
abstract | The invention provides a charged particle therapy system capable of increasing the number of patients treated. An irradiation filed forming apparatus for irradiating a charged particle beam extracted from a charged particle beam generator to an irradiation target includes an RMW device. The RMW device comprises a housing and an RMW disposed within the housing. A rotary shaft of the RMW is rotatably mounted to the housing. The RMW device is detachably installed in an RMW holding member provided in a casing of the irradiation filed forming apparatus. The housing can be placed in contact with the RMW holding member, and hence positioning of the rotary shaft of the RMW to a predetermined position can be performed in a short time. This contributes to cutting a time required for treatment per patient and increasing the number of patients treated. |
|
abstract | A method and device for spectrometry analysis and for extracting a primary diffuse spectrum from a diffusion spectrum of diffuse radiation, according to a diffusion angle, coming from a material exposed to incident radiation through a surface, that includes the application of a spectral response function organized in the form of a matrix (M), known as a correlation matrix, of which each value aij corresponds with a number of detected photons, with energy Ei, constituting the multiple diffuse radiation, when a photon is detected, with energy Ej, of the primary diffuse radiation. |
|
description | This application is a divisional of U.S. patent application Ser. No. 15/874,844, filed Jan. 18, 2018, which is a continuation of PCT/CN2016/091669 filed Jul. 26, 2016, which claims priority to CN 201510446371.7 filed Jul. 27, 2015, all of which are incorporated herein by reference in their entirety. The present invention relates to methods and apparatuses for disposal of nuclear waste. More particularly, it relates to a method and a device for disposing nuclear waste using a deep geological repository. Disposal of nuclear waste has become a huge challenge to nations all over the world, including developed countries. In China, the cumulative amount of nuclear waste liquid has reached tens of thousands of cubic meters (not including solid nuclear waste). With the 400 tons of nuclear waste generated every year in our nuclear power plants, tens of thousands of tons of waste will be added to the cumulative amount by 2020. It is estimated that China will have more than 83,000 tons of nuclear waste in 60 years. This number is even higher than the total nuclear spent fuel of the United States. According to historical records, China has begun to search after geological disposal of nuclear waste since 1986. However, there has been no substantive breakthrough to date. Nuclear waste can be classified by its physical states, and includes three types, namely solid waste, liquid waste, and gaseous waste. Nuclear waste can also be classified by its levels of specific activity, and includes high-level activity, intermediate-level activity, and low-level activity. The strongly radioactive nuclear waste with high-level activity that is extremely harmful to people only takes 1% of the total amount of nuclear waste, so its disposal is not discussed herein. There are two ways to powder nuclear waste. One is high-pressure grinding, and the other is mechanical pulverization with a pulverized particle size between 0.45 to 0.9 mm Currently, nuclear waste is usually disposed using the following ways: I. storing under the seabed; II. freezing; III. storing in shallow buried districts; IV. storing in the astrospace; V. storing in ground sarcophagus; and VI. storing in deep geological repositories or deep holes. These known approaches, however, have the following problems. As to storage under the seabed, contamination of the sea water is unavoidable when the waste is poured into oceanic trenches. In the case of freezing, the frozen nuclear waste is packed in containers and placed into the permafrost in the Arctic Ocean or in other seas. Then the nuclear waste uses its own heat to melt the ice and sinks below the ice, but it is after all present in the sea. Transporting nuclear waste to the astrospace is to date an unimplemented idea from scientists because the consequence of rocket launching fail is underestimatable. While storage of nuclear waste in shallow buried districts involves costly solidification of nuclear waste and dangerous human operation, this is a worldwide recognizable method, and China will not be capable of building such facilities until 2030. With regard to ground sarcophagus, it is actually some thick cement planks covering nuclear waste from above, and this is what used in the Chernobyl Nuclear Power Plant. The last method is to keep nuclear waste in deep geological repositories or deep holes. Whereas deeply drilled holes are limited in volume, deep geological repositories are more capacious and extensively recognized as an effective way to store nuclear waste. This method can be implemented using proper apparatuses and operations without staff involvement, and has the potential to treat more than ten thousands of cubic meters of nuclear waste at the same time for permanent underground storage. At present, there have not been any reports about this disposal method and related apparatuses. In order to solve the problems about the existing nuclear waste disposal practices such as high costs, insecurity, and health hazard to related workers, the present invention provides a method and a device for disposing nuclear waste using a deep geological repository by adopting the following technical schemes. A device for disposing nuclear waste using a deep geological repository comprises a raw material conveyor, a raw material mixer, a liquid waste conveying pipeline, an additive tank, a powder waste conveyor, an output pump, a liquid supply pump, a liquid supply manifold, an output manifold, a mixed liquid conveying pipeline, a high-pressure injection pump, a high-pressure pipeline, a wellhead sealing device, a supply-discharge pump connecting pipe, a first valve, and a second valve. The device is characterized in that: the raw material conveyor is arranged at the left side of the raw material mixer, the raw material conveyor has an output end thereof communicated with a top of the raw material mixer, the liquid waste conveying pipeline has an output end thereof communicated with an upper part of the raw material mixer, the liquid waste conveying pipeline has an input end thereof connected to a liquid waste source, the additive tank is deposited above the raw material mixer, the additive tank has a lower end thereof communicated with the top of the raw material mixer, the powder waste conveyor has an output end thereof communicated with an upper part of the raw material mixer, the liquid supply pump has an input end thereof connected to the liquid supply manifold, the liquid supply pump has an output end thereof connected to the raw material mixer, the output pump has an input end thereof connected to the raw material mixer, the supply-discharge pump connecting pipe is arranged between an output pipeline of the liquid supply pump and an input pipeline of the output pump, the first valve is located on the output pipeline of the liquid supply pump at the left side of the supply-discharge pump connecting pipe, the second valve is located on the supply-discharge pump connecting pipe, the output pump has an output end thereof connected to an input end of the output manifold, the output manifold has an output end thereof connected to an input end of the mixed liquid conveying pipeline, the mixed liquid conveying pipeline has an output end thereof connected to an input end of the high-pressure injection pump, the high-pressure injection pump has an output end thereof connected to an input end of the high-pressure pipeline, and the wellhead sealing device is located at a terminal of the high-pressure pipeline. The method for disposing nuclear waste using a deep geological repository of the present invention comprises the following steps: Step I. drilling a well down to the granite stratum; Step II. forming a fracture in the granite stratum using the foregoing device by injecting liquid into the underground granite stratum through the liquid supply manifold of the device, the liquid supply pump, the supply-discharge pump connecting pipe, the output pump, the output manifold, the mixed liquid material conveying pipeline, the high-pressure injection pump, the high-pressure pipeline and a conveying pipeline in the well, with the high-pressure injection pump set at 40 to 140 MPa/cm2, so as to form the fracture in the granite stratum, wherein during this step the first valve is closed and the second valve on the supply-discharge pump connecting pipe is opened; Step III. after Step II of forming fracture is completed, weighing 2 to 4 parts of polyacrylamide, 45 to 55 parts of cementing cement, 1.5 to 2.5 parts of a profile control agent, 2 to 8 parts of quartz sand, 0.5 to 1.5 parts of a high-temperature resistant reagent, 20 to 30 parts of a radioactive substance, 0.5 to 5 parts of a cement retardant or 2 to 5 parts of a coagulant, and 30 to 60 parts of water; Step IV. using the device, sending the quartz sand and the cementing cement of Step III through the raw material conveyor of the device to the raw material mixer of the device, sending the radioactive substance (nuclear waste) through the powder waste conveyor or the liquid waste conveying pipeline to the raw material mixer of the device, sending the profile control agent, the high-temperature resistant reagent, the cement retardant, and the coagulant through the additive tank of the device to the raw material mixer, sending the water through the liquid supply manifold by the liquid supply pump to the raw material mixer, and mixing uniformly to form a sand-carrying feed liquid, wherein during this step, the first valve is opened, and the second valve on the supply-discharge pump connecting pipe is closed; Step V. sending the sand-carrying feed liquid mixed in the Step IV by the output pump of the device to the output manifold and then to the mixed liquid material conveying pipeline through the output manifold; and Step VI. injecting the sand-carrying feed liquid in the mixed liquid material conveying pipeline in Step V to the fracture in the underground granite stratum by the high-pressure injection pump through the high-pressure pipeline, and the conveying pipeline in the well, with the injection pressure of the high-pressure injection pump set at 30 to 70 MPa, so that water in the fracture of the granite stratum expands in a horizontal direction of the stratum under the effect of the pressure of the sand-carrying feed liquid, and the sand-carrying feed liquid stays in the fracture of the granite stratum for solidification, and after disposal the wellhead is sealed by wellhead cementing concrete, thereby permanently storing the nuclear waste in the fracture of the underground granite stratum and achieving the purpose of effective disposal to the nuclear waste. The disclosed method and device for disposing nuclear waste using a deep geological repository of the present invention require no anti-nuclear radiation measures, and the device is compressed and buried after one-time use. The device only requires automated control and robotic operation, and thus eliminated the problem about possible radioactive hazard to workers. Disposal using the present invention has the advantages of a low cost, simple device structure, high practicability, and high disposal efficiency. The disclosed method can dispose ten thousands of cubic meters of nuclear waste and store them permanently underground with only one hundredth or thousandth cost as compared to the prior art. Moreover, it is safe and reliable, and effectively reduces contamination and hazards to the environment caused by nuclear waste. The present invention is applicable to both powder nuclear waste and liquid nuclear waste. In FIG. 2, 18 denotes the conveying pump connecting end of the powder waste conveyor. In FIG. 3, 20 represents the ground layer; 21 represents the conveying column; 22 represents a rock stratum; 24 represents the granite stratum; and 25 represents the gelatinized nuclear waste. As shown in FIG. 1 and FIG. 2, the device of the present embodiment comprises: a raw material conveyor 1 for sending fracture-forming sand and wellhead cementing concrete to a mixer described below, a fracture-forming liquid and gel raw material mixer 2 for mixing and stirring gel materials, a liquid waste conveying pump 3 for delivering liquid nuclear waste into the raw material mixer, an additive tank 4 for feeding additives into the raw material mixer 2, a powder waste conveyor 5 for delivering powder nuclear waste into the raw material mixer, an output pump 6 for sending the fracture-forming liquid and the gel mixture to the high-pressure injection pump, a liquid supply pump 7 for sending liquid materials required for the fracture-forming liquid and the gel mixture into the raw material mixer, a liquid supply manifold 8 for sending various kinds of liquid required in the process to the liquid supply pump, an output manifold 9 for outputting the mixed fracture-forming liquid and the gel mixture to the high-pressure injection pump, a mixed liquid conveying pipeline 10, a high-pressure injection pump 11 for injecting the fracture-forming liquid and the gel mixture into the granite stratum, a high-pressure pipeline 12, a wellhead sealing device 13, and a supply-discharge pump connecting pipe 14. The raw material conveyor 1 is arranged at the left side of the raw material mixer 2. The raw material conveyor 1 has its output end communicated with the top of the raw material mixer 2. The liquid waste conveying pipeline 3 has its output end communicated with the upper part of the raw material mixer 2. Multiple liquid waste conveying pipelines 3 may be designed depending on the amount of the input liquid nuclear waste. The liquid waste conveying pipeline 3 has its input end connected to the liquid waste source. The additive tank 4 is located above the raw material mixer 2. The additive tank 4 has its lower end communicated with the top of the raw material mixer 2. The powder waste conveyor 5 has its input end connected to the nuclear waste truck. The powder waste conveyor 5 has its output end communicated with the upper part of the raw material mixer 2. The liquid supply pump 7 has its input end connected to the liquid supply manifold 8. The liquid supply pump 7 has its output end connected to the raw material mixer 2. The output pump 6 has its input end connected to the raw material mixer 2. The supply-discharge pump connecting pipe 14 is located between the output pipeline of the liquid supply pump 7 and the input pipeline of the output pump 6. A first valve 19-1 is arranged on the output pipeline of the liquid supply pump 7 at the left side of the supply-discharge pump connecting pipe 14, and a second valve 19-2 is arranged on the supply-discharge pump connecting pipe 14. The output pump 6 has its output end connected to the input end of the output manifold 9. The output manifold 9 has its output end connected to the input end of the mixed liquid conveying pipeline 10. The mixed liquid conveying pipeline 10 has its output end connected to the input end of the high-pressure injection pump 11. The high-pressure injection pump 11 has its output end connected to the input end of the high-pressure pipeline 12. A wellhead sealing device 13 is arranged at the terminal of the high-pressure pipeline 12. The wellhead sealing device 13 uses a full-sealing, semi-sealing or self-sealing blowout-preventing gate. The high-pressure pipeline 12 has its terminal communicated with a conveying pipeline in the well. As shown in FIG. 1 and FIG. 2, in the present embodiment, the liquid supply manifold 8 is provided with a liquid supply hole 15 that is connected to a liquid source through a pipeline. As shown in FIG. 1 and FIG. 2, in the present embodiment, the raw material conveyor 1 and the powder waste conveyor 5 are each a screw-type conveyor. As shown in FIG. 1 and FIG. 2, in the present embodiment, the powder waste conveyor 5 and the liquid waste conveying pipeline 3 have their input ends connected to the conveying pump connecting end 17 and the conveying pump connecting end 16, respectively. As shown in FIG. 1 and FIG. 2, in the present embodiment, the device is a vehicle-mounted type for convenient mobilization, and the power source is a diesel engine, while the high-pressure injection pump is driven by a shaft. In the present embodiment, the method for disposing nuclear waste using a deep geological repository comprises the following steps: Step I. drilling a well down to the granite stratum and sampling the granite stratum; Step II. forming a fracture in the granite stratum using the device by injecting liquid into the underground granite stratum through the liquid supply manifold 8 of the device, the liquid supply pump 7, the supply-discharge pump connecting pipe 14, the output pump 6, the output manifold 9, the mixed liquid material conveying pipeline 10, the high-pressure injection pump 11, the high-pressure pipeline 12 and a conveying pipeline in the well, with the high-pressure injection pump 11 set at 40 to 140 MPa/cm2, so as to form the fracture in the granite stratum, wherein the pressure is set according to the density of the granite stratum, and during this step the first valve is closed and the second valve on the supply-discharge pump connecting pipe is opened; Step III. weighing 2 to 4 parts of polyacrylamide, 45 to 55 parts of cementing cement, 1.5 to 2.5 parts of a profile control agent, 2 to 8 parts of quartz sand, 0.5 to 1.5 parts of a high-temperature resistant reagent, 20 to 30 parts of a radioactive substance (the nuclear waste), 0.5 to 5 parts of a cement retardant (for prolonging the coagulating time) or 2 to 5 parts of a coagulant (for shortening the coagulating time), and 30 to 60 parts of water; Step IV. using the foregoing disposal device, sending the quartz sand and the cementing cement of Step III through the raw material conveyor 1 of the device to the raw material mixer 2 of the device, sending the radioactive substance (the nuclear waste) through the powder waste conveyor 5 or the liquid waste conveying pipeline 3 to the raw material mixer 2 of the device, sending the profile control agent, the high-temperature resistant reagent, the cement retardant, and the coagulant through the additive tank 4 of the device to the raw material mixer 2, and sending liquid through the liquid supply manifold 8 by the liquid supply pump 7 to the raw material mixer 2 and mixing uniformly, wherein during this step the first valve 19-1 is opened, and the second valve 19-2 on the supply-discharge pump connecting pipe 14 is closed; Step V. sending the sand-carrying feed liquid mixed in Step IV by the output pump 6 of the device to the output manifold 9, and then to the mixed liquid material conveying pipeline 10 through the output manifold 9; Step VI. injecting the sand-carrying feed liquid in the mixed liquid material conveying pipeline 10 in Step V to the fracture in the underground granite stratum by the high-pressure injection pump 11 through the high-pressure pipeline 12 and a conveying pipeline in the well, wherein the injection pressure of the high-pressure injection pump 11 is 30 to 70 MPa, so that water in the fracture of the granite stratum expands in a horizontal direction of the stratum under the effect of the pressure of the sand-carrying feed liquid, and the sand-carrying feed liquid stays in the fracture of the granite stratum for solidification, and after disposal the wellhead is sealed by wellhead cementing concrete, thereby permanently storing the nuclear waste in the fracture of the underground granite stratum, and achieving the purpose of effective disposal to the nuclear waste. Different from Embodiment VI, the present embodiment has an active agent added into the fracture-forming liquid in Step II, wherein the active agent is composed of a surfactant and oxalic acid in a ratio of 4.5 to 5:1 to 1.5%, and the surfactant is linear alkylbenzene sulfonate, tetrapropylene benzene sulfonate, dioctyl sulfosuccinate, sodium dodecyl benzene sulfonate, or sodium stearyl sulfate. The adding amount of the active agent is 2 to 3.5% of the water. The fracture-forming liquid containing the active agent is named as an active water, whose functions are lowering the surface tension of the fracture-forming liquid and promoting moistness, permeation and dispersedness. The present embodiment provides further limitations to Embodiment VI by specifying that when the nuclear waste in Step III is powder waste, the liquid waste conveying pipeline 3 of the device is closed; and when the nuclear waste is liquid waste, the powder waste conveyor 5 of the device is closed. Different from Embodiment VI, in the present embodiment, weighing in Step III includes weighing 3 parts of polyacrylamide, 50 parts of cementing cement, 2 parts of the profile control agent, 5 parts of the quartz sand, 1 part of the high-temperature resistant reagent, 25 parts of the radioactive substance (the nuclear waste), 3 parts of the cement retardant (for prolonging the coagulating time) or 3.5 parts of the coagulant (for shortening the coagulating time) and 45 parts of water, and in Step VI the injection pressure of the high-pressure injection pump 11 is 50 MPa. In the present embodiment, the profile control agent serves to enhance resistance to high temperature and high pressure and improve the stability of concrete. In the present embodiment, the profile control agent, the high-temperature resistant reagent, the cement retardant and the coagulant are all preparations usually used in cement applications. In the present embodiment, the packer 23 is a rubber-metal structure packer 23 that is usually used for hydraulic fracturing in petroleum applications, and the spray applicator 26 is a spray applicator 26 that is usually used for hydraulic fracturing in petroleum applications. In the present embodiment, the device is made of high-pressure steel. In the present embodiment, remote computer-assisted automated control and robotic operation are sufficient to operate the device. The ratio of the added polyacrylate ammonium, quartz sand, chemical additives, wellhead cementing cement and liquid to the nuclear waste is 10 cubic meters: 1 cubic meter. The present invention has been described with reference to the embodiments and it is understood that the embodiments are not intended to limit the scope of the present invention. Moreover, as the contents disclosed herein should be readily understood and can be implemented by a person skilled in the art, all equivalent changes or modifications which do not depart from the concept of the present invention should be encompassed by the appended claims. |
|
046648690 | description | DESCRIPTION OF SOME ARRANGEMENTS OF THE PREFERRED METHOD To facilitate a description of the preferred arrangement of the method steps of the invention, reference is first made to FIGS. 1 and 2 of the drawing in order to explain one type of suitable apparatus that can be used for practicing the invention. A first necessary step of the disclosed method is to provide a suitably irradiated body of fertile heavy metal such as thorium-232 or uranium-238. A variety of different suitable conventional particle acceleraters may be used as a source of irradiation to achieve that desired end. One such suitable source is illustrated schematically in FIG. 1, as a cyclotron beam pipe 1 that is operable to accelerate protons (P) at predetermined energies. In one prototype implementation of the subject invention, the alternating gradiant synchrotron cyclotron that is presently in operation at Brookhaven National Laboratory, Upton, N.Y. was used successfully to accelerate protons through a beam line output pipe and associated collimators, of the type illustrated schematically in FIG. 1. Such a mode of operation is indicated at the left side of the beam pipe 1 in FIG. 1, by an arrow labeled P, which represents a beam of protons that have been accelerated by the cyclotron, in a well-known manner, in the direction of the arrow. Positioned adjacent to the outlet end of the beam pipe 1 is a body of target material 2 formed of a .sup.232 Th. (Alternatively, as more fully explained below, in some applications of the method uranium-238, or other fertile heavy metal, may be used for the target material 2). In order to focus the proton beam P for appropriate impingement on the body of target material 2, there is mounted in suitable conventional fashion adjacent to the outlet end of the beam pipe 1 an isolating insulator 3 that supports water cooled collimator 5 for the output beam line P. An optional suitable conventional foil 6, which may be formed of Dural metal or other conventional material, is mounted in space relation to the collimator 5 by a suitably apertured insulator 7, in a manner well known to those skilled in the cyclotron field. A second water-cooled collimator 8, which has a central aperture of a desired predetermined diameter, is used to further focus the beam P and to conduct heat from an aluminum window 9. It should be understood that the arrows shown in FIG. 1, respectively adjacent to collimators 5 and 8, represent a suitable coolant, such as water, that is circulated through tubes in the collimators. The window 9 is used to protect the accelerator vacuum should there be degradation of the target and to shield the vacuum from the helium cooling gas. This window is positioned between the collimator 8 and a helium (He) cooled chamber 10. The proton beam outlet assembly also includes a larger evacuated chamber 11, positioned between the Dural foil 6 and the collimator 8. An annular collar 12 is secured over the target material 2 for the purpose of holding it tightly in place within a holder assembly 13 while the target is being irradiated by proton particles. The size of the body of target material 2 may vary from a gram or so up to a few kilograms of the selected fertile metal. When larger targets are used, a suitable conventional target holder assembly 13, which is preferably water cooled by passing coolant through pressure tubes tightly packed with the target material, is used to appropriately remove heat from the target during its bombardment with protons. However, in one preferred prototype arrangement of the method of the invention, the target material 2 comprised a body of about one gram of .sup.238 Th, which was simply wrapped in a foil of aluminum, which foil was about 1 mil thick and was not chilled by using a liquid coolant. The purpose of the aluminum foil was to prevent loss of fissionable material. It will be recognized that other suitable conventional target assemblies, and related sources of proton irradiation, may be used, in practicing modifications of the method of the invention. In order to best practice the next step of the method, which comprises a novel one-step chemical distillation and collection procedure, a suitable type of apparatus is shown in FIG. 2, as such an apparatus was assembled into a working system to successfully demonstrate operability of the invention. The novel arrangement of apparatus shown in FIG. 2 comprises a still 14 that is formed of a lower vessel 14A, an exhaust stem 14B, and an inlet tube 14C. All of those components may be formed of a conventional Pyrex glass, stainless steel or other suitable non-corrosive material. The inlet tube 14C is coupled through a suitable conventional on-off valve 15 to a source of helium gas (represented by the He symbol and arrow in FIG. 2). In addition, the inlet tube 14C is connected, as shown, through another suitable conventional on-off valve 16 to a Pyrex glass container 17, that contains a mixture 17A of hydrochloric acid (HCl), nitric acid (HNO.sub.3) and hydrofluoric acid (HF). In the preferred arrangement being described, a mixture of about ninety percent HCl, about ten percent HNO.sub.3 and a trace of HF acid is used; however, it will be recognized that other ratios may be used in practicing the invention in applications where more time is available for dissolving the target material. The upper end of the container 17 is provided with an aperture stopper 17B that supports an inlet tube 18, and an outlet tube 18A for introducing helium from a suitable source (also designated He) into container 17, and then removing it from the container. As will be more fully explained below, the source of helium gas that is connected to the inlet tube 18 is used to selectively force the mixture of acids 17A through the valve 16 (when it is opened), into the inlet tube 14C, while the exhaust tube 18A is used to vent surplus helium out of container 17. At the bottom of distillation vessel 14A, there is illustrated a body of partially dissolved residue 19, which is the .sup.232 Th irradiated target material placed there in practicing the invention, as will be more fully explained below in connection with the operation of the method of the invention. In describing the remainder of the apparatus and assembled system illustrated in FIG. 2, it should be understood that the illustrated tubing or piping used to inter-connect the respective components, which are specifically identified below with reference numbers, may be formed of any suitable conventional glass or stainless steel material. Such conventional connecting means are provided with precision-ground-glass or other fluid-tight connecting surfaces that are effective to form the necessary fluid-type system, as is well known in the art. Accordingly, not all of the inter-connected tubes or pipettes are identified by reference numerals herein. Those skilled in the art will also recognize that the toxic and corrosive nature of the acids and radio-active gases that are handled by the system in practicing the method of the invention require the use of Pyrex glass or other suitable material that is capable of safely confining such materials, without chemically interacting with them. Likewise, the internal diameters of the selected tubes or pipettes must be sufficient to maintain the desired flow rates (described below), that are used in practicing the method of the invention. A body of granular calcium chloride (CaCl.sub.2) 20 is positioned downstream from the still 14 in order to dry the gases that are discharged from the still when the subject invention is practiced. Preferably the CaCl.sub.2 is retained in a readily removable pipette section, in any well known manner, as shown in FIG. 2. Similarly, a body of granular Ascarite material 21 is positioned downstream from the still 14 to absorb any nitrous oxide (N.sub.2 O) gas or other oxides of nitrogen that may be discharged from the still. A suitable conventional silver mesh trap 22, having a piece of silver mesh 22A housed therein in the usual fashion, is provided with its inlet connected to receive gas discharged from the still 14, as shown in FIG. 2, and with its discharge end connected to a second trap 23. A body of activated carbon 23A is disposed in the lower portion of trap 23. A suitable conventional coolant-retaining jacket 24 is disposed around the silver-mesh trap 22, and is partly filled with ice water 24A, to maintain the silver mesh 22A at about 0.degree. C., for the purpose of the present invention that is described below. Another similar conventional coolant-retaining glass jacket 23B is positioned around the second trap 23, and is selectively supplied through an on-off valve 25 with liquid nitrogen, or other suitable coolant, from a conventional source (designated by the N and two-headed arrow in FIG. 2). As explained below, the liquid nitrogen N is used to cold trap 23 to about -196.degree. C. during one phase of the subject method. A pair of on-off valves 26 and 27 are used in the method of the invention to control the flow of gases into and out of the second trap 23. Downstream from the second trap 23, a pair of three-way valves 28 and 29 are connected by conventional tubing, as shown in FIG. 2, to selectively control the flow of gas from the second trap 23 to a storage container 30, and to control the application of vacuum pressure from a suitable conventional source (designated VAC, and with an arrow in FIG. 2) connected by the tubing at the upper end of valve 29. The valves 28 and 29 are also used to control the flow of gas from the second trap 23 into a suitable conventional chromatographic gas separator 31 and associated detector 32, which is used in the method of the invention in a manner that is explained below. The storage container 30 is provided with a coolant-retaining jacket 30A, which is connected through an on-off valve 33 to a suitable source of liquid nitrogen (designated N), as shown in FIG. 2. The tubular outlet 31A of the chromatographic separator 31 is connected, as shown by the dashed line in FIG. 2, to a three-way valve 34, which has one of its ports connected through a suitable Pyrex glass tube 35 containing another silver mesh trap 36, arranged as shown in FIG. 2. The U-shaped portion of trap 36 houses a body of silver mesh 36A, while a conventional coolant-retaining container 36B holds ice water 36C that chills the trap to about 0.degree. C. while the method of the invention is being practiced with the apparatus. The opposite end of the tube 35 is connected to a suitable conventional absorber, or other discharge absorbing chamber 37, thereby to provide means for disposing of surplus or waste materials resulting from operation of the method of the invention. The illustrated system further includes three appropriately cooled additional collecting chambers 38, 39 and 40, which are connected in series by associated conventional tubing or pipettes, as illustrated in FIG. 2. A pair of threeway valves 41 and 42 are positioned to in the tubing connecting the chamber 38, as shown, to selectively control the introduction of gas from the chromatographic separator 31 into the chamber 38. Alternatively, the values 41 and 42 control a supply of cleaning solvent that can be flushed into chamber 38 from a suitable source (designated SOL in FIG. 2) through a solvent supply tube shown connected to the left side of valve 41. A conventional coolant-retaining jacket 38A is positioned around the chamber 38 and is supplied through an on-off valve 38D from a conventional source of liquid nitrogen (designated N in FIG. 2). The three-way valve 42 is operable to either discharge gas through another three-way valve 43 into the tube 35, or to connect the chamber 38, through a third silver trap 44, to yet another three-way valve 45, thereby to enable operation to the one-step chemical procedure of the method of the invention, in the manner that is described below. The second collecting chamber 39 is also surrounded by a conventional coolant-retaining jacket 39A which is supplied with liquid nitrogen, through the on-off valve 46, from a conventional source (designated N) of such nitrogen, or other suitable coolant. The second collecting chamber 39 is connected through threeway valves 47 and 48, as shown, to the tube 35. Alternatively it may be connected through the three-way valve 47 and on-off valve 49 to the third collecting chamber 40. Chamber 40 also is surrounded by a coolant-retaining jacket 40A, which is supplied through on-off valve 50 from a suitable source of liquid nitrogen (designated N, in FIG. 2). Finally, the third collecting chamber 40 is connected, as shown, through a three-way valve 51 and an on-off valve 52 to the tube 35 and absorber 37. Alternatively, the three-way valve 51 may be used to discharge solvent flushed through the chamber 40 into a suitable discharge tube (designated SOL.DIS, in FIG. 2). Now that one form of apparatus and system suitable for practicing the invention, as shown in FIGS. 1 and 2, have been described, some preferred arrangements of the process steps of the method of the invention will be explained in order to enable those skilled in the art to efficiently prepare about equal amounts of .sup.211 Rn and .sup.125 Xe in a storage container, while in the same one-step chemical procedure preparing about equal amounts of .sup.211 At and .sup.123 I in a separate collection chamber. Subsequently, it will be explained how a system such as that shown in FIG. 2 can also be operated in a one-step chemical procedure to prepare about equal amounts of .sup.211 Rn and .sup.125 Xe, without collecting the radionuclides .sup.211 At and .sup.123 I. To practice the first preferred arrangement of the method of the arrangement, a suitable predetermined body of thorium (.sup.232 Th), as shown by the target body 2 in FIG. 1, is mounted as a target for proton (P) irradiation from the cyclotron beam pipe 1, as illustrated in FIG. 1. The irradiating protons must be supplied from the accelerator with at least two GeV energy, and to optimally irradiate the target in a most preferred arrangement of the method of the invention, which produces desirably high yields of .sup.125 Xe and .sup.211 Rn, the proton energy is maintained at about 28.5 GeV for about 15 hours of irradiation. Uranium (.sup.238 U) can be used, in alternative arrangements of the invention, for the body of target material 2 shown in FIG. 1, provided that in such a case the irradiating protons (P) are supplied from the accelerator beam pipe 1 at an energy of at least three GeV, for about 15 hours of irradiation. Immediately after the 15 hours of irradiation, the body of thorium 2 is placed in a suitable vessel, such as the still 14 shown in FIG. 2, and dissolved in a selected mixture of acids. With the system shown in FIG. 2, after the body of irradiated thorium target material is positioned in the still (the partially dissolved target material is designated 19), 14, valve 16 is opened and helium gas is supplied at a predetermined relatively low pressure through tube 18 to force the mixture of acids 17A from the container 17 into the vessel 14A of the still. The mixture of acids 17A used in the preferred arrangement of the method of the invention comprises about equal molar percentages of concentrated hydrochloric acid (HCl) at and concentrated nitric acid (HNO.sub.3), and a trace of hydrofluoric acid (HF). Other concentrations of acid mixtures may be used in alternative arrangements of the method of the invention, but it will be recognized that the target material disillusion time will be changed as a consequence. Due to the quite similar half-lives of .sup.211 Rn and .sup.125 Xe, respectively, 14.8 hours and 16.8 hours, the ratio of yields and the constant radionuclidic purity of those elements achieved by bombarding the body of thorium target material with protons has been found to be about equal. The lower limit of the effective cross section of .sup.211 Rn and .sup.125 Xe from such a 15 hour proton bombardment of the aluminum foil encased body of thorium target material 2 was determined for about 28 GeV protons to be about 0.72.+-.0.1 mb and 1.0.+-.0.1 mb, respectively. The slight difference in the effective cross sections compensate for the small differences in the half-lives of .sup.211 Rn and .sup.125 Xe. The advantages of the initial irradiation step of the method of the invention will be better understood when it is recognized that useful ratios of .sup.211 Rn and .sup.125 Xe cannot be effectively produced by conventional photospallation of .sup.232 Th, because the cross section of production of .sup.211 Rn is at least 100 times smaller than for proton activation of .sup.232 Th. The fission cross section for production of .sup.125 Xe is almost the same order of magnitude as proton activation of .sup.232 Th. Therefore, the mixture of these two gases produced by a photospallation of .sup.232 Th has been found to be practically useless when it is desired to prepare about equal amounts of those radionuclides. In the method of the invention, the irradiated thorium target material (partly dissolved at 19) is dissolved in the vessel 14A at about normal room or ambient temperature. The valve 16 is then closed, and the valve 15 is opened to supply helium carrier gas from the designated source (He), at about one atmospheric pressure and at a flow rate of a few milliliters (ml) per minute. It should be understood as the description of the method of the invention proceeds that faster flow rates of the carrier gas will change the rate of collection of the xenon and radon radioactivities as they are prepared by practicing the method. The helium carrier gas forces the xenon and radon radioactives through the granular calcium chloride 20 and granular Ascarite 21, which respectively are effective to dry the gases and to stop oxides of nitrogen (N.sub.x O) from passing into the rest of the system. Subsequently, the helium carrier gas and entrained radioactivities are passed through the 10 to 20 grams of silver mesh 22A in chilled trap 22 to eliminate radiohalogens. The silver mesh trap 22 is cooled to about 0.degree. C. by a bath of ice water 24A supplied in container 24 from a conventional source. Such a reduced temperature is required due to the high vapor pressures of the entrained astatine compounds. As indicated above, the relatively low flow rate of the helium carrier gas is particularly important at this point in the system, in order to maintain the operating efficiency of the chilled silver trap 22. The valves 26 and 27 are open during this phase and valves 28 and 29 are positioned to connect the second trap 23 through open discharge valve 29A to a suitable carrier gas discharge (designated DIS He in FIG. 2). The body of activated charcoal 23A in the second trap 23 is cooled to about -196.degree. C. by providing a bath of liquid nitrogen in the coolant-retaining sleeve 23B. Such coolant is selectively supplied through the valve 25 from the source designated N. It should be understood that the temperature of the activated charcoal 23A may be variable in given applications of the method of the invention but, obviously, the second trap 23 must be maintained at a temperature less than the boiling point of Xe (-107.degree. C.) in order to achieve the objectives of the invention. It will also be apparent that coolant means other than liquid nitrogen may be used, for example liquid oxygen or other materials can be used in given applications where resultant risk of explosions, or other associated risks, are acceptable. Similarly, although activated charcoal 23A is used in the second trap in describing the preferred method of the invention, other suitable materials which provide similar collecting surfaces, such as commercially available silica gel, etc, may be used in the method of the invention for collecting and subsequently releasing the desired Xe and Rn radionuclides, while appropriately entrapping or retaining At and I radioactivites, according to the method of the invention. The flow of helium carrier gas supplied through valve 15 is maintained until essentially all of the radioactive gases have been transferred from vessel 14A into the first and second traps 22 and 23. The time interval for such transfers will vary depending on the size of the body of irradiated thorium material 2 (or 19) that is dissolved in the distillation vessel 14A. For a one gram target of .sup.232 Th, the flow of helium gas will achieve that objective in a few minutes. When that transfer of the radioactivities has taken place, the supply of helium carrier gas is discontinued by closing valve 15. Then, discharge valve 29A is closed and the three-way valve 29 is moved to connect the second trap 23 to a source of vacuum (designated VAC, in FIG. 2), which is maintained at about 10.sup.-4 TORRS pressure. The second trap 23 is warmed to about ambient temperature, by using a pump or other suitable conventional means for removing liquid nitrogen from the coolant-retaining sleeve 23B, through valve 25, to return the nitrogen to the source N. Alternatively, value 25 may simply be closed, and the liquid nitrogen can be discharged from the container 23B, through a suitable spigot (not shown). Such heating of the activated carbon 23A is effected after the three-way valve 29 is again moved to thereby connect the second trap 23 to the chromatographic separator 31. It should be understood that a vacuum pressure is maintained on the second trap 23, although it is now disconnected from the vacuum pump or other source of vacuum (VAC) shown in FIG. 2. Consequently, due to the heating of the activated charcoal 23A and the existing vacuum pressure, the radionuclides .sup.211 Rn and .sup.125 Xe are vacuum transferred, absent any helium carrier gas, into the chromatographic separator 31. In operating the system shown in FIG. 2, an operator monitoring the radiation detector 32 that is associated with separator 31, first separates the radio-xenons (.sup.123 Xe and .sup.125 Xe) by conventional use of the molecular sieve or other chromatographic separator 31, so that the xenons are passed through suitably positioned valves 34 and 41 and are stored in first collecting chamber 38. When the operator monitoring detector 32 determines that essentially all of the radio-xenons (.sup.123 Xe and .sup.125 Xe) have passed, he adjusts the valves to collect the radon activities .sup.210 Rn and .sup.211 Rn in second collection chamber 39. Valve 34 is moved to direct flow to valve 43, which is positioned to direct flow through valve 45 to the second chamber 39. In that phase, valves 47 and 48 are positioned to permit carrier gas to be discharged from second chamber 39 to the absorber 37. Thus, .sup.210 Rn and .sup.211 Rn are collected in the second collecting chamber 39. Now, about 10 to 15 hours is allowed to pass so that essentially all of the .sup.210 Rn in chamber 39 decays to .sup.210 At which is undesirable activity. During that same decay period, the .sup.123 Xe in first collecting chamber 38 decays to .sup.123 I. The optimum decay time allowed in a given application of the method will depend upon the desired final radionuclidic purity requirements. About 7 hours of decay time will be optimum for .sup.123 I. Subsequent to the decay period, the valves are adjusted so that the pure .sup.211 Rn (free of .sup.210 Rn) in chamber 39 can be transferred to the first collecting chamber 38 where .sup.211 Rn decays to .sup.211 At. Then, transfer the .sup.125 Xe to the third collecting chamber 40. The radiohalogen (.sup.210 At) formed in the second collecting chamber 39 is prevented from entering the first chamber 38 during this transfer, by passing the gases from chamber 39, through three-way valve 45, into silver trap 44 which is maintained at about 0.degree. C. (in the manner described above). Again, the gas pressurizing means for moving the radioactivities from second collecting chamber 39 to first chamber 38 are supplied by adjusting the valves of the system to cause He to flow through valve 34, trap 36 and valve 48 into second chamber 39. Gas leaving chamber 39 flows through valve 45, trap 44 and valve 42 into first collecting chamber 38, from which it is discharged through valve 41 to a suitable discharge port (designated He, with a discharge arrow, in FIG. 2). Next, the .sup.211 Rn now in chamber 38 is allowed to decay to .sup.211 At for about 5 to 10 hours. The .sup.123 Xe in first chamber 38 is allowed to decay for about 7-15 hours to .sup.123 I. The optimum decay time will depend upon the desire final radionuclidic purity requirements in given applications. About 7 hours has been found optimum for the preparation of .sup.123 I. After the desired decay of .sup.123 Xe, any .sup.125 Xe and any remaining .sup.211 Rn in the first chamber 38, .sup.123 Xe and .sup.125 Xe are separated from .sup.211 At and the .sup.123 I therein and are transferred for storage into the third chamber 40. For that purpose the flow of He is through valves 34 and 41, into chamber 38, then through valves 42 and 43, through trap 36 and valves 48 and 49 into chamber 40. At this point in the practice of our method, about equal volumes of the radio activities .sup.211 Rn and .sup.125 Xe are present in the third chamber 40. Accordingly, those radio activities can be removed from the chamber 40 by any suitable conventional means and used for dual labeling of compounds or for any other desired use thereof. The .sup.123 I and .sup.211 At present in the first collecting chamber 38 can be extracted by conventional means from the chamber 38 for use in the synthesis of double-labeled radiopharmaceuticals, or for any other desired application. Now that a first arrangement of the one-step chemical procedure of the method of the invention has been described, an alternative arrangement will be explained whereby the type of apparatus shown in FIG. 2 can be used to prepare a mixture of about equal amounts of the radionuclides .sup.211 Rn and .sup.125 Xe, without bothering with the collection of other radioactivities. The first step in this modification of the invention is the same as that described above; namely, a selected body of .sup.232 Th or .sup.238 U material is irradiated with protons, as described above with reference to the system shown in FIG. 1. A critical difference in this modified form of the invention is that the body of target material 2 is allowed to decay for at least 15 hours, following its irradiation, before it is dissolved in the vessel 14A of still 14. That delay is critical in order to obtain high radionuclidic purity .sup.211 Rn and .sup.125 Xe. After 15 hours decay, the body of target material is dissolved in the dissolution vessel 14A, by subjecting it to the type of acid mixture described above. After the dissolution of the target material 19 is completed, a relatively low flow rate of helium carrier gas is admitted through valve 15 to carried the .sup.211 Rn and .sup.125 Xe radionuclides through the calcium chloride drying material 20 and the Ascarite filter 21 and into the chilled silver mesh trap 22. As explained above, the silver trap 22 is effective to eliminate any radiohalogens, such as .sup.211 At and .sup.123 I, from the carrier gas and the other entrained radioactivities. As that gas mixture passes into the second trap 23, which is chilled to about -196.degree. C. by the liquid nitrogen supplied to the coolant-retaining sleeve 23b from source N, the .sup.211 Rn and .sup.125 Xe radionuclides are collected on the activated charcoal 23A in the second trap 23. After all of those radionuclides have evolved from the dissolved target material 19, the flow of helium gas is discontinued by closing the valve 15 and the carrier gas discharge valve 29A. Then, the three-way valve 28 is adjusted to connect the output of the second trap 23 to a storage vessel 30, through an on-off valve 30B, which is placed in its open position. In order to heat the radionuclides trapped in the activated carbon 23A above their boiling point, liquid nitrogen is exhausted from the coolant-retaining sleeve 23B and returned through valve 25A to the source N of liquid nitrogen or otherwise disposed of, as explained earlier. After the temperature of the activated charcoal 23A has risen to at least the boiling point of Xe (-107.degree. C.) that gas flows into the chamber 30, and when the temperature of the activated charcoal 23A is raised to at least the boiling point of Rn (-62.degree. C.) that gas is also collected in the storage vessel 30. As explained above, due to the closely related half-lives of the radionuclides .sup.211 Rn and .sup.125 Xe, about equal amounts of these radionuclides will be stored in the vessel 30, following this operation of the method of the invention. Vacuum transfer of the radionuclides from the second trap 23 to the storage vessel 30 may be facilitated in the manner more fully explained above, by application of vacuum pressure through three-way valve 29, from vacuum source (VAC), if necessary to achieve complete transfer of those gases. The storage vessel 30 is maintained at about -196.degree. C. to facilitate storage of the radionuclides therein. Liquid nitrogen may be introduced through on-off valve 33 from a suitable source N, into coolant-retaining sleeve 33A, for that purpose. Of course, the approximately equal amounts of the radionuclides .sup.211 Rn and .sup.125 Xe, thus stored in the mixture in vessel 30, may subsequently be used for any desired application, such as those discussed above with respect to the first preferred form of the method of the invention. From the foregoing description of the invention, it will be apparent to those skilled in the art that various further alternative arrangements of the inventive method, and alternative system arrangements, may be practiced, based upon the disclosure presented herein. Accordingly, it is our intention to encompass the true scope of the invention within the limits of the following claims. |
abstract | A reactor pressure vessel includes a reactor pressure vessel body, a nozzle structure connected to the reactor pressure vessel body, a conduit structure connected to the nozzle structure, and a restraint device attached around a portion of the conduit structure. The restraint device includes collar parts that have cross sections corresponding to respective segments of a periphery of the portion of the conduit structure, brackets attached to the nozzle structure, and rods connecting the brackets to the collar parts. The collar parts are connected end-to-end to each other such that a cross section of the collar parts connected to each other corresponds to the periphery of the portion of the conduit structure. The collar parts are pinned to each other. The brackets spaced apart from each other around a periphery of the nozzle structure. |
|
abstract | A radiation therapy treatment system and method of treating a patient with radiation. The system integrates positioning of the patient, treatment planning, and delivery of the plan. As a result of the integration of imaging capabilities into the treatment apparatus, and efficient processes for contouring and planning, a patient can be treated in approximately 20 minutes or less. The method includes acquiring image data from the patient, defining a target region with one or more predefined shapes, generating a treatment plan based on the defined target region, and delivering radiation to the target region. |
|
description | This application is related to co-pending and co-owned U.S. patent application Ser. No. 14/865,863, entitled METHOD AND APPARATUS FOR USING A MULTI-LAYER MULTI-LEAF COLLIMATION SYSTEM and filed on even date herewith, which is incorporated by reference in its entirety herein. These teachings relate generally to the administration of therapeutic doses of radiation and more particularly to the use of multi-leaf collimators. Multi-leaf collimators are comprised of a plurality of individual parts (known as “leaves”) that are formed of a high atomic numbered material (such as tungsten) that can move independently in and out of the path of the radiation-therapy beam in order to selectively block (and hence shape) the beam. Typically the leaves of a multi-leaf collimator are organized in pairs that are aligned collinearly with respect to one another and that can selectively move towards and away from one another via controlled motors. A typical multi-leaf collimator has many such pairs of leaves, often upwards of twenty, fifty, or even one hundred such pairs. By passing a therapeutic radiation beam through the aperture(s) of a multi-leaf collimator the radiation beam can be modulated to better match the dosing requirements of the treatment session. These dosing requirements typically include (or at least presume) prescribing which body tissues to irradiate and which body tissues to avoid irradiating. While a typical multi-leaf collimator represents an enormous improvement in terms of better shaping a radiation beam to meet such requirements, it nevertheless remains true that, at least some of the time, the resultant beam shape does not perfectly meet such requirements. This shortcoming typically arises as a function of the maximum resolution by which the multi-leaf collimator is able to shape the beam. When the leaves of the multi-leaf collimator have a cross-dimension of, for example, 1.0 centimeter, that is generally orthogonal to the incoming beam, that dimension imposes a corresponding limit as to the resolution capabilities of the collimator. Elements in the figures are illustrated for simplicity and clarity and have not necessarily been drawn to scale. For example, the dimensions and/or relative positioning of some of the elements in the figures may be exaggerated relative to other elements to help to improve understanding of various embodiments of the present teachings. Also, common but well-understood elements that are useful or necessary in a commercially feasible embodiment are often not depicted in order to facilitate a less obstructed view of these various embodiments of the present teachings. Certain actions and/or steps may be described or depicted in a particular order of occurrence while those skilled in the art will understand that such specificity with respect to sequence is not actually required. The terms and expressions used herein have the ordinary technical meaning as is accorded to such terms and expressions by persons skilled in the technical field as set forth above except where different specific meanings have otherwise been set forth herein. Generally speaking, pursuant to these various embodiments, a control circuit administers a radiation treatment plan that specifies a planned total radiation dose for a radiation treatment session for a given patient by modulating a radiation beam with at least one high-resolution aperture that is formed using one of a plurality of linearly-sequential high-resolution aperture possibilities. By one approach the foregoing comprises modulating the radiation beam using at least substantially only high-resolution apertures that are formed using a plurality of the linearly-sequential high-resolution aperture possibilities. In some cases the foregoing can comprise administering the radiation treatment plan using at least two separate radiation exposures for only a single treatment field, in which case, by one approach, each of the separate radiation exposures for the single treatment field can comprise modulating the radiation beam using at least substantially only high-resolution apertures. By one approach at least some of the plurality of linearly-sequential high-resolution aperture possibilities have a height resolution that is twice the resolution of aperture possibilities that are available with either a proximal or distal layer of a plurality of collimation leaf pairs alone. For many application settings it will be useful that at least substantially all of the plurality of linearly-sequential high-resolution aperture possibilities have a height resolution that is twice the resolution of aperture possibilities that are available with either of the proximal or distal layer of the plurality of collimation leaf pairs alone. In many cases such a high-resolution aperture has at least one boundary defined only by the proximal layer and at least one other boundary defined only by the distal layer. These teachings are highly flexible in practice and will accommodate a variety of approaches in the foregoing regards. By one approach, for example, administering the radiation treatment plan comprises using two (and only two) separate exposures for a single treatment field and where the high-resolution apertures are grouped in sequential pairs and the sequential pairs of high-resolution apertures are separated from one another by a pair of sequential unused high-resolution aperture possibilities. By another approach, and as another example in these regards, administering the radiation treatment plan comprises using three (and only three) separate exposures for a single treatment field and where the high-resolution apertures are each separated from a next sequential high-resolution aperture by a pair of sequential unused high-resolution aperture possibilities. So configured, these approaches help address the deficiencies of prior art techniques that do not work well with two or more layers of multi-leaf collimators. In particular, the present teachings can greatly aid in leveraging such additional layers to improve the definition and/or resolution of beam-shaping apertures. Such improvements, in turn, can further help to assure that treatment targets receive a desired radiation dosage while non-targeted surrounding areas receive less unwanted radiation. These and other benefits may become clearer upon making a thorough review and study of the following detailed description. Referring now to the drawings, and in particular to FIG. 1, an illustrative process 100 that is compatible with many of these teachings will now be presented. For the sake of an illustrative example it is presumed here in that a control circuit of choice carries out the steps, actions, and/or functionality of this process 100. FIG. 2 presents an illustrative example in this regard. As shown in FIG. 2, a radiation therapy treatment platform 200 can include or otherwise operably couple to a control circuit 201. Being a “circuit,” the control circuit 201 therefore comprises structure that includes at least one (and typically many) electrically-conductive paths (such as paths comprised of a conductive metal such as copper or silver) that convey electricity in an ordered manner, which path(s) will also typically include corresponding electrical components (both passive (such as resistors and capacitors) and active (such as any of a variety of semiconductor-based devices) as appropriate) to permit the circuit to effect the control aspect of these teachings. Such a control circuit 201 can comprise a fixed-purpose hard-wired hardware platform (including but not limited to an application-specific integrated circuit (ASIC) (which is an integrated circuit that is customized by design for a particular use, rather than intended for general-purpose use), a field-programmable gate array (FPGA), and the like) or can comprise a partially or wholly-programmable hardware platform (including but not limited to microcontrollers, microprocessors, and the like). These architectural options for such structures are well known and understood in the art and require no further description here. This control circuit 201 is configured (for example, by using corresponding programming as will be well understood by those skilled in the art) to carry out one or more of the steps, actions, and/or functions described herein. It will also be understood that a “control circuit” can comprise multiple such components or platforms as well as suggested by the phantom control circuit box in FIG. 2. By one optional approach the control circuit 201 operably couples to a memory 202. This memory 202 may be integral to the control circuit 201 or can be physically discrete (in whole or in part) from the control circuit 201 as desired. This memory 202 can also be local with respect to the control circuit 201 (where, for example, both share a common circuit board, chassis, power supply, and/or housing) or can be partially or wholly remote with respect to the control circuit 201 (where, for example, the memory 202 is physically located in another facility, metropolitan area, or even country as compared to the control circuit 201). In addition to radiation treatment plans this memory 202 can serve, for example, to non-transitorily store the computer instructions that, when executed by the control circuit 201, cause the control circuit 201 to behave as described herein. (As used herein, this reference to “non-transitorily” will be understood to refer to a non-ephemeral state for the stored contents (and hence excludes when the stored contents merely constitute signals or waves) rather than volatility of the storage media itself and hence includes both non-volatile memory (such as read-only memory (ROM) as well as volatile memory (such as an erasable programmable read-only memory (EPROM).) The radiation therapy treatment platform 200 also includes a radiation source 203 that operably couples and responds to the control circuit 201. So configured, the corresponding radiation beam 204 as emitted by the radiation source 203 can be selectively switched on and off by the control circuit 201. These teachings will also accommodate having the control circuit 201 control the relative strength of the radiation beam 204. Radiation sources are well understood in the art and require no further description here. The radiation beam 204 is directed towards a multi-layer multi-leaf collimation system 205 that also operably couples to the control circuit 201 to thereby permit the control circuit 201 to control movement of the collimation systems leaves and hence the formation and distribution of one or more radiation-modulating apertures. The resultant modulated radiation beam 206 then reaches a treatment target in a corresponding patient 207. FIG. 3 presents a representative view of a first layer 301 of collimating leaves for the multi-layer multi-leaf collimating system 205. Generally speaking this first layer 301 includes a plurality of selectively movable collimating leaves 302 that each comprise a first leaf for a corresponding pair of collimating leaves. This first layer 301 also includes a second plurality of selectively movable collimating leaves 303 that each comprise a second leaf for the aforementioned pair of collimating leaves. So configured, when one or both collimating leaves as comprise a pair of collimating leaves are selectively moved away from one another, a beam-shaping aperture forms therebetween. (The manner by which electric motors can be employed to effect such movement comprises a well understood area of prior art endeavor. Accordingly, for the sake of brevity, additional details in those regards are not provided here.) The second layer of collimating leaves for the multi-layer multi-leaf collimating system 205 can be identical to the first layer 301 described above. Or, if desired, these teachings will accommodate a variety of relatively small changes. For example, the second layer of collimating leaves may include one or more additional pairs of collimating leaves or one or more fewer pairs of collimating leaves as compared to the first layer 301. As another example, the collimating leaves of the second layer may be somewhat greater in width or somewhat lesser in width than the collimating leaves of the first layer 301. In any event, and as generally represented in FIG. 4, the first layer 301 and second layer 401 of the collimating leaves are generally juxtaposed one atop the other (with or without some amount of intervening space as desired). In this example the first layer 301 comprises a so-called proximal layer and hence is oriented towards the aforementioned radiation source 203. Accordingly, the second layer 401 comprises a so-called distal layer that is oriented opposite the radiation source 203 and towards the patient 207. In this illustrative example the collimating leaves of the first layer 301 are generally laterally aligned with the collimating leaves of the second layer 401. For example, the left side (as illustrated) collimating leaves 302 for the first layer 301 are laterally aligned with the left side collimating leaves 402 of the second layer 401. Similarly, the right side (as illustrated) collimating leaves 303 of the first layer 301 are laterally aligned with the right side collimating leaves 403 of the second layer 401. These teachings are highly flexible in practice and will accommodate other orientations and juxtapositions as may be useful to address the needs of a specific application setting. For example, it may be useful in some cases to laterally offset the collimating leaves of one layer from the collimating leaves of the other layer. Although the collimating leaves for these two layers 301 and 401 may be laterally aligned, as shown in FIG. 5 the collimation leaves for these two layers 301 and 401 are vertically offset with respect to one another. (It will be understood that the word “vertically” as used herein refers to the orientation context shown in these illustrations. In an application setting the multi-layer multi-leaf collimation system 205 can be oriented in any of a variety of ways. Accordingly, it will be further understood that the word “vertically” as used herein refers to the relative context of the collimation leaves when the multi-layer multi-leaf collimation system 205 itself is oriented vertically and upright which will not necessarily correlate to the orientation of the system in a particular application setting.) Accordingly, it can be seen that the left-side collimating leaves 302.1-302.N (where “N” is an integer) of the first layer 301 each only partially overlap the left-side collimating leaves 402.1-402.N of the second layer 401. Similarly, the right-side collimating leaves 303.1-303.N of the first layer 301 each only partially overlap the right-side collimating leaves 403.1-403.N of the second layer 401. In the illustrated example the collimating leaves of each layer are offset vertically by fifty percent and accordingly the first layer 301 has collimating leaves that each overlap corresponding leaves of the second layer 401 by fifty percent as well. In this example the various leaves are depicted with the leaves of each leaf pair having been opened to some greater or lesser extent to facilitate better viewing of the collimating leaves of the second layer 401. The applicant has determined that the aperture resolution capable of a multi-leaf collimator can be significantly increased by employing such a multi-layer multi-leaf collimator 205. FIGS. 6-8 provide an illustrative example in these regards. FIG. 6 depicts an aperture 601 formed using collimating leaves of the first layer 301. In this simple example, a pair of collimating leaves 302.2 and 303.2 have been moved away from one another while the collimating leaves above and below that pair of collimating leaves remain closed to form that aperture 601. Necessarily, this aperture 601 has a vertical dimension identical to the vertical dimensions of that pair of collimating leaves. FIG. 7 presents an aperture 701 formed in an identical manner to that just described, albeit using collimating leaves of the second layer 401. Again, the resultant aperture 701 has a vertical dimension that is necessarily identical to the vertical dimensions of the collimating leaves. FIG. 8 illustrates the aperture 801 that results when the two layers 301 and 401 are stacked one atop the other in a vertically offset manner as described above. Accordingly, the two apertures 601 and 701 described above only partially overlap one another to form this resultant aperture 801. In this particular illustrative example the aperture 801 has a vertical dimension that is only one half the vertical dimension of the collimating leaves. Accordingly, this particular approach yields a 2X improvement in aperture resolution in these regards. That improvement in resolution provides an opportunity to more precisely shape a radiation beam 204 to match the contours of a given treatment target. Accordingly, such an aperture 801 is referred to herein as a high-resolution aperture as such an aperture has higher resolution than apertures that can normally be formed by leaf pairs of either layer 301 or 401 alone. And, as is also illustrated in FIG. 8, such a configuration gives rise to a plurality of linearly-sequential high-resolution aperture possibilities (numbered here as 801.1 through 801.7), it being understood that there are approximately twice as many linearly-sequential high-resolution aperture possibilities as there are leaf pairs in either layer 301 or 401. The word “possibility” serves to indicate that a high-resolution aperture can be formed in the space indicated if desired through ordinary and usual manipulation of the aforementioned leaf pairs. In the illustration of FIG. 8, while there are seven such linearly-sequential high-resolution aperture possibilities, only one possibility (the one denoted by reference numeral 801.4) actually features a realized high-resolution aperture 801. Generally speaking, at least most of these high-resolution apertures will have at least one boundary (typically a horizontal boundary when presuming the orientation of convenience shown in the drawings) that is defined only by the proximal layer 301 and at least one other boundary (typically opposite the aforementioned boundary) that is defined by only the distal layer 401. In many application settings it will be useful if at least some of the plurality of linearly-sequential high-resolution aperture possibilities have a height resolution that is twice the resolution of aperture possibilities that are available with either of the proximal or distal layer of the plurality of leaf pairs alone, and in many cases it will be useful if at least substantially all of those possibilities have such a height resolution. (As used herein, this reference to “substantially all” shall be understood to mean at least ninety percent of all such possibilities.) With continued reference to the foregoing illustrations, and in particular to FIGS. 1 and 2, this process 100 can be used in conjunction with a radiation therapy treatment platform 200 as described above having a multi-layer multi-leave collimation system 205 wherein a proximal layer 301 of a plurality of collimation leaf pairs 302 and 303 are vertically offset with respect to a distal layer 401 of a plurality of collimation leaf pairs 402 and 403 to form a plurality of linearly-sequential high-resolution aperture possibilities. At block 101 this process 100 provides for having the control circuit 201 administer a radiation treatment plan that specifies a planned total radiation dose for a radiation treatment session for a given patient 207 by modulating a radiation beam 204 with at least one high-resolution aperture that is formed using one of the plurality of linearly-sequential high-resolution aperture possibilities. Because the high-resolution apertures are formed using overlapping low-resolution apertures, it will not necessarily be possible to form a high-resolution aperture for each and every available high-resolution aperture possibility. Instead, and as will be described in more detail below, it will sometimes be appropriate or even necessary to space one or more high-resolution apertures apart from one another with intervening unused high-resolution aperture possibilities. As a result, these teachings will accommodate using two or more separate radiation exposures for only a single treatment field in order to permit each of the separate radiation exposures for the single treatment field to comprise modulating the radiation beam using only (or at least substantially only) high-resolution apertures. FIG. 9 provides one illustrative example in these regards. In this example a first set 901 of high-resolution apertures are employed during a first exposure of radiation for a particular treatment field followed by a second set 902 of high-resolution apertures that are employed during a second exposure of radiation for that same treatment field. In both the first set 901 and the second set 902 the high-resolution apertures are grouped in sequential pairs with each such pair of high-resolution apertures being separated from any next-adjacent pairs of high-resolution apertures by a pair of sequential unused high-resolution aperture possibilities. The net aggregated effect of this two-exposure approach that employs such a grouping and spacing is illustrated at reference numeral 903 where, in this example, each and every high-resolution aperture possibility features a corresponding high-resolution aperture. Accordingly, by using, in this case, only high-resolution apertures the radiation beam can be more precisely modulated and the radiation dose accordingly more carefully administered. FIG. 9 also illustrates the low-resolution apertures that are formed using the proximal layer 301 and the distal layer 401 of the multi-layer multi-leaf collimation system 205 which, when combined one with the other in an offset manner as described above, yields the corresponding high-resolution apertures shown at the right in the drawing. In this particular example a low-resolution aperture is formed using only every other leaf pair in the proximal layer 301 for both exposures. (The counter-cross-hatched areas in the two sets 901 and 902 of the high-resolution apertures represent an area where a low-resolution aperture formed in one layer does not coincide with a low-resolution aperture formed in the other layer.) FIG. 10 provides another illustrative example in these regards. In this example a first set 1001 of high-resolution apertures are employed during a first exposure of radiation for a particular treatment field followed by a second set 1002 and then a third set 1003 of high-resolution apertures that are employed during a second and third exposure of radiation for that same treatment field. In all three sets 1001, 1002, and 1003 the high-resolution apertures are each separated from any next-adjacent high-resolution apertures by a sequential pair of unused high-resolution aperture possibilities. The net effect of this three-exposure approach that employs such a grouping and spacing is illustrated at reference numeral 1004 where, in this example, each and every high-resolution aperture possibility again features a corresponding high-resolution aperture. It may be noted that the result shown at reference numeral 1004 is essentially the same as that depicted at reference numeral 903 in FIG. 9 discussed above. Accordingly, these two examples constitute two different multi-exposure approaches to achieving a same net, aggregate pattern of high-resolution apertures. FIG. 10 also again illustrates the low-resolution apertures that are formed using the proximal layer 301 and the distal layer 401 of the multi-layer multi-leaf collimation system 205 which, when combined one with the other in an offset manner as described above, yields the corresponding high-resolution apertures shown at the right in the drawing. The counter-cross-hatched areas in the three sets 1001, 1002, and 1003 of the high-resolution apertures also again represent an area where a low-resolution aperture formed in one layer does not coincide with a low-resolution aperture formed in the other layer. So configured, a multi-layer multi-leaf collimation system can be successfully employed in a way that permits using only low-resolution apertures to nevertheless ultimately form in combination with one another only (or substantially only) high-resolution apertures to thereby help assure a more precise and accurate dosing of a patient's treatment target. Those skilled in the art will recognize that a wide variety of modifications, alterations, and combinations can be made with respect to the above described embodiments without departing from the scope of the invention, and that such modifications, alterations, and combinations are to be viewed as being within the ambit of the inventive concept. |
|
claims | 1. Apparatus for use in structural testing of a cylindrical body comprising:an attachment member for connection to a cylindrical body at a position axially spaced from a circumferential location to be tested;a transducer support member arranged to be carried on the attachment member and arranged for supporting a transducer at a position so as to carry out a circumferential test;the support member being movable in an angular direction around the body relative to the attachment member so that the support member can be rotated around the axis of the pipe to carry the transducer around the cylindrical body;the attachment member including at least one magnet for magnetic engagement of the attachment member on the cylindrical body. 2. The apparatus according to claim 1 wherein the attachment member has a front face for engaging the pipe with the front face extending around the pipe to an angle no greater than 180 degrees so that the attachment member is attached to the pipe from one side of the pipe. 3. The apparatus according to claim 1 wherein the attachment member includes a handle for manual manipulation of the attachment member. 4. The apparatus according to claim 1 wherein there is provided a drive member for rotating the support member relative to the attachment member and the drive member includes a drive component of the drive member which is mounted on the attachment member. 5. The apparatus according to claim 1 wherein the support member is arranged at one axial end of the attachment member. 6. The apparatus according to claim 5 wherein there is provided a drive member for rotating the support member relative to the attachment member and the drive member includes a drive component of the drive member which is mounted on the attachment member at said one axial end and cooperates with a cooperating component of the support member. 7. The apparatus according to claim 1 wherein the support member defines a collar which extends around the pipe. 8. The apparatus according to claim 7 wherein the collar is formed from a plurality of angularly separated segments. 9. The apparatus according to claim 8 wherein the angularly separated segments are connected to each other by pivot connections allowing pivotal movement of each segment relative to the next about an axis parallel to the pipe axis. 10. The apparatus according to claim 8 wherein the angularly separated segments carry rotating members arranged to roll on the outer surface of the pipe to allow the rotation of the collar around the pipe. 11. The apparatus according to claim 8 wherein at least one of the angularly separated segments carries at least one magnet so that the collar is attached to the pipe by magnetic force. 12. The apparatus according to claim 8 wherein the angularly separated segments cooperate at one location to provide an annular member surrounding the pipe which receives drive from a drive element on the attachment member. 13. The apparatus according to claim 12 wherein the annular member includes a wheel on angularly separated segments worm on the attachment member which cooperates with a worm on the attachment member. 14. The apparatus according to claim 1 wherein the attachment member includes a receptacle for receiving the transducer for mounting of the transducer on the attachment member at a position which is fixed relative to the attachment member against angular movement relative thereto and wherein the transducer is maintained in the receptacle by a spring member arranged to flex to allow adjustment movement of the transducer relative to the attachment member in a radial direction and/or in an axial direction. 15. The apparatus according to claim 14 wherein there is provided a component arranged to monitor an angle of movement of the transducer around the axis to correlate signals of the transducer relative to an angular location on the weld. 16. Apparatus for use in structural testing of a cylindrical body comprising:an attachment member for connection to a cylindrical body at a position axially spaced from a circumferential location to be tested;a transducer support member arranged to be carried on the attachment member and arranged for supporting a transducer at a position so as to carry out a circumferential test;the support member being movable in an angular direction around the body relative to the attachment member so that the support member can be rotated around the axis of the pipe to carry the transducer around the cylindrical body;wherein the support member defines a collar, formed from a plurality of angularly separated segments, which extends around the cylindrical body;wherein the angularly separated segments are connected to each other by pivot connections allowing pivotal movement of each segment relative to the next about an axis parallel to the axis;wherein the angularly separated segments carry members arranged to move on the outer surface of the cylindrical body to allow the rotation of the collar around the cylindrical body;and wherein at least one of the angularly separated segments carries at least one magnet so that the collar is attached to the cylindrical body by magnetic force. 17. The apparatus according to claim 16 wherein the angularly separated segments cooperate at one location to provide an annular member surrounding the pipe which receives drive from a drive element on the attachment member. 18. The apparatus according to claim 17 wherein the annular member includes a wheel on angularly separated segments worm on the attachment member which cooperates with a worm on the attachment member. 19. Apparatus for use in structural testing of a cylindrical body comprising:an attachment member for connection to a cylindrical body at a position axially spaced from a circumferential location to be tested;a transducer support member arranged to be carried on the attachment member and arranged for supporting a transducer at a position so as to carry out a circumferential test;the support member being movable in an angular direction around the body relative to the attachment member so that the support member can be rotated around the axis of the pipe to carry the transducer around the cylindrical body;wherein the attachment member includes a receptacle for receiving the transducer for mounting of the transducer on the attachment member at a position which is fixed relative to the attachment member against angular movement relative thereto;and wherein the transducer is maintained in the receptacle by a spring member arranged to flex to allow adjustment movement of the transducer relative to the attachment member in a radial direction and/or in an axial direction. 20. The apparatus according to claim 19 wherein there is provided a component arranged to monitor an angle of movement of the transducer around the axis to correlate signals of the transducer relative to an angular location on the weld. |
|
summary | ||
062185926 | claims | 1. A method for the treatment of a radioactive evaporator concentrate containing sodium sulfate from an evaporation system of a nuclear plant, comprising: (a) separating any undissolved components from the evaporator concentrate, (b) crystallizing the sodium sulfate contained in the evaporator concentrate as Glauber's salt (Na.sub.2 SO.sub.4.10H.sub.2 O) by deposition on an immersion cooler, (c) dissolving and recrystallizing the Glauber's salt deposited on the immersion cooler, (d) optionally, at least once further dissolving and recrystallizing the Glauber's salt from step (c), (e) recovering essentially radioinactive Glauber's salt from recrystallization step (c) or (d), and (f) recycling the evaporator concentrate, depleted in sodium sulfate, to the evaporation system. 2. The method of claim 1 where the separation step (a) comprises filtration or decantation. 3. The method of claim 1 where the crystallization step (b) is carried out at a temperature above -2.degree. C. 4. The method of claim 3 where the crystallization step (b) is carried out at a temperature of about 0.degree. C. 5. The method of claim 1 where the dissolution and recrystallization step (c) comprises recrystallization by cooling or recrystallization by evaporation. 6. The method of claim 5 where the dissolution and recrystallization step (c) comprises dissolution and recrystallization by cooling using the immersion cooler of step (b) into which a heating medium and a cooling medium are introduced alternately. 7. The method of claim 6 including further dissolution and recrystallization step (d) where the dissolution and recrystallization step (d) comprises dissolution and recrystallization by cooling using the immersion cooler of step (c) into which a heating medium and a cooling medium are introduced alternately. 8. The method of claim 1 including further dissolution and recrystallization step (d). 9. The method of claim 8 where the dissolution and recrystallization step (d) comprises dissolution and recrystallization by cooling using the immersion cooler of step (b) into which a heating medium and a cooling medium are introduced alternately. 10. The method of claim 1 where the immersion cooler comprises plate-shaped or rod-shaped heat exchange elements. 11. The method of claim 10 where the heat exchange elements have a smooth surface. 12. The method of claim 10 where the immersion cooler comprises plate-shaped heat exchange elements. 13. The method of claim 12 where the immersion cooler has five to ten plate-shaped heat exchange elements. |
description | The present application is a divisional of U.S. patent application Ser. No. 11/098,852, filed Apr. 4, 2005 by Tarantola et al. and entitled “Systems and Methods for Sensor-Based Computing,” which is a non-provisional of, and claims the benefit of the filing date of, provisional U.S. Patent Application Ser. No. 60/632,013, filed Nov. 30, 2004 by Tarantola et al. and entitled “Systems and Methods for Sensor-Based Computing.” The entire disclosure of both of these applications is hereby incorporated herein by reference. A portion of the disclosure of this patent document contains material that is subject to copyright protection. The copyright owner has no objection to the facsimile reproduction by anyone of the patent document or the patent disclosure as it appears in the Patent and Trademark Office patent file or records, but otherwise reserves all copyright rights whatsoever. The present invention relates generally to computer systems and more particularly, to sensor-based computing systems. Increasingly, computers are used to interact with the physical world, often through the implementation of various sensors in communication with a computer. Many types of sensors have been implemented in this manner. For instance, sensors often have been used in the performance of experiments in the physical sciences, including chemistry and physics experiments, where temperature, pressure, location and/or motion sensors have been used to allow researchers to understand the physical and/or chemical processes occurring during the experiments. Similarly, in both industry and academia, a variety of more traditional sensors, such as mass/weight sensors (e.g., scales and balances), among others, have been used for a variety of purposes. More recently, other types of sensors have been used to automate previously labor-intensive operations; for example, a location sensor (such as a Global Positioning System (“GPS”) sensor) can be used in place of a sextant, compass, etc. to assist in navigation, pathfinding, etc. Moreover, sensors (such as radio frequency identification (“RFID”) sensors have begun to find use in inventory tracking systems, asset identification systems, and the like. Generally, however, such sensors are implemented in a fairly centralized fashion. That is to say, the sensors themselves are distributed to the necessary locations but are often used only to acquire data, which then is transmitted back to a central computer (or set of computers) for data collection, analysis and/or storage. As the use of sensors becomes ever more widespread, the overhead (which can include, inter alia, both communications overhead and server workload) can become a limiting factor in the applications and/or scaling of such systems. Merely by way of example, those skilled in the art will appreciate that sophisticated sensors are often used in high-energy and/or particle physics experiments to record data about, for example, the disposition of particles of interest during the experiment. Often, there are many such sensors, each collecting a high volume of data, which is then transmitted to a computer for processing and/or analysis. Often, much of the data is not of interest to the experiment and is consequently discarded by the computer. In the interim, however, all of the data generally must be transmitted to the computer and stored (even if only temporarily) before a determination can be made that the data is not of interest, because the sensors themselves have no ability to process or filter the data before transmission. Similarly, in a large inventory management system, thousands or millions of products each may comprise (or have affixed thereto) an RFID transmitter, and the system may comprise hundreds (or thousands) of sensors collecting data from such transmitters, data which can be used to track the inventory during manufacturing, storage, distribution, etc. Generally, however, these sensors are designed to acquire particular information and forward all of the acquired information to a central computer system for analysis. Consequently, as described above, the sensors have little or no ability to filter the acquired data, to adjust for the type of data to be acquired, etc. Hence, there is a need in the art for more robust sensing and computing systems. Various embodiments of the invention provide devices, software, methods, and systems, including without limitation data acquisition systems, that can provide flexible sensing and/or data acquisition solutions. Particular embodiments, for example, can comprise sensing devices, which may be in communication with one or more computers, such as a server, etc. A sensing device used in accordance with some embodiments of the invention can include one or more sensor(s), a processor and/or a data store. Hence, in certain embodiments, the sensing device can, perhaps in response to instructions received from the computer, filter and/or otherwise process data acquired by the sensor before transmitting the desired data to the computer. In other embodiments, the sensing device may store some or all of the acquired data locally and/or may transmit, replicate, etc. some or all of the stored data to the computer. Hence, one set of embodiments provides sensing devices. An exemplary sensing device may comprise at least one sensor, one or more processors and/or one or more data stores. The sensing device may also comprise instructions executable by the processor to acquire data with the at least one sensor. The acquired data may, in some cases, be raw data. The instructions may be further executable by the processor to process the data and/or to store the data in the data store. The data stored in the data store may comprise the union of the raw data and programming logic associated with the raw data. Merely by way of the example, the programming logic may be a set of filters specifying which raw data to retain, and the data stored in the data store, therefore, may comprise a set of raw data meeting the criteria of the filters. As another example, the programming logic may be an algorithm for transforming the raw data, and the data stored in the data store may be a data set representing the raw data after transformation by the algorithm. In accordance with specific embodiments, the sensing device may be configured to communication with a computer (which may be a server computer, as described below, for example). Merely by way of example, the data store may be configured to store a data set, and/or communicating with the computer may comprise synchronizing at least a portion of the data set with the computer. In particular embodiments, the data store can be a random access memory device, a disk drive, a database (and, in particular cases, a lightweight database and/or a distributed database, which may be distributed among a plurality of sensing devices), etc. The database, in some embodiments, may be configured to be synchronized with a database on the computer. Another set of embodiments provides computers, which may be configured for use in a sensor-based computing environment. One exemplary computer can be used in a distributed computing environment and/or may comprise a processor, a communication system and/or a data store. The communication system may be configured to provide communication between the processor and one or more sensing devices, each of which may be configured to acquire data with a sensor and store at least a portion of the acquired data as an individual data set. The data store may be configured to store a composite data set comprising data received from each of the sensing device(s). The computer may also comprise a computer readable medium with instructions for the processor to receive data from one or more of the sensing device(s) and/or to store the received data as part of the composite data set. The instructions may also be executable by the processor to provide an instruction to one or more of the sensing device(s). Merely by way of example, if a sensing device comprises a sensor, the instruction provided to the sensing device can comprise a command to activate the sensing device, a command to acquire data with the sensor, a command to transmit data for reception by the computer, a command to synchronize some or all of the sensing device's individual data set with the computer's composite data set, etc. (Likewise, the instructions may be executable by the computer's processor to transmit some or all of the composite data set for reception by the sensing device(s).) A further set of embodiments provides distributed computing systems, some of which may comprise sensing devices (e.g., as described above) and/or server computers, such as the computers described above. Merely by way of example, one system comprises a server computer and at least one sensing device, which may be in communication with the server computer. The sensing device (which may be a plurality of sensing devices) can include at least one sensor, a processor, and/or a data store. The sensing device can also include instructions executable by the sensing device to acquire data with the sensor(s), to process some or all of the acquired data, and/or to store some or all of the data. The server computer may comprise one or more processor(s) and a computer readable medium with instructions executable by the server computer to communicate with the sensing device. Merely by way of example, the computer may be configured to provide an instruction to the sensing device, and/or the sensing device may be configured to receive the instruction provided by the server computer and/or to act in accordance with the instruction provided by the server computer. The sensing device also may be configured to transmit at least a portion of the acquired data for reception by the server computer, and/or the server computer may be configured to receive the data transmitted by the sensing device. Merely by way of example, the sensing device and the server computer may be configured to synchronize data. In some embodiments, the sensing device's data store may comprise a data set, and/or the server computer may comprise a second data store; the sensing device, therefore, may comprise instructions to synchronize at least a portion of the data set between its data store and the server's data store. Yet another set of embodiments provides methods, including without limitation methods of distributed computing. Certain embodiments of the invention, for example, may provide methods of implementing the devices and/or systems described above. An exemplary method comprises providing a sensing device in communication with a server computer. The sensing device may be remote from the server computer. In some embodiments, the sensing device can comprise a processor, a data store and/or at least one sensor. The method can further include acquiring data with the at least one sensor, processing some or all of the acquired data with the processor, and/or storing some or all of the acquired data in the data store. In some cases, the data acquired by the at least one sensor may be raw data, and/or the data stored in the data store may comprise the union of the raw data and programming logic associated with the raw data. In accordance with particular embodiments, the method can further comprise transmitting at least a portion of the data from the sensing device for reception by the server computer and/or synchronizing at least a portion of a data set with a data store local to the server computer. In other embodiments, the method comprises receiving at the sensing device an instruction provided by the server computer and/or acting in accordance with the instruction provided by the server computer. Examples of instructions can include a command to activate the sensor and/or deactivate the sensor, to acquire data with the sensor, to process acquired data in a particular manner, etc. Processing the data may comprise filtering the data in to a set of desired data and a set of undesired data, and/or storing at least a portion of the data can comprise storing the set of desired data and/or discarding the set of undesired data. Processing the data can also include transforming the data. A particular set of embodiments provides computer data structures for use in distributed computing environments. An exemplary data structure comprises a first data store local to a first sensing device. The sensing device may comprise a first sensor, and/or the first data store may be configured to store data acquired by the first sensor. The data structure may also comprise a composite data store local to a server computer. The composite data store may configured to receive at least some of the data stored in the first data store. Together, the first data store and the composite data store may comprise a distributed database, and/or the first data store may be configured to synchronize with the composite data store. The data structure may also comprise a second data store local to a second sensing device, which may comprise a second sensor. The second data store, therefore, may be configured to store data acquired by the second sensor. Further, the composite data store may be configured to receive at least some of the data stored in the second data store. Other embodiments of the invention can comprise computer program products, which may be embodied on computer readable media and/or may be configured to implement methods of the invention and/or to operate systems and/or devices of the invention, including without limitation those described above. Various embodiments of the invention provide devices, methods and systems, including without limitation data acquisition systems, that can provide flexible sensing and/or data acquisition solutions. Particular embodiments, for example, can comprise sensing devices, which may be in communication with one or more computers, such as a server, etc. A sensing device used in accordance with some embodiments of the invention can include one or more sensor(s), a processor and/or a data store. Hence, in certain embodiments, the sensing device can, perhaps in response to instructions received from the computer, filter and/or otherwise process data acquired by the sensor before transmitting the desired data to the computer. (In accordance with some embodiments, “data” can comprise the union of raw data—that is data, data that is acquired by the sensing device, sent to or received from sensors, etc.—together with programming logic, which can include binary code, textual rules, instructions in any programming language, etc.). In other embodiments, the sensing device may store some or all of the acquired data locally and/or may transmit, replicate, etc. some or all of the stored data to the computer. Certain embodiments of the invention, therefore, can be used capture and/or acquire information from the physical world via a myriad of sensor types, including without limitation RFID, temperature, pressure, moisture, motion, location, barcode, weight/mass, shock detection, as well as any other of the variety of available types of sensors. Embodiments of the invention can provide the ability implement these sensors and provide required data in an efficient, scalable fashion. Particular embodiments of the invention can provide a bridge between the data acquisition and data management processes of sensor-based computing by providing relatively “intelligent” sensing devices (and/or systems, software and/or methods for implementing such devices). Such embodiments can be used to facilitate the acquisition of data and the translation of the data acquired by the variety of sensors for use by databases and/or applications that need the data. In particular, given the nature and the number of the these sensors in modern systems, certain embodiments of the invention enable sensing devices that have the intelligence to determine which data are needed by back-end systems (such as databases, etc.) and whether the data requires additional processing before transmission to the back-end system, often in response to dynamic conditions and/or requirements. Merely by way of example, in accordance with one set of embodiments, a server computer may be in communication with a plurality of sensing devices, each of which can comprise one or more sensors (of any appropriate type, including without limitation those described elsewhere herein). Each of the sensing devices can also include a processor and/or a data store, which can be used to store data (either temporarily—such as in RAM—or relatively permanently, such as on a hard disk drive, non-volatile RAM, etc.). In operation, the server computer may provide an instruction to the sensing devices to collect a particular type of data, to collect data over a particular time interval, etc. A sensing device, therefore, may activate its sensor(s) to acquire data. In some cases, the acquired data in fact may be a superset of the data actually desired (i.e., the sensors may acquire more and/or different data than the desired data). Hence, the sensing device's processor may be configured to filter the acquired data (before and/or after it is stored in a data store), e.g., to remove the undesired data. In other cases, the acquired data may need additional processing in order to meet the request from the server computer, and such processing may be performed by the processor. Merely by way of example, the processor may be configured to transform the data (using any appropriate algorithm, etc.), for instance to convert raw data to a more usable format, to add data (such as a timestamp, etc.) to the data, and/or the like. In accordance with some embodiments, some or all of the data may be transferred to the server computer (and/or to any other appropriate location). In a particular set of embodiments, for example, the sensing device's data store might comprise a database, and/or that database could be configured to synchronize with a database local to (and/or otherwise in communication with) the server computer. Merely by way of example, after the data has been acquired and/or any undesired data has been filtered out, the remaining data may be synchronized with the server. The server, therefore, might have a database comprising data synchronized with a plurality of sensing devices, each of which might have a synchronized data set comprising data relevant to and/or acquired by that sensing device. As used herein, the term “synchronize” means to ensure that there is consistent and/or similar set of data in at least two devices, which can include sensing devices, servers, etc. Thus, a sensing device may be synchronized with another sensing device, with a server, etc. Likewise, a server may be synchronized with a sensing device, another server, etc. (For ease of description, this document refers to the synchronization of data between devices. It should be understood, however, that synchronization, in many cases, may actually occur between respective databases local to and/or managed by the referenced devices.) Of course, in some implementations, other arrangements are possible in accordance with embodiments of the invention. Merely by way of example, there might be no centralized data set at the server; instead, the entire data set could be distributed among various sensing devices and/or could be accessed as needed by the server. Alternatively, each sensing device might have a complete, possibly synchronized, replica of the server's data set, including, for example, data acquired by and/or relevant to other sensing devices as well as its own data. In particular embodiments, the sensing devices might be configured to synchronize with one another, with or without commands from a server. Based on the disclosure herein, one skilled in the art will appreciate that a variety of data organization schemes can be implemented in accordance with embodiments of the invention. The discussion below of several exemplary embodiments describes additional details and features of certain embodiments of the invention. As mentioned above, embodiments of the invention include devices, systems, methods and software that can be used to allow for more efficient and/or distributed computing through the use of relatively intelligent sensing devices. One set of embodiments provides systems for distributed computing, such as the exemplary system 100 illustrated by FIG. 1. The system 100 may operate in a networked environment, and therefore may comprise a network 105. The network 105 may can be any type of network familiar to those skilled in the art that can support data communications using any of a variety of commercially-available protocols, including without limitation TCP/IP, SNA™, IPX™, AppleTalk™, and the like. Merely by way of example, the network 105 maybe a local area network (“LAN”), such as an Ethernet network, a Token-Ring™ network and/or the like; a wide-area network; a virtual network, including without limitation a virtual private network (“VPN”); the Internet; an intranet; an extranet; a public switched telephone network (“PSTN”); an infra-red network; a wireless network (e.g., a network operating under any of the IEEE 802.11 suite of protocols, any appropriate RFID protocol, the Bluetooth™ protocol known in the art, and/or any other wireless protocol); and/or any combination of these and/or other networks. The system 100 also can include one or more sensing devices 110, which may be configured, depending on the embodiment, to acquire data, process data, store data and/or communicate with other devices (including computers and other sensing devices), for example to exchange data. The sensing devices 110, therefore may be in communication (e.g., via the network 105) with other sensing devices, various computers (such as those described below) and/or any other appropriate device. In particular embodiments, for example, sensing devices 110 may need to be distributed (e.g., throughout a warehouse, etc.) in locations where hard-wired networks may be infeasible and/or unavailable, and the sensing devices 110 therefore may be equipped for wireless communication (e.g., through cellular links, 802.11x connections, Bluetooth connections, etc.). In other embodiments, sensing devices 110 may be distributed throughout a broad geographic area and therefore may be configured for wide area communications (e.g., through a WAN gateway (not shown), by wired and/or wireless telephone link, etc.). Those skilled in the art will appreciate the wide variety of available communication options and will understand, based on the disclosure herein, that any suitable options may be implemented for communication by the sensing devices 110. An exemplary sensing device is described below in detail with respect to FIG. 3 and need not be described further at this point, although it should be noted that sensing devices featuring structural and/or functional arrangements different than those described with respect to FIG. 3 are possible in accordance with various embodiments of the invention. Although FIG. 1 illustrates three sensing devices 110a, 110b and 110c, various embodiments of the invention can feature any number of sensing devices 110. Merely by way of example, some embodiments might utilize only a single sensing device, while other embodiments might incorporate hundreds or thousands of sensing devices, depending on the application. Moreover, while each of the sensing devices 110a, 110b, 110c, etc. might be structurally similar and/or configured to acquire, process and/or store data in similar fashion, certain embodiments might feature a variety of sensing devices configured to acquire different types of data. Merely by way of example, a particular embodiment can include a sensing device configured to acquire location data, a sensing device configured to acquire RFID data and a sensing device configured to acquire data from a bar code scanner. The system may also include one or more server computers 115, which can be general purpose computers and/or specialized server computers (including, merely by way of example, PC servers, UNIX servers, mid-range servers, mainframe computers rack-mounted servers, etc.). (Although FIG. 1 illustrates only one server computer 115, those skilled in the art will appreciate, based on the disclosure here, that a plurality of server computers could be implemented in some embodiments, and/or that the functions described with respect to the server computer could be divided and/or shared between such a plurality of server computers). The server computer 115 can be configured to coordinate the actions of the sensing devices 110, including without limitation transmitting to the sensing devices 110 (and/or receiving from the sensing devices 110) instructions, data, etc., perhaps via the network 105. The server computer 115 can also be used to store data received from the sensing devices 110 and/or to synchronize data with (and/or between) one or more sensing devices. (it should be noted that, while the term “server computer” is used to describe this coordinating computer, that term is used only for ease of reference and should not be interpreted to connote any specific capabilities required of the server computer 115, other than the ability to communicate with various sensing devices 110). Hence, the server computer 115 could be, in some cases, a standard PC configured with software for communicating with the sensing devices 110 and/or software (such as database software, for example) for storing data received from the sensing devices. The server computer 115 can feature an operating system including any of those discussed below, as well as any commercially-available server operating systems. As appropriate, the server computer 115 can also run any of a variety of server applications and/or mid-tier applications, including HTTP servers, FTP servers, CGI servers, database servers, Java servers, business applications, and the like. The server computers 115 also may be one or more computers which can be capable of executing programs or scripts (perhaps in response to input from a user computer 125, described below). As one example, the server computer 115 may execute one or more web applications, which can be configured to allow a user to interact with the sensing devices 110 and/or data acquired by the sensing devices 110, for instance by providing instructions for the sensing devices 110. Such web applications may be implemented as one or more scripts or programs written in any programming language, such as Java™, C, C#™ or C++, and/or any scripting language, such as Perl, Python, or TCL, as well as combinations of any programming/scripting languages. The server(s) may also provide database services, perhaps through a relational database management system (“RDBMS”), including without limitation those commercially available from Oracle™, Microsoft™, Sybase™, IBM™ and the like, which can process requests from database clients running on a user computer 125 and/or can interact with a database used to store data received from the sensing devices 110. In some cases, the software for performing methods of the invention (and/or certain components thereof) may be incorporated within any of these services. Merely by way of example, an RDBMS (and/or an RDBMS-based application, such as a warehouse management system, enterprise resource planning application, etc.) may include the necessary components to allow a computer (such as the server computer 115) operating the RDBMS to synchronize data with a sensing device 115. In other embodiments, certain procedures may be performed by a freestanding application and/or by the operating system itself. Those skilled in the art will appreciate, based on the disclosure herein, that there are a wide variety of ways in which the methods of the invention may be implemented. In particular embodiments, the server computer 115 may be in communication with a composite data store 120, which can be used to store data received from, transmitted to, and/or synchronized with the sensing devices 110. The composite data store 120 may be incorporated within and/or managed by an RDBMS, and/or the composite data store 120 may be part of a distributed database system, which might also include lightweight database components local to one or more of the sensing devices 110. Merely by way of example, the composite data store may be an Oracle 10g™ database, and/or each of the sensing devices 110 may be configured to operate an Oracle LITE™ database. In combination with Oracle's Sensor-Based Services™, The LITE database on a sensing device 110, therefore, may be configured to acquire data and/or to communicate (e.g., by synchronizing data, etc.) with the composite data store 120. In other embodiments, the composite data store may be implemented with a flat file, a file system, etc. The composite data store 120 may reside in a variety of locations. By way of example, the composite data store 120 may reside on a storage medium local to (and/or resident in) one or more of the server computers 115. Alternatively, it may be remote from any or all of the devices and/or computers, and/or may be in communication (e.g., via the network 105) with one or more of these. In a particular set of embodiments, the composite data store 120 may reside in a storage-area network (“SAN”) familiar to those skilled in the art. Similarly, any necessary files for performing the functions attributed to the computers 110, 115 (as well as the stand-alone devices 125, described below) may be stored locally on the respective computer/devices and/or remotely, as appropriate. In one set of embodiments, as mentioned above, the composite data store 120 may be a relational database, such as Oracle 10g™, that is adapted to store, update, and retrieve data in response to SQL-formatted commands. The composite data store 120 may store data necessary to implement the methods of the invention, including without limitation data acquired by the sensing devices 110, as well as instructions for the sensing devices (which may be implemented by standard and/or proprietary calls from an RDBMS to each of the sensing devices, etc.). As mentioned above, the system 100 may also include a user computer 125, which can be a general purpose personal computer (including, merely by way of example, a personal computer and/or laptop computer running various versions of Microsoft Corp.'s Windows™ and/or Apple Corp.'s Macintosh™ operating systems) and/or workstation computers running any of a variety of commercially-available UNIX™ or UNIX-like operating systems (including without limitation the variety of available GNU/Linux operating systems). The user computer 125 may also have any of a variety of applications, including one or more development systems, database client and/or server applications, web browser applications, etc. In particular, the user computer 125 may interact with the composite data store 120 and/or the sensing devices 110. Such interactions can include viewing and/or manipulating the data stored in the composite data store 120 and/or the sensing devices 110, issuing instructions and/or commands directly to the sensing devices and/or to any program (such as an RDBMS, etc.) on the server computer 115 designed to interact with the sensing devices. In alternative embodiments, the user computer 125 may be any other electronic device, such as a thin-client computer, Internet-enabled mobile telephone, and/or personal digital assistant, capable of communicating with the server computer 115, the composite data store 120 and/or the sensing devices 110 (e.g., via the network 105) and/or displaying and navigating web pages or other types of electronic documents. Although the exemplary system 100 is shown with one user computer 125, any number of user computers may be supported. As noted above, in accordance with certain embodiments, standard computers/devices may be configured for use in the system 100. FIG. 2 provides a general illustration of one embodiment of a computer system 200, which may be exemplary of any of the computers/devices described above. The computer system 200 is shown comprising hardware elements that may be electrically coupled via a bus 255. The hardware elements may include one or more central processing units (CPUs) 205; one or more input devices 210 (e.g., a mouse, a keyboard, etc.); and/or one or more output devices 215 (e.g., a display device, a printer, etc.). The computer system 200 may also include one or more storage device 220. By way of example, storage device(s) 220 may be disk drives, optical storage devices, solid-state storage device such as a random access memory (“RAM”) and/or a read-only memory (“ROM”), which can be programmable, flash-updateable and/or the like. The computer system 200 may additionally include a computer-readable storage media reader 225a; a communications system 230 (e.g., a modem, a network card (wireless or wired), an infra-red communication device, etc.); and working memory 240, which may include RAM and ROM devices as described above. In some embodiments, the computer system 200 may also include a processing acceleration unit 235, which can include a DSP, a special-purpose processor and/or the like The computer-readable storage media reader 225a can further be connected to a computer-readable storage medium 225b, together (and, optionally, in combination with storage device(s) 220) comprehensively representing remote, local, fixed, and/or removable storage devices plus storage media for temporarily and/or more permanently containing computer-readable information. The communications system 230 may permit data to be exchanged with the network 105 and/or any other computer described above with respect to the system 100. The computer system 200 may also comprise software elements, shown as being currently located within a working memory 240, including an operating system 245 and/or other code 250, such as one or more application programs (which may be an application, midlet, etc., configured to perform procedures in accordance with embodiments of the invention, as well as a client application, web browser, mid-tier application, RDBMS, etc.). The application programs may be designed to implement methods of the invention. It should be appreciated that alternate embodiments of a computer system 200 may have numerous variations from that described above. For example, customized hardware might also be used and/or particular elements might be implemented in hardware, software (including portable software, such as applets), or both. Further, connection to other computing devices such as network input/output devices may be employed. FIG. 3 illustrates a sensing device 300, which may be used as one or more of the sensing devices 110 in the system 100 illustrated by FIG. 1. The sensing device 300 of FIG. 3 may comprise one or more sensors 305. As used herein, the term “sensor” should be interpreted to mean any device or apparatus that can be used to acquire physical and/or electronic information and convert such information into computer data as necessary. Examples of sensors that may be incorporated within embodiments of the invention include, but are not limited to, temperature sensors, moisture sensors, pressure sensors, location sensors, movement and/or acceleration sensors, shock detection sensors, weight (and/or mass) sensors, particle sensors, electromagnetic radiation sensors, RFID sensors and the like, as well as sensors described elsewhere herein. The sensing device 300 may include any number of sensors (two sensors 305a and 305b are illustrated on FIG. 3), and a particular sensing device can have sensors of different types. Merely by way of example, one sensor 305a may be an RFID sensor (e.g., an RFID transceiver), while another sensor 305b is a location sensor. Each sensor 305 may be integrated within a sensing device and/or may be connected thereto, perhaps having a separate housing comprising other components. The exemplary sensing device 300 can also comprise a processor 310, which can be in communication with the sensor(s) 305. The processor 310 can be configured, inter alia, to control the operation of the sensors 305, process data acquired by the sensors 305, control the storage, transmission, synchronization, etc. of such data. In some embodiments, the processor 310 can comprise one or more special purpose processors, such as those available from ARM™, Motorola™ and others. In other cases, the processor 310 may comprise one or more general purpose processors, such as those available from Intel™, Advanced Micro Devices™ and others. The processor 310 may also be in communication with a communications system 315, which can serve to provide communications, e.g., between the sensing device 300 and a server computer. Merely by way of example, the communications system 315 can provide connectivity with a network such as the network 105 of FIG. 1. The communications system 315 can include any necessary hardware and/or software for providing such communications, including without limitation, a wireless transceiver, modem (wired, wireless, etc.), a network interface (RJ45, etc.) and/or the like. In some cases, the communication system 315 can include components similar to those described with respect to the communications system 230 of FIG. 2. Those skilled in the art will appreciate that the components of the communication system 315 will vary according to the embodiment, depending in part on the type of network used, etc. In accordance with some embodiments, the sensing device 300 may also include a data store local 320 to the sensing device. (As used in this context, the term “local” means that the data store 320 is specific to the sensing device and is not, for example, the composite data store 120 of a server computer 115. Hence, the data store 320 may reside within the sensing device and/or in proximity thereto, such as in separate enclosure connected with the sensing device, etc.). The data store 320 can be used, inter alia, to store data acquired by the sensors 305, produced by the processor 310 and/or received via the communication system 315. The data store 320 may be embodied on a hard drive, a RAM device (volatile or non-volatile), etc. In fact, any suitable storage medium (including without limitation those discussed herein) may be used to house the data store 320. In some embodiments, the data store 320 may be a database, such as an RDBMS, a synchronization engine, etc. The Oracle LITE™ database, for example, is a lightweight database that can be implemented on a sensing device. The data store 320 may be configured to communicate with other data stores, including without limitation data stores local to other sensing devices, a composite data store at a server computer, etc. Such communications can include commands, data transfers (receiving and/or sending data), database synchronization (which can be selective, e.g., a subset of the data stored in the data store 320, and/or full) and/or any other types of supported communications. In some cases, the data store of a sensing device (or of a plurality of sensing devices) may comprise a distributed database that is distributed between a plurality of sensing devices and/or a server computer. A composite data store may also be a part of this distributed database. The processor 310 may be configured to perform instructions 325, which can be stored in RAM and/or ROM (of any type), and/or can comprise software, hardware, firmware, etc. Some of the instructions may be embedded in the processor 310. In some cases, certain instructions may be native to the sensing device 300. In other cases, certain of the instructions may be received from a server computer, user computer, etc. The instructions 325 can be executable by the processor 310 (perhaps in conjunction with other components of the sensing device 300) to perform procedures in accordance with embodiments of the invention. Merely by way of example, the sensing device may receive from a server computer instructions operable by the processor 310 to activate the sensors 305, collect data for a certain period of time, filter the data for a particular desired data set, store the desired data set in the data store 125 and/or synchronize the data set with a server computer (or a data store thereof). The instructions may also be executable to generate output in a suitable format, which can include any of a variety of standard and/or proprietary formats, such as XML, SOAP, etc. In some embodiments, some of the instructions may be embodied in an application program, such as Oracle Sensor-Based Services™. As but one example, consider a sensing device configured with Oracle's LITE database technology. This particular sensing device might comprise an RFID sensor, which is connected with an embedded processor running the suitable software. The sensing device's software can include, without limitation, a driver for the RFID sensor, a data filter and an output generator, which might be based on a standardized internet technology—for example SOAP—for generating usable output from the sensing device. The sensing device can use local software (such as the Oracle LITE database) to allow a central database (such as a composite data store) to synchronize data with the sensing device. If desired, data processing and/or filtering could be implemented by utilizing Oracle's LITE database capabilities, Java stored procedures, etc. In this example, Oracle's LITE database can enable the user to change filtering rules at run-time. The LITE database also provides other built-in capabilities, such as synchronization and data storage. The usage of Oracle's LITE database allows the creation of “intelligent” filters local to the sensing device. The filtering rules for such intelligent filters may be based on the specific data received from the central database. This embodiment can also feature local processing of data, perhaps based on instructions received from a server computer. The use of the Oracle LITE database also enables the sensing device to store data about key events that appear during the system runtime. Another set of embodiments provides methods for distributed and/or sensor-based computing. Such methods may be implemented by systems and/or sensing devices such as those depicted by FIGS. 1-3, although, in certain embodiments, the methods of the invention may be implemented within any suitable hardware and/or software framework and consequently are not limited to any particular implementation. FIG. 4 illustrates a method 400 that can be considered exemplary of certain embodiments of the invention. In accordance with some embodiments, the method can include providing one or more sensing devices (block 405), which may be sensing devices as described above, as well as one or more computers (block 410), which may be server computers and/or user computers as described above. The method may also include providing communication between the sensing device(s) and the computer(s) (block 415), e.g., via a network such as the network 105 described above. In some cases, the method can include receiving instructions at the sensing device (block 420). The instructions may be received from a server computer, user computer, etc., and/or may comprise any of a variety of commands. Merely by way of example, the sensing device might receive an instruction to activate (or deactivate) a particular sensor, to acquire data of a particular type and/or for a particular time window. Other instructions could include commands to synchronize data, to implement a particular filtering and/or processing procedure on acquired data (and/or on data to be acquired in the future), etc. In some cases, the received instructions may include new and/or updated programs, applets, rule sets, etc. At block 425, data may be acquired, e.g., by a sensor. The method of acquiring data necessarily will vary according to the type of sensor used. For example, an RFID sensor could acquire data by interrogating an RFID transmitter or “tag” and reporting the data received from the RFID transmitter. Alternatively, a physical sensor (temperature sensor, pressure sensor, etc.) can perform the necessary measurement and/or make the necessary observations. Data from these operations may then be reported, e.g., to the processor. Optionally, the acquired data may be processed (block 430). In some embodiments, the processing of the data can be performed at the sensing device, for instance to minimize the processing required at a central server or to mitigate excessive network traffic. The step of processing data should be understood to mean any manipulation of the data and therefore can include a variety of operations. Merely by way of example, as noted above, a sensing device can be configured to filter data according to certain parameters (perhaps pursuant to instructions received from a server computer), and processing the data can comprising filtering the data according to these parameters. So, for instance, if a sensing device employs and RFID sensor, and the data acquired by the RFID sensor includes a serial number for a particular item in inventory, a date of manufacture for that item and a location of manufacture for the item, but the only desired data is the serial number of the item, processing the data can comprise filtering out the data about date and location of the item's manufacture. As another example, if a sensing device employs a pressure sensor and the acquired data includes a series of pressure measurements ranging between 0.5 ATM and 1.5 ATM over a period of time, but the desired data includes only measurements over 1.0 ATM, all of the data about pressures 1.0 ATM and lower could be filtered out. In other cases, processing the data can include transforming the data. Merely by way of example, those skilled in the art will appreciate that sensors often record data in a raw format, and that such “raw” data must be transformed using some algorithm to produce the desired data. Consider, for instance, the pressure sensor discussed above. Perhaps the pressure sensor measures absolute pressures, but the data is required to be presented as a relative pressure. In this case, processing the data can include, for example, subtracting an ambient pressure from the acquired data to produce the desired relative pressure data. In some cases, how data is processed may depend on rules (such as filtering rules, etc.) stored in a database local to the sensing device. In other cases, other software, hardware and/or firmware instructions may govern the processing of data. In many cases, some combination of database rules and other instructions may both govern the processing of data. The data may also be stored, for instance at a data store local to the sensing device (block 435). As noted above, the data store may comprise a database. In such cases, storing the data can comprise executing a database procedure to import the data into the database. Merely by way of example, one or more tables in the database may be dedicated to storing acquired data, and one or more records may be created in these tables for storing the data. In this fashion, the data may be stored in an organized format. The fields of each record will, of course, depend on the nature of the data being stored. In other cases, the data may be stored in alternative data structures, including flat files, file systems, etc. The storage of data may occur before and/or after the data is processed. Merely by way of example, if the data store is a database, all acquired data may be stored, and/or any necessary filtering may be performed by a stored procedure in the database configured to purge records of unneeded data. In other embodiments, it may be more efficient from a storage perspective to filter data prior to storing the data. Similarly, other processing tasks may be performed before and/or after storage of the data. In accordance with particular embodiments, the data may be transmitted (block 440), e.g., for reception by a central database, a composite data store, other sensing devices, etc. In some cases, the transmission of data may comprise the generation of output in the appropriate format (using an XML generator, a SOAP interface, etc.). In other cases, the transmission of data may be performed using standard and/or proprietary database transfer commands, such as SQL commands, stored procedures, etc. The data may be transmitted pursuant to a command from a server, automatically upon acquisition, processing and/or storage of the data, on specified intervals, etc. In some cases, there may not be a need for all of the acquired and/or stored data to be transmitted to the server. In such cases, a particular data set (comprising the data desired by the server) may be transmitted, and the remainder of the data may not be transferred. As discussed in detail below, transmitting the data can, in some cases, be part of a data synchronization procedure. Data transmitted by the sensing device can be received by a server computer (and/or a data store associated with the server computer) and stored as appropriate. In some embodiments, a sensing device may also receive data (block 445) from a server computer, other sensing devices, etc. Merely by way of example, it may be desirable in some embodiments for a sensing device to receive data acquired by other sensing devices, either directly from the other sensing devices or via a central server. Receiving data may be accomplished in much the same fashion as transmitting data (except in reverse). Merely by way of example, data may be received in XML format, via a database transfer and/or SQL statement, etc. In some cases, received data may be processed and/or stored, perhaps in a manner similar to that discussed above. In particular embodiments, the sensing device may be configured to synchronize data with a composite database, other sensing devices, etc. (block 450). In some cases, the steps of transmitting and/or receiving data may be performed by a synchronization process. In other cases, there may be separate procedures for transmitting, receiving and/or synchronizing data. In accordance with certain embodiments, particularly when a database is used as a data store, the procedure for data synchronization may be performed by the database (and/or an RDBMS managing the database). Merely by way of example, the Oracle LITE database can be configured to synchronize with one or more databases (such as an Oracle 10g database, which might serve as a composite data store). Other synchronization schemes are possible as well. In any event, those skilled in the art will appreciate that data synchronization can, if desired, allow both the sensing device and the composite data store (or other device) to maintain a consistent and/or similar (or even an identical) data set. Merely by way of example, the synchronization process can be configured to update one of the data stores when data is added or modified in another data store. The synchronization process can also provide mechanisms to harmonize the data when it is modified in both locations. In general, however, the synchronization process can include transferring data between the sensing device and another device (e.g., a server computer, another sensing device, etc.). In particular cases, the synchronization might be one-way (that is, data added to and/or modified on the sensing device is sent to a server computer, but data added to and/or modified on the server computer is not sent to the sensing device, or vice-versa). In some implementations, all of the data at the sensing device's data store may be synchronized (e.g., with a composite data store and/or with another sensing device's data store) and/or all of the data at a composite data store may be synchronized with one or more sensing devices. In other cases, however, a subset of stored data may be synchronized. Merely by way of example, if a composite data store contains data acquired and/or stored by a plurality of sensing devices, the synchronization process between the composite data store and a particular sensing device might only synchronize the data acquired and/or stored by that sensing device. As another example, it may not be necessary for a composite data store to maintain all of the data stored on a sensing device, so the synchronization process might be configured to synchronize only the data to be stored at the composite data store (which might, for example, comprise a particular data set in the sensing device's data store). It should be noted that the methods discussed with respect to FIG. 4 are intended to be only exemplary in nature. Consequently, various embodiments may omit, substitute and/or add various procedures as appropriate. Merely by way of example, in a particular embodiment, data might not be stored at the sensing device but instead might simply be transmitted to a composite data store for storage after acquisition and/or processing. Similarly, in the foregoing description, for the purposes of illustration, various methods were described in a particular order. It should be appreciated that in alternative embodiments, the methods may be performed in an order different than that described. It should also be appreciated that the methods described above may be performed by hardware components and/or may be embodied in sequences of machine-executable instructions, which may be used to cause a machine, such as a general-purpose or special-purpose processor or logic circuits programmed with the instructions, to perform the methods. These machine-executable instructions may be stored on one or more machine readable media, such as CD-ROMs or other type of optical disks, floppy diskettes, ROMs, RAMs, EPROMs, EEPROMs, magnetic or optical cards, flash memory, or other types of machine-readable media suitable for storing electronic instructions. Merely by way of example, some embodiments of the invention provide software programs, which may be executed on one or more computers, for performing the methods described above. In particular embodiments, for example, there may be a plurality of software components configured to execute on various hardware devices (such as a user computer, a server computer, a sensing device, etc.). Alternatively, the methods may be performed by a combination of hardware and software. Hence, various embodiments of the invention provide inventive methods, systems, software products and data structures for providing distributed and/or sensor-based computing. The description above identifies certain exemplary embodiments for implementing the invention, but those skilled in the art will recognize that many modifications and variations are possible within the scope of the invention. The invention, therefore, is defined only by the claims set forth below |
|
abstract | The present invention relates to a particle-optical component comprising a first multi-aperture plate, and a second multi-aperture plate forming a gap between them; wherein a plurality of apertures of the first multi-aperture plate is arranged such that each aperture of the plurality of apertures of the first multi-aperture plate is aligned with a corresponding aperture of a plurality of apertures of the second multi-aperture plate; and wherein the gap has a first width at a first location and a second width at a second location and wherein the second width is by at least 5% greater than the first width. In addition, the present invention pertains to charged particle systems and arrangements comprising such components and methods of manufacturing multi aperture plates having a curved surface. |
|
abstract | The present application describes a specific tracer delivery system that has been tested. The claimed system is composed of melamine formaldehyde resin (MFR) doped with various tracer materials. The MFR is used to slowly release tracer compounds into a liquid system. The MFR/tracer mixture is applied as part of a monitoring system where tracer is delivered from the MFR/tracer mixture at a specific location up-stream and detected at some location downstream, thereby verifying fluid flow from that specific location up-stream. The MFR can be doped with different types of tracers, thereby allowing placement of different tracers at several different positions upstream. Production from the various labelled zones can be verified through the analysis of one sample downstream. |
|
summary | ||
abstract | The invention relates to an analyzer for the identification of explosives and/or chemical warfare agents, with a neutron source which causes the emission of characteristic xcex3 quanta, whereby the analysis system consists of a mobile frame to which a neutron source and a detector as well as a holder for the object are attached, with a neutron generator which contains deuterium as the target, generates neutron pulses by periodically repeated, pulsed bombardment of the target and is controlled so that short neutron pulses are emitted and repeated periodically, whereby the detector is controlled so that in cycles it detects xcex3 quanta promptly emitted from the object due to inelastic neutron scattering and neutron capture, within at least two consecutive temporal measurement windows, whereby the first measurement window at least partially overlaps temporally the neutron pulse and the subsequent second measurement window does not, whereby in the first measurement window xcex3 quanta are essentially detected due to inelastic neutron scattering and in the second measurement window they are detected due to neutron capture. |
|
050230476 | claims | 1. A nuclear reactor comprising: a plurality of fuel assemblies loaded in the core; control rods inserted in the core; and a means to regulate a coolant flow supplied to the core; the fuel assemblies each consisting of: a lower tie plate; a plurality of fuel elements with their lower ends held by a fuel support of the lower tie plate; and a water rod having a coolant ascending path and a coolant descending path, the coolant ascending path opening below the fuel support and rising above the fuel support, the coolant descending path communicating with the coolant ascending path and opening above the fuel support, the coolant descending path being adapted to guide the coolant from the coolant ascending path downwardly, whereby the lateral cross section of the coolant ascending path is larger than 25 times that of the coolant descending path. a lower tie plate; a plurality of fuel elements with their lower ends held by a fuel support of the lower tie plate; a first coolant passage formed among the fuel elements; a second coolant passage formed separate from and inside of the first coolant passage, the second coolant passage having a coolant ascending path and a coolant descending path, the coolant ascending path opening blow the fuel support and rising above the fuel support, the coolant descending path communicating with the coolant ascending path and opening above the fuel support, the coolant descending path being adapted to guide the coolant from the coolant ascending path downwardly, whereby the lateral cross section of the coolant ascending path is larger than 25 times that of the coolant descending path. a lower tie plate; a plurality of fuel elements with their lower ends held by a fuel support of the lower tie plate; a water rod having a coolant ascending path and a coolant descending path, the coolant ascending path opening below the fuel support and rising above the fuel support, the coolant descending path communicating with the coolant ascending path and opening above the fuel support, the coolant descending path being adapted to guide the coolant from the coolant ascending path downwardly, whereby the lateral cross section of the coolant ascending path is larger than that of the coolant descending path. a first fluid passage axially extending and having a fluid inlet opening at one end; and a second fluid passage connected to the first fluid passage and adapted to guide the fluid from the first passage toward the fluid inlet of the first passage, the second fluid passage having a fluid outlet opening situated between the connection with the first passage and the fluid inlet opening, whereby the cross section of the first passage is greater than that of the second passage. 2. A nuclear reactor as set forth in claim 1, further comprising control rod drivers for operating the control rods between two points, i.e., fully inserted position and a fully withdrawn position. 3. A fuel assembly comprising: 4. A fuel assembly as set forth in claim 3, wherein the coolant ascending path surrounds the coolant descending path. 5. A fuel assembly as set forth in claim 3, wherein the water rod has an orifice in the coolant ascending path. 6. A fuel assembly as set forth in claim 3, wherein the fuel elements each have a gas plenum below the lower end of a fuel-loaded portion and the opening of the coolant descending path is situated at a level of the gas plenum. 7. A fuel assembly comprising: 8. A fuel assembly comprising: 9. A water rod comprising: |
abstract | A most recent value of data fetched from a plant facility is temporarily stored and extracted and then stored in a plant history data table. On another front, a monitoring point for monitoring a plant error is registered in response to an operator request. A monitoring point is used as a key to select and register a main variable point having a strong correlation from the plant history data table. A statistical upper/lower limit function is defined on the basis of time-series plant data of monitoring points and main variable points. By referring to information in a table in which a limit value function is stored, the limit value is periodically matched with the content of a plant-data most-recent-value table. If the most recent value is outside the limit range, deviation information is stored in a determination result table. If a new plant error occurs, an error signal and the content of the determination result table is outputted and displayed. |
|
description | The present inventions provide for a removable sensor assembly for tracking a movable object, such as a catheter, within a patient""s body. As illustrated in FIG. 2, a sensor assembly 170 constructed in accordance with the present inventions is used with the afore-described image acquisition device 105 to facilitate synchronization of the catheter icon with the 2D fluoroscopic images while the C-arm 125 rotates about the patient. The sensor assembly 170 is shown mounted on a lateral surface 175 of the C-arm 125 near the upper end thereof. The sensor assembly 170, however, may be mounted at alternative positions along the lateral surface, or any surface, of the C-arm 125, as long as it is provides a reference point that accurately represents the relative position and orientation of the C-Arm 125. As illustrated in FIG. 2, the sensor assembly 170 comprises a sensor mount 180, which is permanently attached to C-arm 125, and a sensor 185, which is removably attached to the sensor mount 180. In the illustrated embodiment, the sensor 185 comprises a housing that contains three pairs of sensing elements (not depicted), which orthogonally sense electromagnetic energy in three axes. The sensor 185 also includes an outlet (not depicted) for the leads or wires that connect to the reception circuitry 155 and controller/processor 135 (depicted in FIG. 1). The sensor mount 180 is permanently attached to a mounting surface 175 of the C-arm 125 by known means, such as by being welded, bonded, or even screwed on. The sensor mount 180 is made of non-ferromagnetic material, i.e., anything other than steel or a natural or synthetic material containing iron, and acts to separate and provide an appropriate, prescribed distance between the sensor 185 and the mounting surface 175 of the ferromagnetic C-arm 125, thereby preventing an adverse magnetic effect on the sensor 185. To this end, the sensor mount 180 includes a spacer 190, the thickness of which defines the distance between the sensor 185 and the mounting surface of the C-arm 125. The sensor mount 180 further comprises a sensor engaging element 195 with which the sensor 185 mates and is secured by an interference fit. The element of the sensor 185 that the sensor engaging element 195 of the sensor mount 80 engages is, for the purposes of this specification, a mount engaging element, which may be a sensor housing or other element. For the purposes of this specification, an interference fit refers to any fit or contact between mating parts having prescribed limits of size, material, and shape, so that a reversible mechanical hold between the mating parts is established. As will be understood by the following description and reference to the respective drawings, the present inventors have developed a variety of innovative sensor mount assemblies with removably attached sensors that are maintained at the required distance from the surface of the C-arm 125. Referring now to FIG. 3C, a preferred embodiment of a sensor assembly 200 is depicted. The sensor assembly 200 comprises a sensor mount 202 (shown separately in FIG. 3B) and a sensor 204 (shown separately in FIG. 3A), which is removably attached to the sensor mount 202. The sensor 204 comprises a sensor housing 206, which contains sensing elements (not depicted). The sensor housing 206 has a substantially tubular shaft 208 that includes an outlet 212 at one end from which sensor wires 214 extend, and an oppositely-disposed rounded end 210. As can be seen, the diameter of the rounded end 210 is greater than the diameter of the shaft 208. The sensor mount 202 comprises a planar spacer flange 216, which spaces the mounted sensor 204 the required distance away from the C-arm 125. To this end, the spacer flange 216 comprises a first planar mounting surface 218, which is the surface used to permanently attach the sensor mount 202 to the C-arm 125 via suitable means, such as welding or bonding, and an oppositely-disposed second planar mounting surface 220 from which a pair of sensor holding arms 222 extend. The ends 224 of the arms 222 curve towards each other to define an aperture 226 that has a cross-section that substantially matches that of the shaft 208 of the sensor housing 206, such that the sensor 204 is disposed within the aperture 226 in a snap-fit arrangement with the arms 222. Thus, as best illustrated in FIG. 3C, the shaft 208 of the sensor housing 206 fits snugly within the aperture 226, with the round end 210 of the sensor housing 206 abutting the tops of the arms 222. It should be noted that the sensor mount 202 can be considered a front mount in that the sensor 204 is inserted therein in a direction perpendicular to the first planar mounting surface 220. The ends 224 of the arms 222 comprise beveled edges 228, which guide and facilitate the insertion of the sensor housing shaft 208 between the ends 224 of the arms 222 and into aperture 226. Moreover, the beveled edges 228 allow the arms 222 to almost completely enclose the sensor housing shaft 208, thereby providing a more secure fit between the sensor mount 202 and sensor 204. Preferably, the arms 222 are composed of a firm material having an elastic property, such as an elastomer, so that their shape may be distorted as the shaft 208 is being inserted therebetween, yet at least partially restored once inserted. FIGS. 3D and 3E depict alternative embodiments of sensor mounts that are similar to the afore-described sensor mount 202, with the exception that they include spacer flanges that are coextensive with the pair of sensor holding arms, i.e., the pair of sensor holding arms has the same profile as the spacer flange when viewing the mount at an angle perpendicular to the mounting surface of the mount. In particular, FIG. 3D depicts a front sensor mount 230 that comprises a spacer flange 232 (set off by dashed lines) that includes a mounting surface 234 for mounting of the sensor mount 230 to the C-arm 125, and a pair of arms 236 that extend from the flange 232 in a direction perpendicular to the mounting surface 234. As can be seen, the arms 236 have the same profile as the spacer flange 232 when viewing it at an angle perpendicular to the mounting surface 234. FIG. 3E depicts a side sensor mount 240 that comprises a spacer flange 242 (set off by dashed lines) that includes a mounting surface 244 for mounting of the sensor mount 240 to the C-arm 125, and a pair of arms 246 that extend from the flange 242 in a direction parallel to the mounting surface 244. As can be seen, the arms 246 have the same profile as the spacer flange 242 when viewing it at an angle perpendicular to the mounting surface 244. Referring to FIGS. 4A-4C, another preferred embodiment of a sensor assembly 250 is depicted. The sensor assembly 250 comprises a sensor mount 252 (shown separately in FIG. 4B) and a sensor 254 (shown separately in FIG. 4A), which is removably attached to the sensor mount 252. The sensor 254 comprises a T-shaped sensor housing 256, which contains sensing elements (not depicted). The sensor housing 256 has a substantially tubular shaft 258 that includes an outlet 260 at one end from which sensor wires 262 extend, and a pair of sensor arms 264 at the other end. As illustrated, the pair of sensor arms 264 extend perpendicularly from the shaft 258 in opposite directions and in a coplanar relationship with the shaft 258. The sensor arms 264 also include ends 278 that curve towards the shaft 258 for reasons that will further be described below. The sensor mount 252 comprises a planar spacer flange 266, which spaces the mounted sensor 254 the required distance away from the C-arm 125. To this end, the spacer flange 266 comprises a first planar mounting surface 268, which is the surface used to permanently attach the sensor mount 252 to the C-arm 125 via suitable means, such as welding or bonding, and an oppositely-disposed second planar mounting surface 270 from which a pair of sensor holding arms 272 perpendicularly extend. The sensor arms 264 can be removably attached to the sensor holding arms 272 in a snap-fit arrangement. To this end, the sensor arms 264 each includes a ridge 274, and the sensor holding arms 272 each includes an indentation 276. Alternatively, the sensor arms 264 can each include an indentation, and the sensor holding arms 272 can each include a ridge. In any event, the sensor arms 264 and sensor holding arms 272 include features that facilitate the snap-fit arrangement. Thus, when the sensor shaft 258 is disposed between the sensor holding arms 272, and the sensor arms 264 are disposed on the sensor holding arms 272 as illustrated in FIG. 4C, the ridges 274 snap into the indentations 276 to provide a secure fit between the sensor 254 and the sensor mount 252. Additionally, the respective ends 278 of the sensor arms 264 engage the sensor holding arms 272 to more securely fit the sensor 254 and sensor mount 252. Referring to FIGS. 5A-5C, still another preferred embodiment of a sensor assembly 300 is depicted. The sensor assembly 300 comprises a sensor mount 302 (shown separately in FIG. 5B) and a sensor 304 (shown separately in FIG. 5A), which is removably attached to the sensor mount 302. The sensor 304 comprises an oblong sensor housing 306, which contains sensing elements (not depicted). The sensor housing 306 has an outlet 308 at one end from which sensor wires 310 extend. The sensor housing 306 further comprises a barb 312 that extends perpendicularly from its center. Alternatively, the barb 312 may extend from any longitudinal point on the sensor housing 306. The sensor mount 302 comprises a planar spacer flange 314, which spaces the mounted sensor 304 the required distance away from the C-arm 125. To this end, the spacer flange 314 comprises a first planar mounting surface 316, which is the surface used to permanently attach the sensor mount 302 to the C-arm 125 via suitable means, such as welding or bonding, and an oppositely-disposed second planar mounting surface 318, in which an open oblong cavity 320 is formed for receiving the sensor housing 306. The open cavity 320 includes a hole 322 along its midpoint for receiving the barb 312 of the sensor housing 306. In this regard, the sensor housing 306 can be removably mounted within the open cavity 320 in a direction perpendicular to the first planar mounting surface 316 by disposing the barb 312 within the hole 322, as illustrated in FIG. 5C. To further facilitate the mounting of the sensor 304 on the sensor mount 302, the shape and size of the sensor housing 306 and open cavity 320 are similar, such that the sensor housing 306 is securely fit within the open cavity 320. Alternatively, the spacer flange 314 may be composed of an elastic material, and the size of the cavity 320 may be slightly smaller than the size of the housing 306, such that the cavity 320 expands in a gripping relationship with the inserted housing 306. Furthermore, a channel 324 is formed within the second planar mounting surface 318 of the spacer flange 314 to receive the sensor wires 310. Referring to FIGS. 6A-6C, still another preferred embodiment of a sensor assembly 350 is depicted. The sensor assembly 350 comprises a sensor mount 352 (shown separately in FIG. 6B) and a sensor 354 (shown separately in FIG. 6A), which is removably attached to the sensor mount 352. The sensor 354 comprises a conical sensor housing 356, which contains sensing elements (not depicted). The sensor housing 356 has an outlet 358 at one end from which sensor wires 360 extend. The sensor mount 352 comprises a spacer flange, which spaces the mounted sensor 354 the required distance away from the C-arm 125. To this end, the spacer flange 362 comprises a mounting surface 364, which is the surface used to permanently attach the sensor mount 352 to the C-arm 125 via suitable means, such as welding or bonding. The spacer flange 362 further comprises a conical cavity 366 for receiving the conical sensor housing 356. In this regard, the conical sensor housing 356 can be removably mounted within the conical cavity 366 in a parallel direction to the mounting surface 364, as illustrated in FIG. 6C. To ensure a tight fit between the sensor 354 and the sensor mount 352, the spacer flange 362 is preferably composed of an elastic material, and the size of the conical cavity 366 is slightly smaller than the size of the conical housing 356, such that the conical cavity 366 expands in a gripping relationship with the inserted conical housing 356. The spacer flange 362 further includes a slit 368 for receiving the sensor wires 360. As illustrated, the slit 368 extends from the conical cavity 364 to the exterior of the spacer flange 362, and is oriented in a direction parallel to the axis of the open cavity 364. Referring now to FIGS. 7A-7C, still another preferred embodiment of a sensor assembly 400 is depicted. The sensor assembly 400 comprises a sensor mount 402 (shown separately in FIG. 7B) and a sensor 404 (shown separately in FIG. 7A), which is removably attached to the sensor mount 402. The sensor 404 comprises a sensor housing 406, which contains sensing elements (not depicted). The sensor housing 406 has a substantially tubular shaft 408 that includes an outlet 410 at one end from which sensor wires 412 extend, and a clip 414 at the opposite end. The clip 414 exhibits a non-circular cross-section, which in the illustrated embodiment, is generally D-shaped. The sensor mount 402 comprises a spacer flange 416, which spaces the mounted sensor 404 the required distance away from the C-arm 125. To this end, the spacer flange 416 comprises a planar mounting surface 418, which is the surface used to permanently attach the sensor mount 402 to the C-arm 125 via suitable means, such as welding or bonding. The sensor mount 402 also comprises clip-receiving means 420, and specifically a cavity that exhibits a non-circular cross section, which in the illustrated embodiment, is D-shaped. As illustrated in FIG. 7C, the cavity 420 snugly receives the clip 414 in a direction parallel to the planar mounting surface 418. FIGS. 7D-7F depict alternative embodiments of sensor mounts that are similar to the afore-described sensor mount 402, with the exception that the means for receiving the clip 414 comprises a handle that is formed on the spacer flange. Specifically referring to FIG. 7D, a sensor mount 422 comprises a spacer flange 424 that includes a first planar mounting surface 426 for permanently mounting the sensor mount 422 to the C-arm 125, and a second planar mounting surface 428 from which a handle 430 extends. The handle 430 forms an aperture 431 between it and the second planar surface 428 for receiving the clip 414 of the sensor housing 406 in a direction parallel to the first planar mounting surface 426. In the illustrated embodiment, the aperture 431 exhibits a cross-section substantially matching that of clip 414, and in this case a D-shaped cross-section, so that the handle 430 snugly holds the clip 414. The length of the spacer flange 424 preferably approximately matches that of the clip 414. Specifically referring to FIG. 7E, a sensor mount 432 comprises a spacer flange 434 that includes a first planar mounting surface 436 for permanently mounting the sensor mount 432 to the C-arm 125, and a second planar mounting surface 438 from which a handle 440 extends. The handle 440 forms an aperture 441 between it and the second planar surface 438 for receiving the clip 414 of the sensor housing 406 in a direction parallel to the first planar mounting surface 436. In the illustrated embodiment, the aperture 441 exhibits a cross-section substantially dissimilar to that of the clip 414, and in this case a semi-circular cross-section, so that the handle 440 snugly holds the clip 414. The length of the spacer flange 434 is substantially shorter than that of the clip 414. Specifically referring to FIG. 7F, a sensor mount 442 comprises a spacer flange 444 that includes a first planar mounting surface 446 for permanently mounting the sensor mount 442 to the C-arm 125, and a second planar mounting surface 448 from which a handle 450 extends. The handle 450 forms an aperture 452 between it and the second planar surface 448 for receiving the clip 414 of the sensor housing 406 in a direction parallel to the first planar mounting surface 446. In the illustrated embodiment, the aperture 452 exhibits a cross-section substantially dissimilar to that of the clip 414, and in this case a rectangular cross-section, so that the handle 450 snugly holds the clip 414. The length of the spacer flange 444 is substantially the same as that of the clip 414. To further ensure a tight fit between the sensor housing 406 and the sensor mount 442, a pair of sensor receiving arms 454 extend from the second planar surface 448 of the spacer flange 442. The pair of arms 454 includes ends 456, which curve towards each other to define an aperture 458 having a cross-section that substantially matches that of the shaft 408 of the sensor housing 406, thereby allowing the arms 454 to grip the shaft 408 of the mounted sensor housing 406. FIG. 7G depicts an alternative embodiment of sensor mount 462 that is similar to the afore-described sensor mount 402, with the exception that the means for receiving the clip 414 comprises a slit that is formed in the spacer flange. Specifically, the sensor mount 462 comprises a spacer flange 464 that includes a planar mounting surface 466 for permanently mounting the sensor mount 462 to the C-arm 125. The sensor mount 462 further includes an elastomer slit 468 formed within the spacer flange 464 to receive the clip 414 of the sensor housing 406 in a direction parallel to the planar mounting surface 466. Preferably, the size of the slit 468 is slightly smaller than the size of the clip 414, such that the slit 414 expands in a gripping relationship with the inserted clip 414 to snugly engage the sensor 404 with the sensor mount 462. FIG. 7H depicts an alternative embodiment of sensor mount 472 that is similar to the afore-described sensor mount 402, with the exception that the means for receiving the clip 414 comprises an L-shaped flange that extends from the spacer flange. Specifically, the sensor mount 472 comprises a spacer flange 474 that includes a first planar mounting surface 476 for permanently mounting the sensor mount 472 to the C-arm 125, and a second planar mounting surface 478 from which an L-shaped flange 480 extends. The L-shaped flange 480 forms an open slot 481 between it and the second planar surface 478 for receiving the clip 414 of the sensor housing 406 in a direction parallel to the first planar mounting surface 476. FIG. 71 depicts an alternative embodiment of sensor mount 482 that is similar to the afore-described sensor mount 402, with the exception that the means for receiving the clip 414 comprises a spring clip that extends from the spacer flange. Specifically, the sensor mount 482 comprises a spacer flange 484 that includes a first planar mounting surface 486 for permanently mounting the sensor mount 482 to the C-arm 125, and a second planar mounting surface 488 from which a spring clip 490 extends. The spring clip 490 forms an open slot 494 between it and the second planar surface 488 for receiving the shaft 408 of the sensor housing 406 in a direction parallel to the first planar mounting surface 486. The spring action of the clip 490 compresses the mounted sensor 404 against the spacer flange 484 in a snug relationship. The spring clip 490 also includes a cutout 494 that receives and accommodates the shaft 408 of the sensor housing 406 when the sensor 404 is mounted. Referring now to FIGS. 8A-8C, still another preferred embodiment of a sensor assembly 500 is depicted. The sensor assembly 500 comprises a sensor mount 502 (shown separately in FIG. 8B) and a sensor 554 (shown separately in FIG. 8A), which is removably attached to the sensor mount 502. The sensor 504 comprises a sensor housing 506, which contains sensing elements (not depicted) and an outlet 508 at one end from which sensor wires 510 extend. The sensor housing 506 may be of any shape, e.g., hexagonal, that has at least two lateral edges 512 and 514. In fact, any shape other than a circle is contemplated to prevent rotation of the sensor housing 506 when mounted in the sensor mount 502. The sensor mount 502 comprises a planar spacer flange 516, which spaces the mounted sensor 504 the required distance away from the C-arm 125. To this end, the spacer flange 516 comprises a first planar mounting surface 518, which is the surface used to permanently attach the sensor mount 502 to the C-arm 125 via suitable means, such as welding or bonding, and an oppositely-disposed second planar mounting surface 520 in which an open cavity 522 is formed for receiving the sensor housing 506 in a direction perpendicular to the first planar mounting surface 520. The shape and size of the sensor housing 506 and open cavity 522 are substantially the same, such that the sensor housing 506 is snugly disposed within the open cavity 522 in a snap-fit arrangement. Thus, the open cavity 522 is defined by at least two lateral edges 524 and 526 that engage the at least two lateral edges 512 and 514 of the sensor housing 506 when the sensor 504 is mounted in the open cavity 522. To further enhance the secure fit between the sensor mount 502 and the sensor 504, the lateral edges 512 and 514 of the sensor 504 preferably each include at least one ridge 528, and the lateral edges 524 and 526 of the open cavity 522 each include at least one mating indentation 530. Alternatively, the lateral edges 512 and 514 of the sensor 504 include at least one indentation, and the lateral edges 524 and 526 of the open cavity 522 each include at least one mating ridge. Referring now to FIGS. 9A-9D, still another preferred embodiment of a sensor assembly 550 is depicted. As illustrated in FIG. 9D, the sensor assembly 550 comprises a sensor mount 552 and a sensor 554. Referring specifically to FIG. 9A, the sensor 554 comprises a sensor housing 556, which contains sensing elements (not depicted). The sensor housing 556 has a substantially tubular shaft 558 that includes an outlet 560 at one end from which sensor wires 562 extend. Referring specifically to FIG. 9B, the sensor mount 552 comprises a planar spacer flange 564, which spaces the mounted sensor 554 the required distance away from the C-arm 125. The spacer flange 564 comprises a circular cavity 566 in which the sensor 554 is mounted, e.g., by bonding, with the tubular shaft 558 being disposed along the diameter of the circular cavity 566, and the opposite ends thereof being in contact with a wall 568 of the cavity 566. The spacer flange 564 further comprises a planar mounting surface 570, which as will be described below, is the surface used to removably attach the spacer flange 564 to a patch 572 of the sensor mount 552. Referring specifically to FIG. 9C, the patch 572 comprises a first planar mounting surface 574, which is the surface used to permanently attach the sensor mount 552 to the C-arm 125 via suitable means, such as welding or bonding, and an oppositely-disposed second planar mounting surface 576, which is configured, such that the spacer flange 564 can be removably mounted thereto, as illustrated in FIG. 9D. In the illustrated embodiment, a hook-in-loop material 578, the hook portion of which is permanently disposed on the planar surface 570 of the spacer flange 564, and the loop portion of which is permanently disposed on the second planar surface 576 of the patch 572, is used to removably mount the spacer flange 564 to the patch 572. Referring now to FIGS. 10A-10C, still another preferred embodiment of a sensor assembly 600 is depicted. The sensor assembly 600 comprises a sensor mount 602 (shown separately in FIG. 10B) and a sensor 604 (shown separately in FIG. 10A), which is removably attached to the sensor mount 602. The sensor 604 comprises a cylindrical sensor housing 606, which contains sensing elements (not depicted) and an outlet 608 at one end, from which sensor wires 610 extend. The sensor 604 further includes a member 612 that extends the length of the sensor housing 606. The sensor mount 602 comprises a planar spacer flange 614, which spaces the mounted sensor 604 the required distance away from the C-arm 125. To this end, the spacer flange 614 comprises a first planar mounting surface 616, which is the surface used to permanently attach the sensor mount 602 to the C-arm 125 via suitable means, such as welding or bonding, and an oppositely-disposed second planar mounting surface 618, from which a member 620 extends. A cavity 622 is formed in the member 620, and extends the length of the spacer flange 614. The member 612 of the sensor 604 and the cavity 622 of the sensor mount 602 have substantially uniform and complementary cross-sections, and in this case T-shaped cross-sections, such that they are configured to slidingly engage each other in a direction parallel to the first planar mounting surface 616 of the sensor mount 602. To further ensure a secure fit between the sensor 604 and the sensor mount 602, the T-shaped member 612 includes a protuberance 624, and the T-shaped cavity 622 comprises an indentation 626 that engage each other in a snap-fit arrangement when the T-shaped member 612 is fully engaged with the T-shaped cavity 622, as illustrated in FIG. 10C. Referring now to FIGS. 11A1-11C, still another preferred embodiment of a sensor assembly 650 is depicted. The sensor assembly 650 is similar to the previously described sensor assembly 600, with the exception that a trapezoidal-shaped member and cavity arrangement is used. Specifically, the sensor assembly 650 comprises a sensor mount 652 (shown separately in FIG. 11B) and a sensor 654 (shown separately in FIG. 11A), which is removably attached to the sensor mount 652. The sensor 654 comprises a sensor housing 656, which contains sensing elements (not depicted) and an outlet 658 at one end from which sensor wires 660 extend. The sensor 654 further includes a member 662 that extends the length of the sensor housing 656. The sensor mount 652 comprises a planar spacer flange 664, which spaces the mounted sensor 654 the required distance away from the C-arm 125. To this end, the spacer flange 664 comprises a planar mounting surface 666, which is the surface used to permanently attach the sensor mount 652 to the C-arm 125 via suitable means, such as welding or bonding. The spacer flange 664 further comprises a cavity 668 formed therein that extends the length of the sensor housing 656. The member 662 of the sensor 654 and the cavity 668 of the sensor mount 652 have substantially uniform and complementary cross-sections, and in this case, trapezoidal-shaped cross-sections, such that they are configured to slidingly engage each other in a direction parallel to the planar mounting surface 666 of the sensor mount 652. To further ensure a secure fit between the sensor 654 and the sensor mount 652, the trapezoidal-shaped member 662 includes a protuberance 670, and the trapezoidal-shaped cavity 668 comprises an indentation 672 that engage each other in a snap-fit arrangement when the trapezoidal-shaped member 662 is fully engaged with the trapezoidal-shaped cavity 672, as illustrated in FIG. 11C. The sensor 654 conveniently includes a finger handle 674, which can be grasped by the user to slide the member 662 of the sensor 654 into and out of the cavity 668 of the sensor mount 652. Referring now to FIGS. 12A-12C, still another preferred embodiment of a sensor assembly 700 is depicted. The sensor assembly 700 comprises a sensor mount 702 (shown separately in FIG. 12B) and a sensor 704 (shown separately in FIG. 11A), which is removably attached to the sensor mount 702. The sensor 704 comprises a sensor housing 706, which contains sensing elements (not depicted) and an outlet 708 at one end from which sensor wires 710 extend. The sensor 704 further includes a member 712 that forms a cavity 714 that extends the length of the sensor housing 706. The sensor mount 702 comprises a planar spacer flange 716, which spaces the mounted sensor 704 the required distance away from the C-arm 125. To this end, the spacer flange 716 comprises a first planar mounting surface 718, which is the surface used to permanently attach the sensor mount 702 to the C-arm 125 via suitable means, such as welding or bonding, and an oppositely-disposed second planar mounting surface 720 from which a member 722 extends along the length of the spacer flange 716. The cavity 714 of the sensor 704 and the member 722 of the sensor mount 702 have substantially uniform and complementary cross-sections, and in this case, rectangular-shaped cross-sections, such that they are configured to slidingly engage each other in a direction parallel to the first planar mounting surface 718 of the sensor mount 702. To further ensure a secure fit between the sensor 704 and the sensor mount 702, the rectangular-shaped cavity 714 includes opposing sidewalls 724, each with a ridge 726 that extends the length thereof, and the rectangular-shaped member 722 includes opposing sidewalls 728, each with a slot 730 that extends the length thereof. The ridges 726 and slots 730 engage each other in a friction fit, as the rectangular member 722 is engaged with the rectangular cavity 714, as illustrated in FIG. 12C. Referring now to FIGS. 13A-13C, still another preferred embodiment of a sensor assembly 750 is depicted. The sensor assembly 750 comprises a sensor mount 752 (shown separately in FIG. 13B) and a sensor 754 (shown separately in FIG. 13A), which is removably attached to the sensor mount 752. The sensor 754 comprises a cylindrical sensor housing 756, which contains sensing elements (not depicted) and an outlet 758 at one end, from which sensor wires 760 extend. For purposes that will be described below, the sensor housing 756 further includes a key 762 that extends along the length thereof. The sensor mount 752 comprises a planar spacer flange 764, which spaces the mounted sensor 754 the required distance away from the C-arm 125. To this end, the spacer flange 764 comprises a first planar mounting surface 766, which is the surface used to permanently attach the sensor mount 752 to the C-arm 125 via suitable means, such as welding or bonding, and an oppositely-disposed second planar mounting surface 768, from which a member 770 extends. The member 770 comprises a cylindrical cavity 772 formed therein that extends along the length of the spacer flange 764. The cylindrical cavity 772 comprises a key slot 774 that extends along the length thereof. The sensor housing 756 and the cavity 772 of the sensor mount 752 havesubstantially uniform and complementary cross-sections, and in this case, elliposidal-shaped cross-sections, and specifically circular-shaped cross-sections, such that they are configured to slidingly engage each other in a direction parallel to the first planar mounting surface 766 of the sensor mount 752. Additionally, the key 762 of the sensor housing 756 fits in and engages with the key slot 774 of the cylindrical cavity 772, such that the cylindrical sensor housing 756 does not rotate along the axis of the cylindrical cavity 772. To further ensure a secure fit between the sensor 754 and the sensor mount 752, the cylindrical sensor housing 756 includes a detent 776, and the cylindrical cavity 772 includes an aperture 778 that engage each other when the cylindrical sensor housing 756 is fully engaged with the cylindrical cavity 772, as illustrated in FIG. 13C. Referring now to FIGS. 14A-14C, still another preferred embodiment of a sensor assembly 800 is depicted. The sensor assembly 800 is similar to the previously described sensor assembly 750, with the exception that opposing extensions, rather than a key, is used to prevent rotation of the sensor housing. Specifically, the sensor assembly 800 comprises a sensor mount 802 (shown separately in FIG. 14B) and a sensor 804 (shown separately in FIG. 14A), which is removably attached to the sensor mount 802. The sensor 804 comprises a generally cylindrical sensor housing 806, which contains sensing elements (not depicted) and an outlet 808 at one end, from which sensor wires 810 extend. For purposes that will be described below, the sensor housing 806 further includes a pair of lateral opposing extensions 812. The sensor mount 802 comprises a spacer flange 814, which spaces the mounted sensor 804 the required distance away from the C-arm 125. To this end, the spacer flange 814 comprises a planar mounting surface 816, which is the surface used to permanently attach the sensor mount 802 to the C-arm 125 via suitable means, such as welding or bonding. The sensor mount 802 further comprises a cylindrical cavity 822 that is formed within the spacer flange 814 extends along the length of the spacer flange 814. The cylindrical cavity 822 comprises a pair of lateral opposing extensions 824 that extends along the length thereof. The sensor housing 806 and the cavity 822 of the sensor mount 802 have substantially uniform and complementary cross-sections, and in this case, elliposidal-shaped cross-sections, and specifically circular-shaped cross-sections, such that they are configured to slidingly engage each other in a direction parallel to the planar mounting surface 816 of the sensor mount 802. Additionally, the pair of opposing lateral extensions 812 of the sensor housing 806 fits in and engages with the pair of opposing lateral extensions 824 of the cylindrical cavity 822, such that the cylindrical sensor housing 806 does not rotate along the axis of the cylindrical cavity 822. To further ensure a secure fit between the sensor 804 and the sensor mount 802, the cylindrical sensor housing 806 includes a detent 826, and the cylindrical cavity 822 includes an aperture 828 that engage each other when the cylindrical sensor housing 806 is fully engaged with the cylindrical cavity 822, as illustrated in FIG. 14C. Referring now to FIGS. 15A-15C, still another preferred embodiment of a sensor assembly 850 is depicted. The sensor assembly 850 comprises a sensor mount 852 (shown separately in FIG. 15B) and a sensor 854 (shown separately in FIG. 15A), which is removably attached to the sensor mount 852. The sensor 854 comprises a cylindrical sensor housing 856, which contains sensing elements (not depicted) and an outlet 858 at one end, from which sensor wires 860 extend. The sensor 854 further includes a rigid planar member 862, which includes a first planar surface 864 and an oppositely-disposed second planar surface 866, from which the sensor housing 856 extends. The sensor mount 852 comprises a planar spacer flange 868, which spaces the mounted sensor 854 the required distance away from the C-arm 125. To this end, the spacer flange 868 comprises a first planar mounting surface 870, which is the surface used to permanently attach the sensor mount 852 to the C-arm 125 via suitable means, such as welding or bonding, and an oppositely-disposed second planar mounting surface 872. The sensor mount 852 further includes a flexible planar member 874 that is configured to be removably attached to the second planar mounting surface 872 of the spacer flange 868. The flexible planar member 874 comprises an aperture 876, through which the sensor housing 856 can fit through, but through which the rigid planar member 862 cannot. Thus, the spacer flange 868, with the sensor housing 856, can be inserted between the flexible planar member 874 and the spacer flange 868 when removably attaching the flexible planar member 874 to the spacer flange 868, thereby removably mounting the sensor 854 to the sensor mount 852, as illustrated in FIG. 15C. In the illustrated embodiment, a hook-in-loop material (not illustrated), the hook portion of which forms the flexible planar member 874, and the loop portion of which is permanently disposed on the second planar surface 872 of the spacer flange 868, is used to removably mount the rigid planar member 862, and thus, the sensor 854, to the sensor mount 852. Referring now to FIGS. 16A-16C, still another preferred embodiment of a sensor assembly 900 is depicted. The sensor assembly 900 comprises a sensor mount 902 (shown separately in FIG. 16B) and a sensor 904 (shown separately in FIG. 16A), which is removably attached to the sensor mount 902. The sensor 904 comprises a cylindrical sensor housing 906, which contains sensing elements (not depicted) and an outlet 908 at one end, from which sensor wires 910 extend. The sensor 904 further includes a pair of axially aligned snap holes 912 that is formed within the sensor housing 906. The sensor mount 902 comprises a planar spacer flange 914, which spaces the mounted sensor 904 the required distance away from the C-arm 125. To this end, the spacer flange 914 comprises a first planar mounting surface 916, which is the surface used to permanently attach the sensor mount 902 to the C-arm 125 via suitable means, such as welding or bonding, and an oppositely-disposed second planar mounting surface 918, from which a pair of axially aligned snap protuberances 920 extend. The spacing between, and size of, the pair of snap holes 912 and the spacing between, and size of, the pair of snap protuberances 920 match, such that they are configured to snap together to mount the sensor 904 on the sensor mount 902, as illustrated in FIG. 16C. Referring now to FIGS. 17A-17C, still another preferred embodiment of a sensor assembly 950 is depicted. The sensor assembly 950 comprises a sensor mount 952 (shown separately in FIG. 17B) and a sensor 954 (shown separately in FIG. 17A), which is removably attached to the sensor mount 952. The sensor 954 comprises a cylindrical sensor housing 956, which contains sensing elements (not depicted) and an outlet 958 at one end, from which sensor wires 960 extend. The sensor housing 956 includes two oppositely-disposed cutouts 962, which are preferably provided at or near the midpoint of the sensor housing 956. The sensor mount 952 comprises a spacer flange 964, which spaces the mounted sensor 954 the required distance away from the C-arm 125. To this end, the spacer flange 964 comprises a planar mounting surface 966, which is the surface used to permanently attach the sensor mount 952 to the C-arm 125 via suitable means, such as welding or bonding, and an oppositely-disposed concave surface 968 that is sized and shaped to receive the sensor housing 956. A pair of sensor holding arms 970 extends from the concave surface 968 of the spacer flange 964, and includes opposing concave surfaces 972 that define an aperture 974 between the arms 970. The holding arms 970 are configured to grip the sensor housing 956 therebetween in a snap-fit arrangement when the concave surfaces 972 are coincident with the cutouts 962 of the sensor housing 956, as illustrated in FIG. 17C. The concave surface 968 of the spacer flange 964 receives the sensor housing 956, thereby further ensuring a secure fit between the sensor 954 and the sensor mount 952. Each of the pair of sensor arms 970 comprises a beveled edge 976, which guides and facilitates the insertion of the sensor housing 956 between the arms 970 and into the aperture 974. Preferably, the sensor holding arms 970 are composed of a resilient material having an elastic property, such as an elastomer, so that their shape may be distorted as the sensor housing 956 is inserted therebetween, yet at least partially restored once inserted. Referring to FIGS. 18A-18C, still another preferred embodiment of a sensor assembly 1000 is depicted. The sensor assembly 1000 comprises a sensor mount 1002 (shown separately in FIG. 18B) and a sensor 1004 (shown separately in FIG. 18A), which is removably attached to the sensor mount 1002. The sensor 1004 comprises a generally cylindrical sensor housing 1006, which contains sensing elements (not depicted). For purposes that will be described in further detail below, the cross-section of the cylindrical sensor housing 1006 forms a semi-circle that exhibits an arc of greater than 180 degrees. The sensor housing 1006 has an outlet 1008 at one end, from which sensor wires 1010 extend. The sensor housing 1006 further comprises extensions 1012 that extend perpendicularly from the sensor housing 1006 in opposite directions. The sensor 1004 further includes a planar flange 1014 that has a planar surface 1016, from which the sensor housing 1006 extends. The sensor mount 1002 comprises a planar spacer flange 1016, which spaces the mounted sensor 1004 the required distance away from the C-arm 125. To this end, the spacer flange 1004 comprises a first planar mounting surface 1018, which is the surface used to permanently attach the sensor mount 1002 to the C-arm 125 via suitable means, such as welding or bonding, and an oppositely-disposed second planar mounting surface 1020, in which a generally cylindrical open cavity 1022 is formed for receiving the sensor housing 1006. The cross-section of the generally cylindrical cavity 1022 forms a semi-circle that exhibits an arc of greater than 180 degrees, such that it receives the generally cylindrical housing 1006 in a snap-fit arrangement, as illustrated in FIG. 18C. The coincidence between the planar surface 1016 of the sensor 1004 and the second planar mounting surface 1020 of the spacer flange 1016 prevents the sensor housing 1006 from rotating relative to the axis of the cavity 1022. Additionally, the cavity 1022 further comprises extensions 1024 that extend perpendicularly therefrom, in opposite directions, to receive the lateral extensions 1012 of the sensor housing 1006, thereby ensuring that the sensor housing 1006 does not rotate within the cavity 1022. Although particular embodiments of the present inventions have been shown and described, it will be understood that it is not intended to limit the present inventions to the preferred embodiments, and it will be obvious to those skilled in the art that various changes and modifications may be made without departing from the spirit and scope of the present inventions. Thus, the present inventions are intended to cover alternatives, modifications, and equivalents, which may be included within the spirit and scope of the present inventions as defined by the claims. All publications, patents, and patent applications cited herein are hereby incorporated by reference in their entirety for all purposes. |
|
description | 1. Field of the Invention The present invention relates to a drawing apparatus, a method of manufacturing an article, and an information processing apparatus. 2. Description of the Related Art In recent years, with miniaturization and an increase in packing density of the circuit pattern of a semiconductor integrated circuit, a drawing apparatus which draws a pattern on a substrate with a charged particle beam (electron beam) is attracting a great deal of attention. Such a drawing apparatus is required to attain a higher processing capacity per unit time (throughput) to improve the productivity. Japanese Patent No. 3079514 proposes a drawing system including a plurality of drawing apparatuses, as a method for improving the throughput of a drawing apparatus. In the drawing system described in Japanese Patent No. 3079514, each of the plurality of drawing apparatuses includes a supply unit which supplies drawing data, a drawing controller which controls a charged particle beam based on the drawing data, and a correction processor which corrects the irradiation position of the charged particle beam. Hence, the plurality of drawing apparatuses can simultaneously perform different drawing processes independently of each other. In the drawing system described in Japanese Patent No. 3079514, the correction processor of each drawing apparatus is provided with correction units in a number required to draw patterns with a maximum drawing size. However, in practice, all drawing apparatuses rarely draw patterns with a maximum drawing size, so some correction units are not used for drawing and wasted. In addition, in a drawing system including a plurality of drawing apparatuses, correction units which are not used for drawing accumulate, so the wasted time and power increase in proportion to the number of drawing apparatuses. The present invention provides, for example, a drawing apparatus advantageous in terms of efficient use of a processor for a plurality of drawing units. According to one aspect of the present invention, there is provided a drawing apparatus including a plurality of drawing units each of which is configured to perform drawing on a substrate with a charged particle beam, the apparatus comprising: a plurality of first processors configured to be selectively connectable to each of the plurality of drawing units; an information processor configured to determine, from the plurality of first processors, a first processor to be connected to a first drawing unit among the plurality of drawing units, based on drawing data; and a connection unit configured to connect the determined first processor to the first drawing unit. Further features of the present invention will become apparent from the following description of exemplary embodiments with reference to the attached drawings. Exemplary embodiments of the present invention will be described below with reference to the accompanying drawings. Note that the same reference numerals denote the same members throughout the drawings, and a repetitive description thereof will not be given. A charged particle beam drawing system according to the present invention will be described with reference to FIG. 2. A drawing system 60 which uses a charged particle beam includes a drawing apparatus (drawing unit) 15 which irradiates a substrate with a charged particle beam to draw a pattern on the substrate, and a data processing system 25 which controls each unit of the drawing apparatus 15. Although only one drawing apparatus 15 is shown in FIG. 2, a plurality of drawing apparatuses 15 constitute the drawing system 60. The drawing apparatus 15 includes a charged particle gun 1, electron optical system 5, and stage 14. The electron optical system 5 includes a collimator lens 3, aperture array 6, first electrostatic lens 7, blanking deflectors 8, blanking apertures 10, deflectors 11, and second electrostatic lens 12. A charged particle beam 4 emitted by the charged particle gun 1 forms a crossover image 2, is converted into a collimated beam by the action of the collimator lens 3, and is incident on the aperture array 6. The aperture array 6 includes a plurality of circular apertures arrayed in a matrix, and splits the charged particle beam 4 incident as a collimated beam into a plurality of charged particle beams 4. The charged particle beams 4 split upon passing through the aperture array 6 are incident on the first electrostatic lens 7 formed by three electrode plates (these three electrode plates are shown as an integrated electrode plate in FIG. 2) including circular apertures. The charged particle beams 4 having passed through the first electrostatic lens 7 form intermediate images 9 of the crossover image 2, and the blanking apertures 10 formed by arranging small apertures in a matrix are set at the positions at which the intermediate images 9 are formed. The blanking deflector 8 is set in the preceding stage of the blanking aperture 10 for each split charged particle beam 4, and the charged particle beams 4 deflected by the blanking deflectors 8 are blocked by the blanking apertures 10 and do not reach the surface of a substrate 13. That is, the blanking deflectors 8 switch between ON and OFF of the irradiation of the substrate 13 with the charged particle beams 4. The charged particle beams 4 having passed through the blanking apertures 10 form images of the original crossover image 2 on the substrate 13 via the deflectors 11 for scanning the charged particle beams 4 on the substrate 13, and the second electrostatic lens 12. The deflectors 11 desirably deflect the charged particle beams 4 in a direction perpendicular to that in which the stage 14 on which the substrate 13 is mounted is scanned. However, the direction in which the charged particle beams 4 are deflected is not limited to a direction perpendicular to that in which the stage 14 is scanned, and the charged particle beams 4 may be deflected at other angles. The data processing system 25 includes lens control circuits 17 and 18, drawing data generator 19, correction processor 20, blanking controller 21, deflection signal generation circuit 22, deflection amplifier 23, deflection controller 24, and controller 16. The lens control circuits 17 and 18 control the respective lenses 3, 7, and 12. The drawing data generator 19 generates drawing data based on a pattern to be drawn on the substrate 13. The correction processor 20 corrects the generated drawing data, and inputs it to the blanking controller 21. The deflection signal generation circuit 22 generates a deflection signal, which is input to the deflection controller 24 via the deflection amplifier 23. The blanking controller 21 and deflection controller 24 control the blanking deflectors 8 and deflectors 11, respectively. The controller 16 controls all drawing operations. Like the drawing system 60 according to this embodiment, a drawing system including a plurality of drawing apparatuses 15 has been proposed in Japanese Patent No. 3079514. FIG. 3 is a block diagram for a data processing system in the conventional drawing system disclosed in Japanese Patent No. 3079514. In a conventional drawing system 70, a plurality of drawing apparatuses 15a, 15b, and 15c and a first correction processor 20 are arranged, and the respective drawing apparatuses perform drawing processes in parallel. The first correction processor 20 includes a plurality of first correction units 26a to 28d, each of which corrects the irradiation position of a charged particle beam used in the corresponding one of the drawing apparatuses 15a, 15b, and 15c, based on drawing data input to it. A blanking deflector 8a of the first drawing apparatus 15a is connected to the first correction units 26a to 26d in the correction processor 20 via the blanking controller 21. Similarly, blanking deflectors 8b and 8c of the second drawing apparatus 15b and third drawing apparatus 15c are connected to the first correction units 27a to 27d and 28a to 28d, respectively, via the blanking controller 21. Note that FIG. 3 shows a drawing system 70 including an array of three drawing apparatuses 15, and shows none of the controller 16, lens control circuits 17 and 18, deflection signal generation circuit 22, blanking controller 21, deflection amplifier 23, and deflection controller 24. Also, four correction units are required to draw a pattern with a maximum drawing size (100%) that one drawing apparatus 15 can draw, so the size of the drawing area (drawing size) and the number of required correction units in drawing a pattern on the substrate 13 are proportional to each other. The drawing size will be described herein by taking a NAND flash as an example. FIG. 4A shows the relationship between the drawing size and the die size of a NAND flash. As the die size reduces, a larger number of chips can be manufactured from one silicon wafer, so the manufacturing cost of chips lowers. However, with the recent increase in storage capacity, the die size is increasing. The maximum drawing size (26 mm×33 mm) is an upper limit agreed upon in the semiconductor industry in order to prevent rise in apparatus cost. FIG. 4B illustrates examples of the layouts of NAND flashes within areas defined by the viewing angle. In a 16-GB NAND flash, the die size is 142 mm2, so six dies can be arranged within an area having the maximum drawing size, that is, they account for 99% of the maximum drawing size. On the other hand, in a 32-GB NAND flash, only four dies can be arranged within an area having the maximum drawing size, that is, they account for 80% of the maximum drawing size, so the hatched area goes wasted. Similarly, in a 64-GB NAND flash, only three dies can be arranged within an area having the maximum drawing size, that is, they account for 85% of the maximum drawing size, so the hatched area goes wasted as well. In the drawing system 70 including the plurality of drawing apparatuses 15, the respective drawing apparatuses 15 normally draw patterns with different drawing sizes in this way, so all drawing apparatuses rarely draw patterns with a maximum drawing size. Referring to FIG. 3, the first drawing apparatus 15a draws a pattern with the maximum drawing size (100%), while the second drawing apparatus 15b and third drawing apparatus 15c draw patterns with a drawing size of 75% of the maximum drawing size. The blanking deflector 8a of the first drawing apparatus 15a which draws a pattern with the maximum size (100%) corrects drawing data using all the first correction units 26a to 26d. On the other hand, because the second drawing apparatus 15b and third drawing apparatus 15c draw patterns with a drawing size of only 75% of the maximum drawing size, the first correction units 27d and 28d indicated by the hatched portions are unused and unnecessary. In this manner, when patterns are drawn with a drawing size smaller than the maximum drawing size, some correction units are unused and wasted. Hence, in the first embodiment of the present invention, correction units in a number required for each of the drawing apparatuses 15a, 15b, and 15c are provided based on the drawing size that it draws, thereby reducing the number of correction units unused. FIG. 1 is a block diagram of a data processing system in a drawing system according to the first embodiment. In a drawing system 60 of the first embodiment, a plurality of drawing apparatuses 15a, 15b, and 15c and a correction processor 20 are arranged, and the correction processor 20 includes a plurality of first correction units (first processors) 26a to 28c. Unlike the conventional drawing system 70, the drawing system 60 is not provided with first correction units 27d and 28d, and is newly provided with a determination unit (information processor) 29 and a connection unit 30. Each of the first correction units 26a to 28c in the first embodiment is selectively connectable to the corresponding one of the drawing apparatuses 15a, 15b, and 15c. As long as the information of the drawing size of a pattern to be drawn by each of the drawing apparatuses 15a, 15b, and 15c is obtained in advance, the amount of processing required in the entire drawing system 60 can be estimated, thereby obtaining the number of correction units required in the entire drawing system 60. The determination unit (information processor) 29 is implemented by an information processing apparatus such as a computer, and specifies the drawing size at which each of the drawing apparatuses 15a, 15b, and 15c draws a pattern on a substrate 13, based on drawing data input to it. The connection relation between each of the first correction units 26a to 28c and the corresponding one of the drawing apparatuses 15a, 15b, and 15c is determined based on the specified drawing size. The connection unit 30 includes a switch circuit which switches the connecting port between the input and output ports, and connects each of the first correction units 26a to 28c to the corresponding one of the drawing apparatuses 15a, 15b, and 15c, based on the connection relation determined by the determination unit 29. Referring to FIG. 1, the first drawing apparatus (first drawing unit) 15a draws a pattern with the maximum drawing size (100%), while the second drawing apparatus (second drawing unit) 15b and third drawing apparatus (third drawing unit) 15c draw patterns with a drawing size of 75% of the maximum drawing size. The determination unit 29 obtains the number of correction units required for the blanking deflector 8a of the first drawing apparatus 15a, based on the drawing size at which the first drawing apparatus 15a draws a pattern on the substrate 13. In this case, the first drawing apparatus 15a requires four correction units as it draws a pattern with the maximum drawing size. The determination unit 29 determines first correction units to be connected to the first drawing apparatus 15a from the plurality of first correction units 26a to 28c in accordance with the obtained number of correction units. Similarly, the determination unit 29 obtains the numbers of correction units required for the blanking deflectors 8b and 8c of the second drawing apparatus 15b and third drawing apparatus 15c based on the drawing sizes of the second drawing apparatus 15b and third drawing apparatus 15c. Each of the second drawing apparatus 15b and third drawing apparatus 15c requires three correction units as they draw patterns with a drawing size of 75% of the maximum drawing size. The determination unit 29 determines first correction units to be connected to the second drawing apparatus 15b and third drawing apparatus 15c from the plurality of first correction units 26a to 28c in accordance with the obtained numbers of correction units. The connection unit 30 connects the blanking deflector 8a of the first drawing apparatus 15a to the first correction units 26a to 26d based on the determination result obtained by the determination unit 29. Similarly, the connection unit 30 connects the second drawing apparatus 15b to the first correction units 27a to 27c, and the third drawing apparatus 15c to the first correction units 28a to 28c. In this manner, in the drawing system 60, each of the first correction units 26a to 28c can be connected to the corresponding one of the drawing apparatuses 15a, 15b, and 15c in accordance with the number of correction units required for it. Hence, in the drawing system 60, the first correction processor 20 can be formed by first correction units in a number smaller than the number of correction units required to draw a pattern with the maximum drawing size that each drawing apparatus can draw. Note that the number of correction units required to draw a pattern with the maximum drawing size that each drawing apparatus can draw corresponds to the number of correction units which form the first correction processor of the conventional drawing system 70. This is because all drawing apparatuses rarely draw patterns with the maximum drawing size, so the first correction processor 20 can be formed by a minimum total number of first correction units required for each drawing apparatus. Therefore, the drawing system 60 wastes only a small number of correction units that become unnecessary unless the conventional drawing system 70 draws a pattern with the maximum drawing size. In other words, the drawing system 60 can form a correction processor 20 more advantageous in terms of, for example, cost than the conventional drawing system 70. Note that when only patterns with the maximum drawing size (100%) are to be drawn, this can be done by stopping the operations of several drawing apparatuses, and allocating, to operating correction units, correction units in a number corresponding to the number of correction units used in these drawing apparatuses. Control data input to the blanking controller 21 which controls the blanking deflectors 8 has been taken as an example of the drawing data in this embodiment. However, the drawing data may be implemented as control data input to the deflection controller 24 which controls the deflectors 11 for scanning the charged particle beams 4 on the substrate 13. Practical processing details in the correction unit will be explained by taking, as an example, correction of the deflectors 11 for scanning the charged particle beams 4 on the substrate 13. FIG. 5A illustrates an example in which the maximum drawing size (26 mm×33 mm) is divided into four areas to draw patterns with four charged particle beams 4. The deflector 11 is provided to each split charged particle beam 4, is allocated with a correction unit, and is then used to draw a pattern. The charged particle beams 4 are repeatedly deflected by the deflectors 11 in directions indicated by arrows, and used to draw patterns in divided, viewing angle areas 31a to 31d, respectively, as the stage 14 continuously moves the substrate 13. Because the four charged particle beams 4 have displacements from their target irradiation positions due to their aberrations, a displacement occurs with respect to a target grid 32 indicated by dotted lines when drawing is done without correcting the displacements of the charged particle beams 4, as in drawing patterns 33a to 33d before correction shown in FIG. 5B. To prevent this problem, each correction unit performs displacement correction (shift and rotation) and magnification correction (enlargement and reduction) for the drawing data. As a result, patterns can be drawn along the target grid 32 indicated by dotted lines, as in corrected drawing patterns 34a to 34d shown in FIG. 5C. Note that proximity effect correction and beam intensity correction, for example, may be added to the above-mentioned series of correction operations. FIG. 6 is a block diagram of a data processing system in a drawing system according to the second embodiment. Unlike the drawing system 60 in the first embodiment, a drawing system 80 in the second embodiment is provided with a first correction processor 39 and second correction processor 40. The first correction processor 39 includes first correction units 35a to 35d, each of which is selectively connectable to the corresponding one of drawing apparatuses 15a, 15b, and 15c. Also, the second correction processor 40 includes second correction units (second processors) 36a to 38b, each of which is permanently connected to the corresponding one of the drawing apparatuses 15a, 15b, and 15c. Each of the second correction units 36a to 38b in the second correction processor 40 is connected to the corresponding one of the drawing apparatuses 15a, 15b, and 15c so that a predetermined number of correction units are connected to its blanking deflector 8a, 8b, or 8c in advance (two correction units are connected to each blanking deflector in an example shown in FIG. 6). The predetermined number can be determined based on, for example, the average of the drawing sizes that each of the drawing apparatuses 15a, 15b, and 15c is expected to draw. Note that the drawing size that each of the drawing apparatuses 15a, 15b, and 15c can draw upon connection between the predetermined number of second correction units 36a to 38b and the respective drawing apparatuses 15a, 15b, and 15c is set as a threshold. When the drawing size (that is, the size of the drawing area) specified from drawing data in each of the drawing apparatuses 15a, 15b, and 15c exceeds the threshold (tolerance), the first correction units 35a to 35d in the first correction processor 39 are additionally connected to the blanking deflectors 8a, 8b, and 8c by a connection unit 30. Referring to FIG. 6, the first drawing apparatus 15a draws a pattern with the maximum drawing size (100%), while the second drawing apparatus 15b and third drawing apparatus 15c draw patterns with a drawing size of 75% of the maximum drawing size. A determination unit 29 obtains the number of correction units required for the blanking deflector 8a, 8b, or 8c of the drawing apparatus 15a, 15b, or 15c, based on drawing data generated by a drawing data generator 19. In this case, the first drawing apparatus 15a requires four correction units as it draws a pattern with the maximum drawing size, while each of the second drawing apparatus 15b and third drawing apparatus 15c requires three correction units as they draw patterns with a drawing size of 75% the maximum drawing size. Since the first drawing apparatus 15a is connected to the second correction units 36a and 36b in the second correction processor 40 in advance, the connection unit 30 connects the first correction units 35a and 35b in the first correction processor 39 to the blanking deflector 8a of the first drawing apparatus 15a. Also, since the second drawing apparatus 15b is connected to the second correction units 37a and 37b in the second correction processor 40 in advance, the connection unit 30 connects the first correction unit 35c in the first correction processor 39 to the blanking deflector 8b of the second drawing apparatus 15b. Similarly, the first correction unit 35d is connected to the blanking deflector 8c of the third drawing apparatus 15c. In this manner, the drawing system 80 obtains the number of correction units deficient when only the second correction units 36a to 38b are used, for each of the drawing apparatuses 15a, 15b, and 15c in accordance with the number of correction units required for it. Then, each of the first correction units 35a to 35d is connected to the corresponding one of the drawing apparatuses 15a, 15b, and 15c in accordance with the numbers of deficient correction units. Hence, the same effect as in the first embodiment can be obtained in the drawing system 80. That is, the first correction processor 39 and second correction processor 40 can be configured so that the sum of the number of first correction units and the number of second correction units becomes smaller than the number of correction units required to draw a pattern with the maximum drawing size that each drawing apparatus can draw. An example in which the drawing size of each of the drawing apparatuses 15a, 15b, and 15c has changed will be given. In the example shown in FIG. 6, the drawing sizes of the first drawing apparatus 15a, second drawing apparatus 15b, and third drawing apparatus 15c are 100%, 75%, and 75%, respectively. FIG. 7 shows the case wherein the drawing sizes of the first drawing apparatus 15a, second drawing apparatus 15b, and third drawing apparatus 15c have changed to 50%, 100%, and 100%, respectively. In this case, the first drawing apparatus 15a requires two correction units as it draws a pattern with a drawing size of 50% of the maximum drawing size, while each of the second drawing apparatus 15b and third drawing apparatus 15c requires four correction units as they draw patterns with the maximum drawing size. Since the first drawing apparatus 15a is connected to the second correction units 36a and 36b in the second correction processor 40 in advance, it need not be connected to any of the first correction units in the first correction processor 39. On the other hand, each of the second drawing apparatus 15b and third drawing apparatus 15c is connected to only two second correction units in the second correction processor 40. Therefore, the first correction units 35a and 35b in the first correction processor 39 are connected to the blanking deflector 8b of the second drawing apparatus 15b, while the first correction units 35c and 35d are connected to the blanking deflector 8c of the third drawing apparatus 15c. In this manner, in the drawing system 80 of the second embodiment, even when the drawing size of each of the drawing apparatuses 15a, 15b, and 15c has changed, appropriate drawing operations can flexibly be done by switching between the first correction units 35a to 35d in the first correction processor 39. The connection unit 30 will be described herein. FIG. 8A is a peripheral block diagram of a connection unit in the second embodiment. In the connection unit 30 of the second embodiment, six connection destinations are present for four first connection units, so a four-input, six-output switch circuit, as shown in FIG. 8A, is necessary. FIGS. 8B and 8C illustrate practical examples of this switch circuit. A switch circuit 41 shown in FIG. 8B includes a switch element 42, coaxial connectors 43, and metal cables 44. Such a switch circuit 41 is used when the communication rate of a signal (drawing data in this embodiment) mainly from the input port (IN) is low. The switch element 42 is compatible with a multiple-input, multiple-output electrical signal, and is commercially available at a relatively low cost. A switch circuit 45 shown in FIG. 8C includes an optical switch element 46, optical connectors 48, and optical fibers 49. Such a switch circuit 45 is used when the communication rate of a signal (drawing data in this embodiment) mainly from the input port (IN) is high. In the optical switch element 46, light which bears the information of an optical signal input from the input port (IN) is guided to a predetermined output port (OUT) while its reflection angle is controlled by a reflecting mirror 47. With the recent advance in optical MEMS (Micro Electro Mechanical System) technology, a high-density reflecting mirror 47 can be fabricated, so the conventional large-scale optical switch element 46 can be downsized. <Embodiment of Method of Manufacturing Article> A method of manufacturing an article according to an embodiment of the present invention is suitable for manufacturing various articles including a microdevice such as a semiconductor device and an element having a microstructure. This method includes a step of forming a latent image pattern on a photosensitive agent, applied on a substrate, using the above-mentioned drawing apparatus (a step of performing drawing on a substrate), and a step of developing the substrate having the latent image pattern formed on it in the forming step. This method also includes subsequent known steps (for example, oxidation, film formation, vapor deposition, doping, planarization, etching, resist removal, dicing, bonding, and packaging). The method of manufacturing an article according to this embodiment is more advantageous in terms of at least one of the performance, quality, productivity, and manufacturing cost of an article than the conventional method. While the present invention has been described with reference to exemplary embodiments, it is to be understood that the invention is not limited to the disclosed exemplary embodiments. The scope of the following claims is to be accorded the broadest interpretation so as to encompass all such modifications and equivalent structures and functions. This application claims the benefit of Japanese Patent Application No. 2012-002476 filed on Jan. 10, 2012, which is hereby incorporated by reference herein in its entirety. |
|
045267120 | summary | BACKGROUND OF THE INVENTION This invention relates to a process for treating a radioactive waste, and more particularly to a process for treating a radioactive sludge waste suitable for reducing greatly in volume the waste such as radioactive sludge discharged from a nuclear power plant. In a nuclear power plant, granular ion-exchange resins mainly used for purifying a condensate, powder resins of filter aids mainly used for purifying a reactor water, filter sludge of filter aids comprising mainly cellulose used in a radioactive waste treatment system, etc. are wasted as so-called radioactive sludge waste. Since such a radioactive sludge waste has radioactivity, it is stored in a slurry state in storage tanks, or in a state caked with cement in drums. Storage of such a radioactive sludge waste in the slurry state causes serious problems such as corrosion of storage tank walls, etc., thereby making it difficult to store the radioactive sludge waste for a long time. Further, tanks of large capacity are required for storing the radioactive sludge waste. In storage of the radioactive sludge waste in the cemented state, a large number of drums are required for the storage because in order to obtain strength necessary to keep the cemented state or caked state an amount of the radioactive sludge waste to be mixed with cement is limited. For example, in a drum with capacity of 200 l, only 10.about.20 kg of the radioactive sludge waste which is about 30 l in volume can be filled, which means that the radioactive sludge waste of 30 l becomes a waste of 200 l. In order to reduce the volume of the radioactive sludge waste, various treatments therefor are tried, one of which is to burn up used granular resins and power resins, however these include a lot of water even after being subjected to centrifugal separation, so that complete combustion of the resins can not be effected. Another treatment for reducing the radioactive sludge waste is to make it powder and form the powder into pellets, which is described in Japanese Laying-open of Patent Application No. 52-94866 (1977). In this treatment, only about 150 kg of the radioactive waste can be filled in a drum of the capacity of 200 l. The reduction in volume is not enough. Therefore, a great reduction of the radioactive sludge waste in volume is desired strongly. SUMMARY OF THE INVENTION An object of the invention is to provide a process for treating a radioactive waste, wherein its volume is reduced greatly. Another object of the invention is to provide a process for treating a radioactive sludge waste, in which the radioactive sludge waste is reduced in volume effectively and greatly, and converted to a material which is easy in handling. Briefly stated, a feature of the invention comprises the steps of pulverizing a radioactive waste in a slurry state such as radioactive granular ion-exchange resins powder resins, filter sludge, etc. into dry powder which is combustible, burning the dry powder into ashes, and forming the ashes in blocklike articles which are easy to handle, such as pellets. By converting the radioactive waste in slurry into ashes through pulverizing and burning, the radioactive waste is reduced in volume greatly. Further, the ashes are converted into pellets which are easy to handle by pelletizing. |
abstract | A projection lens for imaging a pattern arranged in an object plane onto an image plane using electromagnetic radiation from the extreme-ultraviolet (EUV) spectral region has several imaging mirrors between its object plane and image plane that define an optical axis of the projection lens and have reflective coatings. At least one of those mirrors has a graded reflective coating that has a film-thickness gradient that is rotationally symmetric with respect to a coating axis, where that coating axis is acentrically arranged with respect to the optical axis of the projection lens. Providing at least one acentric, graded, reflective coating allows designing projection lenses that allow highly uniform field illumination, combined with high total transmittance. |
|
summary | ||
053217322 | claims | 1. A support for a control rod drive housing in a boiling water reactor comprising: first means for supporting a control rod drive in the case of a housing failure; and second means for supporting said control rod drive in the case of a housing failure and shielding persons working under the reactor vessel from radiation, said second means being supported by said first supporting means, and wherein said second means can be automatically raised and lowered from a non-support position where said control rod drive is not supported to a support position where said control rod drive is supported. a plurality of first support members provided in rows on opposing sides of a lower portion of a plurality of control rod drives; and a plurality of second support members supported by said first support members, said second support members supporting said control rod drive in the case of a housing failure and shielding persons working under the reactor from radiation emitted from the reactor, and wherein said second members can be automatically raised and lowered from a non-support position where said control rod drive is not supported to a support position where said control rod drive is supported. 2. A control rod drive housing support according to claim 1, wherein said first supporting means comprises a plurality of support members provided in rows on opposing sides of a lower portion of a plurality of control rod drives. 3. A control rod drive housing support according to claim 2, wherein said second supporting means comprises a plurality of support cups, each of said support cups receiving, and shielding a lower portion of said control rod drive and supporting said control rod drive in the case of a housing failure. 4. A control rod drive housing support according to claim 3, wherein each of said support cups have locking means on opposing sides thereof for locking attachment to an adjacent support cup. 5. A control rod drive housing support according to claim 4, wherein said support cups have a third support member on opposing sides thereof, said third support members being supported by said support members to transfer the load of said support cups to said support members. 6. A control rod drive housing support according to claim 5, wherein said support cups have an annular rim for supporting said control rod drive. 7. A control rod drive housing support according to claim 6, wherein said annular rim is at an upper portion of said support cups. 8. A control rod drive housing support according to claim 6, wherein said annular rim is at a middle portion of said support cups. 9. A control rod drive housing support according to claim 2, wherein said support members are straight bars with hubs on each end thereof for attachment to said reactor. 10. A control rod drive housing support according to claim 2, wherein said first support members are bars having hubs at each end thereof for attachment to said control rod drive housing, said bars being curved so as to accommodate equipment placed throughout said control rod drive housing. 11. A control rod drive housing support according to claim 9, wherein said support bars have a hole extending therethrough for receiving and allowing equipment placed throughout said control rod drive housing to pass therethrough. 12. A support for a control rod drive housing in a boiling water reactor comprising: 13. A control rod drive housing support according to claim 12, wherein said second support members comprise a plurality of support cups, each of said support cups receiving, and shielding a lower portion of said control rod drive and supporting said control rod drive in the case of a housing failure. 14. A control rod drive housing support according to claim 13, wherein each of said support cups have locking means on opposing sides thereof for locking attachment to an adjacent support cup. 15. A control rod drive housing support according to claim 14, wherein said support cups have a third support member on opposing sides thereof, said third support members being supported by said first support members to transfer the load of said support cups to said first support members. 16. A control rod drive housing support according to claim 15, wherein said support cups have an annular rim for supporting said control rod drive. 17. A control rod drive housing support according to claim 16, wherein said annular rim is at an upper portion of said support cups. 18. A control rod drive housing support according to claim 16, wherein said annular rim is at a middle portion of said support cups. 19. A control rod drive housing support according to claim 12, wherein said support members are straight bars with hubs on each end thereof for attachment to said reactor. 20. A control rod drive housing support according to claim 12, wherein said first support members are bars having hubs at each end thereof for attachment to said control rod drive housing, said bars being curved so as to accommodate equipment placed throughout said control rod drive housing. 21. A control rod drive housing support according to claim 19, wherein said support bars have a hole extending therethrough for receiving and allowing equipment placed throughout said control rod drive housing to pass therethrough. |
description | The present invention relates to an apparatus and a method for processing detection data of a nuclear power plant. For monitoring and controlling light water reactors, reactivity coefficients are used. As a major reactivity coefficient, the moderator temperature coefficient is used for a pressurized water reactor, and the void reactivity coefficient is used for a boiling water reactor. In a currently implemented technique, to measure a moderator temperature coefficient of a pressurized water reactor, the following operations are performed for changing states of the nuclear power plant: (1) By inserting control rods, the core power and the coolant temperature are decreased. (2) The boron concentration in a coolant is controlled to be changed, and the control rods are recovered to their original positions. At this time, an added reactivity is recognized from an actual measured value of a change in boron concentration. (3) A temperature coefficient is obtained from a change in coolant temperature and the added reactivity. Also, as another technique, there is a known technique in which, without changing physical states of the plant, time series signals of a coolant temperature and a neutron flux are Fourier-transformed, and from the correlation between them, a moderator temperature coefficient is obtained. As such the technique, Japanese Patent No. 2895101 discloses a method for measuring a moderator temperature coefficient of a nuclear reactor in operation. In this method, a temperature coefficient is extracted from noise—including signals of reactivity and a coolant temperature by the following manner. First, pieces of time series data on the reactivity and the coolant temperature are collected, and then respectively Fourier-transformed. Subsequently, a cross power spectral density function between the reactivity and the coolant temperature, and the auto power spectral density function of the coolant temperature are calculated. From the ratio between them, the moderator temperature coefficient is calculated. Also, Japanese Laid-Open Patent Application JP-P2004-125444A discloses a technique in which a decay ratio is used as a core stability index to monitor a degree of stability of a nuclear reactor. The decay ratio is calculated by a singular value decomposition method. There occurs some problems to change states of the nuclear power plant for measuring a moderator temperature coefficient, for example, the electrical power is decreased, extremely complicated plant operations are required, long time is needed and the like. From these viewpoints, it is desirable to be able to calculate the moderator temperature coefficient without changing the states of the reactor as described in the patent document 1. However, a noise signal of the reactor to be measured includes various noise components, and therefore it may be difficult to obtain significant correlations simply only by performing the Fourier transform. In particular, if the output power of the reactor is not zero percent, noise components are increased, and therefore it becomes more difficult to extract the temperature coefficient from the noise signal. It is therefore an object of the present invention to provide a measurement method and measurement apparatus that enable a parameter of a nuclear reactor, such as the moderator temperature coefficient or the void coefficient, to be more reliably measured. Another object of the present invention is to provide a measurement method and measurement apparatus that enable a parameter of a nuclear reactor, such as the moderator temperature coefficient or the void coefficient, to be measured without changing states of a plant. Still another object of the present invention is to provide a measurement method and measurement apparatus that enable a parameter of a nuclear reactor of any output power, such as the moderator temperature coefficient or the void coefficient, to be measured. According to the present invention, a moderator temperature coefficient measurement apparatus includes: an input section configured to receive plant data including a coolant temperature signal being time series data on a temperature of a coolant of a light water reactor, and a reactivity signal indicating time series data on a reactivity calculated based on a detection value of a neutron flux in the light water reactor; a singular value decomposition section configured to decompose the coolant temperature signal into N components T′1 (t) to T′N (t), and the reactivity signal into M components ρ′1 (t) to ρ′M (t) by a singular value decomposition method; a combination section configured to generate a selected combination being a combination of T′i (t) selected from the N components T′1 (t) to T′N (t) and ρ′j (t) selected from the M components ρ′1 (t) to ρ′M (t); and a temperature coefficient calculation section configured to calculate a moderator temperature coefficient based on auto and cross power spectral density functions obtained by applying a Fourier transform to the selected combination. Preferably, a moderator temperature coefficient measurement apparatus further includes: an extrapolation section configured to generate temperature coefficient plot data for each frequency with use of auto and cross power spectral density functions obtained by applying a Fourier transform to each of the selected combinations selected by the combination section, wherein the temperature coefficient plot data includes a pair of a coherence and a moderator temperature coefficient, and calculate a moderator temperature coefficient at the coherence of 1 by extrapolating the temperature coefficient plot data. Preferably, in a moderator temperature coefficient measurement apparatus, the input section receives a plurality of plant data different from each other. Each of the plurality of plant data corresponds to the plant data. The moderator temperature coefficient measurement apparatus further includes: a count section configured to count the number of high coherence data corresponding to the number of the temperature coefficient plot data, each of which the coherence calculated by the extrapolation section is larger than a predetermined criterion; and a high sensitive moderator temperature coefficient calculation section configured to judge and output the moderator temperature coefficient whose number of the high coherence data is judged to be large based on a predetermined criterion among the moderator temperature coefficient calculated by the temperature coefficient calculation section respectively corresponding to the plurality of plant data as a reliable moderator temperature coefficient. Preferably, a moderator temperature coefficient measurement apparatus according the present invention further includes: an auto correlation screening section configured to extract combinations each of which has a strong correlation between the coolant temperature signal and the reactivity signal on a basis of an auto correlation function from N×M combinations of the N components T′i (t) and the M components ρ′j (t). The selected combinations are selected from the combinations extracted by the auto correlation screening section. According to the present invention, a void coefficient measurement apparatus includes: an input section configured to receive plant data including a void fraction signal being time series data on a void fraction of a boiling water reactor, and a reactivity signal indicating time series data on a reactivity calculated based on a detection value of a neutron flux in the boiling water reactor; a singular value decomposition section configured to decompose the void fraction signal into N components B′1 (t) to B′N (t), and the reactivity signal into M components ρ′1 (t) to ρ′M (t) by a singular value decomposition method; a combination section configured to generate a selected combination being a combination of B′i (t) selected from the N components B′1 (t) to B′N (t) and ρ′j (t) selected from the M components ρ′1 (t) to ρ′M (t); and a void coefficient calculation section configured to calculate a void fraction based on auto and cross power spectral density functions obtained by applying a Fourier transform to the selected combination. According to the present invention, a moderator temperature coefficient measurement method includes: receiving plant data including a coolant temperature signal being time series data on a temperature of a coolant of a light water reactor, and a reactivity signal indicating time series data on a reactivity calculated based on a detection value of a neutron flux in the light water reactor; decomposing the coolant temperature signal into N components T′1 (t) to T′N (t), and the reactivity signal into M components ρ′1 (t) to ρ′M (t) by a singular value decomposition method; generating a selected combination being a combination of T′i (t) selected from the N components T′1 (t) to T′N (t) and ρ′j (t) selected from the M components ρ′1 (t) to ρ′M (t); and calculating a moderator temperature coefficient based on auto and cross power spectral density functions obtained by applying a Fourier transform to the selected combination. According to the present invention, a moderator temperature coefficient measurement program for making a computer execute a method including the steps of: receiving plant data including a coolant temperature signal being time series data on a temperature of a coolant of a light water reactor, and a reactivity signal indicating time series data on a reactivity calculated based on a detection value of a neutron flux in the light water reactor; decomposing the coolant temperature signal into N components T′1 (t) to T′N (t), and the reactivity signal into M components ρ′1 (t) to ρ′M (t) by a singular value decomposition method; generating a selected combination being a combination of T′i (t) selected from the N components T′1 (t) to T′N (t) and ρ′j (t) selected from the M components ρ′1 (t) to ρ′M (t); and calculating a moderator temperature coefficient based on auto and cross power spectral density functions obtained by applying a Fourier transform to the selected combination. According to the present invention, a measurement method and measurement apparatus are provided, by which a parameter of a nuclear reactor, such as the moderator temperature coefficient or the void coefficient, can be more reliably measured. Also, according to the present invention, a measurement method and measurement apparatus are provided, by which a parameter of a nuclear reactor, such as the moderator temperature coefficient or the void coefficient, can be measured without changing states of the plant. Further, according to the present invention, a measurement method and measurement apparatus are provided, by which a parameter of the nuclear reactor of any output power, such as the moderator temperature coefficient or the void coefficient, can be measured. Best modes for carrying out the present invention will hereinafter be described referring to the accompanying drawings. FIG. 1 illustrates a configuration of a moderator temperature coefficient measurement apparatus. The moderator temperature coefficient measurement apparatus 1 according to the present embodiment is used to measure a moderator temperature coefficient of a pressurized water reactor. A plurality of coolant temperature detectors for detecting coolant temperatures are provided with the nuclear reactor being a target of the moderator temperature coefficient detection performed by the moderator temperature coefficient measurement apparatus 1. The plurality of temperature detectors outputs a coolant temperature signal including time series information on each detected temperature. In the present embodiment, a 4-loop nuclear reactor including four steam generators is subjected to the measurement. The temperature detectors detect coolant temperatures in low temperature side piping (cold legs) of the respective loops to generate and output four coolant temperature signals TA, TB, TC, and TD. For the coolant temperature signal, instead of the coolant temperature in the low temperature side piping, a coolant temperature in a high temperature side piping (hot leg), an average of the coolant temperatures in the low and high temperature side piping, or a coolant outlet temperature of the nuclear reactor may be used. In following description, when one of the coolant temperature signals TA, TB, TC, and TD is focused on, it is described as a coolant temperature signal T. The coolant temperature T at time t in time series is indicated by the coolant temperature signal T (t). The nuclear reactor further includes a plurality of neutron flux detectors each for detecting a neutron flux. Each of the plurality of neutron flux detectors outputs a neutron flux signal including time series information on the neutron flux detected thereby. In the present embodiment, four neutron flux signals φ (N41), φ (N42), φ (N43), and φ (N44) are used to measure the moderator temperature coefficient. In following description, when any one of the neutron flux signals φ (N41), φ (N42), φ (N43), and φ (N44) is focused on, it is described as a neutron flux signal cp. The neutron flux signal φ at time t in time series is indicated by a neutron flux signal φ (t). The moderator temperature coefficient measurement apparatus 1 includes an input section 2, a data processing section 5, a database 9, and an output section 10. The input section 2 includes an A/D converter 3 and a storage device 4. The A/D converter 3 receives the four coolant temperature signals TA to TD and the four neutron flux signals φ (N41) to φ (N44) which are analog signals, to convert them into digital signals, and registers these digital signals in the storage device 4. If the coolant temperature signals TA to TD and the neutron flux signals φ (N41) to φ (N44) are given as digital signals, the A/D converter is not necessary. The data processing section 5 includes a time delay processing section 5a, a reactivity meter 6, and first and second data processing sections 7 and 8. The time delay processing section 5a is implemented in a computer. The time delay processing section 5a reads the coolant temperature signal T (t) from the storage device 4, and performs time shifting processing if there is some time shift with respect to the reactivity signal ρ (t) explained later. For example, if the coolant temperature signal T (t) is relatively delayed to the reactivity signal ρ (t), the time delay processing section 5a performs the time delay processing so as to delay the reactivity signal ρ (t) relative to the coolant temperature signal T (t) to generate and output a plurality of coolant temperature signals respectively having different delay times. The reactivity meter 6 reads the neutron flux signal φ from the storage device 4 and also reads information specific to the reactor core from the database 9. The reactivity meter 6 calculates reactivity from the read neutron flux signal in accordance with a calculation program which is based on one point reactor approximate kinetics calculations to generate and output the reactivity signal ρ (t) including time series data on the reactivity. The first data processing section 7 is implemented in a computer. The coolant temperature signal outputted by the time delay processing section 5a is inputted into the first data processing section 7. Further, the reactivity signal ρ (t) outputted by the reactivity meter 6 is inputted into the first data processing section 7. The first data processing section 7 outputs components obtained by singular value decomposition of these pieces of input data. FIG. 2 illustrates a configuration of the second data processing section 8. The second data processing section 8 includes a combination section 14, an autocorrelation screening section 15, a temperature coefficient calculation section 16, an extrapolation section 17, a high coherence data number count section 18, and a high sensitive moderator temperature coefficient calculation section 19. Each of these sections is implemented in such a way that a program stored in a storage device of a computer provided in the second data processing section 8 is read and executed by an arithmetic and control unit. The second data processing section 8 uses a singular value decomposed components inputted from the first data processing section 7 to calculate and output the moderator temperature coefficient. The output section 10 is implemented in a computer. The output section 10 includes a temperature coefficient judgment section 11 and a display device 12. The temperature coefficient judgment section 11 displays the moderator temperature coefficient received from the second data processing section 8 on the display device 12 along with results of comparisons of it with a design value and a tolerance. The output of the temperature coefficient judgment section 11 is transmitted to a plant computer 13 which controls an operation of the nuclear reactor and used for controlling the nuclear reactor. Next, referring to the data processing flow illustrated in FIG. 3, an operation of the moderator temperature coefficient measurement apparatus 1 provided with the above-described configurations is described. The coolant temperature detectors installed on the cold legs measure the coolant temperatures in time series to output the coolant temperature signals TA (t) to TD (t) (which are, in FIG. 3, collectively illustrated as T (t)). In FIG. 4, an example of the measured coolant temperature is illustrated. The coolant temperature signals TA (t) to TD (t) are converted into pieces of digital data by the A/D converter 3, and then registered in the storage device 4. The time delay processing section 5a reads the coolant temperature signal T (t) from the storage device 4 to perform time delay processing. This processing is performed such that, for example, if the coolant temperature signal is delayed relative to the reactivity signal, the data of the reactivity signal is delayed relative to that of the coolant temperature signal. In other words, time advance processing is performed so that the data of the reactivity signal is temporally advanced relative to that of the coolant temperature signal. The time advance processing for advancing time of the coolant temperature signal is described below. The plurality of coolant temperature signals respectively having different time advances are generated as follows: for example, a zeroth coolant temperature signal T0 (t) is the same as T (t) read from the storage device 4; a first coolant temperature signal T1 (t) represents data advanced by 0.1 seconds relative to T (t), that is, T1 (t)=T (t−0.1); and similarly, an i-th coolant temperature signal Ti (t) (i is an integer) represents data advanced by (0.1×i) seconds relative to T (t), that is, Ti (t)=T (t−0.1×i) In this manner, the coolant temperature signals T0 (t) to T50 (t), i.e., fifty-one coolant temperature signals respectively having the time advances of 0 to 5 seconds, are generated and outputted. The neutron flux detectors detect the neutron fluxes generated by the nuclear reactor in time series to output the neutron flux signals φ (N41) to φ (N44) (which are, in FIG. 3, collectively illustrated as φ (t)). The neutron flux signal φ (t) is converted into digital data by the A/D converter 3, and then registered in the storage device 4. The reactivity meter 6 uses the neutron flux signal φ (t) to calculate the reactivity, and outputs the reactivity signal ρ (t). The first data processing section 7 receives the pieces of data from the time delay processing section 5a and the reactivity meter 6. Here, types of the pieces of data inputted to the first data processing section 7 are organized. There are four types of coolant temperature signals T0 (t) to T50 (t) correspondingly to the four types of coolant temperature signals TA to TD inputted to the input section 2. That is, (4×51) types of data that indicate the coolant temperatures in time series are inputted to the first data processing section 7. Also, there are four types of reactivity signals ρ (t) correspondingly to the four types of neutron flux signals φ (N41) to φ (N44) inputted to the input section 2. That is, four types of data that indicate the reactivity in time series is inputted to the first data processing section 7. The first data processing section 7 performs the singular value decomposition for each of the (4×51) types of coolant temperature signals in the time axis direction of the time series to decompose it into N components T′i=1, N (t). Here, i is a suffix for specifying each of the components obtained by the singular value decomposition. The first data processing section 7 further performs the singular value decomposition of each of the four types of reactivity signals p in the time axis direction of the time series to decompose it into N components ρ′j=1, N (t). Here, j is a suffix for specifying each of the components obtained by the singular value decomposition. In the processing performed in and subsequent to the second data processing section 8, a correlation between the coolant temperature signals T0 (t) to T50 (t) and the reactivity ρ (t) calculated on the basis of the neutron flux signals φ (t) corresponding to times respectively delayed by 0 to 5 seconds relative to the coolant temperature signals T0 (t) to T50 (t) is calculated. The physical meaning of the time delay processing is as follows: the coolant flowing through the nuclear reactor flows in from the cold legs; flows downward along the core tank to the lower plenum; and reaches the reactor core. In some cases, there is a time delay of few seconds until the coolant at a position of the cold leg at which a temperature is detected reaches the reactor core. That is, there may be a time delay of few seconds until a variation in neutron flux in response to a variation in coolant temperature arises. Accordingly, to detect a correlation between the coolant temperature and the reactivity ρ (t) calculated from the neutron flux, it is considered appropriate to make a correlation between the coolant temperature and the re activity ρ (t) calculated from the neutron flux subjected to the time delay processing relative to the coolant temperature. Alternatively, the temperature detectors may be installed in a hot leg. In this case, conversely, it is appropriate to make a correlation between the coolant temperature and the reactivity ρ (t) calculated from the neutron flux subjected to the time advance processing relative to the coolant temperature. The combination section 14 of the second data processing section 8 generates pairs of T′i=1, N (t) and ρ′j=1, N (t) for all combinations of i (1 or more, and N or less) and j (1 or more, and N or less). As a result, from one pair of T (t) and φ (t), (N×N) pairs of T′ (t) and ρ′ (t), i.e., [T′i (t), ρ′j (t)], are generated. There are (4×51) pairs of T (t) and ρ (t) as described above, and consequently (4×51×N×N) pairs of [T′i (t), ρ′j (t)] are generated. The autocorrelation screening section 15 of the second data processing section 8 uses autocorrelation functions of T′i (t) and ρ′j (t) to extract pairs of signals respectively having strong correlations as high correlation pairs [T′m (t), ρ′n (t)] for each of the pairs [T′i (t), ρ′j (t)]. As a method for extracting the strong correlation signal pairs, for example, it is preferable to extract as the high autocorrelation pairs [T′m (t), ρ′n (t)] of signals having a minimum value obtained by integrating the difference between the normalized autocorrelation function of T′i (t) and that of ρ′j (t) with respect to time for each of the pairs [T′i (t), ρ′j (t)]. The temperature coefficient calculation section 16 of the second data processing section 8 uses auto and cross power spectral density functions to calculate the MTC (moderator temperature coefficient, or moderator temperature reactivity coefficient) and coherence for each of the high autocorrelation pairs [T′m (t), ρ′n (t)] on a frequency basis through the Fourier transform. FIG. 5 illustrates a diagram in which a correlation between the calculated coherence and the MTC is plotted. The coherence COH is expressed by COH=α×T/ρ, given that α is the value of the MTC. ρ, α, and T meet the relationship of ρ≈α×T, and therefore α at COH=1 is considered as an approximately true MTC value. The extrapolation section 17 obtains a correlation between the coherence and the MTC illustrated in FIG. 5 on the basis of the least-square method or the like, and extrapolates the correlation to calculate the MTC at the coherence of 1.0. By this calculation, one MTC is calculated for each of the high autocorrelation pairs [T′m (t), ρ′n (t)]. The high coherence data number count section 18 counts a high coherence data number NCOH as the number of points having coherences larger than a predetermined criterion among points indicating the coherence-MTC pairs as plotted in FIG. 5. The largeness of this number means that the width of the interpolation is small, and the reliability of the MTC value obtained by the extrapolation at COH=1 is high. FIG. 6 illustrates a diagram in which the high coherence data number NCOH and MTC are plotted with being correlated to each other for each of the high autocorrelation pairs [T′m (t), ρ′n (t)]. In the diagram, as the value along the horizontal axis NCOH increases, a larger number of pieces of high coherence data can be obtained, which means the reliability of the data is higher. Referring to the diagram, one can see that a variation in MTC tends to be smaller toward the right-hand side. In particular, in a region where NCOH is larger than the predetermined criterion, the variation in MTC is small. The high sensitive moderator temperature coefficient calculation section 19 of the second data processing section 8 uses such tendency to calculate MTC, which is considered to be closer to the true value, as a high sensitive MTC 20. For example, by averaging MTCs in the region where NCOH is larger than the predetermined criterion, the high sensitive MTC is calculated. Returning to FIG. 1, the calculated high sensitive MTC is transmitted to the temperature coefficient judgment section 11. The temperature coefficient judgment section 11 reads out an expected value, upper and lower limits of the temperature coefficient on a design from the database 9, and displays them on the display device 12 along with the high sensitive MTC received from the second data processing section 8. As a variation of the moderator temperature coefficient measurement apparatus in the present embodiment, a void reactivity coefficient measurement apparatus for measuring a void reactivity coefficient of a boiling water reactor can be implemented. In this case, a void fraction calculation section for calculating the void fraction in time series on the basis of measurement values of the nuclear reactor is used in combination. Also, to the input section 2, a time series void fraction signal calculated by the void fraction calculation section is inputted, instead of the coolant temperature signal in the moderator temperature coefficient measurement apparatus 1. The subsequent calculation is performed in the same manner as that in the present embodiment with the coolant temperature signal being replaced by the void fraction. As a result, the void reactivity coefficient can be calculated, instead of the moderator temperature coefficient. |
|
061472743 | description | DETAILED DESCRIPTION OF THE INVENTION The present invention was developed for the purpose of decontaminating items of nuclear plant which are no longer required for duty. Such items may arise because the whole facility has been taken out of commission, or because a single item (such as a steam generator of a PWR plant) is being replaced. In accordance with the present invention, a decontamination system is provided which uses a dilute reagent that affords easy and economical handling. The decontamination system evenly dissolves base metals and corrosive deposits and is especially well-suited for decontamination of reactor plant components which have been taken out of commission. Furthermore, the system also utilizes certain reagents which can be removed in the gas phase or be converted into species which can be removed in the gas phase, thus leaving no residue. It should be understood that the present invention is applicable not only to removal of radioactive deposits from a substrate, but to removal of non-radioactive deposits, metals, derivatives of metals, and other materials from an underlying substrate. The chemical reagents used should be dilute (ideally no more than 10 milli-moles per liter) because the quantity of radioactive ion exchange wastes generated is heavily dependent on the quantity of reagents used. There are additional reasons for preferring a dilute chemical concentration, for example, simplification of handing the chemicals on a large plant scale. It was therefore desired to develop a chemical system which was dilute and could evenly dissolve base metal while at the same time being suitable for a recirculating clean up by ion exchange. The present invention avoids the use of cationic chemical reagents in the decontamination solution for the following reason. In order to achieve a high degree of decontamination effectiveness in a plant system of complex geometry, it is necessary for the system to be treated with solution of progressively lower radioactive content, preferably at the same time as the base metal is being dissolved. In this way it is possible to avoid the potential for recontamination of freshly exposed clean steel surfaces. In a nuclear plant which has not been operational for a period in excess of one year most of the radioactivity typically present in the reactor circuits is in the form of elements which are cationic. Provided that the chemical reagent does not contain a cation (other than hydrogen ion) it is possible to remove the dissolved radioactive elements on a cation exchange resin without removing the chemical reagent. This principle has been used advantageously in other prior art processes which do not dissolve base metal. (e.g. the CANDECON process, See, P. J. Petit, J. E. LeSurf, W. B. Stewart and S. B. Vaughan, Corrosion '78, Houston, Tex., 1978). Prior to the present invention, it was believed that use of fluoroboric acid as a decontamination reagent was ineffective when the concentration of the acid was reduced to an extent sufficient to make its use practical in a large plant system. The reason for this ineffectiveness is the nature of metal oxides deposited or grown on to metal surfaces at high temperatures during reactor operation. Such oxides are soluble only slowly in the dilute fluoroboric acid. The acid penetrates cracks in the oxide structure leaving islands of adherent oxide while the metal at the base of the cracks is dissolved. This behavior has been confirmed by electron microscopy of pre-oxidized metal samples exposed to dilute fluoroboric acid. We have undertaken tests of the effectiveness of fluoroboric acid at controlled conditions of oxidation potential, Eh. The Eh in these experiments has been monitored and controlled by additions of hydrazine, hydrogen peroxide or ozone. In these experiments we have found that the oxide is dissolved much more evenly particularly on stainless steel, as the Eh of the system is increased. Furthermore the rate of removal of oxide from stainless steel is affected far more by Eh than Inconel. The result is that at high values of Eh the rate of removal from both types of metal becomes approximately equal, which is convenient from the point of view of decontaminating a mixed stainless steel/Inconel system. In operating the instant decontamination process it is often the case that the electrochemical potential at the surface of metal which is being decontaminated is not the same as the bulk solution Eh. In this case it is possible that, even though the bulk solution Eh is within the specified range, the process is unsuccessful because the electrochemical potential on the steel surface is lower than the specified range. We have found that this can be the case particularly when samples have a large proportion of their surface area as clean metal with only a small proportion bearing a contaminated film. In such cases the kinetics of the oxidation reaction which maintains the Eh are particularly important. Potassium permanganate can be used as an oxidant in place of ozone to maintain the Eh in the desired range, and it has been found that this oxidant is very effective. Potassium permanganate has frequently been used in decontamination solutions as an oxidant for the leaching of chromium from radioactive deposits (e.g. Pick, M. E., "The Nature of PWR Stainless Steel and Inconel Oxides in Relation to Decontamination in Permanganate Based (NP and AP) Processes," Water Chemistry of Nuclear Reactor Systems 3, British Nuclear Energy Society, London, UK, p. 61-69, 1983). In the instant process however, the potassium permanganate performs a different function a described above. In support of this, it was found that significant Co-60 decontamination occurs while the potassium permanganate is present (which is not the case in prior processes where the function of the potassium permanganate is to oxidize and leach chromium) and the potassium permanganate is used in the instant process at a far lower concentration than usual. 10-100 ppm potassium permanganate is all that is necessary to maintain the Eh in the desired range and therefore maintain process effectiveness in the instant process, as opposed to 1,000 ppm commonly used in prior processes. As is well described in the chemical literature, the use of potassium permanganate as an oxidant leads to formation of solid manganese dioxide, and this can eventually coat the surface of the specimen and prevent further decontamination. To overcome this difficulty, the process can be operated until surface coverage with manganese dioxide prevents further progress and then a small excess of oxalic acid oxidation is removed to the gas phase. When all the manganese dioxide is gone the excess oxalic acid can be decomposed by adding potassium permanganate at exact stoichiometric equivalence to form manganous ions. This stage is important because any residual oxalic acid present would otherwise decompose added potassium permanganate to manganese dioxide during the continuation of the process. The resulting potassium and manganous ions are again removed by the cation exchanger. Thereafter the process is continued by making a further addition of potassium permanganate to bring the Eh back to the specified range. Turning now to FIG. 1, the items of a plant are formed into a flow path typically with a process skid 10. The process skid 10 consists of equipment which can be transported easily between one site and another, and connected to the nuclear plant items by temporary pipework 12. The components of the process skid are typically a pump, in-line heater, ozone generator 14, ion exchange vessels 16 and 18, surge tank, and suitable equipment 20 for chemical injection. The system is filled with water (preferably deionized) and the water is circulated through the system while being heated to the process temperature. The temperature in which the process operates can be from about ambient temperature to about 100.degree. C., but the most preferable range is about 650.degree. C. to about 1000.degree. C. The choice of temperature is based upon the rate of dissolution of metal desired. The metal must dissolve sufficiently slowly for the solution to have an invariant pH in all parts of the flowpath, but must dissolve sufficiently rapidly for the process application time to be convenient. Typically, a convenient time for application would be defined as between about two and about forty eight hours. Fluoroboric acid is then injected in concentrated solution, typically 48% (wt) in water, into the system to achieve a concentration in the desired range. This range is about 1 to about 50 milli-moles per liter, but more preferably about 10 milli-moles per liter. Periodically during operation of the process further fluoroboric acid can be injected to maintain the desired concentration. It is important that the desired pH and Eh be maintained throughout the decontamination process. Ozone is injected from the ozone generator. The ozone generator may be any commercially available device for this purpose, for example, operating on the principle of electric discharge in air or oxygen. (Corona Discharge Ozone Generator, Peak Scientific, United Kingdom.) Optionally, ozone present in off gases can be recycled through the solution. The ozone injection rate is controlled throughout the process to achieve the desired value of oxidation potential (Eh) which should be maintained in the range of about 500 to about 1200 mV versus the Standard Calomel Electrode. Off gases from the system should be vented though an ozone filter, of standard commercially available type, to prevent ozone from entering the atmosphere. From there, off gases should be vented to the plant extract system. The cation exchange column is valved into the system. The rate of flow of solution through the cation exchange column is controlled to maintain the pH of the circulating solution in the correct range. This range is about pH 2 to about pH 3, but most preferably about pH 2.5. Cation and anion exchange resins used for the process may be any ion exchange resins typically used for water purification in the nuclear industry, preferably strong acid cation exchangers such as IR-120 and strong base anion exchangers such as IRA 400. During the operation of the process the progress of the decontamination may be monitored by measuring the radioactivity circulating in the process solution (by sampling and analysis), and, if convenient, by direct gamma monitoring equipment adjacent to the items to be decontaminated. The majority of the radioactivity is removed by the cation exchange resin, so that the circulating solution has progressively lower levels of circulating radioactivity. The process is complete when no further radioactivity is being removed from the system. During the final cleanup stage, the process solution is circulated through the flowpath and through cation and anion exchange columns, until the desired purity of process water is achieved (e.g., conductivity of about 10 microSiemens). The fluoroboric acid is removed from the system by the anion exchange columns, leaving the system with clean water. After completion of the process, the water can be removed from the system, and the ion exchange resin can be disposed of as radioactive waste in any conventional manner, e.g., hydraulically transferred into a liner for dewatering or other treatment prior to transportation and disposal. EXAMPLE 1 Sample coupons of Stainless Steel 304 and Inconel 600 were obtained from Metal Samples Inc., Alabama. Coupons were traceable to mill certificates, and were oxidized by the following procedure to produce an oxide coating which has been shown to simulate exposure of the materials to PWR reactor conditions. The samples were degreased in methanol and pickled for 2 minutes in 30% nitric acid (for stainless steel coupons) or 30% sulfuric acid for Inconel coupons. The coupons were washed in demineralized water, rinsed with methanol, and dried in a dessicator to constant weight. The coupons were heated in air at 800.degree. C. for a period of 15 minutes. Average oxide film thicknesses (0.85 microns stainless steel and 0.58 microns Inconel) were calculated from weight gains assuming that the weight gain was due to incorporation of oxygen and that the oxide density was 1.5 g cm.sup.-1. Scanning electron micrography and EDAX analysis of the coupon surfaces revealed enrichment in oxygen and chromium compared with the base metal, both in the case of the stainless steel and Inconel coupons (FIG. 2). FIG. 2a illustrates an analysis incorporating stainless steel 304 L surface spectrum with oxidized surface and 10 KeV analysis. FIG. 2b illustrates stainless steel 304L surface spectrum, treated with HBF.sub.4 /O.sub.3 and 10 KeV analysis. A recirculating decontamination rig was constructed with a PTFE sample chamber, generally according to the diagram in FIG. 1, though in this particular case no anion exchange column was employed. The system volume was 10 dm.sup.3 and the linear flow rate over the coupons was 0.07 m s.sup.-1. A cation exchange column of 0.5 dm.sup.3 capacity (IR-120) in the hydrogen form was provided. The design allowed control of flow rates, temperature and chemical concentrations. Temperature, pH, Eh and flow rate were all recorded on a data logger system. Grab samples of the solution were taken from the bulk recirculating solution and in the outlet from the cation exchange column at various times, and sent for analysis (iron, chromium, nickel and pH). The specimens were placed in the sample chamber and the system filled with demineralized water. The solution was heated to 65.degree. C. Fluoroboric acid was added (13.5 ml, 48% by weight in water) and the ozone generator switched on. Initially the cation exchange column was isolated, but after four hours the ion exchange column was valved in at a flow rate of 10 dm.sup.3 h.sup.-1. Analysis of the bulk solution and "after cation exchange" samples are given in Table 1. Eh was maintained between +600 and +1,000 mV versus Standard Calomel Electrode. The decontamination was continued for 24 hours. After this the coupons were removed, rinsed in demineralized water, dried in air and examined for weight loss and surface appearance and by scanning electron micrography and EDAX. After exposure the coupons, which had previously had a dark oxide coating, were found to have a bright metallic appearance similar to that before the oxidation procedure. The absence of oxide was confirmed by EDAX analysis and the composition of the surface was equivalent to the base metal (i.e. no chromium enrichment). Weight loss calculation indicated that the coupons had lost approximately 5.44 mg cm.sup.-2 Inconel and 0.90 mg cm.sup.-2 Stainless Steel. The ion exchange resin was visually examined, and no signs of damage had occurred. Neither was there any reduction in flow rate or increase in pressure drop during the experiment, and there was no discernible loss in ion exchange capacity (conversion between hydrogen and sodium forms). It can be seen from the analytical results that the ion exchange column had operated exactly as predicted, lowering the pH and removing the metals. TABLE 1 ______________________________________ Time BULK OF SOLUTION AFTER CATION IX Hours pH Fe Cr Ni pH Fe Cr Ni ______________________________________ 3. 2.8 0.91 0.79 5.07 -- -- -- -- 4* 5. 2.24 0.42 0.36 1.56 2.23 <0.05 0.1 <0.05 9. 2.22 ND ND ND 2.2 ND ND ND 14. 2.18 ND ND ND 2.19 ND ND ND 18. 2.17 ND ND ND 2.17 ND ND ND 22. 2.15 ND 0.06 ND 2.15 ND 0.05 ND ______________________________________ *Commencement of Cation IX Treatment ND = Not Detected = below 50 ppb EXAMPLE 2 Sample coupons were obtained from the primary circuit of an operational PWR. These samples were a specimen of Inconel 600 Steam Generator tube and a stainless steel coupon (Type 304L) from a man access cover. Analysis of radionuclides on the two coupons indicated 126 kBq cm.sup.-2 Co-60 on the stainless steel and 103 kBq cm.sup.-2 Co-58, 0.18 kBq cm.sup.-2 Co-57 and 1.23 kBq cm.sup.-2 Mn-54 on the Inconel tube. Non-radioactive surfaces of the coupons were blanked off with a silicone coating to prevent exposure to the decontamination solution. The sample coupons were treated in the decontamination rig as in Example 1, except that the ion exchange resin used was a 1:1 mixed bed of IR-120 cation resin and IRA-400 anion resin previously regenerated with fluoroboric acid (i.e. the anion resin was in the fluoroborate form). The samples were measured for radioactivity by gamma spectrometry. The process was operated for a period of 31 (thirty one) hours using the same conditions as in Example 1. The sample holder and ion exchange column were monitored for decreasing and increasing radioactivity (respectively). After decontamination the samples were again measured using gamma spectrometry. The decontamination factors (Co-60 on the specimens before decontamination divided by Co-60 on the specimens after treatment) were 28 (twenty eight) for Inconel and 4 (four) for Stainless steel. The process was discontinued at 31 (thirty one) hours, but it was estimated that further running time of about 12 (twelve) hours would complete the oxide and radioactivity removal. The above-described embodiments and examples are illustrative of the present invention and should not be construed as limiting. Consequently, modifications may be made by those with skill in the art that are intended to be covered by the following claims. |
abstract | A core monitoring system including: a TIP measuring a neutron amount in a nuclear reactor; a TIP drive device; a TIP panel; a neutron monitoring panel; and a process computer. The TIP panel includes: a TIP level processor and a TIP position processor that process a TIP level signal and a TIP position signal input from the TIP drive device, respectively; a time setting section synchronizing the TIP level signal and the TIP position signal; and a TIP level data storage section storing synchronized TIP level data. The neutron monitoring panel includes a time setting section setting collecting time of a LPRM level signal and an APRM level signal. The process computer compares the time and stores the TIP level data from the TIP panel and the LPRM and APRM level signals from the neutron monitoring panel corresponding in time, and calculates core performance based on the TIP level data. |
|
summary | ||
048797359 | claims | 1. In an x-ray baggage inspection device including a means for non-intrusive inspection of baggage and a housing disposed above a conveyor, said housing having an input port with an upper boundary and a lower boundary, said lower boundary defined by said conveyor, wherein said conveyor transports baggage through said housing from said input port to an exit port, the improvement comprising: a substantially rigid baffle having an upper edge and a lower edge, said baffle being pivotally suspended from said upper boundary of said housing at said upper edge, substantially occluding an upper selected region of said input port, said lower edge being spaced a preselected distance above said conveyor, leaving an open space adjacent to said conveyor having a configuration suited for the passage beneath said lower edge of briefcase type baggage horizontally disposed upon said conveyor, wherein said substantially rigid baffle pivots inward in response to oversize baggage having a height exceeding said preselected distance between said conveyor and said lower edge of said baffle when horizontally disposed on said conveyor. conveyor means for transporting baggage from a first location to a second location; a housing disposed above said conveyor means having an input port proximal to said first location and an output port proximal to said output port, each having an upper boundary defined by said housing and a lower boundary defined by said conveyor means; means for non-intrusive inspection of said baggage horizontally disposed upon said conveyor means; a substantially rigid planar baffle pivotally suspended from said upper boundary of said input port for substantially covering an upper selected region of said input port leaving a lower selected region of said input port proximal to said conveyor means uncovered, providing a clearance between said baffle and said conveyor means of substantially at least eight inches vertical height, wherein said lower selected region of said input port accomodates the passage of briefcase type baggage only when horizontally disposed upon said conveyor means, and wherein said substantially rigid planar baffle pivots to allow the passage of oversize baggage having a horizontal height exceeding said clearance. pivotally mounting a substantially planar rigid baffle to the upper boundary, the baffle having a lower edge disposed a selected distance above the conveyor, the selected distance being sufficient to accomodate briefcase type baggage horizontally disposed on said conveyor, but not briefcase type baggage vertically disposed; placing briefcase type baggage on said conveyor in a horizontal position and passing said briefcase type baggage beneath said baffle with said conveyor; placing oversize baggage on said conveyor in a horizontal position, said baggage having a height, measured when horizontally disposed, that is greater than said selected distance, and moving said oversize baggage into contact with said baffle, pivoting said baffle with said oversize baggage, and passing said oversize baggage through said x-ray baggage inspection device; and non-intrusively inspecting both briefcase type baggage and oversize baggage passed through the baggage inspection device on said baggage conveyor. 2. An apparatus according to claim wherein said upper edge of said baffle is pivotally suspended from said upper boundary by a hinge. 3. An apparatus according to claim 1 wherein said baffle is pivotally suspended from said upper boundary in a position substantially normal relative to said conveyor, but movable into an angular position relative to said conveyor in response to baggage having a height exceeding said preselected distance between said conveyor and said lower edge of said baffle. 4. An apparatus according to claim 1 wherein said baffle comprises a substantially flat monolithic rectangular plate. 5. An improved baggage inspection device, comprising in combination: 6. A method of inspecting baggage with an x-ray baggage inspection device having an input port with an upper boundary disposed above a baggage conveyor, said conveyor substantially defining a lower boundary of said input port, comprising the steps of: |
summary | ||
claims | 1. A containment system for controlling the migration of fluid from a contaminate source comprising:a containment grid comprising a plurality of cooling channels, said grid defining a plurality of regions between adjacent cooling channels;an aggregate comprising frozen water and soil, said aggregate in thermal communication with the plurality of cooling channels and occupying the regions between adjacent cooling channels;wherein said containment grid is adapted to form a partial envelope around the contaminate source beneath the ground surface;a variable water permeable region in the containment grid; anda sensor for determining contamination;wherein the plurality of cooling channels form an hour glass shape, the hour glass shape defined by concentric circles with increasing diameters and increasing height above the variable water permeable region and concentric circles with increasing diameters and increasing vertical distance below the variable water permeable region. 2. The containment system of claim 1, further comprising:a plurality of valves on the respective cooling channels;said plurality of valves are operable to control the flow of cooling media in the respective cooling channels;wherein the area of the variable water permeable region is a function of operation of the valves. |
|
claims | 1. A method of controllably reflecting electrons from an array of electron reflectors, each electron reflector in the array comprising a base electrode and a well opening formed by sidewalls, the method comprising:forming an incident electron beam from an electron source;directing the incident electron beam to illuminate the array of electron reflectors such that electrons of the incident electron beam enter the well opening going towards the base electrode of each electron reflector in the array;configuring a first plurality of electron reflectors in the array to reflect electrons in a first reflective mode such that the reflected electrons exit the well opening going away from the base electrode of the reflector and form a focused beam; andconfiguring a second plurality of electron reflectors in the array to reflect electrons in a second reflective mode such that the reflected electrons exit the well opening going away from the base electrode of the reflector and are defocused. 2. The method of claim 1, wherein the electron reflectors each have multiple electrodes. 3. The method of claim 2, wherein a first voltage is applied to a base electrode to achieve the first reflective mode, and a second voltage is applied to the base electrode to achieve the second reflective mode. 4. The method of claim 3, wherein the base electrode comprises a concave electrode. 5. The method of claim 4, wherein the incident electron beam has an energy spread of greater than two electron volts. 6. The method of claim 1, wherein the sidewalls comprise a plurality of stacked electrodes separated by insulating layers surrounding the base electrode. 7. The method of claim 1, wherein the reflected electrons have substantially parallel trajectories when exiting an electron reflector configured in the first reflective mode, and wherein the reflected electrons have substantially divergent trajectories when exiting an electron reflector configured in the second reflective mode. 8. An apparatus of a dynamic pattern generator for reflection electron beam lithography, the apparatus comprising:a plurality of electron reflectors in an array, each electron reflector in the array comprising a base electrode and a well opening formed by sidewalls;control circuitry for configuring a first plurality of the reflectors to reflect electrons in a first reflective mode such that the reflected electrons exiting the well opening of each reflector of the first plurality travel away from the base electrode and form a focused beam; andcontrol circuitry for configuring a second plurality of the reflectors to reflect electrons in a second reflective mode such that the reflected electrons exiting the well opening of each reflector of the second plurality travel away from the base electrode and are defocused. 9. The apparatus of claim 8, wherein the electron reflectors each have multiple electrodes. 10. The apparatus of claim 9, wherein a first voltage is applied to a base electrode to achieve the first reflective mode, and a second voltage is applied to the base electrode to achieve the second reflective mode. 11. The apparatus of claim 10, wherein the base electrode comprises a concave electrode. 12. The apparatus of claim 11, wherein the incident electron beam has an energy spread of greater than two electron volts. 13. The apparatus of claim 8, wherein the sidewalls comprise a plurality of stacked electrodes separated by insulating layers surrounding the base electrode. 14. The apparatus of claim 8, wherein the reflected electrons have substantially parallel trajectories when exiting an electron reflector configured in the first reflective mode, and wherein the reflected electrons have substantially divergent trajectories when exiting an electron reflector configured in the second reflective mode. |
|
summary | ||
054328292 | description | DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS Hereinafter, preferred embodiments of the present invention will be described with reference to the drawings. First Embodiment A description will be given of a first embodiment of the present invention by referring to FIGS. 7 to 11. (Construction) A fuel assembly 1 of this embodiment shown in FIG. 7 is used for a boiling water reactor and loaded into a core of the boiling water reactor. The fuel assembly 1 of this embodiment comprises three water rods 4 (see FIG. 8), fuel rods 2, and partial length fuel rods 3. The water rods 4 and the fuel rods 2 have their upper ends supported by an upper tie plate 7, while the water rods 4, the fuel rods 2 and the partial length fuel rods 3 have their lower ends supported by a lower tie plate 8. The water rods 4 and the fuel rods 2 are tightly held at seven intermediate locations by 1st- to 7th-stage fuel spacers 9. The partial length fuel rods 3 are tightly held at their upper ends by the 5th-stage fuel spacer 9 and at four intermediate locations by 1st- to 4th-stage fuel spacers 9. A channel box 5 encloses the whole of a fuel bundle built up by the water rods 4, the fuel rods 2, the partial length fuel rods 3, the upper tie plate 7, the lower tie plate 8, and the fuel spacers 9, as mentioned above. The channel box 5 is attached to the upper tie plate 7. A number of fuel rods including the fuel rods 2 and the partial length fuel rods 3 are, as shown in FIG. 8, arrayed in a square lattice pattern of 10.times.10. Of these fuel rods, fours given by the partial length fuel rods 3 are arranged in an outermost layer of the fuel rod array. The three large-diameter water rods 4 are circular in cross-section and diagonally arranged in a lattice region of 4.times.4 at the center of the fuel rod array. The bundle of these fuel rods 2, the partial length fuel rods 3 and the water rods 4 are surrounded by the channel box 5 having a rectangular cross-section. The total number of the fuel rods 2 and the partial length fuel rods 3 is 90. Such a fuel assembly having the fuel rod array of 10.times.10 and including three large-diameter water rods arranged at the center thereof is illustrated in FIG. 14 of JP, A, 63-311195. However, FIG. 14 of JP, A, 63-311195 has no suggestions about the arrangement of partial length fuel rods. The partial length fuel rods 3 each have a fuel effective length shorter than that of the fuel rods 2. Specifically, the fuel effective length of the partial length fuel rods 3 is 15/24 of that of the fuel rods 2. This length corresponds to a level of the 5th-stage fuel spacer 9 counting from the bottom, by which the upper ends of the partial length fuel rods 3 are supported. Assuming that, based on the concept of a matrix in mathematics, a lateral line of the fuel rod array in the square lattice pattern is called a row, a perpendicular line thereof is called column, and a position of a unit lattice on the i-th row from the top and the j-th column from the left, as viewed in the drawing, is expressed by (i, j), the partial length fuel rods 3 are arranged at the lattice positions of (1, 5), (5, 1), (6, 10) and (10, 6). In other words, the partial length fuel rods 3 are arranged one in each side of the outermost layer of the fuel rod array in the square lattice pattern such that they are symmetrical with respect to both of two diagonal lines of the fuel assembly 1. Inside the outermost layer of the fuel rod array in the square lattice pattern, there are arranged only ordinary fuel rods 2 in total two layers; i.e., a layer adjacent to the outermost layer and a layer adjacent to the former layer. The three large-diameter water rods 4 are arranged in a lattice region of 4.times.4 at the center of the fuel rod array in such a manner that they occupy a space capable of accommodating 10 fuel rods and lie in a diagonal line of the fuel assembly with a small spacing therebetween. In the remaining space within the lattice region of 4.times.4 at the center of the fuel rod array, there are arranged six fuel rods 2. The water rods 4 each have an outer diameter of 20.7 mm and, therefore, the total cross-sectional area of the water rods is about 9 cm.sup.2, taking into account a wall thickness of the water rods 4. Each of the lattice positions where the water rods 4 are arranged is such that two or more of four lattice positions adjacent to each of the lattice positions are those positions where the water rods 4 are arranged. Also, the outermost lattice positions occupied by the three water rods 4 are (4, 7) and (7, 4) on a diagonal line of the lattice region of 4.times.4. Thus, the water rods occupy the fourth to seventh rows extending in the row direction (i.e., in the lateral direction) and the fourth to seventh columns extending in the column direction (i.e., in the perpendicular direction). The partial length fuel rods 3 are located within the range of those rows or columns. Stated otherwise, when the water rods 4 are projected in the row and column directions, the partial length fuel rods 3 are arranged in lattice positions in the outermost layer of the fuel rod array in the square lattice pattern inside a projected range of the water rods including the lattice positions at the outermost opposite regions of the projected range. The fuel assembly 1 is arranged in the core as shown in FIG. 9. More specifically, total four fuel assemblies 1 are arranged around a single control rod 10 having a crucial cross-section. These four fuel assemblies 1 surrounding the single control rod 10 jointly build up one fuel unit. A core of a boiling water reactor includes a number of such fuel units. A neutron detecting counter 11 is disposed near one corner of each fuel unit. The control rod 9 is inserted to a water gap region defined between the four fuel assemblies 1. (Operation) Operation of this embodiment will be described below. Since the number of unit lattices in the fuel rod array is increased from 8.times.8 in the prior art to 10.times.10, the degree of freedom in layout for distributing the fuel rods in the fuel assembly is increased. Accordingly, fuel or moderators can be localized in the fuel assembly. The term "localization (localized or localizing)" used herein implies that, in the fuel or moderator region surrounded by boundary lines between fuels and moderators, the length of the boundary lines per unit volume is shortened. A description will be given of an effect that the localized position of the moderator region (i.e., the non-boiling water region) imposes a reactivity control capability (i.e., a reduction in reactivity change due to variations of the void coefficient and hot-to-cold transition of the reactor). FIG. 1 comparatively shows variations of the void coefficient as resulted when the water rod region (i.e., a moderator in the inner region) is enlarged and when the gap water region (i.e., a moderator in the outer region) is enlarged, on a condition that the fuel inventory is kept constant. It will be understood from FIG. 1 that, for the purpose of improving the reactivity control capability, localizing the moderator in the outer region of the fuel assembly facing the channel box is more effective (to provide higher sensitivity) than localizing it in the inner region of the fuel assembly facing the water rods. FIG. 2 shows variations of the void coefficient in a cross-section above the partial length fuel rods depending on positions where the partial length fuel rods are arranged. It will be seen from FIG. 2 that the sensitivity representing a rate of reduction in the void coefficient changes in the following order from a higher to lower level: (1) Fuel at corners of an outermost layer of the fuel assembly facing the channel box, PA1 (2) Fuel in the outermost layer of the fuel assembly facing the channel box other than (1), PA1 (3) Fuel in the inner region of the fuel assembly adjacent to the water rods, and PA1 (4) Fuel adjacent to neither the channel box nor the water rods. This means that, similarly to the localization of the non-boiling water region explained above referring to FIG. 1, localizing the moderator region (i.e., arranging the partial length fuel rods adjacently to the non-boiling water region) is also effective for the reactivity control. This effect is more remarkable in an upper region of the core (i.e., a region of higher void coefficient) in which the ratio of hydrogen to the number of heavy metal atoms (H/U) is small and the sensitivity representing the magnitude of a neutron moderating effect is high. For the above reason, in this embodiment, the fuel rods 2 and the partial length fuel rods 3 are arrayed in a square lattice pattern of 10.times.10, and the partial length fuel rods 3 are arranged in the outermost layer of the fuel rod array. As a result, an effect of reducing the void coefficient can be obtained to improve the reactivity control capability. A description will be given of, in the case of arranging partial length fuel rods in the outermost layer of the fuel rod array, how positions of the partial length fuel rods affect reactivity and a local power peaking. FIG. 4 shows the relationship respective positions of the partial length fuel rods versus a neutron infinite multiplication factor (curve A) and a local power peaking (curves B and C) in a cross-section above the partial length fuel rods as resulted when the position of the partial length fuel rod is changed from (1, 1) to (1, 10) along the upper side of the fuel rod assembly as illustrated where the four partial length fuel rods are arranged in the outermost layer facing the channel box in symmetrical relation as with the above embodiment. Also, similarly to the above embodiment, the fuel rods are arrayed in a lattice pattern of 10.times.10 and three water rods are arranged in a lattice region of 4.times.4 at the center of the fuel rod array in such a manner that they occupy a space capable of accommodating 10 fuel rods. Note that the curve A represents a difference in reactivity with a reference set to the reactivity obtained when the partial length fuel rod is positioned at (1, 5) in the upper side illustrated. The curve B represents a local power peaking coefficient of the ordinary fuel rod adjacent to the partial length fuel rod when the partial length fuel rod is arranged at a corner of the fuel rod array, and a local power peaking coefficient of the ordinary fuel rod adjacent to the partial length fuel rod at its left side as viewed in the drawing in other cases. The curve C represents a local power peaking coefficient of the ordinary fuel rod arranged at the corner of the fuel rod array. As will be seen from FIG. 4, when the partial length fuel rod is arranged at the corner of the fuel rod array in the outermost layer thereof, the reactivity loss is large and the local power peaking of that fuel rod adjacent to the partial length fuel rod is also large. When the partial length fuel rod is arranged at a lattice position adjacent to the corner in the outermost layer, e.g., at (1, 2) along the upper side as illustrated, the reactivity loss is remarkably improved. On the contrary, the local power peaking of both the fuel rod adjacent to the partial length fuel rod and the fuel rod at the corner remains substantially large. When the partial length fuel rod is arranged at a third lattice position counting from the corner in the outermost layer including the corner, e.g., at (1, 3) along the upper side as illustrated, the reactivity loss is further improved, but the local power peaking of both the fuel rod adjacent to the partial length fuel rod and the fuel rod at the corner position is still large. When the partial length fuel rod is arranged at a fourth or still inner lattice position counting from corner in the outermost layer including the corner, e.g., at (1, 4) or any innerer position along the upper side as illustrated, the reactivity loss is almost zero and the local power peaking of both the fuel rod adjacent to the partial length fuel rod and the fuel rod at the corner is reduced to a large extent. For that reason, in this embodiment, the partial length fuel rods 3 are each arranged in the lattice positions in the outermost layer of the fuel rod array inside the projected range of the waiter rods, including the lattice positions in the outermost opposite regions of the projected range. With such an arrangement, the reactivity loss and the local power peaking can be both suppressed, thus resulting in an improvement in fuel economy and a thermal margin. If the local power peaking is reduced by another measure, it would be sufficient to take into account a reduction in the reactivity loss alone. In this case, the partial length fuel rod may be arranged at the lattice position adjacent to the corner in the outermost layer, or at the third lattice position counting from the corner in the outermost layer including the corner. A description will be given of, in the case of arranging the partial length fuel rods in the outermost layer of the fuel rod array, how positions of the partial length rods affect a control rod worth. FIG. 3 shows the relationship between respective positions of the partial length fuel rods and a control rod worth in a cross-section above the partial length fuel rods as resulted when the position of the partial length fuel rod is changed from (1, 1) to (1, 10) along the upper side of the fuel rod assembly as illustrated where the four partial length fuel rods are arranged in the outermost layer facing the channel box in symmetrical relation as with the above embodiment. The control rod worth is represented by a difference from a reference value obtained when the partial length fuel rods in the same number are positioned adjacently to the water rods. As will be seen from FIG. 3, the larger control rod worth is obtained by arranging the partial length fuel rods in the outermost layer at any positions facing the channel box rather than arranging them adjacent to the water rods. This is attributable to an increase in thermal neutron flux near the control rod (particularly, an absorption rod). Accordingly, with this embodiment, by arranging the partial length fuel rods 3 in the outermost layer of the fuel rod array, an effect of enhancing the control rod worth can be expected, which contributes to an improvement in safety. In order to maximally utilize an effect resulted from localizing the moderator region, ordinary fuel rods (the fuel rods 2) are required to be localized. The localization of the ordinary fuel rods reduces probability that resonance neutrons are absorbed, and hence contributes to a further improvement in fuel economy. In this embodiment, the partial length fuel rods 3 are arranged in the outermost layer of the fuel rod array in a square lattice pattern and, while only the ordinary fuel rods 2 are arranged in a layer adjacent to the outermost layer inside thereof at those lattice positions (fuel rod positions) adjacent to the partial length fuel rods and the water rods 4 are concentratedly arranged at the center, so that the region of the fuel rods 2 is surrounded by the moderator region. As a result, thermal neutrons efficiently decelerated through the moderator region are caused to flow into the region of the fuel rods 2 with higher efficiency. Therefore, resonance absorption is reduced to improve not only the reactivity control capability but also fuel economy. This effect is further enhanced by making the region, where only the fuel rods 2 are arranged, spread over one entire layer adjacent to the outermost layer, and is still further enhanced by making that region spread over two layers adjacent to the outermost layer as with this embodiment. A description will be given of how fuel economy is affected by localizing the moderator region (i.e., the non-boiling water region), and how the cross-sectional area and shape of water rods are made optimum. FIG. 5 comparatively shows change in reactivity as resulted when the water rod region (i.e., a moderator in the inner region) is enlarged and when the gap water region (i.e., a moderator in the outer region) outside the channel box is enlarged, on a condition that the fuel inventory is kept constant in a fuel assembly that the number of unit lattices in the fuel rod array is increased to 10.times.10. The horizontal axis represents two parameters; namely, an increment of the water rod region or gap water region (i.e., an increment of the non-boiling water region), and a percentage of a cross-sectional area of the water rods with respect to a cross-sectional area of the channel box depending on such an increment of the water rod region. The vertical axis represents a difference in a neutron infinite multiplication factor with a reference set to 3 cm.sup.2 (1.7%) that is a cross-sectional area of water rods in the existing fuel assembly. It will be seen from FIG. 5 that, for the purpose of increasing the neutron infinite multiplication factor, i.e., improving the reactivity or fuel economy, enlarging the water rod region inside the fuel rod assembly is more effective (to provide higher sensitivity) than enlarging the gap water region outside the fuel rod assembly facing the channel box. This is attributable to that thermal neutrons are less absorbed by the moderator with flattening in distribution of thermal neutron flux. The flattering in distribution of thermal neutron flux is also important in a point of ensuring a thermal margin because it affects not only fuel economy but also local power distribution. Thus, in the fuel assembly of 10.times.10 lattice, enlarging the cross-sectional area of the water rods is effective for an improvement in fuel economy. Therefore, the cross-sectional area of the water rods requires to be enlarged from the current value of 3 cm.sup.2 (1.7%). On the other hand, enlarging the number of unit lattices occupied by the water rod region reduces the number of fuel rods capable of being loaded, and hence is contradictory to a higher degree of burn-up. Taking into account symmetry of the fuel assembly, a proper range of the number of unit lattices occupied by the water rod region is from 2, the current number, to 8-16. In consideration of that an area of a unit lattice in the fuel assembly of 10.times.10 lattice is about 60% of that in the existing fuel assembly of 8.times.8 lattice and, as mentioned above, the number of unit lattices occupied by the water rod region is 4 to 8 times as many as the current number, the cross-sectional area of the water rods appropriately ranges from 7 to 14 cm.sup.2. For the above reason, in this embodiment, the total cross-sectional area of the three water rods 4 is set to about 9 cm.sup.2, which also contributes to higher reactivity and improved fuel economy. Making the cross-sectional area of the water rods optimum is further related to stability. Stability is evaluated in terms of two modes; i.e., channel stability arid core stability. The channel stability must be kept to prevent oscillation of flow rate distribution of a coolant or cooling water, and is evaluated for that fuel assembly which has the highest power in the core. The core stability must be kept to prevent an unstable phenomenon upon coupling between neutron flux and thermal-hydraulic vibration in the core. A limit value of each stability is represented by a reduction ratio. Thus, the channel stability and the core stability are both controlled so that the reduction ratio is held not larger than 1.0. In view of the above, the inventors of this application have studied stability of the fuel assembly of 10.times.10 lattice. FIG. 6 shows a limit line for each type of stability on a map indicating a uranium inventory and the cross-sectional area of the water rods. The uranium inventory implies the amount of uranium capable of being loaded for each fuel assembly, and the larger uranium inventory means an increase in the outer diameter of each fuel rod. On the other hand, the cross-sectional area of the water rods represents a cross-sectional area of water passage(s) in one or more water rods positioned in the fuel assembly, and the larger the cross-sectional area of the water rods means an increase in the outer diameter of each water rod. Accordingly, an increase in the uranium inventory and the cross-sectional area of the water rods makes the outer diameter of the fuel rods and the water rods larger and hence diminishes an flow passage area in the fuel assembly, whereby the flow resistance is enlarged and a margin of the channel stability is reduced. As shown in FIG. 6, therefore, the channel stability becomes marginal in the region where the cross-sectional area of the water rods is large and the uranium inventory is also large, and a limit line of the channel stability descends to the right. Meanwhile, a limit line of the core stability ascends to the right because an increase in the uranium inventory and a decrease in the cross-sectional area of the water rods degrades the core safety. Therefore, the allowable zone from the viewpoint of stability locates at the center in FIG. 6 and is given by an angular hill-shaped region defined by the limit line of the channel stability and the limit line of the core stability. The larger uranium inventory reduces the fuel cycle cost and, therefore, it is preferable from the viewpoint of fuel economy. It will be understood that, inside the stability allowable zone of FIG. 6, a portion near the intersect (i.e., the hill's top) between the limit line of the channel stability and the limit line of the core stability requires the minimum fuel cycle cost and hence is most preferable. Thus, the range in the vicinity of the hill's top (i.e., near 10 cm.sup.2 in terms of the cross-sectional area of the water rods) provides an optimum point for achieving the channel stability, the core stability, as well as fuel economy at the same time. That range spans from 9 to 11 cm.sup.2 in terms of the cross-sectional area of the water rods. To enhance stability, it has been proposed in the prior art to provide an orifice plate just below the fuel assembly to thereby increase flow resistance, or to adopt low pressure-drop type spacers. By so adding the orifice resistance or adopting the low pressure-drop type spacers, stability is improved and the limit line of each safety is so moved as to enlarge the allowable zone. In this case, however, since both the limit lines are moved upward, the cross-sectional area of the water rods corresponding to the optimum point (i.e., the hill's top) remains substantially the same. Accordingly, setting the cross-sectional area of the water rods to the range of 9 to 11 cm.sup.2 is also desirable in improving fuel economy from the above point. For the above reason, in this embodiment, the total cross-sectional area of the water rods 4 is set to about 9 cm.sup.2 that is apparently close to the optimum point. As a result, the channel safety, the core safety, as well as fuel economy are improved in this embodiment. Additionally, the improved stability renders equipment installed for higher stability unnecessary. To enlarge the cross-sectional area of the water rods, adopting a large-size water rod is advantageous in reducing the number of fuel rods which must be sacrificed, and reducing the coolant flow passage area which is less effective to cool fuel rods (i.e., increasing a critical power). Assuming that the spacings between the water rods and the fuel rods adjacent to the water rods are constant, it is most preferable in the case of circular water rods to use the unit lattices of 2.times.2 as a water rod for effective utilization of the space. Therefore, in this embodiment, a central region of the fuel assembly, where the neutron moderating effect is small, corresponding to 10 unit lattices is allocated as the water rod region, and the lattice positions in the water rod region are selected such that two or more of four lattice positions adjacent to each of the water rod lattice positions are those positions where the water rod region adjoins. By so doing, three large-size circular water rods each with the size corresponding to 2.times.2 lattice are arranged and, simultaneously, the coolant flow passage area which is less effective to cool fuel rods is diminished. The critical power is thus increased. Finally, a description will be given of how the length of the partial length fuel rods 3 affects the channel stability and the core stability. FIGS. 10 and 11 show results obtained by evaluating the channel stability and the core stability, respectively, while changing the length of the partial length fuel rod 3, on a condition that the uranium inventory is kept constant. In FIGS. 10 and 11, the horizontal axis represents the upper end position of the partial length fuel rod 3 and the vertical axis represents a reduction ratio. When the upper end of the partial length fuel rod is lowered from a position of the 7th-stage fuel spacer (corresponding to the right end in each graph) counting from the bottom, the coolant flow passage area within the fuel assembly is enlarged in an upper portion of the fuel assembly, whereupon the pressure drop in the fuel assembly is reduced and both the channel stability and the core stability are improved. However, if the length of the partial length fuel rod is shortened to be less than the 3rd-stage fuel spacer counting from the bottom, the outer diameter of the fuel rods is increased and the pressure drop in a lower portion of the fuel assembly is also increased because the analysis is made on condition that the uranium inventory is kept constant. It is thus found that the stability is degraded. Also, with the diameter of the fuel rods increasing, the spacing between the fuel rods is narrowed to make thermal conditions marginal. Therefore, the upper end position of the partial length fuel rod is optimum at both the 4th-stage fuel spacer and the 5th-stage fuel spacer. The 6th-stage fuel spacer is also usable. Expressing the positions of the fuel spacers at these stages in terms of a ratio thereof to the fuel effective length of the full fuel rod, since the lower tie plate is located below the 1st-stage fuel spacer and the upper tie plate is located above the 7th-stage fuel spacer, the 4th-stage fuel spacer is installed at a position of 4/8, i.e., 1/2, of the fuel effective length of the fuel rod 2, and the 6th-stage fuel spacer is installed at a position of 6/8, i.e., 3/4, of the fuel effective length of the fuel rod 2. Consequently, if the fuel effective length of the partial length fuel rod 3 ranges from 1/2 to 3/4 of the fuel effective length of the fuel rod 2, there can be obtained an effect of improving the channel stability and the core stability. For the above reason, in this embodiment, the length of the partial length fuel rod 3 is set to 15/24 of the fuel effective length of the fuel rod 2, i.e., to such a length that the upper end of the partial length fuel rod 3 is supported by the 5th-stage fuel spacer. As a result, the channel stability and the core stability are improved. If the length of the partial length fuel rod 3 is set to such a length that its upper end is supported by the 4th-stage fuel spacer, a stability margin is further increased. (Advantages) According to this embodiment, since the lattice array of the fuel rods and the arrangement of both the water rods and the partial length fuel rods are made optimum, it is possible to obtain an effect of reducing the void coefficient, enhance the reactivity control capability, and reduce both the reactivity loss and the local power peaking. Therefore, fuel economy and the thermal margin can be improved. Results of trial calculation shows that, comparing the fuel assembly constructed as explained in this embodiment and a conventional fuel assembly comprising a number of fuel rods arrayed in a square lattice pattern of 8.times.8 and two water rods (with a diameter being smaller than the pitch of the fuel rod array) which are arranged at the center of the fuel rod array and circular in cross-section (i.e., a fuel assembly having four partial length fuel rods 17 as shown in FIG. 2 of JP, A, 60-224092), an absolute value of the void coefficient representing the reactivity control capability can be reduced by about 10% and the hot-cold swing can be reduced by about 1.0% .DELTA.k. Another effect of raising the neutron infinite multiplication factor of the fuel assembly on the order of 0.5 .DELTA.k without increasing the local power peaking can also be obtained. According to this embodiment, an effect of increasing the control rod worth is further expected while improving a degree of safety and enhancing the core stability. Second Embodiment A fuel assembly according to a second embodiment of the present invention will be described below with reference to FIGS. 12 and 13. This embodiment is different from the above first embodiment in that the partial length fuel rods are arranged eight in total. More specifically, in FIG. 12, a fuel assembly 1A of this embodiment has eight partial length fuel rods 3 which are arranged in the outermost layer of the fuel rod array facing the channel box 5 such that every twos are adjacent to each other in each of four sides of the outermost layer. Using the concept of matrix to express lattice positions in the fuel rod array like the above first embodiment, the positions of the partial length fuel rods 3 are indicated by (1, 5), (1, 6); (5, 1), (6, 1); (5, 10), (6, 10); (10, 5), (10, 6). In this embodiment, too, the partial length fuel rods 3 are arranged in lattice positions in the outermost layer of the fuel rod array in the square lattice pattern in such a manner that, when the water rods 4 are projected in the row and column directions, the partial length fuel rods locate inside a projected range of the water rods 4 including the lattice positions at the outermost opposite regions of the projected range. An effect obtained by arranging two partial length fuel rods 3 adjacent to each other will be explained with reference to FIG. 13. FIG. 13 shows a reactivity control capability and a control rod worth as resulted when the partial length fuel rods are arranged adjacent to each other. As will be seen from FIG. 13, by making the two partial length fuel rods 2 adjacent to each other, there can be obtained a greater effect of improving both the reactivity control capability and the control rod worth than resulted from simply summing an effect obtainable with one partial length fuel rod alone. Even when more than two partial length fuel rods are arranged adjacently to each other (i.e., even when moderators are so localized), there arise no problems in point of ensuring a thermal margin so long as they are located at such positions as to contribute to flattening of the local power peaking, as described in connection with FIG. 4, i.e., they are located in the projected range of the water rods 4. Consequently, according to this embodiment, there can be obtained an effect of enhancing both the reactivity control capability and the control rod worth two or more times the above first embodiment. In other points, the second embodiment also has the same advantages as those of the first embodiment. Third Embodiment A fuel assembly according to a third embodiment of the present invention will be described below with reference to FIG. 14. This embodiment is different from the above second embodiment in that total number of partial length fuel rods is further increased by four and arranged to be adjacent to both the channel box and the water rods. More specifically, in FIG. 14, a fuel assembly 1B of this embodiment has eight partial length fuel rods 3 which are arranged in the outermost layer of the fuel rod array, and four partial length fuel rods 3a which are arranged adjacent to the large-diameter water rods 4. The fuel effective length of the partial length fuel rods 3, 3a is 15/24 of the fuel effective length of the fuel rods 2 as with the above first embodiment. Since arranging the partial length fuel rods 3a adjacent to the water rods 4 is substantially equivalent to enlarging the water rod region at the center of the fuel assembly, this embodiment has an effect of flattening distribution of thermal neutron flux throughout inner and outer regions of the fuel assembly 1B and, as shown in FIG. 5, also can increase the neutron infinite multiplication factor of the fuel assembly. In this connection, taking into account that the effect of reducing the void coefficient and the effect of increasing the control rod worth are higher in the outer region than the inner water rod regions, as shown in FIGS. 1 and 3, by making the number of the partial length fuel rods 3 adjacent to the channel box 5 larger than the number of partial length fuel rods 3a adjacent to the water rods 4, the number of partial length fuel rods required in terms of the reactivity control capability can be cut down, which provides an effect of increasing the control rod worth. Additionally, arranging the partial length fuel rods 3 and 3a respectively adjacent to the channel box and the water rods together is effective to flatten distribution of thermal neutron flux throughout inner and outer regions of the fuel assembly 1B. With this embodiment, by arranging the total four partial length fuel rods 3a adjacently to the large-diameter water rods 4, the non-boiling water region at the center of the fuel assembly is increased by about 3 cm.sup.2 and the neutron infinite multiplication factor is increased by about 1%. Further, an absolute value of the void coefficient as an index representing the reactivity control capability can be reduced about 40% in comparison with that of the conventional fuel assembly shown in FIG. 2 of the above-cited JP, A, 60-224092, making it possible to ensure the fuel inventory without sacrificing the core stability. In other points, the fuel assembly 1B of this embodiment also has the same advantages as those of the first embodiment. A description will be given of a core of a boiling water reactor using the fuel assembly 1B of this embodiment with reference to FIGS. 15 to 17. The core has, as shown in FIGS. 15 and 16, a central region OA, an outer circumferential region AB, and an outermost peripheral region BC. In the central region OA and an outer circumferential region AB, there are arranged the fuel assemblies 1B and conventional fuel assemblies 53 (i.e., a fuel assembly shown in FIG. 10 of U.S. Pat. No. 4,926,450) having no partial length fuel rods as shown in FIG. 18. Note that as shown in an enlarged fragmentary view of FIG. 17, the loading ratio of the fuel assemblies 1B is smaller in the central region OA than in the outer circumferential region AB. The fuel assembly 1B of this embodiment has a feature that, since many partial length fuel rods are used, the fuel inventory largely varies in the axial direction. Accordingly, supposing a retrofitted core based on an existing core in which only the conventional fuel assemblies 53 are loaded, an effect of axial neutron flux distribution due to an axial difference in fuel inventory must be taken into consideration. More specifically, if the fuel assembly 1B is loaded among the fuel assemblies 53 having no partial length fuel rods, there is found a tendency for the fuel assemblies 53 to increase the power in a core lower portion and, on the contrary, for the fuel assembly 1B to increase the power in a core upper portion. In view of the above, by dividing the core into three regions as mentioned above and arranging the fuel assemblies 1B (novel fuel) such that they have a smaller loading ratio in the central region OA than in the outer circumferential region AB, the linear power generation rate can be held not larger than a set value. Instead of the fuel assembly 53, a fuel assembly 54 may be used which is shown in FIG. 19 and has a smaller percentage of partial length fuel rods 55 than the fuel assembly 1B (i.e., a fuel assembly resulted from applying the arrangement of partial length fuel rods 17 shown in FIG. 3 of JP, A, 60-224092 to the fuel assembly shown in FIG. 10 of U.S. Pat. No. 4,926,450), the fuel assembly 54 being loaded at each of positions where the fuel assemblies 53 are loaded as shown in FIG. 17. The above core arrangement is effective in using fuel assemblies having different proportions of partial length fuel rods together. Instead of the fuel assembly 1B, any of the fuel assemblies of the first and second embodiments can also be used. Further, instead of the fuel assembly 1B, any of the fuel assemblies 1N, 1Q and 1R, described later, can also be used. Fourth Embodiment A fuel assembly 1N according to a fourth embodiment of the present invention will be described below with reference to FIG. 20. In the fuel assembly of this fourth embodiment, fuel rods are arrayed in a square lattice pattern of 9 rows and 9 columns. The fuel assembly 1N comprises a number of fuel rods 22 and partial length fuel rods 23, 23b which are arrayed in a square lattice pattern of 9.times.9, and two large-diameter water rods 24 which are circular in cross-section and diagonally arranged in a lattice region of 3.times.3 at the center of the fuel rod array. Those fuel rods and the water rods 4 are enclosed at their surroundings by a channel box 5 having a rectangular cross-section. The total number of the fuel rods 22 and the partial length fuel rods 23, 23b is 90. The partial length fuel rods 23 are arranged four at the middle positions of four sides of the outermost layer, i.e., (1, 5), (5, 1), (9, 5), (5, 9). The partial length fuel rods 23b are arranged at respective corners of the fuel rod array in a second layer counting from outermost layer. Thus, the partial length fuel rods 23b are also four in number. The partial length fuel rods 23, 23b each have a fuel effective length equal to 15/24 of that of the fuel rods 22 as with the above first embodiment. The total cross-sectional area of the two large-diameter water rods 24 is about 9 cm.sup.2 similarly to the first embodiment. The two large-diameter water rods 24 occupy such a space in the aforesaid lattice region of 3.times.3 as able to accommodate seven fuel rods. This embodiment can also provide the same effects and advantages as those obtainable with the above first embodiment. However, the reactivity control effect in this embodiment is smaller than that in the above third embodiment because the proportion of the number of partial length fuel rods is reduced in the former. On the contrary, since the fuel rod array is changed from 10.times.10 lattice to 9.times.9 lattice, it is possible to reduce a pressure drop and improve stability, resulting in an advantage of further increasing the fuel inventory. Furthermore, in this embodiment, by arranging the partial length fuel rods 23b in a layer adjacent to the outermost layer at its corners, there is obtained an effect of rendering distribution of a coolant flow rate and distribution of a vapor volume rate more uniform within the channel box 5. In the region facing the channel box 5, particularly, in the region near its corners, friction resistance is generally so large that the coolant flow rate tends to decrease. This tendency can be overcome by arranging the partial length fuel rods 23b at respective corners of the layer adjacent to the outermost layer. Additionally, by making the partial length fuel rods 23b not adjacent to the partial length fuel rods 23 arranged in the outermost layer, an increase in the local power peaking of the fuel rods 22 adjacent to the partial length fuel rods can be suppressed. As a result, the critical power as an index representing the thermal margin can be increased more than the above third embodiment. Fifth Embodiment A fuel assembly 1Q according to a fifth embodiment of the present invention will be described below with reference to FIG. 21. In this embodiment, the arrangement of the partial length fuel rods shown in the above fourth embodiment is applied to the fuel assembly shown in FIG. 2 of U.S. Pat. No. 4,781,885. Specifically, the fuel assembly 1Q of this embodiment adopts a single large-size square water rod 24P as the large-size water rods to be positioned at the center. This embodiment can also provide the same effects and advantages as those obtainable with the above fourth embodiment. Sixth Embodiment A fuel assembly according to a sixth embodiment of the present invention will be described below with reference to FIGS. 22 to 25. In a fuel assembly 1R of this embodiment, as shown in FIG. 22, the neutron moderating rod in the above fourth embodiment is replaced by a so-called spectral shift rod 24R of which axial water level changes depending on a core flow rate. Stated otherwise, the fuel assembly 1R is obtained by arranging the partial length fuel rods 23 and 23b in a fuel assembly shown in FIG. 4 of WO88/02176 (PCT/JP87/00676) at the same positions as those in the fuel assembly 1N. The detailed structure of the spectral shift rod 24R is shown in FIGS. 23 and 24. As illustrated in FIG. 7A of WO88/02176, the spectral shift rod 24R comprises an inner pipe 30, an outer pipe 31 and spacers 32. The outer pipe 31 and the inner pipe 30 are arranged in concentrical relation such that the outer pipe 31 entirely surrounds an outer circumference of the inner pipe 30. An upper end of the outer pipe 31 is sealedly closed by a cover portion 33 and an upper end of the cover portion 33 is inserted to and held by an upper tie plate 12. The cover portion 33 gradually narrows a space above the inner pipe 30 so as to define a gap between itself and the upper end of the inner pipe 30. An upper end of the inner pipe 30 is fixed to an inner surface of the outer pipe 31 through plate-like spacers 32 arranged to radially extend from an axis of the spectral shift rod 24R. A lower end of the outer pipe 31 is closed by a closure 34. A lower end of the inner pipe 30 penetrates through the closure 34 and projects downwardly therefrom. Thus, the lower end of the inner pipe 30 penetrates through a fuel rod support 14 of a lower tie plate 13. A cooling water inlet 38 formed in the lower end of the inner pipe 30 is open to a space 15 in the lower tie plate 13. The interior of the inner pipe 30 forms a cooling water rising passage 35, while an annular passage defined between the inner pipe 30 and the outer pipe 31 forms a cooling water falling passage 36. In a wall of the outer pipe 31 in its lower portion, there are formed a plurality of cooling water outlets 39 spaced from each other along a circumference of the outer pipe 31. These cooling water outlets 39 are provided with equal angular intervals therebetween in the circumferential direction. The cooling water outlets 39 are open to a region above the fuel rod support 14. In this embodiment, the fuel rod support 14 also serves as a resisting member. The cooling water rising passage 35 and the cooling water falling passage 35 are interconnected at a return portion 37 formed in an upper end of the spectral shift rod 24R. Thus, the spectral shift rod 24R has therein a cooling water passage, in the form of an inverted-U, built up by the cooling water rising passage 35, the cooling water falling passage 36 and the return portion 37. The total cross-sectional area of all through holes 18 formed in the fuel rod support 14 is smaller than the total cross-sectional area of coolant passages 41 defined outside the spectral shift rod 24R within a channel box (not shown) of the fuel assembly 1R. The coolant passages 41 are defined between the fuel rods. When the fuel assembly 1R of this embodiment is loaded into a core of a boiling water reactor (all fuel assemblies being the fuel assemblies 1R) and the boiling water reactor is set into operation, a large part of cooling water is directly introduced to the coolant passages 41 within the fuel assembly 1R via the space 15 in the lower tie plate 13 and the through holes 18 formed in the fuel rod support 14. The remaining part of the cooling water having flown into the space 15 in the low tie plate 13 flows into the cooling water rising passage 35 of the spectral shift rod 24R through the cooling water inlet 38 and, after passing the return portion 37 and the cooling water falling passage 36, it is discharged through the cooling water outlets 39 to the region above the fuel rod support 14. The cooling water discharged through the cooling water outlets 39 becomes a phase of liquid or gas (vapor) depending on a flow rate of the cooling water that flows into the spectral shift rod 24R through the cooling water inlet 38. Thus, depending on the core flow rate, a fluid condition of the coolant in the spectral shift rod 24R varies as shown in FIGS. 3A, 3B and 3C of WO88/02176. More specifically, at the core flow rate not greater than 100%, there produces such a condition (shown in FIG. 3A of the reference) in the spectral shift rod 24R that a liquid level can exist in the cooling water rising passage 35. At the core flow rate of 110%, there produces such a condition (shown in FIG. 3C of the reference) in the spectral shift rod 24R that a substantially single-phase flow fills both the cooling water rising passage 35 and the cooling water falling passage 36. The total cross-sectional area of all the through holes 18 formed in the fuel rod support 14 is set produce change from the condition where a liquid level is formed in the spectral shift rod 24R to the condition where a liquid level is not formed therein, as mentioned above. The smaller the total cross-sectional area of all the through holes 18, the greater is resistance against the cooling water flowing into the coolant passages 41 via the fuel rod support 14. This is because the total cross-sectional area of all the through holes 18 formed in the fuel rod support 14 is smaller than the total cross-sectional area of the coolant passages 41 defined outside the spectral shift rod 24R within the channel box (not shown) of the fuel assembly 1R. In that way, the spectral shift rod 24R can adjust a neutron moderating effect by changing a water level formed therein depending on the core flow rate and, as a result, it can be utilized to control reactivity or power. Details of this control procedure is described in WO88/02176. Meanwhile, in a BWR fuel assembly, burn-up reactivity is generally controlled by gadolinia. In the initial stage of the operation cycle, therefore, an interaction between gadolinia contained in fresh fuel and the spectral shift rod must be taken into consideration. FIG. 25 shows change in the neutron infinite multiplication factor as resulted when the core flow rate is gradually increased from the beginning of exposure so that the vapor volume rate in the spectral shift rod 24R varies from 100% to 0%. It will be seen from FIG. 25 that, in the initial period of lifetime where gadolinia is present, a water level in the spectral shift rod is lowered and hence the neutron infinite multiplication factor increases inversely. This is attributable to that the neutron moderating effect is impeded and thermal neutron absorption due to gadolinia is reduced. In other words, it will be understood that, to effectively perform reactivity control or power control with a water level in the spectral shift rod, the amount of gadolinia requires to be reduced. In this embodiment, since the reactivity control effect is enhanced and the shutdown margin is improved by arranging the partial length fuel rods, the amount of gadolinia can be reduced. As a result, it is possible to improve fuel economy and achieve the best use of an effect of the spectral shift rod. |
description | The semiconductor integrated circuit (IC) industry has experienced exponential growth. Technological advances in IC materials and design have produced generations of ICs where each generation has smaller and more complex circuits than the previous generation. In the course of IC evolution, functional density (i.e., the number of interconnected devices per chip area) has generally increased while geometry size (i.e., the smallest component (or line) that can be created using a fabrication process) has decreased. This scaling down process generally provides benefits by increasing production efficiency and lowering associated costs. Such scaling down has also increased the complexity of processing and manufacturing ICs. For example, as the optical lithography approaches its technological and economical limits, multiple patterning processes are used for manufacturing patterns with small critical dimensions (CD) and/or small pitches. In a multiple patterning process, an IC layout is decomposed into two or more sub-layouts. A mask is made for each of the sub-layouts. Then the two or more masks are used to collectively manufacture (or pattern) a wafer using photolithography by overlapping an image of one mask with those of other masks onto the same layer of the wafer. Examples of such sub-layouts include main/cut layouts, mandrel/spacer/cut layouts, etc. In a multiple patterning process, merely optimizing a mask for a single patterning process is insufficient. A set of masks need to be collectively optimized to achieve a balanced performance between the individual patterning fidelity and the overlay budget among the masks. The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed. Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly. The present disclosure is generally related to methods for manufacturing semiconductor devices, and more particularly to methods of preparing design data for mask fabrication or for maskless photolithography using multiple patterning processes. In a multiple patterning process, a target layout of an IC is decomposed into multiple sub-layouts. A mask (or reticle) is fabricated for each of the sub-layouts individually, creating a set of masks. A wafer is then patterned (or printed) lithographically with the set of masks using a series of deposition, exposing, developing, and etching processes. By overlapping the images of the set of masks on the wafer, an IC with the smallest pitch smaller than the optical limits of the single exposure lithography process can be manufactured. Similarly, multiple patterning processes can be used to improve pattern fidelity on the manufactured ICs. It is an objective of the present disclosure to improve both the pattern fidelity and the manufacturability of ICs in multiple patterning processes by optimizing post-decomposition data collectively for mask fabrication. Embodiments of the present disclosure generally provide benefits to mask fabrication facilities, as well as to IC manufacturers who use maskless photolithography. FIG. 1A is a simplified block diagram of an embodiment of an integrated circuit (IC) manufacturing system 100 and an IC manufacturing flow associated with the IC manufacturing system. The IC manufacturing system 100 may benefit from one or more aspects of the present disclosure. The IC manufacturing system 100 includes a plurality of entities, such as a design house (or design team) 120, a mask house (or mask team) 130, and an IC manufacturer (or fab) 150, that interact with one another in the design, development, and manufacturing cycles and/or services related to manufacturing an integrated circuit (IC) device 160. The plurality of entities are connected by a communications network, which may be a single network or a variety of different networks, such as a private intranet and/or the Internet, and may include wired and/or wireless communication channels. Each entity may interact with other entities and may provide services to and/or receive services from the other entities. One or more of the design house 120, the mask house 130, and the fab 150 may be owned by a single company, and may even coexist in a common facility and use common resources. The design house 120 generates an IC design layout 122, also referred to as a target layout 122 in the present disclosure. The target layout 122 includes various geometrical patterns designed for an IC product, based on a specification of the IC product to be manufactured. The geometrical patterns correspond to patterns of metal, oxide, or semiconductor layers that make up the various components of the IC device 160 to be fabricated. The various layers combine to form various IC features. For example, a portion of the target layout 122 includes various IC features, such as an active region, gate electrode, source and drain, metal lines or vias of an interlayer interconnection, and openings for bonding pads, to be formed in a semiconductor substrate (such as a silicon wafer) and various material layers disposed on the semiconductor substrate. The target layout 122 is presented in one or more data files having information of the geometrical patterns. For example, the target layout 122 can be expressed in a GDSII file format or DFII file format. An example of the target layout 122 is shown in FIG. 4. Referring to FIG. 4, the target layout 122 includes a plurality of patterns 123. In the present embodiment, the patterns 123 are of polygonal shapes. Particularly, the patterns 123 comprise rectangular shapes. In various embodiments, the patterns 123 may be of other shapes, such as circle, ellipse, ring, hexagon, etc. Each of the patterns 123 is enclosed by a plurality of edges 124 which delineate the boundaries of the patterns 123. The patterns 123 represent IC features to be manufactured on a wafer. Some of the patterns 123, such as those in a region 125, are manufacturing friendly because they have regular shapes, large dimensions, and large spacing among patterns. It is therefore more likely that the IC features formed on a wafer using those patterns will match the shapes of the patterns in the target layout 122. In another word, the pattern fidelity will be good. However, some other patterns 123, such as those in a region 126, are not so manufacturing friendly. For one thing, the spacing among those patterns may exceed the limits that the target manufacturing process can reliably produce. Accordingly, the pattern fidelity for those patterns may not be sufficient. The present disclosure provides methods for processing a design layout, such as the target layout 122, so as to improve the pattern fidelity and the manufacturability thereof. This will be discussed in later sections. Referring back to FIG. 1A, the mask house 130 uses the target layout 122 to fabricate one or more masks to be used for manufacturing the various layers of the IC device 160. The mask house 130 performs various tasks, including a data preparation 132 and, optionally, a mask fabrication 144. In the data preparation 132, the target layout 122 is translated into a form that can be physically written by a mask writer. In the mask fabrication 144, the design layout so prepared is modified to comply with a particular mask manufacturer and is then fabricated. In the present embodiment, the data preparation 132 and the mask fabrication 144 are illustrated as separate element. However, they can be collectively referred to as mask data preparation. In an embodiment, the IC manufacturing system 100 may employ a maskless lithography technology, such as electron beam lithography or optical maskless lithography. In such a system, the mask fabrication 144 is bypassed, and the data preparation 132 makes the target layout 122 suitable for wafer handling with the particular maskless lithography technology. The data preparation 132 includes layout decomposition 134. In an embodiment, the layout decomposition 134 utilizes one or more multiple patterning techniques to decompose a complex layout into a set of simpler sub-layouts or to decompose a dense layout into a set of loose sub-layouts. Simpler layouts and/or loose layouts are easier to manufacture. In an embodiment, the layout decomposition 134 decomposes a layout into a main layout and a cut layout. At the fab 150, the main layout (or main pattern) is formed on the wafer 152 in a first lithography exposure, and the cut layout (or cut pattern) is then applied to remove unwanted portions of the main pattern and/or a derivative in a second lithography exposure. The final pattern of the IC 160 includes the main pattern plus the derivative but not the cut pattern. In another embodiment, the layout decomposition 134 decomposes a target layout into first and second sub-layouts that include patterns overlapping with each other. At the fab 150, patterns of the first sub-layout are formed on the wafer 152 in a first lithography process, and patterns of the second sub-layout are formed on the same layer of the wafer 152 in a second lithography process. The patterns of the two lithography processes overlap on the wafer 152. The final pattern of the IC 160 includes the overlapping portion and the non-overlapping portions of the patterns. The data preparation 132 further includes edge relocation 136 that optimizes the various sub-layouts post-decomposition. In an embodiment, the layout decomposition 134 generates a main sub-layout and a cut sub-layout, and the edge relocation 136 optimizes the landing of the cut sub-layout edges onto the main sub-layout so that there are sufficient overlay between them. In another embodiment, the layout decomposition 134 generates first and second overlapping sub-layouts, and the edge relocation 136 optimizes the landing of the edges within the overlapping regions. As a result, not only does each of the first and second sub-layouts have sufficient process window for the fab 150, but the overlapping regions of the first and second sub-layouts also have sufficient overlay budget for the fab 150. Various embodiments of the layout decomposition 134 and the edge relocation 136 will be described in further details later. When performing the tasks in the layout decomposition 134 and the edge relocation 136, a process model 138 may be used to run various simulations. The process model 138 may be based on actual processing parameters of the fab 150. The processing parameters can include parameters associated with various processes of the IC manufacturing cycle, parameters associated with tools used for manufacturing the IC, and/or other aspects of the manufacturing process. The process model 138 takes into account various process performance factors, such as intensity log slope (ILS), depth of focus (DOF), mask error enhancement factor (MEEF), data error enhancement factor (DEEF) in a maskless lithography, critical dimension (CD) variation budget, overlay budget, rules on minimal area, minimal CD, and minimal spacing, other suitable factors, or combinations thereof. In embodiments, the data preparation 132 further includes optical proximity correction (OPC) (not shown). The OPC uses lithography enhancement techniques to compensate for image errors, such as those that can arise from diffraction, interference, or other process effects. The OPC may add assist features, such as scattering bars, serif, and/or hammerheads to the IC design layout 122 according to optical models or rules such that, after a lithography process, a final pattern on a wafer is improved with enhanced resolution and precision. The OPC may employ model-based correction or rule-based correction. The Data preparation 132 may include further resolution enhancement techniques (RET), such as off-axis illumination, sub-resolution assist features, phase-shifting masks, other suitable techniques, or combinations thereof. It should be understood that the above description of the data preparation 132 has been simplified for the purposes of clarity, and the data preparation 132 may include additional features such as a logic operation (LOP) to modify the IC design layout 122 according to manufacturing rules. Additionally, the processes applied to the IC design layout 122 during data preparation 132 may be executed in a variety of different orders. After the data preparation 132 modifies the IC design layout 122, the results are stored in one or more data files, such as a file in a GDSII file format or DFII file format. The one or more data files include information of geometrical patterns, such as polygons representing main design patterns and/or assist features. The data files are handed over to the mask fabrication 144, or directly to the fab 150 in a maskless lithography process. At the mask fabrication 144, a mask or a group of masks are fabricated based on the modified IC design layout. For example, an electron-beam (e-beam) or a mechanism of multiple e-beams is used to form a pattern on a mask (photomask or reticle) based on the modified IC design layout. The mask can be formed in various technologies. In an embodiment, a mask pattern includes opaque regions and transparent regions. A radiation beam, such as an ultraviolet (UV) beam, used to expose the image sensitive material layer (e.g., photoresist) coated on a wafer, is blocked by the opaque region and transmits through the transparent regions. In one example, a binary mask includes a transparent substrate (e.g., fused quartz) and an opaque material (e.g., chromium) coated in the opaque regions of the mask. In another example, the mask is formed using a phase shift technology. In the phase shift mask (PSM), various features in the pattern formed on the mask are configured to have proper phase difference to enhance the resolution and imaging quality. In various examples, the phase shift mask can be attenuated PSM or alternating PSM as known in the art. In an embodiment, the mask is an extreme ultraviolet (EUV) mask which is a reflective mask in that some portions of its top surface reflect radiation projected thereon in forming an aerial image of IC patterns to be printed on a target, such as the wafer 152. The EUV mask may incorporate resolution enhancement techniques such as phase-shifting mask (PSM) and/or optical proximity correction (OPC). The fab 150, such as a semiconductor foundry, uses the mask (or masks) fabricated by the mask house 130 to manufacture the IC device 160. Alternatively, the fab 150 may use data prepared by the mask house 130 to manufacture the IC device 160 using some maskless lithography technology, such as electron beam direct write (EBDW) lithography. The fab 150 is an IC fabrication business that can include a myriad of manufacturing facilities for the fabrication of a variety of different IC products. For example, there may be a manufacturing facility for the front end fabrication of a plurality of IC products (i.e., front-end-of-line (FEOL) fabrication), while a second manufacturing facility may provide the back end fabrication for the interconnection and packaging of the IC products (i.e., back-end-of-line (BEOL) fabrication), and a third manufacturing facility may provide other services for the foundry business. In the present embodiment, a semiconductor wafer 152 is manufactured to form the IC device 160 using one or more lithography processes such as deep ultraviolet (DUV) lithography, immersion lithography, extreme ultraviolet (EUV) lithography, electron beam lithography, x-ray lithography, ion beam lithography, and other suitable lithography techniques. The semiconductor wafer 152 includes a silicon substrate or other proper substrate having material layers formed thereon. Other proper substrate materials include another suitable elementary semiconductor, such as diamond or germanium; a suitable compound semiconductor, such as silicon carbide, indium arsenide, or indium phosphide; or a suitable alloy semiconductor, such as silicon germanium carbide, gallium arsenic phosphide, or gallium indium phosphide. The semiconductor wafer 152 may further include various doped regions, dielectric features, and multilevel interconnects (formed at subsequent manufacturing steps). The mask may be used in a variety of processes. For example, the mask may be used in an ion implantation process to form various doped regions in the semiconductor wafer, in an etching process to form various etching regions in the semiconductor wafer, and/or other suitable processes. FIG. 1B is a more detailed block diagram of the mask house 130 shown in FIG. 1A according to various aspects of the present disclosure. In the illustrated embodiment, the mask house 130 includes a design system 180 that is operable to perform the functionality described in association with the data preparation 132 of FIG. 1A. The design system 180 is an information handling system such as a computer, server, workstation, or other suitable device. The system 180 includes a processor 182 that is communicatively coupled to a system memory 184, a mass storage device 186, and a communication module 188. The system memory 184 provides the processor 182 with non-transitory, computer-readable storage to facilitate execution of computer instructions by the processor. Examples of system memory may include random access memory (RAM) devices such as dynamic RAM (DRAM), synchronous DRAM (SDRAM), solid state memory devices, and/or a variety of other memory devices known in the art. Computer programs, instructions, and data are stored on the mass storage device 186. Examples of mass storage devices may include hard discs, optical disks, magneto-optical discs, solid-state storage devices, and/or a variety other mass storage devices known in the art. The communication module 188 is operable to communicate information such as IC design layout files with the other components in the IC manufacturing system 100, such as design house 120. Examples of communication modules may include Ethernet cards, 802.11 WiFi devices, cellular data radios, and/or other suitable devices known in the art. In operation, the design system 180 is configured to manipulate the IC design layout 122 according to a variety of design rules and limitations. For example, in an embodiment, the data preparation 132 may be implemented as software instructions executing on the design system 180. In such an embodiment, the design system 180 receives a first GDSII file 192 containing the target layout 122 from the design house 120. After the data preparation 132 completes, the design system 180 transmits a second GDSII file 194 containing a modified layout to the mask fabrication 144 which fabricates one or more masks 190. In alternative embodiments, the IC design layout may be transmitted between the components in IC manufacturing system 100 in alternate file formats such as DFII, CIF, OASIS, or any other suitable file type. It is understood that the design system 180 and the mask house 130 may include additional and/or different components in alternative embodiments. FIG. 2 shows a flow chart of a method 200 of forming a semiconductor device according to various aspects of the present disclosure. An operation 210 of the method 200 is further illustrated in FIG. 3, according to an embodiment. The method 200 is merely an example, and is not intended to limit the present disclosure beyond what is explicitly recited in the claims. Additional operations can be provided before, during, and after the method 200, and some operations described can be replaced, eliminated, or moved around for additional embodiments of the method. The method 200 may be implemented by one or more entities in the IC manufacturing system 100. Particularly, embodiments of the method 200 may be implemented in the design system 180. The method 200 is described below in conjunction with FIGS. 1A-9. Referring to FIG. 2, at operation 202, the method 200 (FIG. 2) receives an IC design layout, such as the IC design layout 122 shown in FIG. 4. Referring to FIG. 4, the IC design layout 122 includes a plurality of IC patterns 123. Each of the patterns 123 is a geometrical shape enclosed within a plurality of edges 124. The IC design layout 122 includes different portions or regions, for example, regions 125 and 126. The patterns 123 in the region 125 have regular shapes, large dimensions, and large spacing among the patterns. These patterns are generally manufacturing friendly in a target photolithography process in the fab 150. In contrast, the patterns 123 in the region 126 may present challenges to a photolithography process due to their irregular shapes and smaller spacing. Some of the critical dimensions (CD) or the spacing may be too small to be manufactured. To solve such a problem, the method 200 uses a multiple patterning process, as described below. At operation 204, the method 200 (FIG. 2) decomposes the target layout 122 into sub-layouts that will collectively form the target IC design layout on the wafer 152. In the present embodiment, the method 200 decomposes the target layout 122 into two sub-layouts, 122A and 122B, as shown in FIGS. 5A and 5B. Referring to FIG. 5A, the sub-layout 122A includes the patterns 123 in the regions 125, and patterns 123A in the region 126. The patterns 123A are a portion of the patterns 123 in the region 126. Referring to FIG. 5B, the sub-layout 122B includes patterns 123B in the region 126. The patterns 123B are another portion of the patterns 123 in the region 126. The patterns 123A and 123B, when overlapping, form the patterns 123 in the region 126. The region 126 is also referred to as “the overlapping region” because it exists in both the sub-layouts 122A and 122B, while the region 125 is also referred to as “the independent region” because it exists in only one of the sub-layouts. Compared with the target layout 122, the sub-layouts 122A and 122B have more regular shapes and larger spacing among the shapes, thereby providing better manufacturability. In various embodiments, the method 200 may decompose the IC design layout 122 into two, three, or more sub-layouts. The decomposition may take into account various factors including the characteristics of the patterns 123, the target manufacturing capability of the fab 150, and the manufacturing process window factors. For example, the characteristics of the patterns may include the complexity, critical dimension (CD), and spacing of the patterns. For example, the target manufacturing capability may include illumination source, optical system polarization, optical depth of focus (DOF), illumination intensity log slope (ILS), and the film stacks on the wafers to be manufactured. For example, the process window factors may include CD variation budget, overlay budget, mask error enhancement factor (MEEF), data error enhancement factor (DEEF) for maskless lithography, minimal area of a pattern, minimal CD of a pattern, and minimal spacing among patterns. The decomposition of the target layout 122 into the sub-layouts 122A and 122B is an initial decomposition. As such, some pattern shapes and/or positions can be further optimized according to an evaluation index. In an embodiment, the evaluation index includes one or more performance factors selected from the group consisting of: CD variation budget, overlay budget, DOF, ILS, MEEF, DEEF, minimal area, minimal CD, and minimal spacing. It is often observed that when a pattern (including its shapes, dimensions, spacing, etc.) is optimized for one performance factor, the same pattern may advance or hinder another performance factor. Further, the patterns 123A and the patterns 123B have inter-dependency too. Therefore, optimizing the patterns 123A and 123B should be collectively considered, as will be discussed below. At operation 206, the method 200 (FIG. 2) identifies re-locatable edges in the sub-layouts 122A and 122B. Some examples of the re-locatable edges are shown in FIGS. 6A and 6B. For the sake of simplicity, only a portion of the patterns 123A and 123B are shown in each of the FIGS. 6A and 6B. Referring to FIG. 6A, the patterns 123A are the patterns to be formed for the sub-layout 122A, while the patterns 123B are only shown for illustrative purposes. The patterns 123A and 123B overlap in some regions. Some edges 124AR of the patterns 123A, illustrated with dashed lines in FIG. 6A, are in the overlapping regions. These edges are referred to as the “re-locatable” edges, as they may move along the directions indicated with arrows 127A up to certain distance without changing the composite layout when the sub-layouts 122A and 122B are superimposed onto each other. Some edges (e.g., edge 124AF) of the patterns 123A are fixed (not re-locatable). These edges are expected to be fixed at certain locations after lithography patterning processes. In embodiments, the locations of the fixed edges may be modified by OPC to ensure that they assume certain position after the lithography patterning processes. Referring to FIG. 6B, re-locatable edges 124BR for the patterns 123B are similarly identified (the dashed lines). The patterns 123A are shown for illustrative purposes only. The edges 124BR may move along the directions indicated with arrows 127B up to certain distance without changing the composite layout when the sub-layouts 122A and 122B are superimposed onto each other. Similarly, edge 124BF is an example of fixed edges in the sub-layout 122B. At operation 208, the method 200 (FIG. 2) associates each of the re-locatable edges with a respective region of freedom, within which the edge is to be repositioned for optimization purposes. Still referring to FIGS. 6A and 6B, the regions of freedom for the re-locatable edges 124AR and 124BR are indicated with the length of the arrows 127A and 127B respectively. They are further limited by the dimensions of the overlapping patterns, i.e. the re-locatable edges 124AR (124BR) do not move outside the corresponding patterns 123B (123A). Therefore, the movements of the re-locatable edges 124AR and 124BR have inter-dependency and are considered collectively. At operation 210, the method 200 (FIG. 2) relocates one or more of the re-locatable edges 124AR and 124BR to optimize the sub-layouts 122A and 122B for manufacturability. The relocation of the edges is limited to their respective regions of freedom. In an embodiment, this involves multiple iterative loops. During each loop, some tentative relocation is proposed and a target function is evaluated (i.e., to calculate a score), taking into account the characteristics of the patterns and the target manufacturing process. If the tentative relocation improves the score, it is carried out to modify the sub-layouts 122A and 122B. In an embodiment, the iterative loop is terminated once the score reaches a user-defined threshold, i.e. the modified sub-layouts are considered satisfying the criteria for the target manufacturing process. In another embodiment, the iterative loop is terminated when the score reaches a local optimum or a global optimum. An embodiment of the operation 210 is further shown as a flow chart in FIG. 3. Referring to FIG. 3, the operation 210 chooses (step 302) an evaluation index based on a target manufacturing process. The evaluation index may be used to construct a target function. In an embodiment, the evaluation index includes one or more factors selected from the group consisting of: CD variation budget, overlay budget, DOF, ILS, MEEF, DEEF (for maskless lithography), minimal area, minimal CD, and minimal spacing. In an embodiment, the evaluation index is weighted, i.e., a weight is assigned to each of the performance factors selected from the above so that the optimization may favor certain solution spaces. In another embodiment, the evaluation index includes the edge placement error (EPE). To further this embodiment, the after-development locations of fixed edges is taken into account by the operation 210. For example, movements of the re-locatable edges 124AR (FIG. 6A) may affect how OPC handles the fixed edges 124AF which in turn may affect the placement of the fixed edges after the final lithography patterning processes. Still referring to FIG. 3, the operation 210 moves (step 304) one or more of the re-locatable edges 124AR and 124BR to modify the patterns in the sub-layouts 122A and 122B. In an embodiment, the operation 210 may fix the edges in the sub-layout 122A (122B) in their initial locations and only move the edges in the sub-layout 122B (122A). In another embodiment, the operation 210 may move the edges in the sub-layouts 122A and 122B simultaneously. Furthermore, the operation 210 may selectively move some, but not all, edges. FIGS. 7A-7C illustrates three exemplary tentative relocations of the edges 124BR with respect to the sub-layout 122B. Referring to FIG. 7A, the edges 124BR are placed in the center of the overlapping (or conjugate) pattern 123A. The resulting patterns 123B are labeled as 123B-1 for convenience. The patterns 123B-1 have a vertical spacing 128-1. Referring to FIG. 7B, the edges 124BR are placed at or near one edge of the conjugate patterns 123A so that the resulting patterns 123B-2 have the maximum overlapping with the conjugate pattern 123A. The patterns 123B-2 have a vertical spacing 128-2 that is smaller than the spacing 128-1. Referring to FIG. 7C, the edges 124BR are placed at or near another edge of the conjugate patterns 123A so that the resulting patterns 123B-3 have the minimum overlapping with the conjugate patterns 123A. The patterns 123B-3 have a vertical spacing 128-3 that is greater than the spacing 128-1. The different positions of the edges 124BR as shown in FIGS. 7A-7C have different implications to a target manufacturing process. For example, the arrangement in FIG. 7A provides larger process window for CD variations and overlay budget than the other two arrangements when the sub-layouts 122A and 122B are overlaid during photolithography processes. The arrangement in FIG. 7A also benefits some other performance factors. For example, it provides better MEEF than the other two arrangements because it offers larger spacing than the arrangement in FIG. 7B and larger CD than the arrangement in FIG. 7C. For the same reasons, it provides better performance when the requirements of minimal CD and/or minimal spacing are of particular concern for the target manufacturing process. On the other hand, the arrangement in FIG. 7B provides better depth of focus (DOF) due to the relatively larger areas of the patterns 123B-2, and the arrangement in FIG. 7C provides better intensity log slope (ILS) due to the relatively larger spacing 128-3. A further observation is that the arrangement in FIGS. 7A and 7B provide better performance than that in FIG. 7C when the requirements of minimal area are of particular concern for the target manufacturing process. For a given target manufacturing process, one or more of the above performance factors may be considered together to calculate a score (step 306 of FIG. 3) for measuring the manufacturability of the different arrangement. An example is illustrated in FIG. 7D. Referring to FIG. 7D, in the embodiment as shown, the evaluation index includes the following performance factors: CD variation budget, overlay budget, DOF, ILS, MEEF, minimal CD, minimal spacing, and minimal area. A score on the scale of 1-3 is given for each of the performance factors and for each of the arrangements in FIGS. 7A-7C. A total score is then calculated for each of the arrangement s. In the example shown, the three arrangements in FIGS. 7A-7C accumulate a total score of 14, 9, and 8 respectively. Therefore, the arrangement in FIG. 7A is preferred over the other two arrangements for this evaluation index. In an embodiment, different weights may be assigned to the various performance factors, which may guide the optimization process towards certain solution spaces. For example, if the depth of focus is assigned a greater weight than other factors, the arrangement in FIG. 7B may accumulate a higher total score than the other two and therefore be chosen as the solution. In embodiments, the steps of moving the edges (the step 304 of FIG. 3) and calculating the score (the step 306 of FIG. 3) are repeated in order to find an acceptable solution which may be a local optimum for the evaluation index, a global optimum for the evaluation index, or a solution meeting a pre-defined threshold though not optimal either locally or globally. This is shown as the step 308 in FIG. 3. The solution space for the operation 210 is constrained by the inter-dependency of the sub-layouts 122A and 122B and the inter-dependency of the various performance factors. In the examples shown in FIGS. 7A-7C, all the patterns are polygons and are in the shape of rectangles. Furthermore, each of the patterns includes at most one re-locatable edge. This simplifies the optimization process. However, this does not limit the present disclosure. In various embodiments, the patterns may be of any polygonal shapes such as triangle, pentagon, and hexagon, and may include convex polygons, non-convex polygons, simple polygons, and non-simple polygons. Furthermore, a pattern may include two or more re-locatable edges or edge segments. This is illustrated in FIGS. 8A-8D. In FIG. 8A, the pattern 123B includes one re-locatable edge 124BR whose direction of movement and region of freedom are indicated by the double-sided arrow and the dashed lines respectively. In FIG. 8B, the pattern 123B includes two re-locatable edge segments 124BR-1 and 124BR-2, each of which is only a portion of an edge. The edge segments 124BR-1 and 124BR-2 have respective regions of freedom in the overlapping region between the pattern 123B and its conjugate pattern 123A. In such a case, relocation of the edge segments 124BR-1 and/or 124BR-2 may modify the pattern 123B from a rectangle to a pentagon or a hexagon. Similarly, the pattern 123B in FIG. 8C includes three re-locatable edge segments and the pattern 123B in FIG. 8D includes four re-locatable edges. Again, FIGS. 8A-8D are non-limiting examples. Referring back to FIG. 2, after an acceptable solution for relocating the edges has been found and implemented, the method 200 proceeds to further IC process stages (operation 212). In an embodiment, the method 200 stores the modified sub-layouts 122A and 122B in a tangible computer-readable medium that can be used by another IC process stage. In an embodiment, OPC is performed to the modified sub-layouts 122A and 122B. The OPC may further modify the patterns 123A and 123B to compensate for image errors, such as those that can arise from diffraction, interference, or other process effects. The OPC may add assist features, such as scattering bars, serif, and/or hammerheads to the sub-layouts 122A and 122B. This is illustrated in FIG. 9. Referring to FIG. 9, the contours (outer edges) of the patterns 123A have been modified, and assist features 129 have been inserted into the sub-layout 122A. Though not shown, the sub-layout 122B may be modified similarly by the OPC. In an embodiment, the method 200 proceeds to forming masks using the modified sub-layouts 122A and 122B. In an example, it forms a first mask using the modified sub-layout 122A and a second mask using the modified sub-layout 122B. The masks may be a deep ultraviolet (DUV) mask or a EUV mask, and may further include enhancement features, such as phase-shifting features. In an example, the method 200 further performs photolithography processes with the masks to form the IC 160. For example, it performs a first photolithography process to a wafer using the first mask and then performs a second photolithography process to the same wafer using the second mask. In the first photolithography process, a material layer on the wafer is patterned with the modified sub-layout 122A through one or more of deposition, exposure, developing, and etching processes. In the second photolithography process, the same material layer is then patterned with the modified sub-layout 122B through one or more of deposition, exposure, developing, and etching processes. As a result, the two photolithography processes collectively pattern the material layer to form IC features that closely match the target layout 122 of FIG. 4. In another embodiment, the method 200 proceeds to patterning a wafer using the modified sub-layouts 122A and 122B without fabricating a mask (maskless lithography). For example, the method 200 may use electron beam direct write (EBDW) techniques to pattern the wafer. In this regard, the method 200 performs a first maskless photolithography process to a wafer using the modified sub-layout 122A, and subsequently performs a second maskless photolithography process to the wafer using the modified sub-layout 122B. The two photolithography processes collectively form IC features that closely match the target layout 122 of FIG. 4. Although not intended to be limiting, one or more embodiments of the present disclosure provide many benefits to the manufacturing of a semiconductor device. For example, embodiments of the present disclosure may be implemented in a multiple patterning process to decompose a complex layout into a set of simpler layouts and to further optimize the set of simpler layouts collectively. As a result, the manufacturability of the complex layout is improved. In one exemplary aspect, the present disclosure is directed to a method. The method includes receiving a target layout of an integrated circuit (IC); and decomposing the target layout into a plurality of sub-layouts for a multiple patterning process, wherein the sub-layouts include a plurality of pattern edges, each of which is re-locatable within a respective region of freedom. The method further includes relocating the pattern edges to improve manufacturability of the IC, resulting in modified sub-layouts, and storing the modified sub-layouts in a tangible computer-readable medium for use by a further IC process stage. In another exemplary aspect, the present disclosure is directed to a method. The method includes receiving a target layout of an integrated circuit (IC); and decomposing the target layout into first and second sub-layouts. Polygon patterns of the first sub-layout overlap with polygon patterns of the second sub-layout. The first and second sub-layouts include first and second pluralities of re-locatable edges respectively. Each of the edges is associated with a respective region of freedom where the edge can be placed. The method further includes performing an edge-relocation process to the first and second pluralities of edges thereby resulting in first and second modified sub-layouts respectively. The edge-relocation process includes choosing an evaluation index based on a target manufacturing process, moving one or more of the edges in their respective regions of freedom, calculating a score of manufacturability based on the evaluation index, and repeating the moving and the calculating until the score meets a threshold. The method further includes forming a first mask using the first modified sub-layout, and forming a second mask using the second modified sub-layout. The first and second masks are to be used in first and second manufacturing processes to a wafer to collectively form the IC. In another exemplary aspect, the present disclosure is directed to a method. The method includes receiving a target layout of an integrated circuit (IC), and decomposing the target layout into a first sub-layout and a second sub-layout, wherein some patterns of the first sub-layout overlaps with some patterns of the second sub-layout. The method further includes identifying a plurality of re-locatable edges in the first and second sub-layouts, associating each of the re-locatable edges with a respective region of freedom where the edge can be placed, and performing an edge-relocation process to the re-locatable edges thereby resulting in first and second modified sub-layouts respectively. The edge-relocation process includes choosing an evaluation index based on a target manufacturing process, moving one or more of the edges in their respective regions of freedom, calculating a score of manufacturability based on the evaluation index, and repeating the moving and the calculating until the score reaches a local optimum. The method further includes performing a first target manufacturing process to a wafer using the first modified sub-layout, and performing a second target manufacturing process to the wafer using the second modified sub-layout. The first and second manufacturing processes collectively form the IC on the wafer. The foregoing outlines features of several embodiments so that those of ordinary skill in the art may better understand the aspects of the present disclosure. Those of ordinary skill in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those of ordinary skill in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure. |
|
051695928 | description | DESCRIPTION OF PREFERRED EMBODIMENT In general terms, the present invention applies to a reactor comprising the following known components, as shown in FIG. 1: (1) a core 2 containing fuel rods in which a nuclear reaction takes place, giving off nuclear power which is spread between a top and a bottom of said core and which is transformed into heat. PA1 (2) a heat exchange circuit for causing a heat exchange fluid to penetrate into the core via an inlet duct 4 and to flow through the core under drive from a primary pump 8, leaving the core via an outlet duct 6 so as to remove said heat therefrom. This circuit delivers the heat to a heat receiver 10 having varying needs and conventionally constituted by a steam generator 10 which transfer the power to a secondary circuit. The pump 8 is provided with a speed sensor which provides a pump speed signal VP. PA1 (3) clusters of control rods 12 driven by mechanisms 13 for penetrating on command into the core from the top thereof in order to control the nuclear reaction therein. PA1 (4) a power measuring system 14 for delivering a total power signal DT representative of the nuclear power. This system takes the difference between the temperatures measured by two temperature detectors 20 and 22 placed on the inlet and outlet ducts 4 and 6, respectively. PA1 (5) a power difference measuring system 16 for providing a power difference signal DI representative of an axial difference in the nuclear power between the top and the bottom of the core. This system receives signals from two neutron detectors 15 and 17 respectively placed facing the top and the bottom of the core 2. PA1 (6) a temperature measurement system 18 for providing an operating temperature signal representative of an operating temperature which is a temperature of said heat exchange or cooling fluid. This system takes the average of the temperatures measured by the detectors 20 and 22. PA1 a power control system 24 acting on some of the control clusters 12 as a function, in particular, of variations in the needs of the heat receiver 10, so as to ensure that the heat receiver receives heat power that corresponds, at least approximately, to its needs; and PA1 an excess power protection system 30 suitable for providing an emergency stop signal causing at least some of said control clusters to penetrate into the core so as to stop said nuclear reaction quickly. To this end, this system receives at least the total power signal DT and the operating temperature signal ST. It provides an emergency stop signal AR to the mechanism 13 when the nuclear power represented by said total power signal DT exceeds an emergency stop limit LP which is defined on the basis at least of the temperature signal ST. PA1 a filter circuit 40 and a multiplier 42 for processing the pump speed signal VP; PA1 a circuit 44 for processing the axial power difference signal DI; PA1 a filter circuit 46 for processing the nuclear power signal DT; and PA1 a circuit 48 for providing an additional, constant signal to summing circuits 50 and 52 which provide the emergency stop signal AR. In conventional manner, the control system for the reactor comprises: The excess power protection system 30 and a high temperature protection system 32 both receive all of the signals mentioned above, with the high temperature protection system also receiving a primary pressure signal. According to the present invention, the emergency stop limit LP is lowered for values of the temperature signal ST which correspond to operating temperatures T that are less than a reference temperature TR. This emergency stop limit preferably obeys a positive slope law as a function of operating temperature ST, and this law is preferably linear. Also preferably, the reference temperature TR lies between 270.degree. C. and 320.degree. C., and preferably between 290.degree. C. and 300.degree. C. when the method is applied to a pressurized water reactor. Also preferably, when the operating temperature ST is 20.degree. C. less than the reference temperature TR, the value of the emergency stop limit LP is less than one-half the value that it has when said operating temperature is a little higher than said reference temperature. As shown in FIG. 2, a known protection system suitable for constituting the protection system 30 comprises: The temperature signal ST representative of the operating temperature of the reactor passes via a filter circuit 54. It is then applied to the summing circuit 50 via two paths. A first path includes a filter circuit 56 and a multiplier 58. A second path includes firstly a summing circuit 60 which also receives a signal PN representative of a nominal temperature. Thereafter it includes a multiplier 62. The emergency stop limit JP obtained in this way is shown in FIG. 3. The excess power protection system of the present invention for constituting the system 30 is identical to that shown in FIG. 2 except with respect to the second abovementioned path, as can be seen in FIG. 4. This second path is now constituted by a function generator 64 which causes the emergency stop limit LP to vary, as shown in FIG. 5. In FIG. 5, curve ET shows the evolution of a transient due to uncontrolled increase of the load in the secondary heat exchange circuit. This transient is initially the same as that shown by the curve ET in FIG. 3. However, in this case it is interrupted at a point 66 which corresponds to the system of FIG. 4 delivering an emergency stop signal. It may be observed that this modification makes it possible to trigger an emergency reactor stop before reaching safety criteria. It therefore makes it possible to guarantee that these criteria are satisfied in the event of an excessive increase in the load. In addition, it gives rise to more flexible dimensioning of the excess power system assembly, making it possible to use larger operating margins during certain transients in normal operation. |
description | Reference is first made to FIG. 5 which depicts a representative embodiment of a charged-particle-beam (CPB) projection-exposure apparatus that can include the instant invention. The FIG. 5 embodiment is discussed below in the context of an electron-beam system, but it will be understood that any of various other charged particle beams can be used with such an apparatus, such as an ion beam. In FIG. 5, an electron gun 101 produces an electron beam EB that propagates in a downstream direction along an optical axis A. The electron beam EB propagates from the electron gun 101 through various components (discussed below) to a reticle 110 and then through other components (discussed below) to a substrate 114. Downstream of the electron gun 101 are situated a first condenser lens 103 and a second condenser lens 105. The electron beam EB passes through the condenser lenses 103, 105 and is converged at a crossover image C01. Downstream of the second condenser lens 105 is a beam-shaping aperture 106. The beam-shaping aperture 106 trims the electron beam EB to have a transverse profile suitable for illuminating an individual exposure unit on the downstream reticle 110. Desirably, the beam-shaping aperture 106 trims the electron beam EB to have a transverse profile slightly larger than the area and profile of the exposure unit. For example, the beam-shaping aperture 106 can shape the electron beam to have a square profile measuring slightly more than one millimeter on a side as projected onto the reticle 110, for illuminating an exposure unit measuring exactly 1 mm square. A blanking aperture 107 is situated at the same axial position, downstream of the beam-shaping aperture 106, as the crossover image C01. Immediately downstream of the blanking aperture 107 is a deflector 108. A collimating lens 109 forms an image of the beam-shaping aperture 106 on the illuminated exposure unit on the reticle 110. As used herein, an xe2x80x9cillumination beamxe2x80x9d denotes the charged particle beam EB between the electron gun 101 and the reticle 110, and an xe2x80x9cimaging beamxe2x80x9d denotes the charged particle beam between the reticle 110 and the substrate 114. Similarly, the xe2x80x9cillumination-optical systemxe2x80x9d denotes the optical system located between the source 101 and the reticle 110, and the xe2x80x9cprojection-optical systemxe2x80x9d denotes the optical system located between the reticle 110 and the substrate 114. The deflector 108 sequentially scans the electron beam EB primarily in the X direction of FIG. 5 so as to illuminate, within the optical field of the illumination-optical system, a desired exposure unit on the reticle 110. With respect to the reticle 110, although only one exposure unit (through which the optical axis A passes) is shown in FIG. 5, the reticle 110 actually extends outward in the X-Y plane (perpendicular to the optical axis) and typically comprises a large number of exposure units. As the exposure units are sequentially illuminated by the electron beam, the deflector 108 scans the electron beam, as discussed above, across the optical field of the illumination-optical system. Provided downstream of the reticle 110 are first and second projection lenses 112 and 113 and a deflector 131. The projection lenses are preferably configured as a xe2x80x9cSymmetric Magnetic Doubletxe2x80x9d or xe2x80x9cSMD.xe2x80x9d As each exposure unit on the reticle 110 is illuminated by the illumination beam, the beam passes through the illuminated exposure unit and thus acquires an ability to form an image of the illuminated exposure unit. The resulting imaging beam is demagnified by passage through the projection lenses 112, 113 and deflected as required by the deflectors 131 to form an image of the illuminated exposure unit at the desired location on the substrate 114. The reticle 110 is mounted on a reticle stage 111 that is movable within an X-Y plane. In a similar manner, the substrate (e.g., a semiconductor wafer) 114 is mounted on a wafer stage 115 that is also movable within a respective X-Y plane. Hence, continuous scanning of the exposure units of the reticle pattern can be performed (assuming the projection lenses 112, 113 are configured as an SMD) by scanning the reticle stage 111 and the wafer stage 115 in opposite directions along the Y axis. Both the reticle stage 111 and wafer stage 115 include highly accurate position-measurement systems employing laser interferometers as known in the art. The position-measurement systems, in concert with beam alignments and adjustments performed by the various deflectors of the illumination and projection optical systems, enable the images of the exposure units as formed on the substrate 114 to be accurately stitched together. The upstream-facing surface of the substrate 114 is coated with a suitable resist so as to be imprintable with the projected image of the substrate pattern. To effect such imprinting, the substrate 114 must be exposed with a proper dosage of the imaging beam. Situated upstream of the substrate 114 is a backscattered-electron detector 133 used for mark detection, as discussed below. FIG. 1 shows the vicinity of a reticle stage according to a first representative embodiment of the invention. As shown in FIG. 1, a reticle 1 is mounted on a reticle stage 3. A mark member 5 is situated adjacent the reticle on the reticle stage 3. The upstream-facing surfaces of the mark member 5 and the reticle 1 are desirably co-planar in a xe2x80x9creticle planexe2x80x9d that is orthogonal to the optical axis. The mark member 5 desirably is made of silicon about 800 xcexcm in thickness and defines one or more xe2x80x9cupstreamxe2x80x9d marks, such as shown in FIGS. 2(A)-2(D), useful for alignment and calibration purposes, for example. Whenever the charged particle beam 8 impinges on an upstream mark, some of the particles in the beam pass through the upstream mark and are projected onto a respective region on the substrate or wafer stage. The upstream-facing surface on the substrate or on the wafer stage where the upstream mark is projected desirably is situated in a xe2x80x9csubstrate planexe2x80x9d orthogonal to the optical axis. Situated upstream of the mark member 5 is a shield 7. The shield 7 desirably is made of an electrically conductive material such as tantalum or molybdenum having a thickness of approximately 0.1 to 1 mm in this embodiment. The shield 7 is supported relative to the reticle stage 3 by a leg portion 7b from which a shield plate 7c extends in a cantilever manner so as to cover the mark member 5. The gap between the mark member 5 and the shield 7 is desirably within the range of approximately 0.1 mm to several mm. Alternatively, a separate leg portion 7b can be placed along each of at least two edges of the shield plate 7c, or the shield plate can be supported relative to the reticle stage 3 in any of various other suitable ways. Flanking the shield 7b is a laser mirror 9 used by the position-measurement system of the reticle stage discussed above. The shield plate 7c defines an aperture 7a that is desirably slightly larger than the upstream mark on the mark member 5. The aperture 7a desirably is located in the center of the shield plate 7c and axially registered with the upstream mark on the mark member 5. The aperture 7a is discussed further below, with reference to FIGS. 3(A) and 3(B). The reticle 1 also can be covered with a shield 6 that defines apertures 6a in locations on the shield 6 that correspond to the locations of corresponding upstream marks on the reticle 1. Representative relationships between an upstream mark and the illumination beam are depicted in FIGS. 2(A)-2(D). FIG. 2(A) shows the area encompassed by a single exposure unit 11, with the superposed transverse profile of the illumination beam 13. (The exposure-unit area 11 encompasses that portion of the overall reticle pattern transferred from the reticle 1 to the substrate in a given instant of time.) For divided projection exposure, a typical exposure-unit area 11 would be square or rectangular in profile and have an area (on the reticle) of approximately (100 xcexcm)2 to (1000 xcexcm)2. With a demagnification ratio of 4:1, for example, such an exposure unit would illuminate an area of approximately (25 xcexcm)2 to (250 xcexcm)2 respectively, on the substrate. For a shaped-beam single-shot transfer technique such as cell projection, the typical exposure-unit area 11 would measure (100 xcexcm)2 to (200 xcexcm)2 on the reticle. With a demagnification ratio of 25:1, for example, such an exposure unit would illuminate an area of about (5 xcexcm)2 on the substrate. In FIGS. 2(A)-2(D), the upstream marks are formed on the same membrane region of the reticle as the pattern to be projection-transferred to the substrate. The transverse area of the illumination beam 13 is slightly larger than the exposure unit 11. For example, if the exposure unit 11 were a square measuring 1000 xcexcmxc3x971000 xcexcm, then the transverse area of the illumination beam 13 would be a square measuring about 1000 xcexcmxc3x971000 xcexcm. FIG. 2(B) shows a relatively large (relative to the aperture 21) upstream mark 23 that has especial utility for aligning and calibrating the main field of the illumination and imaging optical systems. The mark is configured as a line-and-space pattern in which each line has a width of, by way of example, 1.6 xcexcm, a length of 50 xcexcm and spacing therebetween of 3.2 xcexcm. The illumination beam illuminates the upstream mark 23. As the illumination beam illuminates the mark 23, the portion of the beam passing through the mark is projected onto the substrate (or other suitable location on the substrate plane). The projection is performed such that the projected image of the upstream mark 23 overlays a corresponding xe2x80x9cdownstreamxe2x80x9d mark on the substrate (or substrate plane). The image of the upstream mark 23 is scanned onto the downstream mark by the deflector 131 (FIG. 5). The backscattered-electron detector 133 (FIG. 5) detects backscattered electrons propagating from the overlaying marks. Based on the resulting detection signal relative to the scan signal, a measurement is performed in which a mark pattern previously imprinted on the substrate or substrate plane is aligned so as to be in registration with the newly projected mark pattern. Alternatively, a calibration can be performed in which one or more of demagnification ratio, rotation, distortion, lateral position, and focus position, for example, is adjusted as required. FIG. 2(C) shows a relatively small (relative to the aperture 31) upstream mark 33 that has especial utility for calibrations and corrections of distortion of exposure units as projected onto the substrate. The upstream mark 33 is further detailed in the enlargement shown in FIG. 2(D), in which the mark comprises multiple lines 35 each having, by way of example, a width of several xcexcm, a length of about 10 xcexcm, and spaces therebetween each having a width of 2 xcexcm. The mark patterns shown in FIGS. 2(B) and 2(C) are significantly smaller than the transverse profile of the illumination beam 13. As a result, many (if not most) of the charged particles in the illumination beam are not used to illuminate the marks per se but rather used to illuminate the vicinity of the marks. I.e., most of the charged particles impinge on the mark member 5 (or the reticle if the upstream marks are defined on the reticle) and cause localized heating and consequent thermal deformation of the mark member (or reticle). Such thermal deformation causes the shapes and positions of the upstream marks (and of the lines or elements thereof) to change. Such changes degrade alignment and calibration accuracy, which degrade the accuracy with which the reticle pattern can be transferred to the substrate. The shields 6, 7 shown in FIG. 1 alleviate this problem. Details of a shield 6, 7 according to two example embodiments are shown in FIGS. 3(A) and 3(B), respectively. Turning first to FIG. 3(A) the shield 6, 7 is shown in plan view. The perimeter of the shield 6, 7 encloses an area that is larger than the transverse area and profile of the illumination beam 13. For example, if the illumination beam 13 has a 1100 xcexcmxc3x971100 xcexcm transverse profile, then the shield 6, 7 has at least a slightly larger area. The center of the shield 6, 7 defines an aperture 6a, 7a measuring, by way of example, 55 xcexcmxc3x9755 xcexcm. The aperture 6a, 7a is situated such that the upstream mark 23 (which, by way of example occupies an area of approximately 50 xcexcmxc3x9750 xcexcm) when viewed axially is approximately centered in the aperture 6a, 7a. To illuminate the upstream mark 23, the illumination beam first passes through the aperture 6a, 7a; the shield 6, 7 blocks most of the illumination beam from reaching anything downstream other than the upstream mark 23. As a result, only that portion of the illumination beam that is actually required to illuminate the upstream mark 23 strikes the mark member 5. The amount of heating imparted to the mark member 5 is thus much less than if the shield 6, 7 were absent. The example embodiment of the shield shown in FIG. 3(B) is especially useful whenever the space between the lines of the upstream mark 23 is relatively wide. Rather than having a single large aperture 6a, 7a, as used in the FIG. 3(A) embodiment, the shield 6xe2x80x2, 7xe2x80x2 in the FIG. 3(B) embodiment defines individual slit-shaped apertures 6axe2x80x2, 7axe2x80x2 for each respective line of the mark 23. By way of example, each slit-shaped aperture 6axe2x80x2, 7axe2x80x2 has a width of 5.5 xcexcm and a length of 51 xcexcm. Thus, each slit-shaped aperture 6axe2x80x2, 7axe2x80x2 is slightly larger than the corresponding line of the mark 23. The FIG. 3(B) configuration further reduces the electron dose received by regions of the mark member 5 (or reticle) outside the upstream mark 23. This, in turn, further reduces thermal deformation of the mark member (or reticle). Turning now to FIG. 4 showing another representative embodiment, a shield 51 defining an aperture 51a is axially separated from a mark member 57. I.e., the shield 51 is situated upstream of the mark member 57, and a lens 53 is situated between the shield and the mark member. An illumination beam 55, having passed through the aperture 51a in the shield 51 is projected by the lens 53 onto (and imaged on) an upstream mark 57a on the mark member 57. In this configuration, the upstream mark 57a on the mark member (or reticle) is selectively illuminated by the illumination beam. This avoids thermal deformation of the mark member (or reticle) due to excessive localized irradiation by the illumination beam. Therefore, the present invention provides a shield situated over a location on a reticle plane (e.g., a mark member or reticle) defining an upstream mark. The shield effects more localized irradiation of the upstream mark during instances in which the upstream mark is being irradiated by the illumination beam. Consequently, excess irradiation of the vicinity of the upstream mark is prevented, which correspondingly reduces thermal deformation of the mark and increases the accuracy of mark detection. Whereas the invention has been described in connection with multiple representative embodiments, it will be apparent that the invention is not limited to those embodiments. On the contrary, the invention is intended to encompass all alternatives, modifications, and equivalents as may be encompassed within the spirit and scope of the invention as defined by the appended claims. |
|
062722077 | claims | 1. An apparatus for obtaining a digital image of an object or objects being irradiated with radiation having a wavelength in the x-ray or gamma ray spectrum generated by a radiation source, comprising: a detector matrix, comprising a plurality of detector pixels, arranged such that the centers of each adjacent detector pixels are spaced at a first pixel pitch distance from each other in a direction along the width of said detector matrix, and at a second pixel pitch distance from each other in a direction along the length of said detector matrix, with each detector pixel comprising a detection surface having a respective surface area and being adapted to generate a signal in response to an energy stimulus applied thereto; and at least one radiation mask having an opaque portion and a plurality of apertures therein, arranged such that the centers of each adjacent apertures are spaced at a first aperture pitch distance from each other in a direction along the width of said radiation mask, and at a second aperture pitch distance from each other in a direction along the length of said radiation mask, said first and second aperture pitch distances being smaller than said first and second pixel pitch distances, respectively, said radiation mask being positioned between the radiation source and the object or objects, such that said opaque portion substantially prevents portions of said radiation from passing therethrough, and each of said apertures permits a portion of said radiation that has passed through to strike at least a portion of said detection surface of a respective one of said detector pixels, so that said detector pixels each output a respective signal representative of an image of said respective portion of said object. each of said apertures permits a respective said portion of said radiation that has passed therethrough to strike an area of said detection surface, less than said surface area, of a respective one of said detector pixels. each of said apertures permits a respective said portion of said radiation that has passed therethrough to strike portions of a plurality of said detection surfaces of a respective plurality of said detector pixels. an image creating device which arranges said images of said respective portions of said object to form the digital image of said object. a conveying device which moves said detector matrix and radiation mask in relation to said object to enable said areas of said detection surfaces of said detector pixels to receive portions of said radiation propagating through or emitted from other portions of said object and to output signals representative of said other portions. said detector pixels are arranged in said detector matrix in a plurality of detector rows, each row comprising a first number of said detector pixels, and a plurality of detector columns, each column comprising a second number of said detector pixels, said detector pixels in each of said detector rows being separated by said first pixel pitch distance, and said detector pixels in each of said detector columns being separated by said second pixel pitch distance; and said apertures in said radiation mask are arranged in a plurality of aperture rows, each comprising a first number of apertures, and a plurality of aperture columns, each comprising a second number of said apertures. a conveying device which is adapted to move said detector matrix and said detector mask in relation to said object by a first distance equal to a fraction of said first pixel pitch distance in a first direction substantially parallel to said detector rows, and which is adapted to move said detector matrix and said detector mask in relation to said object by a second distance equal to a fraction of said second pixel pitch distance in a second direction substantially parallel to said detector columns. said conveying device moves said detector matrix and said detector mask incrementally in said first direction until said detector matrix and said detector mask have moved said first distance; and said conveying device moves said detector matrix and said detector mask incrementally in said second direction until said detector matrix and said detector mask have moved said second distance. said conveying device moves said detector matrix and said detector mask incrementally in said first direction until said detector matrix and said detector mask have moved said first distance. said conveying device moves said detector matrix and said detector mask incrementally in said second direction until said detector matrix and said detector mask have moved said second distance. said first number of detector pixels equals said first number of apertures; and said second number of detector pixels equals said second number of apertures. said first and second pixel pitch distances are equal. said first and second pixel pitch distances are different from each other. said detection surfaces of said detector pixels are each substantially square in shape; and said apertures are each substantially square in shape. each of said apertures occupies an area less than said surface area of a respective one of said detector pixels. a plurality of said radiation masks. said radiation mask comprises a focused radiation mask. said radiation mask is an unfocused radiation mask. said detection surfaces of said detector pixels are each substantially rectangular in shape; and said apertures are each substantially square in shape. said detection surfaces of said detector pixels are each substantially square in shape; and said apertures are each substantially rectangular in shape. said opaque portion of said radiation mask is configured to form first walls of said radiation mask extending substantially parallel to each other along a first direction and second walls of said radiation mask extending substantially parallel to each other along a second direction. said opaque portion of said radiation mask is configured to form first walls and second walls of said radiation mask extending along first and second directions, respectively, such that at least one of said first and second walls are angled to focus to a point at a distance from said radiation mask. an imager which arranges said images of said respective portions of said object to form the digital image of said object. emitting from a radiation source radiation having a wavelength in the x-ray or gamma ray spectrum generated in a direction toward said object or objects; and positioning at least one radiation mask having an opaque portion and a plurality of apertures therein between said radiation source and said object or objects, said radiation mask being configured such that the centers of each adjacent apertures are spaced at a first aperture pitch distance from each other in a direction along the width of said radiation mask, and at a second aperture pitch distance from each other in a direction along the length of said radiation mask, said first and second aperture pitch distances being smaller than said first and second pixel pitch distances, said opaque portion substantially preventing first portions of said radiation from passing therethrough, and each of said apertures permitting a respective second portion of said radiation that has passed through to strike at least a portion of said detection surface of a respective one of said detector pixels, so that said detector pixels each output a respective signal representative of an image of said respective portion of said object. said apertures permit said second portions of said radiation to each propagate onto an area of said detection surface, less than said surface area, of a respective one of said detector pixels. said apertures permit said second portions of said radiation to strike portions of a plurality of said detection surfaces of a respective plurality of said detector pixels. arranging said images of said respective portions of said object to form the digital image of said object. after performing said emitting and positioning steps, moving said detector matrix and radiation mask in relation to said object; and after performing said moving step, repeating said emitting and positioning steps to enable said areas of said detection surfaces of said detector pixels to receive portions of said radiation propagating through other portions of said object and to output signals representative of said other portions. said detector pixels are arranged in said detector matrix in a plurality of detector rows, each comprising a first number of said detector pixels, and a plurality of detector columns, each comprising a second number of said detector pixels, said detector pixels in each of said detector rows being separated by said first pixel pitch distance, and said detector pixels in each of said detector columns being separated by said second pixel pitch distance; and said apertures in said radiation mask are arranged in a plurality of aperture rows, each comprising a first number of apertures, and a plurality of aperture columns, each comprising a second number of said apertures; and wherein said method further comprises at least one of the following steps: said second step is performed after said first step has been performed. said second step is performed before said first step has been performed. during said first step, said detector matrix and said radiation mask are moved incrementally in said first direction, and said emitting step is repeated after each incremental movement, until said detector matrix and said radiation mask have moved said first distance; and during said second step, said detector matrix and said radiation mask are moved in synchronism incrementally in said second direction, and said emitting step is repeated after each incremental movement, until said detector matrix and said radiation mask have moved said second distance. said first and second steps are repeated until said detector pixels have output signals representative of an entirety of said object. after performing said emitting and positioning steps, moving said radiation source in relation to said object; and after performing said moving step, repeating said emitting step to enable said areas of said detection surfaces of said detector pixels to receive portions of said radiation propagating through other portions of said object and to output signals representative of said other portions. said radiation mask focuses said second portions of said radiation toward said detector pixels. said radiation mask permits said second portions of said radiation to propagate unfocused toward said detector pixels. a detector matrix, comprising a plurality of detector pixels, each detector pixel comprising a detection surface having a respective surface area and being adapted to generate a signal in response to an energy stimulus applied thereto; and at least one radiation mask having an opaque portion and a plurality of apertures therein, said radiation mask being positioned between the detector matrix and the object or objects, such that said opaque portion substantially prevents portions of said radiation from passing therethrough, and each of said apertures permits a portion of said radiation that has passed through or has been emitted from a respective portion of said object to pass therethrough and strike a portion of said detection surface of a respective one of said detector pixels, said portion being less than the entire said detection surface of said respective one said detector pixel, so that said detector pixels each output a respective signal representative of an image of said respective portion of said object having a resolution based on a size of a respective one of said apertures. each of said apertures permits a respective said portion of said radiation that has passed therethrough to strike an area of said detection surface, less than said surface area, of a respective one of said detector pixels. each of said apertures permits a respective said portion of said radiation that has passed therethrough to strike portions of a plurality of said detection surfaces of a respective plurality of said detector pixels. an imager which arranges said images of said respective portions of said object to form the digital image of said object. a conveying device which moves said detector matrix and radiation mask in relation to said object to enable said areas of said detection surfaces of said detector pixels to receive portions of said radiation propagating through or emitted from other portions of said object and to output signals representative of said other portions. said detector pixels are arranged in said detector matrix in a plurality of detector rows, each row comprising a first number of said detector pixels, and a plurality of detector columns, each column comprising a second number of said detector pixels, said detector pixels in each of said detector rows being separated by a first pixel pitch distance, and said detector pixels in each of said detector columns being separated by a second pixel pitch distance; and said apertures in said radiation mask are arranged in a plurality of aperture rows, each comprising a first number of apertures, and a plurality of aperture columns, each comprising a second number of said apertures. a conveying device which is adapted to move said detector matrix and said detector mask in relation to said object by a first distance equal to a fraction of said first pixel pitch distance in a first direction substantially parallel to said detector rows, and which is adapted to move said detector matrix and said detector mask in relation to said object by a second distance equal to a fraction of said second pixel pitch distance in a second direction substantially parallel to said detector columns. said conveying device moves said detector matrix and said detector mask incrementally in said first direction until said detector matrix and said detector mask have moved said first distance; and said conveying device moves said detector matrix and said detector mask incrementally in said second direction until said detector matrix and said detector mask have moved said second distance. said conveying device moves said detector matrix and said detector mask incrementally in said first direction until said detector matrix and said detector mask have moved said first distance. said conveying device moves said detector matrix and said detector mask incrementally in said second direction until said detector matrix and said detector mask have moved said second distance. said first number of detector pixels equals said first number of apertures; and said second number of detector pixels equals said second number of apertures. said first and second pixel pitch distances are equal. said first and second pixel pitch distances are different from each other. said detection surfaces of said detector pixels are each substantially square in shape; and said apertures are each substantially square in shape. each of said apertures occupies an area less than said surface area of a respective one of said detector pixels. a plurality of said radiation masks. said opaque portion of said radiation mask is configured to form first walls of said radiation mask extending substantially parallel to each other along a first direction and second walls of said radiation mask extending substantially parallel to each other along a second direction. said opaque portion of said radiation mask is configured to form first walls and second walls of said radiation mask extending along first and second directions, respectively, such that at least one of said first and second walls are angled to focus to a point at a distance from said radiation mask. said object or objects are being irradiated with radiation having a wavelength in the x-ray or gamma ray spectrum generated by a radiation source. said object or objects are emitting radiation within the x-ray or gamma ray spectrum. said radiation mask is disposed on top of said detector matrix. said detection surfaces of said detector pixels are each substantially rectangular in shape; and said apertures are each substantially square in shape. said detection surfaces of said detector pixels are each substantially square in shape; and said apertures are each substantially rectangular in shape. at least one of said apertures includes a material therein. said material includes one of photoresist, scintillator material or a material having a low atomic number. a detector matrix, comprising a plurality of detector pixels, each detector pixel comprising a detection surface having a respective surface area and being adapted to generate a signal in response to an energy stimulus applied thereto; and at least one radiation mask having an opaque portion and a plurality of apertures therein, said radiation mask being positioned between the detector matrix and the object or objects, or between the radiation source and the object or objects, such that said opaque portion substantially prevents portions of said radiation from passing therethrough, and each of said apertures permits a portion of said radiation that has passed through or has been emitted from a respective portion of said object to pass therethrough and strike portions of a plurality of said detection surface of a respective plurality of said detector pixels, so that said detector pixels each output a respective signal representative of an image of said respective portion of said object. preventing first portions of said radiation which have passed through said object or have been emitted from said object from propagating onto any of said detector pixels; and permitting second portions of said radiation which have passed through or have been emitted from respective portions of said object to each propagate onto portions of plurality of detection surfaces of a respective plurality of said detector pixels, so that said detector pixels each output a respective signal representative of an image of said respective portion of said object. preventing first portions of said radiation which have passed through said object or have been emitted from said object from propagating onto any of said detector pixels; and permitting second portions of said radiation which have passed through or have been emitted from respective portions of said object to each propagate onto at least a portion of a detection surface of at least a respective one of said detector pixels, so that said detector pixels each output a respective signal representative of an image of said respective portion of said object; wherein: wherein said method further comprises at least one of the following steps: said second step is performed after said first step has been performed. said second step is performed before said first step has been performed. during said first step, said detector matrix and said radiation mask are moved in synchronism incrementally in said first direction, and said preventing and permitting steps are repeated after each incremental movement, until said detector matrix and said radiation mask have moved said first distance; and during said second step, said detector matrix and said radiation mask are moved in synchronism incrementally in said second direction, and said preventing and permitting steps are repeated after each incremental movement, until said detector matrix and said radiation mask have moved said second distance. said first and second steps are repeated until said detector pixels have output signals representative of an entirety of said object. positioning at least one radiation mask having an opaque portion and a plurality of apertures therein between said object or objects and said detector matrix, so that said opaque portion of said radiation mask prevents first portions of said radiation which have passed through said object or have been emitted from said object from propagating onto any of said detector pixels, and said apertures of said radiation mask permit second portions of said radiation which have passed through or have been emitted from respective portions of said object to each propagate onto a portion of a detection surface of a respective one of said detector pixels, said portion being less than the entire said detection surface of said respective one said detector pixel, so that said detector pixels each output a respective signal representative of an image of said respective portion of said object having a resolution based on a size of a respective one of said apertures. said apertures permit said second portions of said radiation to each propagate onto an area of said detection surface, less than said surface area, of a respective one of said detector pixels. said apertures permit said second portions of said radiation to strike portions of a plurality of said detection surfaces of a respective plurality of said detector pixels. arranging said images of said respective portions of said object to form the digital image of said object. after performing said positioning steps, moving said detector matrix and radiation mask in relation to said object; and after performing said moving step, allowing said areas of said detection surfaces of said detector pixels to receive portions of said radiation propagating through or emitted from other portions of said object and to output signals representative of said other portions. said detector pixels are arranged in said detector matrix in a plurality of detector rows, each comprising a first number of said detector pixels, and a plurality of detector columns, each comprising a second number of said detector pixels, said detector pixels in each of said detector rows being separated by said first pixel pitch distance, and said detector pixels in each of said detector columns being separated by said second pixel pitch distance; and said apertures in said radiation mask are arranged in a plurality of aperture rows, each comprising a first number of apertures, and a plurality of aperture columns, each comprising a second number of said apertures; and wherein said method further comprises at least one of the following steps: said second step is performed after said first step has been performed. said second step is performed before said first step has been performed. during said first step, said detector matrix and said radiation mask are moved incrementally in said first direction, until said detector matrix and said radiation mask have moved said first distance; and during said second step, said detector matrix and said radiation mask are moved incrementally in said second direction, until said detector matrix and said radiation mask have moved said second distance. said first and second steps are repeated until said detector pixels have output signals representative of an entirety of said object. after performing said positioning step, moving said radiation source in relation to said object; and after performing said moving step, allowing said areas of said detection surfaces of said detector pixels to receive portions of said radiation propagating through or emitted from other portions of said object and to output signals representative of said other portions. said radiation mask focuses said second portions of said radiation toward said detector pixels. said radiation mask permits said second portions of said radiation to propagate unfocused toward said detector pixels. said object or objects are being irradiated with radiation having a wavelength in the x-ray or gamma ray spectrum generated by a radiation source. said object or objects are emitting radiation within the x-ray or gamma ray spectrum. said positioning step includes placing said radiation mask on top of said detector matrix. 2. An apparatus as claimed in claim 1, wherein: 3. An apparatus as claimed in claim 1, wherein: 4. An apparatus as claimed in claim 1, further comprising: 5. An apparatus as claimed in claim 1, further comprising: 6. An apparatus as claimed in claim 1, wherein: 7. An apparatus as claimed in claim 6, further comprising: 8. An apparatus as claimed in claim 7, wherein: 9. An apparatus as claimed in claim 7, wherein: 10. An apparatus as claimed in claim 7, wherein: 11. An apparatus as claimed in claim 6, wherein: 12. An apparatus as claimed in claim 6, wherein: 13. An apparatus as claimed in claim 6, wherein: 14. An apparatus as claimed in claim 1, wherein: 15. An apparatus as claimed in claim 1, wherein: 16. An apparatus as claimed in claim 1, further comprising: 17. An apparatus as claimed in claim 1, wherein: 18. An apparatus as claimed in claim 1, wherein: 19. An apparatus as claimed in claim 1, wherein: 20. An apparatus as claimed in claim 1, wherein: 21. An apparatus as claimed in claim 1, wherein: 22. An apparatus as claimed in claim 1, wherein: 23. An apparatus as claimed in claim l, further comprising: 24. A method for using a detector matrix comprising a plurality of detector pixels to obtain a digital image of an object or objects the detector pixels being arranged such that the centers of each adjacent detector pixels are spaced at a first pixel pitch distance from each other in a direction along the width of said detector matrix, and at a second pixel pitch distance from each other in a direction along the length of said detector matrix, the method comprising the steps of: 25. A method as claimed in claim 24, wherein: 26. A method as claimed in claim 24, wherein: 27. A method as claimed in claim 24, further comprising the step of: 28. A method as claimed in claim 24, further comprising the steps of: 29. A method as claimed in claim 24, wherein: 30. A method as claimed in claim 29, wherein: 31. A method as claimed in claim 29, wherein: 32. A method as claimed in claim 29, wherein: 33. A method as claimed in claim 32, wherein: 34. A method as claimed in claim 24, further comprising the steps of: 35. A method as claimed in claim 24, wherein: 36. A method as claimed in claim 24, wherein: 37. An apparatus for obtaining a digital image of an object or objects being irradiated with radiation having a wavelength in the x-ray or gamma ray spectrum generated by a radiation source, or of an object or objects emitting radiation within the x-ray or gamma ray spectrum, comprising: 38. An apparatus as claimed in claim 37, wherein: 39. An apparatus as claimed in claim 37, wherein: 40. An apparatus as claimed in claim 37, further comprising: 41. An apparatus as claimed in claim 37, further comprising: 42. An apparatus as claimed in claim 37, wherein: 43. An apparatus as claimed in claim 42, further comprising: 44. An apparatus as claimed in claim 43, wherein: 45. An apparatus as claimed in claim 43, wherein: 46. An apparatus as claimed in claim 43, wherein: 47. An apparatus as claimed in claim 42, wherein: 48. An apparatus as claimed in claim 42, wherein: 49. An apparatus as claimed in claim 42, wherein: 50. An apparatus as claimed in claim 37, wherein: 51. An apparatus as claimed in claim 37, wherein: 52. An apparatus as claimed in claim 37, further comprising: 53. An apparatus as claimed in claim 37, wherein: 54. An apparatus as claimed in claim 37, wherein: 55. An apparatus as claimed in claim 37, wherein: 56. An apparatus as claimed in claim 37, wherein: 57. An apparatus as claimed in claim 37, wherein: 58. An apparatus as claimed in claim 37, wherein: 59. An apparatus as claimed in claim 37, wherein: 60. An apparatus as claimed in claim 37, wherein: 61. An apparatus as claimed in claim 60, wherein: 62. An apparatus for obtaining a digital image of an object or objects being irradiated with radiation having a wavelength in the x-ray or gamma ray spectrum generated by a radiation source, or of an object or objects emitting radiation within the x-ray or gamma ray spectrum, comprising: 63. A method for using a detector matrix comprising a plurality of detector pixels to obtain a digital image of an object or objects being irradiated with radiation having a wavelength in the x-ray or gamma ray spectrum generated by a radiation source, or of an object or objects emitting radiation within the x-ray or gamma ray spectrum, the method comprising the steps of: 64. A method for using a detector matrix comprising a plurality of detector pixels to obtain a digital image of an object or objects being irradiated with radiation having a wavelength in the x-ray or gamma ray spectrum generated by a radiation source, or of an object or objects emitting radiation within the x-ray or gamma ray spectrum, the method comprising the steps of: 65. A method as claimed in claim 64, wherein: 66. A method as claimed in claim 64, wherein: 67. A method as claimed in claim 64, wherein: 68. A method as claimed in claim 67, wherein: 69. A method for using a detector matrix comprising a plurality of detector pixels to obtain a digital image of an object or objects being irradiated with radiation having a wavelength in the x-ray or gamma ray spectrum generated by a radiation source, or of an object or objects emitting radiation within the x-ray or gamma ray spectrum, the method comprising: 70. A method as claimed in claim 69, wherein: 71. A method as claimed in claim 69, wherein: 72. A method as claimed in claim 69, further comprising the step of: 73. A method as claimed in claim 69, further comprising the steps of: 74. A method as claimed in claim 69, wherein: 75. A method as claimed in claim 74, wherein: 76. A method as claimed in claim 74, wherein: 77. A method as claimed in claim 74, wherein: 78. A method as claimed in claim 74, wherein: 79. A method as claimed in claim 69, further comprising the steps of: 80. A method as claimed in claim 69, wherein: 81. A method as claimed in claim 69, wherein: 82. A method as claimed in claim 69, wherein: 83. A method as claimed in claim 69, wherein: 84. A method as claimed in claim 69, wherein: |
summary | ||
description | The invention relates to a transmission electron microscope for imaging a sample, and in particular, to a transmission electron microscope including a blocking member for blocking part of the image in the Fourier domain. Such a Transmission Electron Microscope (TEM) is known from “Discrimination of heavy and light components in electron microscopy using single-sideband holographic techniques”, K. Downing et al., Optik 42 (1975), page 155-175, and is known as single-sideband imaging. In a TEM, a sample is imaged by irradiating the sample with a beam of electrons. Often this beam of electrons is a parallel beam. Typically the sample is sufficiently thin for most of the electrons to pass through the sample. Some electrons are elastically scattered by the sample, and leave the sample under another direction than that they entered the sample. These scattered electrons are focused by the objective lens and form in the back-focal plane, of said objective lens, also known as the diffraction plane, a diffraction pattern. It is noted that each position in the diffraction plane corresponds with a particular angle under which the electrons leave the sample. Therefore the pattern formed in the diffraction plane represents the (Fourier) transform of the image plane to the Fourier plane, For imaging a sample two contrast mechanisms exist: phase contrast and absorption contrast. Phase contrast occurs as a result of the interference of electrons that pass through the sample unhindered with elastically scattered electrons. Phase contrast typically occurs when the sample comprises little heavy atoms and many light atoms, such as carbon, hydrogen, etc. It is noted that in phase contrast the energy of the electrons is hardly changed and it is therefore also referred to as elastic deflection. In the other contrast mechanism, absorption, electrons are scattered over a much larger angle, as a result of which they are intercepted by, for example, an aperture in the diffraction plane, Some of the electrons are even reflected, resulting in back-scattered electrons. Further some electrons loose energy by e.g. ionizing events, and or not focused in the diffraction plane anymore. All this results in that these electrons do not contribute to the imaging and are commonly referred to as non-elastic deflection. It is noted that biological samples, polymers, etc., often show phase contrast and little absorption contrast. The phase contrast of a TEM is often expressed by the Contrast Transfer Function (CTF), which expresses the contrast as a function of the spatial frequency. The CTF in turn can be described as the product of two sub-functions: the envelope function and the Phase Contrast Transfer Function, with both these functions also a function of the spatial frequency. A detailed description of these functions, and their interdependency, is found in “Electron Crystallography of Biological Macromolecules”, R. M. Glaeser et al. (2007), Oxford University Press, ISBN 978-0-19-508871-7, hereby incorporated by reference. More specifically in page 67-72, paragraphs 3.8 and 3.9. The Phase Contrast Transfer Function is among others a function of the defocus of the lens imaging the sample, and thus of the distance from the sample to the focal plane of the lens. To achieve contrast over a relative wide spatial frequency band users of a TEM often operate at the so-called Scherzer defocus. Glaeser describes the well-known Scherzer defocus (see also FIG. 3.4 of said publication) and the resulting phase contrast transfer function (see e.g. FIG. 3.5 of said publication). Multiplication of the phase contrast transfer function with the envelope function as described in pages 69-72, paragraph 3.9 results in the CTF. It is noted that for high frequencies the phase contrast transfer function shows oscillations between +1 and −1, and therefore the CTF shows similar oscillations. At which frequency the first zero crossing occurs, depends among others on the distance of the sample to the focal plane of the imaging lens. The Scherzer defocus is often used as it shows a large frequency band where the CTF is continuously positive (above zero). For modern TEM's and biological samples the PCTF, the first zero crossing at Scherzer defocus is typically at a spatial frequency above 3 nm−1, corresponding with a resolution in the image of 0.3 nm (3 Ångstrom). Such a resolution is typically considered sufficient for biological imaging. As well-known to the person skilled in the art, and as shown in the before mentioned literature, the CTF is low for low spatial frequencies. This implies that in images of a sample showing phase contrast large structures are hard to detect. In the known method of single-sideband imaging, as described by Downing, half the diffraction plane is blocked (removed) by placing a knife edge in the diffraction plane, covering 50% of the diffraction pattern. As a result of this half of the electrons, the electrons that are scattered such that they are intercepted by the knife edge, cannot interfere with the central beam of undiffracted electrons. It is noted that single-sideband imaging is also described in “Electron Crystallography of Biological Macromolecules”, R. M. Glaeser et al. (2007), Oxford University Press, ISBN 978-0-19-508871-7, more specifically page 74, paragraph 3.11: ‘Single sideband images: blocking half of the diffraction pattern produces images whose transfer function has unit gain at all spatial frequencies.’ In SSB imaging half of the Fourier space is removed by placing a knife edge in the diffraction plane, covering 50% of the diffraction pattern. By discarding half of the electrons, the contrast is governed by the envelope function only. However, as half of the electrons are discarded, the realized contrast is ‘only’ 50% of the envelope function. A disadvantage of the single side band method is that the achieved contrast is at best 50% of the envelope. A blocking member in the diffraction plane of a TEM blocks in at least one direction spatial frequencies from a low frequency to a high frequency having the high spatial frequency lower than or equal to the lowest spatial frequency where an image of the diffraction plane imaged without the blocking member shows a Contrast Transfer Function of approximately 50%. The foregoing has outlined rather broadly the features and technical advantages of the present invention in order that the detailed description of the invention that follows may be better understood. Additional features and advantages of the invention will be described hereinafter. It should be appreciated by those skilled in the art that the conception and specific embodiments disclosed may be readily utilized as a basis for modifying or designing other structures for carrying out the same purposes of the present invention. It should also be realized by those skilled in the art that such equivalent constructions do not depart from the spirit and scope of the invention as set forth in the appended claims. The present invention presents a method in which the CTF in a large frequency band equals 50% of the envelope function, and for a large frequency band substantially more than 50% of the envelope function. To that end the TEM according to the invention is characterized in that the high spatial frequency blocked by the blocking member is lower than or equal to the lowest spatial frequency where an image of said diffraction plane imaged without the blocking member shows a Contrast Transfer Function of approximately 50%. By having a blocking member in the diffraction plane that does not block half of the Fourier plane, but only a part, such as a half circle, the blocking member does not block electrons that are deflected/scattered over a larger angle (the electrons contributing to a high spatial frequency). This implies that for a spatial frequency above said high spatial frequency the CTF shows it normal behavior, at first rising to a value larger than 0.5 (thus showing more contrast than available in SSB imaging) and then starting its oscillations. The invention thus combines good contrast at low spatial frequencies with the higher contrast available for other spatial frequencies in e.g. the Scherzer defocus. It is noted that an improvement of the CTF at low frequencies can also be achieved using so-called phase plates, as e.g. described in U.S. Pat. No. 5,814,815 to Hitachi and U.S. Pat. No. 6,674,078 to JEOL. Here a phase plate is used to realize a phase shift between the undiffracted electrons with respect to the diffracted electrons, analogous to the working of a Zernike phase plate in light optics. Although this results in said improvement of the CTF at low frequencies, it also lowers the frequency where the first zero in the CTF occurs. Further it involves applying a voltage to a small structure (in the case of U.S. Pat. No. 5,814,815 to Hitachi), or dealing with fragile and contaminating thin carbon film (in the case of U.S. Pat. No. 6,674,078 to JEOL), while in the present solution no additional electrical voltages need to be applied, nor is there a need for a fragile carbon foil. It is further noted that the blocking member needs to stop (absorb) the electrons impinging thereon, or scatter the electrons under a large angle. Therefore the blocking member typically comprises a heavy metal for stopping/scattering/absorbing the electrons. In an embodiment the blocking member is connected to one or more support arms. The one or more support arm supports the blocking member and connect it to a more macroscopic structure, so that it can be positioned with, for example, a positioning system as used for apertures in a TEM. The one or more support arms should be rigid enough, but on the other hand it should intercept as little electrons as possible. The support used also intercepts electrons, thereby resulting in a lower contrast in that direction and frequency. Therefore the support arms should cover as little space in the diffraction plane as possible. In another embodiment the blocking member is supported by a thin film, said film transparent to the impinging electrons. Such supporting films, such as carbon films, graphene films, or thin silicon film are known to the person skilled in the art. It is noted that the film is preferable electrically conductive, as otherwise charging occurs. In an embodiment the blocking member resembles a rectangle. By forming the blocking member in the form of a rectangle, a blocking member with a straight edge is formed. By positioning the beam of undiffracted (undeflected) electrons just beside the straight edge such that this beam does not impinge thereon, part of the Fourier space is blocked, resulting in the partial SSB imaging. It is noted that, as the blocking structure is a rectangle, the blocked space is not in all directions identical. That is only the case in a structure where the outer diameter is formed at a constant distance from the undiffracted beam. In another embodiment the blocking member resembles a trapezoid with varying width, wherein the diffraction pattern shows a spot of undiffracted electrons, and the blocked spatial frequency interval is chosen by positioning the beam of undiffracted electrons near a part of the blocking member with an appropriate width. Due to the tapering width of the trapezoid the width near the beam of undiffracted electrons can be selected. It is noted that the size of the diffraction pattern depends on the energy of the electrons impinging on the sample: a higher energy results in a smaller size of the diffraction pattern. Also the defocus used influences the spatial frequency where the CTF reaches a value of 0.5. This implies that the size of the area of the diffraction plane to be blanked should preferably be tunable as well. In another embodiment the blocking member shows a beam with a discrete number of steps, each with a different width. Here the width appropriate for e.g. the electron energy used can be selected in discrete values. In another embodiment the blocking member resembles a half-circle. Assuming that the undiffracted beam is focused at the centre of the circle, this embodiment has a high frequency that is equal in all directions. In a further embodiment the half-circle shows a straight edge, and the support arm extends perpendicular or parallel to said straight edge. Although there is no preference for any direction from an optical point of view, these directions are preferred when lithographic techniques are used to produce such structures. In another embodiment the blocking member is placed in a plane that is an image of the diffraction plane and where an anamorphotic image of the diffraction plane is formed. The plane where an anamorphotic image is formed, for example using quadrupoles, results in a plane where the diffraction pattern is imaged as a number of stripes, instead of points. This results in lower peak current densities. This may be preferable when avoiding contamination, damage, or other current density related issues. In yet another embodiment the blocking member is placed in a plane that is an image of the diffraction plane and the imaging of the diffraction plane onto the said plane is at least in part realized by transfer optics that are part of corrector optics, the corrector optics for correcting the aberrations of the lens forming the diffraction pattern. As known to the person skilled in the art correctors are typically equipped with transfer optics. By combining transfer optics that image the diffraction plane with the transfer optics used for a corrector, a compact design is realized. In yet another embodiment at least part of the blocking member is electrically isolated from earth and electrically connected to a current measurement unit for measuring the current impinging on at least a part of the blocking member. In yet another embodiment the current measurement is used to position the beam of undiffracted electrons with respect to the blocking member. In yet another embodiment the transmission electron microscope further comprises means for heating the blocking member. Heating the blocking member is used to avoid contamination and/or clean the blocking member when contamination occurred. Hereby e.g. charging of the blocking member is kept to a minimum. In yet another embodiment the diffraction pattern shows a spot of undiffracted electrons and the blocking member shows an indent at the location where the beam of undiffracted electrons is closest to the blocking member, as a result of which contamination of the blocking member is reduced. FIG. 1 schematically shows a TEM. The TEM comprises an electron source 100 producing a beam of electrons 102 around optical axis 104. The amount of electrons accepted from the source is governed by aperture 106. Condenser lenses 108 and 110 then form a parallel beam of electrons, from which aperture 111 accepts a part. Herewith a parallel beam of electrons is formed. This beam irradiates the sample 112, that is positioned on positioning unit 114. The positioning unit enables the sample to be moved in the x, y, and z direction, as well as rotate around the x-axis. Objective lens 116 then forms an enlarged image of the object at first image plane 119, which enlarged image is further enlarged by lenses 120 and 122 and projected on sensor 124. Sensor 124 may be a camera, but also fluorescent screens are used. The objective lens also forms a diffraction pattern in the diffraction plane 118. It is noted that this description shows the TEM operating in TEM mode, where a parallel beam illuminates the sample and the sample is imaged. As known to the person skilled in the art a TEM is typically equipped to work in many other modes as well, including modes where the sample is illuminated with convergent of divergent beams, beams focused on and scanned over the sample, imaging of the diffraction plane on the sensor, etc. FIG. 2 shows a diffraction pattern. The diffraction pattern shown here is of a crystalline material, as is evident of the spots shown. It shows a strong central spot, formed by the undiffracted electrons, surrounded by a large number of spots formed by diffracted electrons. Due to the crystalline nature of the sample used here, there is a strong preference for electrons to be scattered under specific directions. It is noted that an amorphous sample (as most biological samples are) would show a diffuse distribution of the electron surrounding the central peak. FIG. 3 schematically shows the Contrast Transfer Function of an objective lens. FIG. 3 shows a graph of the CTF 301 in the so-named Scherzer focus. It shows that for low spatial frequencies of less than 1 nm−1, the function is less 0.5, dropping to zero when going to a spatial frequency of zero. Therefore large structures, in this case larger than e.g. 1 nm, become less visible. For e.g. biologists this means that, although they can make images with high resolution, it is difficult to relate the high resolution observations with more macroscopic structures like organelles. Furthermore the oscillations at high frequencies, here frequencies above 3.5 nm−1, are clearly visible, as is the decrease of the amplitude of the maxima due to the envelope function 302. It is noted that the frequency where the function crosses the x-axis, and the amplitude of the envelope function as a function of frequency, are dependent on the quality of the lens. It is further noted that, although the CTF is here shown for the Scherzer defocus, similar CTF's can be derived for other (de)focus distances. FIG. 4 schematically shows the diffraction pattern of FIG. 2 overlaid with the blocking member. FIG. 4 shows the diffraction pattern shown in FIG. 2, on which a blocking member 401 is projected. The blocking member is connected to a more macroscopic structure (and eventually the TEM) by support arm 402. It is noted that the blocking member is here formed as a half-circle with a straight edge 403. In the centre of the circle an indent is formed where the undiffracted beam can pass, so that these electrons do not impinge on the blocking member. This indent ensures a low frequency behavior of the blocking member for a low frequency that is identical in all directions. FIG. 5 schematically shows the Contrast Transfer Function of the TEM with blocking member. Due to the blocking of electrons by blocking member 401, the CTF for all frequencies up to frequency 501 is effectively 50% of the envelope function, as 50% of the elastically scattered electrons in this area are discarded. Electrons that are scattered over an angle large enough to avoid the blocking member can form an image in the same fashion as would be the case in a TEM without the blocking member. Therefore the CTF rises, until due to its normal behavior it drops to a value below 50% for frequencies higher than frequency 502. The result is an image where large object (low frequencies) are shown with a much higher contrast than normal, and high resolution is achieved with the same contrast as would be achieved normally. For the region of interest of, for example, biology, (resolution of 0.3 nm) this is a win-win situation. FIGS. 6a to 6h give different implementations of the blocking member. FIG. 6a shows a square chip 600 of e.g. silicon with an outer edge 610. Preferably the outer edge is dimensioned such, that it can be contained in e.g. an aperture mechanism of the TEM, so that it can be positioned mechanically. In the chip a round hole 601 is etched with a diameter 608. The diameter of the hole is chosen such that electrons that are non-elastically deflected (and thus are scattered over a large angle or lost energy) are intercepted by the silicon. Connected to the silicon 602 between the outer edge 610 and the outer diameter of the hole 601 is a support arm 606. At the distal end near the middle of the hole the support arm connect to the blocking member 604, that in this case is formed as a half circle. The half circle shows a straight edge, and the central beam of the diffraction pattern 612 is positioned close to this edge, but sufficiently removed that the blocking member does not intercept (much) of the electrons in the central beam. It is noted that a small indent may be used to make it easier to pass the central beam. Inventors calculated that the radius of the half-circle is, for a high voltage of 300 kV, between for example 10-15 μm, although with other microscopes and other accelation voltages different values can be found. The support arm is preferable as thin as possible, and experiments resulted in a structure where the support arm had a width of 3 μm. It is noted that the structure can be gilded, or otherwise plated with a highly conductive material to avoid charging. The substrate can be conductive, or insulating, according to the surface coating given. Both substrate material and coating can be changed to accommodate demands with respect to vacuum integrity, thermal behavior, machinability, etc. FIG. 6B shows a similar chip with a rectangular blocking member. The blocking member not being semi-circular around the central beam implies that its working is not identical in all directions, and that the frequency where the SSB imaging blends into the ‘normal’ CFT behavior differs in those directions. This may lead to artifacts in that the lowest resolution (with a given contrast) visible in one direction differs from the lowest resolution visible in another direction. FIG. 6C shows the blocking member of FIG. 6A, but now the support art is parallel to the straight edge of the half-circle. FIG. 6D shows a blocking member with varying width. By placing the central spot neat positions 620, 621 or 622, respectively, a different width of the blocking member near the spot can be realized. FIG. 6E shows a blocking member 604 that is not only supported by support arm 606, as is the case in FIG. 6D, but also by a second support arm 630. Hereby the structure becomes much stiffer, resulting in, for example, a structure that is less prone to vibration. FIG. 6F shows a structure where an insulator is used for the substrate, and the blocking member is electrically insulated of most of the chip. An electrical connection can be made at pad 640, whereby a current measurement can be made to determine how much current impinges on the blocking member. Variant with two or more electrical connection to the blocking member are envisaged, that may or may not use the other side of the structure as well. By measuring the current it can be determined how close the central beam is to the edge of the blocking member. It is noted that it is also possible to place the blocking member on a thin, conductive foil that in itself is transparent to electrons. Materials and structures described in one embodiment or described as part of the prior art may be used in other embodiments. Although the present invention and its advantages have been described in detail, it should be understood that various changes, substitutions and alterations can be made to the embodiments described herein without departing from the spirit and scope of the invention as defined by the appended claims. Moreover, the scope of the present application is not intended to be limited to the particular embodiments of the process, machine, manufacture, composition of matter, means, methods and steps described in the specification. As one of ordinary skill in the art will readily appreciate from the disclosure of the present invention, processes, machines, manufacture, compositions of matter, means, methods, or steps, presently existing or later to be developed that perform substantially the same function or achieve substantially the same result as the corresponding embodiments described herein may be utilized according to the present invention. Accordingly, the appended claims are intended to include within their scope such processes, machines, manufacture, compositions of matter, means, methods, or steps. |
|
abstract | A shielding grid constructed of a radiation absorbing material for use with an array of discreet, non contiguous radiation sensors to protect such sensors from scattered radiation. The sensors each have a radiation sensitive area with a width and a length. In designing the grid a prototile having a prototile width and a prototile length is developed. The prototile width is equal to the radiation sensitive area width divided by an integer and the prototile length is also equal to the radiation sensitive area length divided by an integer. The prototile contains a pinwheel motif of radiation absorbing material contained solely within the prototile that forms a pattern when a plurality of prototiles sufficient to cover the array of discreet sensor are arrayed contiguously. The grid is constructed with the radiation absorbing material in this pattern. |
|
claims | 1. A method for flattening a surface of a solid by irradiating the surface of the solid with a gas cluster ion beam, comprising:a step of supporting a solid composed of different materials of different etching rates and having a surface to be flattened including areas of the different etching rates of the different materials;a step of generating a gas cluster ion beam with an average cluster size of 50 or larger; anda step of irradiating the surface of the solid including the areas of the different etching rates with the gas cluster ion beam at an irradiation angle smaller than 30° between the surface of the solid and the gas cluster ion beam. 2. The solid surface flattening method according to claim 1, wherein the solid is a composite of particles of the different materials with an average grain size being less than 1 μm, but not less than 100 nm or a multilayer film of plural layers of the different materials with an average film thickness of each layer being less than 1 μm, but not less than 100 nm; and the irradiation angle is 25° or less. 3. The solid surface flattening method according to claim 1, wherein the solid is a composite of different types of particle forming the different materials with an average grain size being equal to or larger than 1 μm or a multilayer film of plural layers forming the different materials with an average film thickness of each layer being equal to or larger than 1 μm; and the irradiation angle is 20° or less. 4. A solid surface flattening apparatus which flattens a surface of a solid sample through irradiation with a gas cluster ion beam, comprising:means for generating the gas cluster ion beam;cluster size sorting means for selecting a cluster size equal to or larger than 50 for the gas cluster ion beam;sample supporting means for supporting a solid composed of different materials of different etching rates and having a surface including areas of the different etching rates of the different materials in such a way as to be able to vary an incident angle of the gas cluster ion beam whose cluster size has been selected;irradiation angle setting means for setting an irradiation angle between the surface of the solid sample and the gas cluster ion beam to less than 30°; andmeans for irradiating the surface of the solid including the areas of the different etching rates with the gas cluster ion beam at an irradiation angle set less than 30° by the irradiation angle setting means. |
|
description | At ambient temperature, 50 ml of a 10 wt % dibasic acid (DBA) acid solution (glutaric acid 51-61 wt %; succinic acid 18-28 wt %; adipic acid 15-25 wt %) was poured into a 100 ml beaker that contained a magnetic stirring bar. Reagent grade calcium carbonate powder (10.00 g) was weighed in a weighing boat. The calcium carbonate powder was slowly added in small increments to the beaker while stirring until the acid fluid just became cloudy. The remaining calcium carbonate powder was reweighed, and the amount dissolved was calculated by difference. By this method, 50 ml 10 wt % DBA acid was found to dissolve 2.7 g, which is equivalent to 0.45 lb/gal. This Example illustrates that DBA acid will dissolve calcium carbonate. Since DBA acid alone does not dissolve silicates, DBA acid was used together with ammonium fluoride to generate hydrofluoric acid at high temperature. The test procedure was as follows: 1. A 1 inch diameter (2.54 cm), 400 mesh (37 micron) stainless steel screen, which is dry and weighed, was placed onto the surface of a one-inch diameter (2.54 cm) sandstone core, then the screen and core were put into a core holder; 2. A fluid which contains 2.5 wt % 400 mesh Rev Dust in water (components of Rev Dust: 12 wt % quartz; 7 wt % cristobalite; 4 wt % illite; 29 wt % mixed layer clay; 26 wt % kaolinite; 22 wt % chlorite) was pumped to plug the screen and damage the core; 3. The screen with Rev Dust on it was removed, and the screen was dried and weighed; 4. The screen was replaced back onto the core surface and the core was heated to 300xc2x0 F. (149xc2x0 C.); 5. A fluid of 10 wt % DBA plus 1.5 wt % hydrofluoric acid, generated from ammonium fluoride, and a corrosion inhibitor was pumped into the screen and core at 3 ml/min for 24 minutes; the core was then soaked for 12 minutes at 300xc2x0 F. (149xc2x0 C.); 6. The core was cooled to room temperature, the screen was removed from the core holder, and the screen was dried and reweighed. After pumping 72 ml of the DBA:HF fluid described above, 0.5 g of Rev Dust on the screen was removed, which is equivalent to 0.058 lb Rev Dust dissolved per gallon of acid at 300xc2x0 F. (149xc2x0 C.). Tables I through VI report corrosion inhibitor test results mentioned previously. All tests were run at 2000 psi pressure (14 MPa), and corrosion inhibitor aid 3% NH4Cl was present in the formulations. The steel alloys used were 22-Cr chromium steel and coiled tubing of N-80 steel (CT). With respect to the corrosion rate, 0.05 lb/ft2 (2.4 kg/m2) is the maximum acceptable. The pitting index is a measure of corrosion, where pits are small pockets of localized corrosion about 0.1 mm in diameter or less usually caused by relatively low corrosion inhibitor concentration or galvanic currents. The pitting index definitions are as follows: In the foregoing specification, the invention has been described with reference to specific embodiments thereof, and has been demonstrated as effective in providing an acidizing treatment fluid that has low corrosivity with respect to the iron-alloy materials and equipment it comes into contact with. However, it will be evident that various modifications and changes can be made thereto without departing from the broader spirit or scope of the invention as set forth in the appended claims. Accordingly, the specification is to be regarded in an illustrative rather than a restrictive sense. For example, specific combinations of dicarboxylic acids and other components falling within the claimed parameters, but not specifically identified or tried in a particular composition or under specific conditions, are anticipated to be within the scope of this invention. |
|
abstract | The present invention is principally a device for transferring a nuclear fuel canister between a container for transporting said canister and a device for storing said canister. The transfer device comprises a body and a slide of longitudinal axis, capable of sliding in said body along its longitudinal axis (Y), said slide comprising at least a first compartment (C1), for removing a plug from the transport container and a plug from the storage device, and a second compartment (C3) for allowing the canister to pass from the transport container to the storage device and, conversely, means for sealing the transfer between the transport container and a transfer device and between the transfer device and the storage device. |
|
053596331 | claims | 1. A method of assembly a fuel assembly, the method comprising the steps of: setting a plurality of grids in a predetermined position, wherein each grid has a plurality of grid cells for firmly holding a plurality of fuel rods, each fuel rod is firmly held in a grid cell formed with four walls, and wherein each pair of opposing walls are provided with a pair of holding means comprising a dimple and a spring formed on opposing walls; subsequently, deactivating said springs formed on said walls of said grid cells by key means; subsequently, inserting at least one of said fuel rods into said grids; subsequently, removing said key means thereby activating said springs so as to provide firm holding of said fuel rods in said grids; subsequently, inserting a plurality of control rod guide thimbles into a plurality of predetermined grid cells of said grids; and subsequently, rigidly fixing said control rod guide thimbles to said predetermined grid cells. |
description | This is a continuation of application Ser. No. 13/007,272 filed 14 Jan. 2011, U.S. Pat. No. 8,222,618, which is a continuation of application Ser. No. 11/980,654 filed 31 Oct. 2007, U.S. Pat. No. 7,888,639, which is a continuation of application Ser. No. 11/783,001 filed 5 Apr. 2007, U.S. Pat. No. 7,465,945, which is a continuation of application Ser. No. 11/127,213 filed 12 May 2005, U.S. Pat. No. 7,205,554, which is a continuation of Ser. No. 10/878,528 filed 29 Jun. 2004, U.S. Pat. No. 6,927,391, which is a continuation of application Ser. No. 09/960,479 filed 24 Sep. 2001, U.S. Pat. No. 6,781,125, which claims priority to Japanese Patent Application No. 2000-340387 filed 2 Nov. 2000 and No. 2000-344226 filed 7 Nov. 2000, the contents of which are incorporated herein by reference in their entirety. The present invention relates to an apparatus system used as observation, analysis and evaluation means in research and development and manufacturing of an electronic device such as a semiconductor device, liquid crystal device and a magnetic head, a micro-electronic device or the like which require observation and analysis of not only a surface of an object to be observed but also an inner section near the surface. In manufacturing of a semiconductor device such as a semiconductor memory typified by a dynamic random access memory, a microprocessor and a semiconductor laser, and electronic parts such as a magnetic head, a product property is inspected for quality control of a product during a manufacturing process or at completion of the process. In the inspection, measurement of manufacturing dimension, defect inspection of a circuit pattern, or analysis of foreign materials are carried out. For that purpose, various means are prepared and used. Particularly, when there is a wrong portion within the product, a minute processing and observation apparatus is increasingly used which comprises a combination of a focused ion beam (FIB) apparatus and an electron microscope. This apparatus is disclosed in JP-A-11-260307 specification. In the specification, disclosed is a technique of carrying out section processing of a sample by an FIB apparatus and observing an exposed section by an electron microscope disposed slantingly above the sample. As another technique of observing the sample section, invented and used is a method of taking out of a processing and observation apparatus a minute sample, which is a cut-out minute area of micron orders including an observation region, and moving the minute sample to a separately prepared apparatus to be reprocessed into an optimum shape and observed and analyzed. This method is disclosed in JP-A-5-52721 specification. This is a method of cutting out part of a sample and observing its section, where a tip of a probe driven by a manipulator is positioned on a minute sample cut by an FIB, the probe and minute sample are connected by a deposition gas, and the minute sample is transferred in the connected condition. The above described conventional methods have the following problems. First, to observe a section of a hole or groove of the sample formed by FIB processing, a sample stage is inclined to thereby observe a section of an inner wall of the hole or groove in a slanting direction. In that case, an adjustment range of inclination of the sample stage is limited by constraints in structure due to a working distance of an FIB apparatus, presence of an objective lens, or size of a sample stage, and larger inclination cannot be allowed. Thus, vertical observation of the section of the inner wall of the hole or groove is impossible. The vertical observation of the section is indispensable in confirmation of processing properties such as dry etching, planarization, thin film forming, or the like in process development or the like of semiconductor device manufacturing, but the above described known apparatuses cannot cope with the vertical observation. Second, a reduction in resolution resulting from the slant observation becomes a serious problem. When slantingly emitting an electron beam to a wafer surface from above and to observe a section of an inner wall of a hole or groove, observation resolution in a direction perpendicular to the wafer surface, that is, of the section of the inner wall of the hole or groove is reduced. A reduction rate reaches approximately 15% at an angle of 30°, and 30% at an angle of around 45°, which is most frequently used. Miniaturization of recent semiconductor devices has reached the limit, and measurement of the dimension or shape with accuracy below a few nano meters is required. Required observation resolution is less than 3 nm, which falls a technical limit area of a scanning electron microscope. In addition, with high resolution of such degree, depth of focus is extremely shallow and focusing is achieved only in a range below some ten percent of 1 μm, so that an appropriate observation range of a vertical section of the device at the time of slant observation is often less than half of a required area. This problem can be solved by vertical observation. The vertical observation enables superior observation in focus on the whole observation area. Third, the observation section exists on a wall surface of a minute hole or groove formed in the wafer, so that numeral density of secondary electrons coming out of the hole are reduced in comparison with those on the surface of the wafer. Thus, secondary electron detecting efficiency is reduced and it causes a reduction in S/N of a secondary electron image, inevitably resulting in a reduction in accuracy of the section observation. Miniaturization of LSI patterns progresses at a rate of 30% reduction every a few years without stop, and higher resolution is increasingly required in the observation apparatus. Moreover, even if surface distribution of an atomic property X-ray excited by emitting an electron beam is measured by an X-ray detector to carry out elementary analysis (EDX analysis), enlargement of an X-ray generation area due to the electron beam entering into the sample causes surface resolution of analysis to be approximately 1 μm though the electron beam has a diameter equal or less than 0.1 μm, which is insufficient for analysis of the LSI element section having a minute structure. Fourth, cases where the vertical observation of the section is indispensable include evaluation of workmanship of etching, implantation of grooves or holes, planarization or the like in wafer process. In order to accurately measure a dimension and shape of a processed section, a sample of a chip size including a section to be observed has been determined and observed by a scanning electron microscope for general purpose in the past. However, accompanying with miniaturization progress of devices and enlargement of diameter of the wafer, sometimes failure is resulted since it is considerably difficult to accurately break an element circuit pattern at a position to be observed. However, failure in creating an evaluation sample is not allowed because of poor supply capacity or increased price of the wafer for evaluation. Fifth, with the technique disclosed in JP-A-5-52721 specification, it is possible to obtain sufficient level of observation and analysis accuracy such as resolution, but the sample has to be manufactured in the conventional apparatus, taken out of the apparatus, and introduced into the separately prepared observation and analysis apparatus, thus there is a problem of requiring hours of time for taking out the minute sample, processing, observation and analysis. Further, in a case where the sample-exposed to the air is degraded by oxidation or moisture adsorption, it is difficult to avoid the degradation. Section observation of the semiconductor device has been recently considered to be important as an advantageous inspection technique in manufacturing the semiconductor, and a desirable throughput in that case at present is observation and analysis of more than a few positions per hour, and processing at much higher speed will be desired in the future. Contrary to the desire, the problem of extremely low throughput of the conventional method has not been solved. In view of the above problems, the present invention has its object to provide a method and apparatus for processing and observing a minute sample, which can vertically observe an inner section of the sample to be observed, and can carry out observation and analysis with high resolution, high accuracy and high throughput without degradation resulting from exposure to the air and without failure. Another object of the present invention is to provide a minute sample processing apparatus which requires minimum capacity of a vacuum container and a reduced occupying area and has high operability even when the apparatus is intended for a large sample. Still another object of the present invention will be described in embodiments described hereinafter. In order to attain the above object, there is provided a minute sample processing apparatus, including: a focused ion beam optical system comprising an ion source, a lens for focusing an ion beam and an ion beam scanning deflector; an electron beam optical system comprising an electron source, a lens for focusing an electron beam and an electron beam scanning deflector; a detector for detecting a secondary particle emitted from the sample; and a sample stage on which the sample is placed, wherein the apparatus further comprises a probe for supporting a minute sample cut out by emitting the ion beam to the sample, and a mechanism for operating the probe. Further, in order to attain another object, there is provided a charged particle beam apparatus, including: a sample stage for placing a sample in a vacuum container; a charged particle source; a irradiation optical system for irradiating a charged particle beam from the charged particle source to the sample; a secondary particle detector for detecting a secondary particle generated from the sample by applying the charged particle beam to the sample; a needle member whose tip is capable of coming into contact with the sample; a probe holder for holding the needle member; an introduction mechanism capable of introducing and extracting the probe holder into and from the vacuum container; and a moving mechanism having a mechanism of slanting the probe holder to a surface of the sample stage. Structure and technical effects for achieving other objects of the present invention will be described in embodiments described hereinafter. A structure and an operation of a minute sample processing and observation apparatus according to the present invention will be described. (Embodiment 1) A structure and an operation of a first embodiment of an apparatus of the invention will be described with reference to FIGS. 1, 2 and 3. FIGS. 1 and 2 show a whole structure of the apparatus and FIG. 3 shows structures of a focused ion beam optical system, scanning electron microscope optical system and around a sample stage in detail. Shown in this embodiment is a wafer corresponding apparatus in the minute sample processing and observation apparatus of the present invention. FIG. 3 shows a schematic bird's eye section of FIG. 1, and there are some differences between the figures, though not essential, in orientations or details of apparatuses for convenience in description. In FIG. 1, around a center of an apparatus system are appropriately located a focused ion beam optical system 31 and an electron beam optical system 41 above a vacuum sample chamber 60. A sample stage 24 on which a wafer 21 to, be a sample is placed is located inside the vacuum sample chamber 60. Two optical systems 31 and 41 are adjusted in such a manner that their respective central axes intersect at a point on a surface or near the surface of the wafer 21. A mechanism for moving the wafer 21 backward and forward, and right and left with high accuracy is provided in the sample stage 24, and is controlled in such a manner that a designated position on the wafer 21 falls immediately below the focused ion beam optical system 31. The sample stage 24 has functions of rotational, vertical and slanting movements. An exhaust apparatus (not shown) is connected to the vacuum sample chamber 60 and the chamber 60 is controlled so as to have an appropriate pressure. The optical systems 31, 41 also individually comprise respective exhaust systems (not shown) and they are maintained at appropriate pressures. A wafer introducing device 61 and wafer conveying device 62 are provided within the vacuum sample chamber 60. A wafer transferring robot 82 and a cassette introducing device 81 are disposed adjacent to the vacuum sample chamber 60. Provided on the left side of the vacuum sample chamber 60 is an operation controller 100 for controlling the whole apparatus and a series of processing of sample processing, observation and evaluation. Next, an outline of an operation of introducing the wafer in this embodiment will be described. When a wafer cassette 23 is placed on a table of the cassette introducing device 81 and an operation start command is issued from the operation controller 100, the wafer transferring robot 82 pulls out a wafer to be a sample from a designated slot in the cassette, and an orientation adjustment device 83 shown in FIG. 2 adjusts an orientation of the wafer 21 to a predetermined position. Then, the wafer transferring robot 82 places the wafer 21 on a placement stage 63 when a hatch on an upper portion of the wafer introducing device 61 is opened. When the hatch is closed, a narrow space is formed around the wafer to be a load lock chamber, and after air is exhausted by a vacuum exhaust device (not shown), the placement stage 63 is lowered. Next, the wafer conveying device 62 takes up the wafer 21 on the placement stage 63 and places it on the sample stage 24 at a center of the vacuum sample chamber 60. The sample stage 24 is provided with means for chucking the wafer 21 according to need in order to correct a warp or prevent vibration of the wafer 21. A coordinate value of an observation and analysis position on the wafer 21 is input from the operation controller 100, and the sample stage 24 is moved and stopped when the observation and analysis position of the wafer 21 falls immediately below the focused ion beam optical system 31. Next, a process of sample processing, observation and evaluation will be described with reference to FIG. 3. In the minute sample processing and observation apparatus of the present invention, the focused ion beam optical system 31 comprises an ion source 1, a lens 2 for focusing an ion beam emitted from the ion source 1, an ion beam scanning deflector 3 or the like, and the electron beam optical system 41 comprises an electron gun 7, electron lens 9 for focusing an electron beam 8 emitted from the electron gun 7, an electron beam scanning deflector 10 or the like. The apparatus is further provided with a secondary particle detector 6 for detecting a secondary particle from the wafer by applying a focused ion beam (FIB) 4 or the electron beam 8 to the wafer 21, the movable sample stage 24 on which the wafer 21 is placed, a sample stage controller 25 for controlling a position of the sample stage for determining a desired sample position, a manipulator controller 15 for moving a tip of a probe 72 to an extracting position of a minute sample, extracting the minute sample and controlling a position or direction optimum for observation and evaluation of a determined position of the minute sample by applying the focused ion beam 4 (FIB) or electron beam 8 to the minute sample, an X-ray detector 16 for detecting an atomic property X-ray excited at the time of applying the electron beam 8, and a deposition gas supplying device 17. Next, an outline of the process of sample processing, observation and evaluation after introducing the wafer in this embodiment will be described. The sample stage is first lowered and the probe 72 is horizontally (in X and Y directions) moved relative to the sample stage 24 with the tip of the probe 72 separated from the wafer 21, and the tip of the probe 72 is set in a scanning area of the FIB 4. The manipulator controller 15 which is a mechanism for operating the probe stores a positional coordinate and then evacuates the probe 72. The focused ion beam optical system 31 apples the FIB 4 to the wafer 21 to form a rectangular U-shaped groove across an observation and analysis position p2 as shown in FIG. 4. A processing area has a length of about 5 μm, width of about 1 μm and depth of about 3 μm, and is connected to the wafer 21 at its one side surface. Then, the sample stage 24 is inclined, and an inclined surface of a triangular prism is formed by the FIB 4. In this condition, however, the minute sample 22 is connected with the wafer 21 by a support portion S2. Then, the inclination of the sample stage 24 is returned, and thereafter, the probe 72 at the tip of the manipulator 70 is brought into contact with an end portion of the minute sample 22. Then, the deposition gas is deposited on a contact point 75 by application of the FIB 4, and the probe 72 is joined to and made integral with the minute sample 22. Further, the support portion S2 is cut by the FIB 4 to cut out the minute sample 22. The minute sample 22 is brought into a condition of being supported by the probe 72, and ready is completed that a surface and an inner section of the minute sample 22 for the purpose of observation and analysis is taken out as an observation and analysis surface p3. Next, as shown in FIG. 5(b), the manipulator 70 is operated to lift the minute sample 22 up to a level apart from the surface of the wafer 21. If necessary, the observation section p3 of the minute sample 22 may be additionally processed to a desired shape by appropriately adjusting the application angle of the FIB 4 with rotating operation of the manipulator. As an example of the additional processing, there is a finishing processing for forming an observation section p2 slantingly formed by tapering of the beam of the FIB 4 to be a real vertical section. In section processing/observation having been performed hitherto, an observation surface has to be a side wall of a hole dug by the FIB, while in the apparatus of this embodiment, the sample can be additionally processed after being lifted, with the observation surface thereof appropriately moved. Therefore, it becomes possible to form a desired section appropriately. Then, the minute sample 22 is rotated, and the manipulator 70 is moved in such a manner that the electron beam 8 of the electron beam optical system 41 substantially vertically enters into the observation section p3 to control attitude of the minute sample 22, and then stopped. Thus, even in case of observing a section of the sample, detection efficiency of a secondary electron by the secondary particle detector 6 is increased as much as in the case of observing an outermost surface of the wafer. Observation condition of the observation and analysis surface p3 of the minute sample 22 is greatly improved. A reduction in resolution which has been a problem in the conventional method can be avoided. The angles of the observation and analysis surfaces p2, p3 can be adjusted to desirable angles, and therefore, it becomes possible to perform more exact observation and analysis. With this, direction of observation of the inner section of an object sample can be freely selected. Consequently, there can be provided a minute sample processing and observation apparatus which permits observing a shape and dimension of etching or planarization, an implanting condition, coating thickness or the like with high resolution by substantially vertically observing the section, and achieving measurement and evaluation with high accuracy. In this embodiment, the resolution can be improved by transferring a minute sample by movement of the manipulator 70 immediately below the electron beam optical system 41 to reduce a working distance. In an apparatus, like this embodiment, in which an ion beam optical system and an electron beam optical system are disposed in one vacuum container, a space in the vacuum container is limited, and it is difficult to bring a large sample close to the electron beam optical system. However, by positioning a cut-out minute sample below the electron beam optical system as is in this embodiment, such a problem can be solved. Further, the minute sample 22 is observed and analyzed while being placed in the sample chamber of a vacuum atmosphere without taken out of the apparatus, so that observation and analysis of the inner section of the sample to be observed and analyzed can be achieved with high resolution, high accuracy and an optimum angle without contamination or deposition of foreign materials resulting from exposure to the outside atmosphere. In addition, observation and analysis can be achieved with high throughput of processing more than a few positions per hour. This method also allows observation to be carried out simply by lifting and appropriately positioning the minute sample, which permits facilitating operation and reduction in operation time. In this embodiment, the section of the semiconductor sample cut by FIB application is moved substantially perpendicularly to the optical axis of the scanning electron microscope to be observed. Thus, an extremely meritorious effect is exerted in such a case of observing a thin film layer formed in the semiconductor sample. For example, wiring formed in the semiconductor wafer has been often formed from copper or the like these days. Metal such as copper tends to be diffused in the semiconductor wafer to degrade the property of the semiconductor, so that it is necessary to form a barrier metal around the wiring to prevent diffusion. The barrier metal is an extremely thin film with a thickness on the order of 0.01 μm to 0.02 μm when the wiring has a thickness of 0.1 μm to 0.2 μm, and is formed from metal such as tantalum. In an inspection process of the semiconductor wafer, whether a barrier metal is formed appropriately or not is an important inspection item. When the electron beam is slantingly emitted with respect to the observation section as in the conventional section processing and observation, a distance that the electron beam interferes in the sample is increased to reduce the resolution of the scanning electron microscope and to sometimes make it difficult to observe the barrier metal. Further, since the barrier metal is the thin film as described above, the electron beam entering into the barrier metal sometimes interferes adjacent other material areas. In such a case, there is a possibility of detecting information on other materials from a position where materials constituting the barrier metal only should exist. Thus, information on the copper of the adjacent wiring is detected regardless of the barrier metal being appropriately formed, which leads to a possibility of obtaining an inspection result that function as the barrier metal is not effected. This presents a problem especially in an EDX analysis for analyzing composition of a sample by detecting a property X-ray specific to material which is resulted from the electron beam application. The metal which forms the wiring or barrier metal is sometimes corroded or oxidized at its surface when made in contact with the air, thus making it difficult to observe the section. In this embodiment, for solving the above two problems together, observation by the scanning electron microscope capable of non-destructive observation with high resolution can be achieved in a vacuum atmosphere where the sample is cut out, and the electron beam application perpendicularly to the sample section is permitted. With this structure, it become possible to carry out section processing and observation of the semiconductor element which is becoming increasingly more minute with high resolution and accuracy. Further, also in a case an additional processing is effected after observation by the scanning electron microscope, the minute sample can be positioned below the optical axis of the FIB without being exposed to the air. Therefore, there is no possibility that a position to be additionally processed is hidden by the oxide film and alignment of processing positions becomes impossible. Further, in this embodiment, the minute sample 22 having the observation and analysis surface p3 can be inclined or moved in various ways by the manipulator 70. Thus, it becomes possible, for example, to provide a hole in the observation section p2 and to also confirm three-dimensional fault forming condition in the sample. In the example shown in FIG. 3, the manipulator 70 and the electron beam optical system 41 are provided opposite to each other with respect to the FIB 4. However, in order to reduce the number of operation of the manipulator 70 or the like to minimize processing/observation time, it is preferable that a relative angle between the manipulator 70 and the electron beam optical system 41 is set close to 90° in a surface perpendicular to the application direction of the FIB 4. The reason is that by setting so, it is sufficient that the manipulator 70 simply carries out an operation of lifting the minute sample 22 from the wafer 21, operation of rotating the probe 72 in such a manner that the observation section p2 is perpendicular to the electron beam 8, and other fine adjustment operations. Used in the above description is an example of lifting the minute sample 22 from the wafer 21 by the manipulator 70, but not limited to this. The wafer 21 may be lowered to thereby consequently lift the minute sample 22. In this case, the sample stage 24 is provided with a Z-axis moving mechanism for moving the wafer 21 in a Z direction (an optical axis direction of the FIB 4). With this structure, it becomes possible to perform cutting out and lifting of the minute sample 22 in a condition where the optical axis of the electron beam optical system 41 is located in the portion of the wafer 21 to be the minute sample 22. In this case, the process from cutting out the minute sample 22 by the FIB 4 to observing the observation section p2 can occur with confirmation by the electron microscope without frequent changes of electron beam application positions during the process. By the electron beam optical system 41, an electron microscope image of the surface of the wafer 21 slantingly viewed can be obtained. A section to be processed or processing arrival position by the FIB 4 is superposed on the electron microscope image to be model displayed, then the section processing condition by the FIB 4 can be easily confirmed. In order to display the section to be processed in a superposed manner on the electron microscope image, animation showing a portion to be a section is displayed on the electron microscope image in the superposed manner based on a processing depth to be set and a dimension in the electron microscope image calculated from magnification. If the processing depth is calculated in real time based on current and acceleration voltage of the FIB, material of the sample and the like, and an animation showing the present processing depth are displayed in an interposed manner on the electron microscope image, it becomes easy to confirm progress of the processing. The electron beam optical system 41 of this embodiment is disposed in a bird's eye position with respect to the wafer 21, and the electron microscope image becomes a bird's eye image. Therefore, by displaying also the above-described animation into three-dimensional display together with the electron microscope image, it is possible to confirm the processing condition more clearly. Further, this embodiment has a function of setting a position of the section processing on a scanning ion microscope image (SIM image) formed on the basis of the secondary electron obtained by scanning the wafer 21 with the FIB. However, it is possible to provide also a sequence where other setting and operation of the apparatus (driving of the sample stage and determination of the processing position by the ion beam) are automatically carried out based on inputs of the section position and the processing depth. In this case, a portion to be an upside of the observation section p3 is first designated on the SIM image, and the processing depth (a dimension in the depth direction of the observation section p3) is set. Based on these two settings, the forming angle of the inclined portion of the minute sample 22 and the observation and analysis surface p3 are automatically determined, and the subsequent processing is automatically carried out by the settings. It is also possible to provide a sequence where the subsequent processing is automatically carried out by setting the observation and analysis surface p3 (rectangular area) on the SIM image and setting the processing depth. In this embodiment, after the minute sample 22 is lifted, the probe 72 is operated so that the observation section p3 is appropriately positioned with respect to the electron beam B. In FIG. 4, for example, when simply rotating the probe 72, the minute sample 22 is rotated around an attachment point to the probe 72. Therefore, the observation section p3 includes components of not only a rotation around a longitudinal axis of the minute sample 22 but also a rotation around an axis in the application direction of the FIB 4. Imparting a mechanism for removing the rotational components to the manipulator or manipulator controller, and operating the manipulator in timing compliant with the rotation of the probe 72 or timing different from the rotational operation allow the observation section p3 to be accurately positioned in a surface perpendicular to the optical axis of the electron beam 8. The same effect can be obtained by disposing the probe 72 to have an angle slightly larger than 90° to the electron beam optical system 41 in the surface perpendicular to the optical axis of the FIB 4. In this case, the effect is achieved by disposing the probe 72 to a rotational component around the axis in the application direction of the focused ion beam plus 90° with respect to the electron beam optical system 41. Including the rotational component around the axis in the application direction of the FIB 4 is resulted from the rotation axis of the probe 72 being inclined with respect to the observation and analysis surface p2 and the observation section p3. That is, the above problem can be solved by forming the probe 72 such that the rotation axis becomes parallel to the observation and analysis surface p2 and observation section p3. Therefore, in a case of the apparatus having a mirror structure as shown in FIG. 3, the rotation axis of the probe 72 is preferably formed in parallel with the surface of the wafer 21 (perpendicular to the optical axis of the FIB 4). By curve the tip of the probe 72, even a probe having the rotation axis parallel to the surface of the wafer 21 can support the minute sample 22. Further, it is preferable to form the rotation axis of the probe 72 so as to be perpendicular to the electron beam optical system 41 so that the sample can be moved below the optical axis of the electron beam 8 by rotation and parallel movement of the probe. Specific examples of the structure of the probe will be further described in detail in a description on a subsequent embodiment. If a mechanism to transfer a driving power from the manipulator controller 15 to a probe having a rotation axis with a different height from a probe holder 71 and parallel to the wafer 21 is provided, alignment of the observation section p3 with the electron beam 8 can be carried out without moving the minute sample 22 on a large scale. The minute sample 22 in a suspended condition by the probe 72 is susceptible to vibration, thus in observation and analysis with high magnification and in a locating environment of much vibration, the minute sample 22 may be grounded on a safe position on the wafer 21 or grounded on a minute sample port provided on a space around the wafer on the sample stage to thereby substantially restrain the vibration of the minute sample, permitting superior observation and analysis. FIG. 18 shows an example thereof such that earthquake resistance is improved by grounding the cut-out minute sample 22 on the wafer 21. In adopting such a method, it is preferable to make a sequence in advance such that the grounding position of the minute sample matches the optical axis of the electron beam 8. In creating the minute sample 22 shown in FIG. 4, the minute sample 22 is processed into pentahedron. This achieves creating of the minute sample especially with reduced waste in processing and in a reduced period of time for separation of the minute sample. It is needless to say that the same effect of the present invention can be obtained by forming the minute sample 22 into tetrahedron (not shown) or a shape close to tetrahedron which can minimize processing time because of the least processing surface. In the EDX analysis in which the electron beam 8 is scanned on the minute sample 22, elementary analysis accuracy is improved by forming the minute sample 22 thinner in the electron beam application direction than an entry distance of about 1 μm by the electron beam application. The EDX analysis is carried out using a detector of an X-ray generated from the minute sample resulting from the electron beam application. Forming the minute sample to be a thinner film permits avoiding enlargement of an X-ray generation area resulting from entry of a charged particle beam, thus enabling the elementary analysis with high resolution. By applying the analysis thus far described to the semiconductor wafer with or without pattern, the analysis can be used in an inspection of a semiconductor manufacturing process to contribute to improvement of manufacturing yield by early detection of failure and quality control in a short period of time. (Embodiment 2) A structure and an operation of a minute sample processing and observation apparatus according to a second embodiment of the present invention will be described with reference to FIGS. 6 and 7. FIG. 7 is a plan view of FIG. 6, and there are some differences between the figures in orientations or details of apparatuses for convenience in description but they are not essential differences. In this apparatus, a focused ion beam optical system 31 is vertically disposed and a second focused ion beam optical system 32 is located at an angle of approximately 40° at the upper part of a vacuum sample chamber 60 disposed in the central part of the apparatus system. An electron beam optical system 41 is slantingly located at an angle of approximately 45°. Three optical systems 31, 32, 41 are adjusted in such a manner that their respective central axes intersect at a point around a surface of a wafer 21. Similarly to the apparatus of the first embodiment, inside the vacuum sample chamber 60 is located a sample stage 24 on which the wafer 21 to be a sample is placed. The sample stage 24 in this embodiment has functions of horizontal (X-Y) rotational and vertical movements, but a slanting function is not necessarily required. Next, a sample creating operation by this apparatus will be described with reference to FIG. 4. An FIB 4 is applied from the focused ion beam optical system 31 to the wafer 21 to form a rectangular U-shaped groove across an observation and analysis position p2 as shown in FIG. 4. This is identical to the first embodiment. Then, an inclined surface of a triangular prism is formed by processing with the FIB 4 from another focused ion beam optical system 32. In this condition, however, the minute sample 22 and wafer 21 are connected with each other by a support portion. Then, a minute sample is cut out using the FIB 4 from the focused ion beam optical system 31 similarly to the first embodiment. That is, a probe 72 at a tip of a probe holder 71 of a manipulator 70 is brought into contact with an end portion of a minute sample 22, and then deposition gas is deposited on a contact point 75 by application of the FIB 4, where the probe 72 is joined to and made integral with the minute sample 22, and the support portion is cut by the FIB 4 to cut out the minute sample 22. Subsequent steps of observation and analysis of the minute sample 22 are identical to the first embodiment. As described above, also in this embodiment, high speed observation and analysis with high resolution can be achieved similarly to the first embodiment. In this embodiment, slanting of the sample stage can be eliminated especially by using two focused ion beam optical systems. Omitting the slanting mechanism of the sample stage can improve positioning accuracy of the sample stage more than a few to ten times. In a manufacturing site of LSI devices, it has come into practice in recent years that various wafer inspection and evaluation apparatus carry out a foreign material inspection and defect inspection, that a property and coordinate data of a wrong portion on the wafer are recorded, and that subsequent apparatus for a further detail inspection receives the coordinate data to determine a designated coordinate position and to carry out observation and analysis. High positioning accuracy permits automation of determining the observation position of the wafer 21 and simplification of its algorithm. This can substantially reduce required time, which permits obtaining high throughput. Further, the sample stage having no slanting mechanism is compact and lightweight and can easily obtain high rigidity to increase reliability, thus permitting superior observation and analysis and miniaturization or a reduction in cost of the apparatus. Imparting a swinging function to the focused ion beam optical system 31 to be appropriately moved between the vertical and inclined positions permits processing identical to the second embodiment without slanting the sample stage 24, and thus the effect of the present invention can be obtained. (Embodiment 3) A structure and an operation of a minute sample processing and observation apparatus according to a third embodiment of the present invention will be described with reference to FIGS. 8 and 9. FIG. 9 is a plan view of FIG. 8, and there are some differences between the figures in orientations or details of apparatuses for convenience in description but they are not essential difference. In the apparatus of this embodiment, a focused ion beam optical system 33 is slantingly located at an angle of approximately 45° at an upper portion of a vacuum sample chamber 60 disposed at the central part of the apparatus system. An electron beam optical system 42 is also slantingly located at an angle of approximately 45°. Two optical systems 33, 42 are adjusted in such a manner that their respective central axes intersect at a point around a surface of a wafer 21. Similarly to the apparatus of the first embodiment, inside the vacuum sample chamber 60 is located a sample stage 24. Further, similarly to the second embodiment, the sample stage 24 has no slanting function. Next, processes of sample processing, observation and evaluation after introducing the wafer will be described with reference to FIG. 19 also. The sample stage is first lowered to move a probe 72 horizontally (in X and Y directions) relative to the sample stage 24 with the tip of the probe 72 separated from the wafer 21, and the tip of the probe 72 is set in a scanning area of the FIB 4. The manipulator controller 15 stores a positional coordinate and then evacuates the probe 72. The sample stage is oriented in such a manner that an intersection line of a vertical plane containing an optical axis of a focused ion beam optical system 33 and a top surface of the wafer is superposed on an observation section of a sample to be formed. Then, an FIB 4 is applied to the wafer 21 for scanning to form a vertical section C1 having a length and depth required for the observation. Then, an inclined cut section C2 which intersects a formed section is formed. When forming the inclined cut section C2, the sample stage is rotated around a horizontal axis up to a position where an inclination angle of an inclined surface is obtained to determine the orientation. Next, an inclined groove is formed by the FIB 4 in parallel with a vertical cut line. Further, an end C3 is cut orthogonal to the groove. A processing area has a length of about 5 μm, width of about 1 μm and depth of about 3 μm, and is connected to the wafer 21 in a cantilevered condition of a length of about 5 μm. Then, the probe 72 at the tip of a manipulator 70 is brought into contact with an end portion of a minute sample 22, and then deposition gas is deposited on a contact point 75 by application of the FIB 4, where the probe 72 is joined to and made integral with the minute sample 22. Then, the other end C4 supporting the minute sample is cut by the FIB 4 to cut out the minute sample 22. The minute sample 22 is brought into a condition of being supported by the probe 72, and ready to be taken out with a surface and an inner section for the purpose of observation and analysis as an observation and analysis surface p3 is completed. Processing thereafter is substantially identical to the first embodiment except that an orientation of the sample stage 24 is also required to be appropriately adjusted when setting the optimum orientation of the minute sample for processing and observation by the focused ion beam optical system or observation by electron beam optical system, and thus description thereof will be omitted. As described above, also in this embodiment, high speed observation and analysis with high resolution can be achieved similarly to the first embodiment. This embodiment has a feature that one focused ion beam optical system is inclined with respect to the sample stage to thereby cut out and extract the minute sample from the wafer without imparting a slanting function to the sample stage. Generally, a large number of devices are required to be mounted around the optical system, causing lack of spaces, and a large total mass of the devices makes difficult design of a mounting substrate including ensuring rigidity. Maintenance thereof is also a matter of concern. This embodiment eliminates the need for a slanting mechanism of the sample stage, and requires only one focused ion beam optical system, which can provide a simple, compact and lightweight structure and reduced cost. (Embodiment 4) An outline of structure of a minute sample processing and observation apparatus according to a fourth embodiment of the present invention will be described with reference to FIG. 10. In this embodiment, a second sample stage 18 and second sample stage controller 19 for controlling an angle, a height and the like of the second sample stage are added to a basic structure of the minute sample processing and observation apparatus shown in FIG. 3. The process from applying an ion beam from the focused ion beam optical system 31 to a wafer to extracting a minute sample from the wafer is identical to the first embodiment. In this embodiment, the extracted minute sample is fixed to the second sample stage for observation and analysis instead of observation and analysis in the supported condition by the manipulator. FIG. 11 shows a condition of the minute sample 22 fixed to the second sample stage 18. A member with a flattened surface is used for a minute sample fixed portion of the second sample stage 18 in this embodiment, but flatness does not matter. A bottom surface of the minute sample is brought into contact with the second sample stage 18, and deposition gas is deposited on a contact point between the second sample stage 18 and minute sample 22 with the FIB 4 to fix the minute sample 22 to the second sample stage 18 with an assist deposition film 76. In order to prevent inconvenience of attachment of foreign materials to the surface of the observation section or destruction of the surface of the observation section when creating the minute sample 22 or depositing the deposition gas, an application angle of the FIB 4 may be appropriately set in parallel to the observation section of the minute sample by operating the second sample stage to create a desired observation section by applying the FIB 4. By locating the second sample stage shown in FIG. 12, a plurality of minute samples can be collectively handled. By repeating operation of extracting the minute sample 22 from the wafer 21 to fix it to an appropriate position on the second sample stage 18 beside the first sample stage, section observation and elementary analysis of the plurality of samples can be carried out with the wafer 21 fixed to the sample stage 24, and distribution of a section structure throughout the wafer 21 can be efficiently examined. In FIG. 12, when fixing the plurality of minute samples in a line to the second sample stage 18 and carrying out observation and analysis in a condition where both of a stopping orientation of the sample stage 24 and an angle of the second sample stage 18 are adjusted so as to locate the minute sample 22 at an appropriate angle to the electron beam 8, the plurality of minute samples can be observed and analyzed successively or repeatedly with compared to one another, thereby permitting detailed and efficient examinations of the section structure and elementary distribution throughout the wafer 21. The second sample stage 18 shown in FIG. 13 is a rotatable column sample stage such that a minute sample group can be arranged on its outer peripheral surface, and a larger number of minute samples can be handled at a time than in the case of FIG. 12. By detaching the minute samples 22 to be recovered in a designated position in a sample recovery tray and providing identification means for the minute samples, the minute samples 22 can be taken out again for observation and analysis when a detailed evaluation is required afterward. As described above, also in this embodiment, secondary electron detecting efficiency can be obtained as high as in the case of observing the wafer surface, an angle for observation and analysis can be adjusted to a desirable angle including vertical observation, observation can be carried out with placed in a sample chamber of a vacuum atmosphere, and the like, therefore, observation condition of the minute sample 22 is greatly improved to permit avoiding a reduction in resolution which has been a conventional problem and carrying out optimum, exact observation and analysis promptly with high speed and high efficiency. As a result, superior observation and analysis can be carried out with high throughput. By separating the minute sample from the manipulator to be fixed to the second sample stage, vibration isolating mechanism of the sample stage which holds the introduced sample and vibration isolating mechanism of the second sample stage to which the minute sample is fixed can be shared. (Embodiment 5) Details of the probe for lifting the minute sample from the wafer, which has been described in the former embodiments and a driving mechanism for driving the probe will be described below. FIG. 16 is an explanatory view of the embodiment. In this embodiment, an example where the probe for lifting the minute sample from the wafer and the like and a holder for holding the probe are detachably mounted to a sample chamber (vacuum container) will be described. An optical system 226 comprising an ion source 225, beam limiting aperture 228, focusing lens 229, deflector 230 and objective lens 231 are basically the same as in FIG. 3, and an FIB 227 is adjusted which is applied along an optical axis 224. Further, the apparatus shown in FIG. 16 is provided with a sample holder 233a for holding a wafer 217 and a stage 234 for moving the sample holder in X-Y directions. The apparatus is further provided with a secondary electron detector 237 for detecting a secondary electron discharged from the sample resulting from application of the FIB 227, a deposition gas source 238 for blasting a deposition gas concurrently with application of the ion beam and a vacuum container 206 for maintaining high vacuum in the sample chamber. An output of the secondary electron detector 237 is amplified by an amplifier (not shown) and then stored in an image memory (not shown) and displayed on an image display apparatus 238. A central processing unit 240 controls various components of the apparatus shown in FIG. 16 via an FIB controller 236, a probe position controller 223, and stage position controller 235. Details of a probe moving mechanism 201 (manipulator) which is controlled by the probe position controller 223 will be described with reference to FIGS. 17 and 18. An air lock chamber 202 provided in the probe moving mechanism 201 is coupled to a base flange 205 via bellows 204 absorbing a moving amount of a probe 203. The base flange 205 is fixed to a vacuum container 206 with a vacuum seal 207 interposed therebetween. A closable air lock valve 208 is disposed at an end of the air lock chamber 202, and opened/closed by rotating a cylindrical air rock valve opening/closing mechanism 209. Shown in FIG. 17 is a condition where the air lock valve 208 is opened and a probe holder 210 is introduced into the vacuum container 206 in such a manner that its central axis is inclined to a surface of the wafer 217. An air rock chamber outer cylinder 211 in which the air lock valve 208 and air rock valve opening/closing mechanism 209 are accommodated has a concentrical hollow double structure, and one end of the hollow portion communicates with the air lock chamber 202 and the other end communicates with an exhaust pipe 212. The above structure eliminates the need for compact bellows for the air lock chamber 202 which has been conventionally required, permitting simplification, miniaturization and reduction in cost of the probe moving mechanism 201. On a fixed side flange 213 of the bellows 204, a current introduction terminal 214 having a sealing function is disposed. By connecting via a lead wire 216 a vacuum side of the current introduction terminal 214 to a probe holder 249 which holds the probe 203 and is formed from an insulating material with conduction at portions in contact with the probe 203 and probe holder stopper 215, power can be supplied from an air side to the probe 203. To one end of the air rock chamber outer cylinder 211, a Y-axis stage 219a is fixed where a Y-axis linear guide 218a is fixed in parallel with the surface of the wafer 217 as shown, and coupled to a Y-axis base 220 via the Y-axis linear guide 218a as shown in FIG. 18. Linear driving of a Y-axis is carried out using a Y-axis linear actuator 221a held by the Y-axis base 220. An output shaft of the Y-axis linear actuator 221a is coupled to a Y-axis stage 219a via a Y-axis lever 222a. The Y-axis base 220 is coupled to a Z-axis stage 219b. The Z-axis stage 219b is coupled to an X-axis stage 219c via a Z-axis linear guide 218b disposed perpendicularly to the surface of the wafer 217 having a phase 90° different from the Y-axis linear guide 218a as shown. The linear driving of the Z-axis stage 219b is carried out using a Z-axis linear actuator 221b held by the X-axis stage 219c. An output shaft of the Z-axis linear actuator 221b is coupled to the Z-axis stage 219b via a Z-axis lever 222b. Similarly, the X-axis stage 219c is coupled to the base flange 205 via an X-axis linear guide 218c disposed in parallel with the surface of the wafer 217 having a phase 90° different from the Y-axis linear guide 218a as shown. The linear driving of the X-axis stage 219c is carried out using an X-axis linear actuator 221c held by the base flange 205. An output shaft of the X-axis linear actuator 221c is coupled to the X-axis stage 219c via an X-axis lever 222c. As described above, coupling the X-, Y- and Z-axes to the respective linear actuators via the respective levers can eliminate projections at the linear actuators and achieve miniaturization of the probe moving mechanism 201. The probe moving mechanism 201 of this embodiment has a width of 172 mm in the X-axis direction and a height of 165 mm in the Z-axis direction which are substantially identical to the width and height of the used linear actuator. Introduction of the probe holder 210 into the vacuum container 206 according to this embodiment adopts the following procedures. The probe holder 210 is inserted in front of the air lock valve 208. In this condition, the air lock chamber 202 is kept to be sealed by the vacuum seal 207 arranged in an outer cylinder of the probe holder 210. After the insertion, air in the air lock chamber 202 is exhausted to be a vacuum from the exhaust pipe 212 through a hollow portion of the air lock chamber outer cylinder 211. After confirming that a pressure in the air lock chamber 202 reaches a predetermined pressure, the air lock valve 208 is opened using the air lock valve opening/closing mechanism 209, and the probe holder 210 is introduced into the vacuum container 206. The above described operations allow the probe 203 to be introduced into the vacuum container 206 without the vacuum container 206 being exposed to the air. Extracting the probe holder 210 from the vacuum container 206 can be carried out by the procedure in the reverse order of the insertion. That is, the probe holder 210 is once extracted in front of the air lock valve 208, then the air lock valve 208 is closed using the air lock valve opening/closing mechanism 209. Confirming the closure, the air in the air lock chamber 202 is leaked from the exhaust pipe 212. After confirming an atmospheric pressure, the probe holder 210 is taken out of the probe moving mechanism 201. Adopting the above structure allows replacement of the probe 203 which is a consumable supply to be carried out without the vacuum container 206 being exposed to the air. As shown in FIG. 16, by structuring the probe holder 210 in such a manner that a substantially central axis of the probe holder 210 enters slantingly to the wafer 217 (in this embodiment, enters at an angle of 30°), the probe holder 210 can reach near the optical axis 224 of the charged particle beam optical system with a minimum length, which permits providing the probe holder 210 with high rigidity and remarkably facilitating handling of the few μm sample piece 232 and operations of making the tip of the probe into contact with a predetermined position on an electron element having a submicron wiring. Machine parts such as the bellows 204 for absorbing the mounting amount of the probe 203 are not positioned lower than the surface of the wafer 217, so that the probe moving mechanism 201 has no influence on the size of the vacuum container 206, and the vacuum container 206 may be a minimum size determined within a movement range of the wafer 217. Minimizing the vacuum container 206 which determines the size of the apparatus can provide a sample creating apparatus for samples with large diameters mounted with a probe moving mechanism, which permits reduction in occupying area, weight and cost and also miniaturization of exhaust means. In this embodiment, the entering angle of the probe holder 210 is 30°, but not limited to this. The same effect can be obtained by inserting the probe holder 210 slantingly to the vacuum container 206 in such a manner that the probe 203 is within a range of being displayed by the image display apparatus 238. By arranging the probe moving mechanism 201 in a position where a distance to the intersection point of the center of the base flange 205 which couples the probe moving mechanism 201 to the vacuum container 206 and a vertical line of the optical axis 224 is below ½ of the horizontal movement range of the sample stage 234, below 150 mm in this embodiment, the probe holder 210 can be introduced into the vacuum container 206 with a minimum length at a desired angle, and freedom of a layout of the apparatus can be increased while permitting the vacuum container 206 to be miniaturized. Moreover, by adopting the structure where the respective linear actuators of the probe moving mechanism 201 slantingly entering in the vacuum container 206 and the respective stages are coupled via the levers, the probe moving mechanism 201 car eliminate projections, thus imposing no limitation in the layout to other measurement instruments arranged in the vacuum container 206, preventing problems of unexpected interference or the like and achieving miniaturization of the apparatus. Creating the sample using this apparatus is carried out by the following procedures. The ion beam 227 emitted from the ion source 225 is focused on a predetermined position on the stage 234 by passing through the optical system 226. The focused ion beam, that is, FIB 227 is spattered in the form of scanning the surface of the wafer 217 to carry out fine processing of the sample piece (not shown). On the stage 234, the wafer 217 and the sample holder 233a for holding the extracted sample piece are placed, and the stage position controller 235 determines a position to be FIB processed and extracted. The probe 203 mounted on the probe moving mechanism 201 is moved to an extracting position on the wafer 217 independently of the stage 234 by the probe position controller 223. Operations of movement and processing are carried out while observing by scanning with the FIB around the extracting position of the wafer 217 by the FIB controller 236, detecting the secondary electron from the wafer 217 by the secondary electron detector 237, and displaying the obtained secondary particle image on the image display apparatus 238. For extracting the sample piece, the FIB processing is carried out while changing the attitude of the wafer 217 to cut out the sample piece in the form of a wedge, and deposition gas is supplied to the contact portion of the sample piece where the probe 203 is made into contact with using the deposition gas source 239, and an ion beam assist deposition film is formed to thereby attach the probe 203 to the sample piece. The prove 203 is then raised from the wafer 217 by the probe position controller 223, and moved to a position of the sample holder 233b on the stage 234. The probe 203 is lowered, contact between the wedge portion of the sample piece attached to the probe 203 and the surface of the sample holder 233b is confirmed, and a side surface of the sample piece is attached to the sample holder 233a by the ion beam assist deposition film. The tip of the probe 203 is cut from the sample piece 232 by the FIB and moved to a next sample extracting position by the probe position controller 223. The above processes make it possible to extract the sample piece 232 at a desired position from the wafer 217 and move it to the sample holder 233b. The above operations are collectively controlled by a central processing unit 240. This embodiment adopts the ion beam assist deposition film as the attaching means between the probe 203 and the sample piece 232, but there is no problem in electrostatic attaching means using an attaching force by static electricity, and the same effect can be obtained as this embodiment in that case. However, attachment by the assist deposition film is desirable for attaching the probe to the accurate position. In this embodiment, the probe moving mechanism is structured to be slantingly inserted, thereby permitting miniaturization of the sample chamber (vacuum container) in comparison with a probe moving mechanism which is inserted horizontally of the wafer surface disclosed in JP-A-11-56602 specification. For example, when the sample is the semiconductor wafer with the large diameter and the probe moving mechanism is tried to be horizontally introduced, the machine parts such as bellows for absorbing the moving amount of the probe are inevitably positioned lower than the surface of the wafer, therefore the machine parts have to be placed in a position which has no interference with the stage on which the wafer is placed, that is, out of the movement range of the stage. This inevitably causes upsizing of the vacuum container, but the present invention can achieve miniaturization of the vacuum container, and the resultant reduction in an occupying area and cost and miniaturization of a vacuum exhaust pump. There have been needs for extending the probe from the side wall of the vacuum container to the predetermined position (around the optical axis of the charged particle beam) and thereby providing a long support member for supporting the probe, causing a problem of degraded rigidity. This embodiment can also solve the problem to thereby facilitate positioning the prove in the predetermined position. (Embodiment 6) FIG. 19 is a sectional view of a sample creating apparatus of a sixth embodiment using a slantingly entering sample stage fine moving device 241. Described in the former embodiment has been the example of providing an electron beam barrel in the same sample chamber as the ion beam barrel and observing the sample cut out by the electron beam barrel. However, described in this embodiment is an example of transferring a cut-out sample to other analyzer using a side entry type sample stage and observation is carried out. The side entry type sample stage means a stage to be inserted from the side of a charged particle beam barrel or the sample chamber, and details thereof will be described below. FIG. 20 is an enlarged view of portions around the probe 203 in FIG. 19, and FIG. 21A is a vertical sectional view and FIG. 21B is a horizontal sectional view of a side entry type sample stage 242 used in FIG. 19. First, the side entry type sample stage 242 will be described with reference to FIG. 21. A sample locating portion 243 to which a sample piece 232 is attached is held by a sample holder 233a. A projection 245 is provided on an end surface of a driving shaft 244 side of the sample holder 233a. The shape of the projection 245 does not matter. Arranged in a position on an end surface of a vacuum side of the driving shaft 244 is a rotation shaft 246, of which free end is eccentric from a rotational central axis of the driving shaft 244, in contact with a surface of the projection 245 with an attitude in parallel with the central axis of the driving shaft 244. When a knob 247 of the driving shaft 244 is rotated, the rotation shaft 246 is eccentrically rotated and the projection 245 with which the free end of the rotation shaft 246 is in contact is rotationally moved around a rotation bearing 273 depending on an eccentric amount and a rotation amount of the rotation shaft 246. That is, the sample holder 233a is rotationally moved. In this embodiment, rotation at 230° is possible. A part of an outer cylinder 248 of the sample holder 233a portion is cut out and it facilitates attachment of the sample piece 232 to a sample locating portion 243 and forming of the sample piece 232 by the FIB. Using the same mechanical system and control system as the probe moving mechanism 201 shown in FIGS. 17 and 18 for a sample stage fine moving mechanism 241 for driving the side entry type sample stage 242 and a sample stage position controller 278 improves productivity and reduces cost of the apparatus, and also improves maintainability and operability. Sample creation using the sample creating apparatus according to this embodiment takes the following steps. The operations of introducing and extracting the side entry type sample stage 242 into and from the vacuum container 206 are the same as the operations of the probe holder 210 in the above described probe moving mechanism 201. Before extraction of the sample piece 232 at a desired position from the wafer 217, the same processes as the fifth embodiment are adopted. After extraction of the sample piece 232, the side entry type sample stage 242 is inserted into the vacuum container 206 without being exposed to the air. In this case, similarly to the fifth embodiment, by structuring the side entry type sample stage 242 in such a manner that a substantially central axis of the side entry type sample stage 242 slantingly enters with respect to the wafer 217, the size of the vacuum container 206 can be minimized, and the side entry type sample stage 242 can reach near an intersection point of the optical axis 224 of the FIB 227 and the wafer 217 with a minimum length. In this embodiment, the side entry type sample stage 242 slantingly enters at an angle of 30° to the surface of the wafer 217, but not limited to 30° The same effect can be obtained by slantingly inserting the side entry type sample stage 242 into the vacuum container 206 in such a manner that the sample holder 233a exists within a range of being displayed by an image display apparatus 238. By this structure, from the same reason as the probe moving mechanism 201 in the fifth embodiment, the sample stage fine moving mechanism 241 has no influence on the size of the vacuum container 206 and the vacuum container 206 can be a minimum size which is determined by a movement range of the wafer 217. By arranging the sample stage fine moving mechanism 241 in a position where a distance to an intersection point of a center of the base flange 205 which couples the sample stage fine moving mechanism 241 to the vacuum container 206 and a vertical line of the optical axis 224 is below ½ of the horizontal movement range of the sample stage 234, below 150 mm in this embodiment, the side entry type sample stage 242 can be introduced with a minimum length at a desired angle, and freedom of a layout of the apparatus can be increased while permitting the vacuum container 206 to be miniaturize. After insertion of the side entry type sample stage 242, the knob 247 is turned to rotate the sample locating portion 243 held by the sample holder 233a at an angle in parallel with the wafer 217 as shown in FIG. 20, that is 30° in this embodiment. Then, the probe 203 holding the sample piece 232 is driven by the probe moving mechanism 201 and the probe position controller 223 shown in FIG. 19, and the minute sample piece 232 is attached to the sample holder 233a by forming a deposition film. After attachment, the sample holder 233a is again rotated to the position in parallel with the axis of the side entry type sample stage 242, and the side entry type sample stage 242 is then extracted from the vacuum container 206 by the above described means, and for example, mounted to a TEM apparatus (not shown) to thereby carry out TEM observation. The rotation of the sample holder 233a is used for fine rotational adjustment of the sample piece 232 in the TEM observation to permit more reliable analysis. By adopting the structure according to this embodiment, the FIB apparatus can be realized which has the vacuum container 206 with the size restricted to the same size as in the fifth embodiment, the probe moving mechanism 201 which can extract the sample piece 232 at a desired position on the wafer 217 and the side entry type sample stage 242 which can be mounted to various analyzers. By using this FIB apparatus, it becomes possible to transfer the sample piece 232 at a desired position of the wafer 217 with a large diameter to the sample holder 213a in the vacuum container 206, and further, by taking out the side entry type sample stage 242 on which the sample holder 233a is placed without being exposed to the air, prompt mounting on various analyzers and evaluation become possible. Further, by adopting a sample stage fine moving device with the same manner as the probe moving mechanism 201, improvements of productivity, maintainability, and operability of an apparatus can be realized. (Embodiment 7) FIG. 22 is a sectional view of a sample creating apparatus of still another embodiment. The embodiment differs from the sixth embodiment in that it uses a probe moving mechanism 201 having a probe holder 210 in which freedom of rotation around a Y-axis shown by the coordinate system shown in FIG. 16 is added to a probe 203 shown in FIG. 23, and a sample stage fine moving mechanism in which freedom of rotation around a central axis of a side entry type sample stage 242 is added to a sample holder 233a shown in FIG. 24. The structure of the probe holder 210 will be described with reference to FIG. 23. FIG. 23A shows the probe 203 in a projected condition, and FIG. 23B shows the probe 203 accommodated in an outer cylinder 248. The probe 203 is fixed to a probe holder 249 through a leaf spring 252, and the probe holder 249 is held in an inner cylinder 251 which linearly moves through a bearing 250. The inner cylinder 251 is inserted into an outer cylinder 248 with freedom in a rotating direction being limited, and pressed against a driving shaft 253 via a bearing 254. An end of the probe holder 249 is connected to a helical compression spring 259, and the other end of the helical compression spring 259 is coupled to the driving shaft 253. A rotation center of the bearing 250 is inclined to a center line of the probe holder 210 at an insertion angle of the probe holder 210. This allows the probe 203 to be rotationally moved in parallel with the surface of the wafer 217 in the vacuum container 206. If such a probe is applied to the apparatus described in the first embodiment, observation by a scanning electron microscope capable of non destructive observation with high resolution becomes compatible with application substantially in a vertical direction to the sample section. As is the apparatus of the present invention, in an apparatus handling large samples, a probe and a moving mechanism of the probe must be disposed above the samples. However, the probe and the probe moving mechanism disclosed in FIG. 23 permit rotation of a cut out minute sample around a rotation axis parallel to a sample surface. The driving shaft 253 is inserted into the outer cylinder 248 with a bearing 255 for rotation and linear moving and a vacuum seal (not shown) interposed. An end of the driving shaft 253 projects from the outer cylinder 248. A gear 256b is fixed to the projected portion of the driving shaft 253, and a minute feeding mechanism 257 which is an actuator of linear movement is pressed against an end surface of the driving shaft 253. Another gear 256a in mesh with the gear 256b is arranged in parallel with the driving shaft 253, and a knob 247 for rotary movement is fixed to the gear 256a. It is needless to say that the gears 256a, 256b are held via rotatable members, though not shown. The above is the basic structure of the probe holder 210 having two degrees of freedom of rotation and accommodation of the probe 203. Next, operations will be described. The driving shaft 253 is linearly moved using the minute feeding mechanism 257. The linear movement of the driving shaft 253 is transferred to the outer cylinder 248, thus the probe 203 held by the probe holder 210 is linearly moved without rotation. By this structure, accidents such as damages of the minute probe 203 can be prevented in operations such as inserting or extracting the fine probe holder 210 into or from the vacuum container 206, and an operator can easily use the apparatus. The probe 203 is rotationally moved by turning the knob 247, rotationally moving the driving shaft 253 via the gears 256a, 256b. Since freedom of rotation of the inner cylinder 251 is limited, the rotary movement of the driving shaft 253 does not cause rotary movement of the inner cylinder 251. An elastic deformation by the helical compression spring 259 changes a direction of the rotary movement, but the rotary power is transferred to the probe holder 249, and the probe holder 249 held via the inner cylinder 251 and bearing 250 for rotation is rotationally moved. As described above, by simple operations of linear and rotary movements of a single driving shaft 253, the probe 203 can move linearly and rotationally. Next, the fine moving mechanism of the side entry type sample stage 242 to which freedom of rotation is added will be described with reference to FIG. 24. The respective moving mechanisms of the X-, Y- and Z-axes are of the same type as the probe moving mechanism 201 shown in FIGS. 17 and 18, and only different points will be described below. In this embodiment, the difference from the sixth embodiment is that a gear 261a is disposed on a grip 260 of a side entry type sample stage 242, and a gear 261b in mesh with the gear 261a and a driving source 262 for rotatably driving the gear 261b are disposed on a Y-axis stage 219a. By the structure of this embodiment, the side entry type sample stage 242 can be inclined at a desired angle by rotationally moving the sample holder 233a portion together with the whole side entry type sample stage 242. Further, by using the gears 261a, 261b as transferring media of the rotary power, the gear 261a coupled to the side entry type sample stage 242 can be coupled to the gear 261b coupled to the driving source 262 using no mechanical parts such as screws with no bars in inserting and extracting the side entry type sample stage 242. FIG. 25 shows operations of processing the sample piece 232 by the sample creating apparatus of FIG. 22. Sample creating by the sample creating apparatus of this embodiment will be described with reference to this figure. The same steps as the fifth embodiment are adopted before the step (a) for extracting the sample piece 232 from the wafer 217. When analyzing an outermost surface of the wafer 217, as described in the sixth embodiment, the sample piece 232 is transferred on a sample locating portion 243 rotationally moved in parallel with the surface of the wafer 217 without rotating the probe 203. When analyzing the wafer 217 in the depth direction, the sample piece 232 is extracted from the wafer 217 and then the probe 203 is rotated at an angle of 90°, and the X-, Y- and Z-axes are driven if necessary, and the sample piece 232 is attached by the ion beam assist deposition film to the sample locating portion 243 which has been rotationally moved in parallel with the surface of the wafer 217 (FIG. 25 (b)). After the sample piece 232 is transferred to the sample locating portion 243, the probe 203 is linearly moved using the minute feeding mechanism 257 so as to be accommodated in the outer cylinder 248. Then, the knob 247 is turned to reset the inclined sample holder 233a holding the sample locating portion 243 (FIG. 25 (c)). Then, the driving source 262 is driven, and the sample holder 233a is rotationally moved in such a manner that the sample locating portion 243 is opposed to the FIB 227, and the sample piece 232 is forming worked by the FIB 227 (FIG. 25 (d)). In this case, during the steps of processing, by rotating and inclining the sample holder 233a to have a position in FIG. 25 (b), it is possible to observe the condition of the observation surface at any time through an image display apparatus 238 for displaying secondary particle images from the sample surface. After forming worked, it is possible to carry out analysis by extracting the side entry type sample stage 242 from the vacuum container 206 and mounting it as it is on an analyzer such as TEM. According to the sample creating apparatus of this embodiment, analysis of the outermost surface layer and in the depth direction of the wafer 217 is possible, and further, a wide range of sample analyses is possible because of having the same structure as the side entry type sample stage 242 capable of being mounted to various analyzers, thereby greatly enlarging a range of utilization as the sample creating apparatus. In the above embodiment, description has been made on creation and observation of the TEM sample as an example for convenience in description, but not limited to the TEM. It is apparent that the sample surface can be easily analyzed or observed by configuring the apparatus so as to be mounted to any one of the focused ion beam apparatus, transmission electron microscope, scanning electron microscope, scanning probe microscope, Auger electron spectroscopic analyzer, electron probe X-ray microanalyzer, electronic energy deficiency analyzer, secondary ion mass spectroscope, secondary neutron ionization mass spectroscope, X-ray photoelectron spectroscopic analyzer, or electrical measuring apparatus using a probe. In the charged particle beam apparatus having the ion beam barrel and electron beam barrel as described in the first embodiment, the ion beam barrel and electron beam barrel are relatively inclined to the sample placing surface of the sample stage. The sample piece is separated from the sample placed on the sample stage by the ion beam, and is joined in an deposited manner by the ion beam and gas to a needle member mounted to the tip of the probe and is extracted. The extracted sample piece is moved below the electron beam rotated such that the electron beam can be applied to a predetermined portion. The secondary electron from the sample may be detected by the detector to obtain a scanning electron microscope image. In the sample creating apparatus described above, the description has been made specially on the FIB 227 only for convenience in description, but the same effects can be obtained as the present invention even in, for example, a sample creating apparatus using a projection ion beam which is configured by replacing a deflector 230 and objective lens 231 with a mask plate and projection lens, or a sample creating apparatus using a laser beam which is configured by replacing an ion source 225 with a laser source. Moreover, there is no problem of making a sample creating apparatus having a structure in which an optical system of a scanning electron microscope is added to the above described sample creating apparatus. In that case, by using the probe moving mechanism 201 having freedom of rotation around the Y-axis shown in the seventh embodiment of the present invention, it becomes possible to observe the sample piece 232 with high resolution by opposing the sample piece 232 together with the probe to the optical system of the scanning electron microscope after the sample piece is taken out of the wafer 217. (Embodiment 8) FIG. 26 is a sectional view of an embodiment where a probe moving mechanism 201 according to the present invention is applied to a failure inspection apparatus. In the figure, an electron beam 266 emitted form an electron gun 265 passes through an electron beam optical system 267 and is focused on a surface of a wafer 217 placed on a stage 234. The stage 234 is controlled by a stage position controller 235 to determine position of an element to be evaluated on the wafer 217. In this figure, only two probe moving mechanisms 201 are shown, but another two probe moving mechanisms 201 are arranged opposite in the direction perpendicular to the sheet surface, thus the failure inspection apparatus is provided with four probe moving mechanisms 201. A probe 203 arranged in each of four probe moving mechanisms 201 is moved to the position of the evaluation element on the wafer 217 by the probe position controller 223 capable of being driven independently of the stage 234. Movement is carried out with confirming in such a manner that an electron beam controller 271 scans around the evaluation element on the wafer 217 with an electron beam 266, and that a secondary electron from the wafer 217 is detected by a secondary electron detector 237 to display an image of the element portion on an image display apparatus 238. In this embodiment, a power supply 269 is connected to each probe 203 so that voltage can be applied to a minute portion of the wafer 217 with which applied to a minute portion of the wafer 217 with which the probe 203 comes into contact. At the same time, an amperemeter 270 is also connected to each probe 203 so that a current flowing in each probe 203 can be measured. As an example of an evaluation method, a case in a MOS device formed on the wafer 217 is described. First, three probes 203 are brought into contact with a source electrode, a gate electrode and a drain electrode, respectively. The source electrode is grounded using the probe 203, and while exciting voltage of the gate electrode as a parameter by the probe 203, a relationship between a drain voltage and a drain current flowing between the source and a drain by the probe 203. This provides an output property of the MOS. These operations are collectively controlled by the central processing unit 240. As the moving mechanism of each probe 203, the probe moving mechanism 201 of the slant entering type shown in FIGS. 17 and 18 is used, so that an inspection of the wafer 217 with a large diameter can be achieved with a compact apparatus. Further, since the structure of probe moving mechanism 201 is one that the replacement or the like of the probe 203 can be easily carried out, and therefore, an operating rate of the apparatus can be improved. (Embodiment 9) FIG. 27 is a sectional view when a probe moving mechanism 201 of the present invention is figure, an FIB 227 emitted from the ion source 225 is focused on a desired position on the stage 234 by passing through an optical system 226. The focused ion beam, that is, FIB 227 is spattered in the form of scanning the surface of the wafer 217 to carry out fine processing. On the stage 234, the wafer 217, semiconductor tip, or the like are placed, and the stage position controller 235 determines an observation position on the wafer 217. The probe 203 mounted on the probe moving mechanism 201 is moved to the observation position on the wafer 217 by the probe position controller 223 which can drive independently of the stage 234. Movement and processing are carried out while observing in such a manner that the FIB controller 236 scans around the observation position on the wafer 217 with the FIB, that a secondary electron from the wafer 217 is detected by a secondary electron detector 237, and that an obtained secondary particle image is displayed on an image display apparatus 238. A power supply 269 is connected to the probe 203 so that voltage can be applied to a minute portion of the wafer 217 with which the probe 203 is brought into contact. In observation, a groove is provided around a circuit by the FIB so as to electrically isolate the circuit to be observed from other circuits. The voltage applied probe 203 is brought into contact with an end of the circuit, and a position is observed which is considered to be connected to the circuit in design. is considered to be connected to the circuit in design. When connected without any break, a contrast is changed (brightened), so that failure of the circuit can be determined. These operations are collectively controlled by the central processing unit 240. As the moving mechanism of the probe, the probe moving mechanism 201 of the slant entering type shown in FIGS. 17 and 18 is used, so that an inspection of the wafer 217 with a large diameter can be achieved with a compact apparatus. Further, since the structure of probe moving mechanism 201 is one that the replacement or the like of the probe 203 can be easily carried out, and therefore, an operating rate of the apparatus can be improved. The same effects as the present invention can be obtained in, for example, a sample creating apparatus using a projection ion beam which is structure by replacing a deflector 230 and an objective lens 231 with a mask plate and a projection lens, or a sample observing apparatus using a laser beam which is structured by replacing an ion source 225 with a laser source. |
|
042736161 | abstract | A nuclear fuel assembly having an improved fuel rod of the type having a column of hollow pellets. A plurality of spacer plugs are interposed between proximate pellets at selected elevations along the fuel rod in order to trap pellet debris that would otherwise fall through the passage in the center of the column and accumulate at the bottom of the fuel rod. Thus, an undesirable power peak at the bottom of the fuel rod is avoided. In the preferred embodiment, the plugs are located at the same elevations as the fuel assembly grids, where the local flux is lowest and the effect of the plugs on the power distribution is minimized. The plugs could be made from any material, but graphite or a low enrichment UO.sub.2 pellet are preferred. |
047284900 | summary | CROSS REFERENCE TO RELATED APPLICATIONS Reference is hereby made to the following copending U.S. patent applications dealing with related subject matter and assigned to the assignee of the present invention: 1. "Nuclear Fuel Spacer Grid With Improved Outer Straps" by E. E. DeMario, assigned U.S. Ser. No. 473,515 and filed Mar. 9, 1983 (W. E. 51,064). PA0 2. "A Low Pressure Drop Grid For A Nuclear Reactor Fuel Assembly" by David J. Sperhac et al, assigned U.S. Ser. No. 567,448 and filed Dec. 30, 1983 (W. E. 51,417). BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates generally to fuel assemblies for a nuclear reactor and, more particularly, is concerned with a boiling water reactor (BWR) fuel assembly having at least some fuel rod spacers with perimeter scoops configured to redistribute liquid coolant flow from outer to interior spacer cell locations just upstream of the locations of the spacers so as to avoid dryout along the interior fuel rods and thereby improved overall critical heat flux (CHF) performance of the fuel rod bundle. 2. Description of the Prior Art Typically, large amounts of energy are released through nuclear fission in a nuclear reactor with the energy being dissipated as heat in the elongated fuel elements or rods of the reactor. The heat is commonly removed by passing a coolant in heat exchange relation to the fuel rods so that the heat can be extracted from the coolant to perform useful work. In a typical boiling water reactor (BWR) fuel assembly, a bundle of fuel rods are subdivided into four separate mini-bundles by a central water cross and each mini-bundle is supported in laterally spaced-apart relation by a plurality of axially displaced grids or spacers, for example six in number, axially spaced apart along its fuel rods. The spacers are needed to maintain the desired fuel mini-bundle configuration. Then, all four mini-bundles of the fuel assembly are encircled by an outer tubular channel having a generally rectangular cross-section. The outer flow channel extends along substantially the entire length of the fuel assembly and interconnects a top nozzle with a bottom nozzle. The bottom nozzle fits into the reactor core support plate and serves as an inlet for coolant flow into the outer channel of the fuel assesmbly. Coolant enters through the bottom nozzle and thereafter flows along the fuel rods removing energy from their heated surfaces. Such BWR fuel assembly is illustrated and described in U.S. Pat. No. 4,560,532 to Barry et al. The critical heat flux (CHF) performance of a BWR fuel assembly is of paramount importance in the safe operation of a BWR. Upon occurrence of a CHF condition, the surface heat transfer coefficient drops by a large amount, leading to an exponential rise in fuel rod cladding temperature. This can cause rupture and release of fission fragments into the coolant. In order to avoid this condition, regulatory guidelines restrict BWR operation to a low enough level to avoid the CHF condition. With respect to a BWR fuel assembly like that of the aforementioned patent, it has been realized that CHF performance is highest for fuel rods in the corner and side, or perimeter, spacer locations and lowest for fuel rods in the interior locations. This is due primarily to the hotter steam of the two-phase coolant tending to drift towards the interior fuel rod locations while the cooler liquid of the two-phase coolant accumulates at the channel along the perimeter fuel rod locations. As a result, premature dryout and degradation of CHF performance occurs at the interior fuel rod locations. Consequently, a need exists to improve CHF performance at the interior fuel rod locations so as to enhance the overall CHF performance of the fuel assembly. While turbulence generating mixing vanes have been employed heretofore on PWR fuel assembly spacers to achieve mixing and homogenization of flowing coolant, for example as disclosed in the above cross-referenced applications and in U.S. Pat. Nos. to Andrews et al (3,379,619) and Tong et al (3,395,077) being assigned to the assignee of the present invention, none are viewed as particularly adapted to provide the improvement being sought herein. SUMMARY OF THE INVENTION The present invention provides features which are designed to satisfy the aforementioned needs. Underlying the present invention is the realization that a more even distribution of liquid coolant within the mini-bundles, especially to the interior rod locations for the top three CHF limited spacers, would lead to the interior rods exhibiting enhanced CHF performance similar to the rods at corner and side, or perimeter, spacer locations. Specifically, a plurality of scoops are positioned upstream of the spacers by about three to six inches. The scoops extend in inclined inward relationships between the fuel rods at the locations of the perimeter cells of the spacer. With such an arrangement, the scoops acts to "scoop" or divert liquid coolant flow from the cold walls of the tubular channel to the interior heated rods, where it is needed the most. Due to the improved liquid coolant flow distribution in each mini-bundle, the interior rods can be expected to experience better cooling characteristics. Also, the extensions on the outer strap of the spacer which form part of the scoops increase the longitudinal height of the spacer outer strap so as to impart greater structural rigidity to the spacer. The seismic characteristics of the fuel assembly would thus tend to be improved. Accordingly, the present invention is directed to an improved fuel rod spacer for use in a nuclear reactor fuel assembly containing a plurality of elongated fuel rods. The improved spacer includes: (a) inner means defining a plurality of inner cell openings for receiving therethrough respective ones of the fuel rods in spaced apart and generally parallel extending relation, the inner means having outer spaced apart terminal end portions; (b) outer peripheral means attached to the respective outer terminal end portions of the inner means to define a number of perimeter cell openings for receiving therethrough other ones of the fuel rods in spaced apart and generally parallel extending relation, the perimeter cell openings being arranged to encompass the inner cell openings as a group, the inner means defining a border which surrounds the inner cell openings as a group and separates them from the perimeter cell openings; and (c) a plurality of coolant flow diverting scoops mounted on the outer peripheral means in spaced apart relation and along a common side thereof so as to extend inwardly along and in spaced relation from a common side of respective ones of the inner means terminal end portions and within the respective spaces between the other ones of the fuel rods when received trough the perimeter cell openings, the scoops terminating at inner ends being disposed generally above the border defined by the inner means. More particularly, the inner means is a plurality of inner interleaved straps having the terminal end portions and arranged in an egg-crate configuration to define the plurality of inner cell openings. The outer peripheral means is an outer peripheral strap attached to the respective terminal end portions of the inner straps to define the perimeter cell openings. The inner straps define the border which surrounds the inner cell openings as a group and separates them from the perimeter cell openings. The plurality of coolant flow diverting scoops are mounted on the outer peripheral strap. Each scoop includes a mounting portion and a flow deflecting portion. The mounting portion of each scoop is in the form of an extension of the outer peripheral strap which extends from an upstream side thereof generally parallel to the fuel rods when received in the perimeter cell openings. The flow deflecting portion of each scoop defines the inner end of the scoop and is connected at an outer end to the mounting portion. The outer end of the flow deflecting portion is spaced farther from a respective one of the inner strap terminal end portions than the inner end thereof such that the flow deflecting portion extends in an inclined relation thereto and across a portion of the coolant flow path through the perimeter cell openings. The flow deflecting portion also has a longitudinally-extending tapered and arcuate shape. These and other advantages and attainments of the present invention will become apparent to those skilled in the art upon a reading of the following detailed description when taken in conjunction with the drawings wherein there is shown and described an illustrative embodiment of the invention. |
060193263 | summary | BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a video enhancement kit and more particularly pertains to aligning a magnification assembly with a video camera. 2. Description of the Prior Art The use of video camera mounts is known in the prior art. More specifically, video camera mounts heretofore devised and utilized for the purpose of supporting a video camera are known to consist basically of familiar, expected and obvious structural configurations, notwithstanding the myriad of designs encompassed by the crowded prior art which have been developed for the fulfillment of countless objectives and requirements. By way of example, the prior art includes U.S. Pat. Des. 344,969; U.S. Pat. No. 5,267,712; U.S. Pat. No. 5,203,871; U.S. Pat. No. 5,194,992; U.S. Pat. No. 5,130,851; and U.S. Pat. No. 4,834,514. In this respect, the video enhancement kit according to the present invention substantially departs from the conventional concepts and designs of the prior art, and in so doing provides an apparatus primarily developed for the purpose of aligning a magnification assembly with a video camera. Therefore, it can be appreciated that there exists a continuing need for a new and improved video enhancement kit which can be used for aligning a magnification assembly with a video camera. In this regard, the present invention substantially fulfills this need. SUMMARY OF THE INVENTION In view of the foregoing disadvantages inherent in the known types of video camera mounts now present in the prior art, the present invention provides an improved video enhancement kit. As such, the general purpose of the present invention, which will be described subsequently in greater detail, is to provide a new and improved video enhancement kit which has all the advantages of the prior art and none of the disadvantages. To attain this, the present invention is adapted for use with a stand having an inverted L-shaped support with a vertically oriented hole formed therein. Note FIG. 5. Also included is a video camera with a threaded bore formed in a bottom thereof, as shown in FIGS. 5 & 6. With reference solely to FIG. 5, a spotting scope is shown to have a cylindrical configuration and a threaded bore formed in a side thereof. The threaded bore of the spotting scope resides along an axis perpendicular with respect to that about which the spotting scope is formed. Associated therewith is a pair of binoculars including a pair of side portions each having a cylindrical configuration with a common diameter. The side portions of the binoculars are connected via an interconnect with a threaded bore formed in a front thereof. This threaded bore is situated about an axis residing in parallel with those about which the side portions are formed. As shown throughout the Figures, a plurality of couples are provided each including a disk-shaped handle. Each couple is equipped with a threaded bolt coupled to the handle and extending therefrom in concentric relationship therewith. As best shown in FIG. 4, a base strip is included with a planar rectangular configuration. The base strip includes a top face, a bottom face and a periphery formed therebetween. This periphery is defined by a pair of elongated parallel side edges and a short first and second end edge. The base strip includes three linearly aligned threaded bores formed therein. Such three linearly aligned threaded bores are positioned at a central extent of the base strip along a central longitudinal axis. The base strip further includes a linear elongated slot formed between the central extent of the base strip and the first end edge thereof. As best shown in FIG. 2, a pair of mounting assemblies are positioned adjacent to the second end edge of the base strip and adjacent to the central extent of the base strip, respectively. Each mounting assembly includes a central threaded bore formed in the base strip along the central longitudinal axis thereof. Further, a pair of cylindrical tabs are integrally coupled to the bottom face of the base strip and depend therefrom. In the preferred embodiment, the cylindrical tabs flank the associated threaded bore. In use, the base strip is removably mounted on the support of the stand via one of the couples. The threaded post of such couple passes through the hole of the stand and is threadedly coupled to one of the threaded bores of the central extent of the base strip. Note FIG. 4. As show in FIGS. 5 & 6, the camera is removably mounted to the top face of the base strip adjacent to the first end edge thereof via one of the couples. The threaded post of such couple is slidably situated through the elongated slot of the base strip and also threadedly coupled to the threaded bore of the camera. As shown in the various Figures including FIG. 5, a spotting scope mount is included having an L-shaped configuration. The spotting scope is equipped with a horizontal extent and a vertical extent. The vertical extent is coupled to the horizontal extent in an end-to-end relationship and is further situated in a separate perpendicular plane. Each extent of the spotting scope mount has a linear elongated slot formed therein along a central longitudinal axis thereof. By this structure, the elongated slot of the horizontal extent is slidably mounted along the cylindrical tabs of one of the mounting assemblies. Further, the horizontal extent is removably mounted to the base strip via one of the couples. AS shown in FIG. 5, the threaded post of such couple passes through the elongated slot of the horizontal extent and is threadedly coupled to the threaded bore of the associated mounting assembly. It should be noted that the spotting scope is removably mounted to the vertical extent of the spotting scope mount via one of the couples the threaded post of which passes through the slot of the vertical extent of the spotting scope mount and is threadedly engaged with the threaded bore of the spotting scope. Finally, a binoculars mount has a T-shaped configuration with a horizontal extent and a vertical extent. As shown in FIG. 6, the vertical extent of the binoculars mount has an end edge mounted to a central extent of a side edge of the horizontal extent. As such, the horizontal extent and the vertical extent are situated in perpendicular planes. In use, the elongated slot of the horizontal extent of the binoculars mount is slidably mounted along the cylindrical tabs of one of the mounting assemblies. Further, the horizontal extent is removably mounted to the base strip via one of the couples. The threaded post of such couple passes through the elongated slot of the horizontal extent of the binoculars mount. This threaded post is further threadedly coupled to the threaded bore of the associated mounting assembly. The binoculars is mounted to the vertical extent of the binoculars mount via one of the couples. A shown in FIG. 5, the threaded post of such couple is slidably positioned through the slot of the vertical extent of the binoculars mount and is also threadedly engaged with the threaded bore of the binoculars. There has thus been outlined, rather broadly, the more important features of the invention in order that the detailed description thereof that follows may be better understood, and in order that the present contribution to the art may be better appreciated. There are, of course, additional features of the invention that will be described hereinafter and which will form the subject matter of the claims appended hereto. In this respect, before explaining at least one embodiment of the invention in detail, it is to be understood that the invention is not limited in its application to the details of construction and to the arrangements of the components set forth in the following description or illustrated in the drawings. The invention is capable of other embodiments and of being practiced and carried out in various ways. Also, it is to be understood that the phraseology and terminology employed herein are for the purpose of description and should not be regarded as limiting. As such, those skilled in the art will appreciate that the conception, upon which this disclosure is based, may readily be utilized as a basis for the designing of other structures, methods and systems for carrying out the several purposes of the present invention. It is important, therefore, that the claims be regarded as including such equivalent constructions insofar as they do not depart from the spirit and scope of the present invention. It is therefore an object of the present invention to provide a new and improved video enhancement kit which has all the advantages of the prior art video camera mounts and none of the disadvantages. It is another object of the present invention to provide a new and improved video enhancement kit which may be easily and efficiently manufactured and marketed. It is a further object of the present invention to provide a new and improved video enhancement kit which is of a durable and reliable construction. An even further object of the present invention is to provide a new and improved video enhancement kit which is susceptible of a low cost of manufacture with regard to both materials and labor, and which accordingly is then susceptible of low prices of sale to the consuming public, thereby making such video enhancement kit economically available to the buying public. Still yet another object of the present invention is to provide a new and improved video enhancement kit which provides in the apparatuses and methods of the prior art some of the advantages thereof, while simultaneously overcoming some of the disadvantages normally associated therewith. Still another object of the present invention is to align a magnification assembly with a video camera. Lastly, it is an object of the present invention to provide a new and improved video enhancement kit for use with a support, a video camera, a magnification lens assembly, and a plurality of couples. The kit preferably includes an elongated base strip removably coupled to the support with the video camera removably coupled thereon at a user selected position along a length of the base strip. Also included is a mount removably coupled to the elongated base strip via one of the couples. The mount is further removably coupled to the magnification lens assembly via one of the couples for aligning the magnification lens assembly with the video camera. These together with other objects of the invention, along with the various features of novelty which characterize the invention, are pointed out with particularity in the claims annexed to and forming a part of this disclosure. For a better understanding of the invention, its operating advantages and the specific objects attained by its uses, reference should be had to the accompanying drawings and descriptive matter in which there is illustrated preferred embodiments of the invention. |
060581530 | claims | 1. A preventive maintenance apparatus for structural members inside a reactor pressure vessel comprising: an annular guide rail, disposed on an upper flange of a core shroud provided inside the reactor pressure vessel, and having a second lug detachably mounted to a first lug provided on said upper flange for mounting a shroud head; a turntable revolving on said guide rail; a first discharging nozzle moving apparatus arranged on said turntable for moving, in a radial direction and an axial direction of said core shroud, a first discharging nozzle for discharging a high pressure water for adding compressive remaining stress to an outer surface of said core shroud; a second discharging nozzle moving apparatus arranged on said turntable for moving, in a radial direction and an axial direction of said core shroud, a second discharging nozzle for discharging high pressure water for adding compressive remaining stress to an inner surface of said core shroud; and a high pressure water supply apparatus for supplying the high pressure water to each of said first and second discharging nozzles; and wherein said second discharging nozzle moving apparatus comprises an arm member movable in a horizontal direction; a pole member movable in an axial direction of said core shroud provided on said arm member; a multi-joint arm attached to said pole member; and said second discharging nozzle is provided in a top end portion of said multi-joint arm. an annular guide rail, disposed on an upper flange of a core shroud provided inside the reactor pressure vessel, and having a second lug detachably mounted to a first lug provided on said upper flange for mounting a shroud head; a turntable revolving on said guide rail; a first discharging nozzle moving apparatus arranged on said turntable for moving, in a radial direction and an axial direction of said core shroud, a first discharging nozzle for discharging a high pressure water for adding compressive remaining stress to an outer surface of said core shroud; a second discharging nozzle moving apparatus arranged on said turntable for moving, in a radial direction and an axial direction of said core shroud, a second discharging nozzle for discharging high pressure water for adding compressive remaining stress to an inner surface of said core shroud; and a high pressure water supply apparatus for supplying the high pressure water to each of said first and second discharging nozzles; and wherein said first discharging nozzle moving apparatus comprises an arm member movable in a horizontal direction; a plurality of pole members provided on said arm member, said pole members being inserted between said reactor pressure vessel and said core shroud; vertically moved bodies respectively attached to said pole members, said vertically moved bodies being movable in a vertical direction; and said first and second discharging nozzles respectively being provided in said vertically moved bodies. an annular guide rail, disposed on an upper flange of a core shroud provided inside the reactor pressure vessel, and having a second lug detachably mounted to a first lug provided on said upper flange for mounting a shroud head; a turntable revolving on said guide rail; a first discharging nozzle moving apparatus arranged on said turntable for moving, in a radial direction and an axial direction of said core shroud, a first discharging nozzle for discharging a high pressure water for adding compressive remaining stress to an outer surface of said core shroud; a second discharging nozzle moving apparatus arranged on said turntable for moving, in a radial direction and an axial direction of said core shroud, a second discharging nozzle for discharging high pressure water for adding compressive remaining stress to an inner surface of said core shroud; and a high pressure water supply apparatus for supplying the high pressure water to each of said first and second discharging nozzles; and wherein said first discharging nozzle moving apparatus and said second discharging nozzle moving apparatus respectively comprise a first discharging nozzle for discharging high pressure water for adding compressive remaining stress to an outer surface of said core shroud and a second discharging nozzle for discharging high pressure water for adding compressive remaining stress to an inner surface of said core shroud, and the preventive maintenance apparatus further comprises an apparatus for moving said first and second discharging nozzles. a ring-shaped guide rail having a plurality of lugs attached thereto, the guide rail being placed on a core shroud inside a reactor pressure vessel, at least some of said lugs engaging a plurality of guide rods provided on an inner surface of said reactor pressure vessel; a turntable rotatable on said guide rail; a first discharging nozzle moving apparatus for moving a first discharging nozzle in a radial direction of said core shroud and in an axial direction of said core shroud, the first discharging nozzle discharging high pressure water to add compressive remaining stress to an outer surface of said core shroud, the first discharging nozzle moving apparatus being placed on said turntable; a second discharging nozzle moving apparatus for moving a second discharging nozzle in a radial direction of said core shroud and in an axial direction of said core shroud, the second discharging nozzle discharging high pressure water to add compressive remaining stress to an inner surface of said core shroud, the second discharging nozzle moving apparatus being placed on said turntable and comprising an arm member movable in a horizontal direction; a pole member movable in an axial direction of said core shroud provided on said arm member; a multi-joint arm attached to said pole member and wherein said second discharging nozzle is provided in a top end portion of said multi-joint arm; and a high pressure water supply apparatus for supplying high pressure water to said discharging nozzles. a ring-shaped guide rail have a plurality of lugs attached thereto, the guide rail being placed on a core shroud inside a reactor pressure vessel, at least some of said lugs engaging a plurality of guide rods provided on an inner surface of said reactor pressure vessel; a turntable rotatable on said guide rail; a first discharging nozzle moving apparatus for moving a first discharging nozzle in a radial direction of said core shroud and in an axial direction of said core shroud, the first discharging nozzle discharging high pressure water to add compressive remaining stress to an outer surface of said core shroud, the first discharging nozzle moving apparatus being placed on said turntable and comprising an arm member movable in a horizontal direction; a plurality of pole members provided on said arm member, said pole members being inserted between said reactor pressure vessel and said core shroud; vertically moved bodies respectively attached to said pole members, said vertically moved bodies being movable in a vertical direction; and said first nozzle being provided on one of said vertically moved bodies; and a second discharging nozzle moving apparatus for moving a second discharging nozzle in a radial direction of said core shroud and in an axial direction of said core shroud, the second discharging nozzle being provided on another of said vertically moved bodies and discharging high pressure water to add compressive remaining stress to an inner surface of said core shroud, the second discharging nozzle moving apparatus being placed on said turntable; and a high pressure water supply apparatus for supplying high pressure water to said discharging nozzles. a ring-shaped guide rail having a plurality of lugs attached thereto, the guide rail being placed on a core shroud inside a reactor pressure vessel, at least some of said lugs engaging a plurality of guide rods provided on an inner surface of said reactor pressure vessel; a turntable rotatable on said guide rail; a first discharging nozzle moving apparatus for moving a first discharging nozzle in a radial direction of said core shroud and in axial direction of said core shroud, the first discharging nozzle discharging high pressure water to add compressive remaining stress to an outer surface of said core shroud, the first discharging nozzle moving apparatus being placed on said turntable; a second discharging nozzle moving apparatus for moving a second discharging nozzle in a radial direction of said core shroud and in an axial direction of said core shroud, the second discharging nozzle discharging high pressure water to add compressive remaining stress to an inner surface of said core shroud, the second discharging nozzle moving apparatus being placed on said turntable; and a high pressure water supply apparatus for supplying high pressure water to said discharging nozzles; and wherein said first discharging nozzle moving apparatus and said second discharging nozzle moving apparatus respectively comprise said first discharging nozzle for discharging high pressure water for adding compressive remaining stress to an outer surface of said core shroud and said second discharging nozzle for discharging high pressure water for adding compressive remaining stress to an inner surface of said core shroud, and the preventive maintenance apparatus further comprises an apparatus for moving said first and second discharging nozzles. 2. A preventive maintenance apparatus for structural members inside a reactor pressure vessel comprising: 3. A preventive maintenance apparatus for structural members inside a reactor pressure vessel comprising: 4. A preventive maintenance apparatus for structural members inside a reactor pressure vessel, which comprises: 5. A preventive maintenance apparatus for structural members inside a reactor pressure vessel, which comprises: 6. A preventive maintenance apparatus for structural members inside a reactor pressure vessel, which comprises: |
description | 1. Field of the Invention The invention is directed to a method for diaphragm regulation in a computed tomography apparatus. 2. Description of the Prior Art Computed tomography systems are known that have a measuring unit composed of a radiation source and a radiation receiver and a subject carrier arranged therebetween on which an examination subject is located. The radiation source and detector rotate another around a system axis, and, with the assistance of a computer system, the radiation transmitted to the radiation receiver is measured with respect to its intensity distribution in a detector field, which includes at least one line of radiation-sensitive image detectors arranged around the focus of the radiation source, in order to calculate the attenuation distribution in at least one plane of the examination subject. The subject occludes only a part of the fan-shaped beam from the radiation receiver. A diaphragm is disposed between the focus of the x-ray source and the subject, which is adjustable by a control arrangement to shape the radiation beam. German OS 199 05 974 A1 and U.S. Pat. No. 5,287,396 disclose conventional X-ray devices that undertake an image evaluation, for example with contour recognition, for the diaphragm adjustment. Computed tomography systems generate tomographic exposures of an examination subject, usually a patient, using tissue-penetrating radiation. The publication “Bildgebende Systeme für die medizinische Diagnostik,” Heinz Morneburg, Editor, 3rd Edition, particularly Chapter 5, “Prinzipien der Röntgen-Computertomographie”, describes the basic principles of computed tomography, particularly X-ray computed tomography. In systems referred to as fan-beam devices the X-ray beam emanating from the radiation source is limited by the adjustable diaphragm to form a fan beam which penetrates the examination subject. Subsequently, the attenuation of the X-rays is measured at the other side of the patient in a detector field curved around the focus of the radiation source. The entire measuring unit composed of the radiation source and the radiation receiver rotates around the subject. The rotational axis is referred to as the system axis. The attenuation properties of the transirradiated subject in one or more planes are calculated on the basis of the measured attenuation of the radiation in the individual sectors and from different rotational angles of the measuring unit and are reproduced on a display or image carrier. Any ionizing radiation can be employed as the radiation, but X-rays generated by an X-ray tube with a rotating anode usually represents the most commonly employed radiation. In order to achieve tomograms with good quality, it is necessary to obtain a good illumination of the detector field and retain it as much as possible during the entire exposure activity. Since the radiation stress on the patient should be as low as possible in the production of the tomographic exposure, efforts are made to limit the fan-shaped ray beam to be as narrow as possible, using an adjustable diaphragm. Such a diaphragm is disclosed, for example, in German OS 199 05 974. Due to the narrow diaphragm setting, however, slight movements or boundary conditions that are change in some other way such as, for example, the thermal focus movement or tilting of the measuring unit (gantry tilting), frequently have a detrimental influence on the quality of the irradiation. German Patent 42 07 006 discloses an X-ray computed tomography apparatus that has regulation of the diaphragm for these reasons. This diaphragm regulation is obtained by attaching specific position detectors at the edges of the detector field, these being struck by the fan-shaped beam and, by measuring the intensity distribution of the radiation on the specific position detectors, a migration of the beam is recognized as a consequence of a change of the focus position in the X-ray tube, so that a re-adjustment of the diaphragm can ensue immediately in order to again achieve a good irradiation of the detector field. A disadvantage of this device and method for diaphragm adjustment is that additional, specific position detectors are needed, that are relatively complicated since the detectors must be able to identify the spatial distribution of the radiation. An object of the present invention is to provide a method for diaphragm regulation in a computed tomograph that does not require the aforementioned position detectors. A further object of the invention is to provide a computed tomography apparatus with diaphragm regulation without the use of the aforementioned positions detectors. The above objects are achieved in accordance with the invention in a computed tomography apparatus having a diaphragm and a multi-line detector, and a method for operating such a computed tomography apparatus, wherein image detector information is obtained from the image detector and used to regulate the diaphragm. The inventive method and computed tomography apparatus are based on the recognition that, with a multi-row or multi-line detector field, the detection signals (image detector information) which are already present for image generation can be used to identify the quality of the irradiation and shifts in the irradiation as may occur, so that a control circuit for the diaphragm regulation can be formed using these signals. In an embodiment of the invention computed tomography apparatus has a measuring unit composed of a radiation source and a radiation detector and a subject carrier arranged therebetween on which an examination subject is located, the measuring unit and the radiation source being rotatable around a system axis. With a computer system, the fan beam radiation at the radiation receiver is measured with respect to its intensity distribution in a detector field, which contains at least two parallel lines of radiation-sensitive image detectors aligned to the focus of the radiation source. The computer calculates the attenuation distribution in at least one plane of the examination subject. At least a portion of the image detector information utilized for the calculation of the attenuation distribution is used for regulating the diaphragm position (i.e. the position or spacing of the diaphragm plates). The image detector information can be evaluated line-by-line. By comparing the detected intensities from identical sectors of different detector rows, it is possible to identify changes in the irradiation based on the variation of intensity distributions in these sectors, and to supply a setting signal to the diaphragm so that a fast regulation of the diaphragm is achieved. Preferably, only detector information that arise from non-occluded regions of the detector field is employed for the regulation (setting signal), so that the influence of the subject can be eliminated, since the subjects may have a non-uniform distribution of attenuation coefficients. When examining a human or animal patient as the subject, It is always the case that only the detector information from non-occluded regions should be used. The occlusion-free regions can be identified, for example, by an upward transgression of a threshold of the detected radiation intensity since, of course, the radiation intensity is reduced in the occluded region. It can be advantageous to set a safety margin between occlusion-free and occluded regions, with detected radiation in this safety margin also being excluded from employment for regulating the diaphragm. In accordance with the invention, a difference quantity between the (at least) two lines of image detectors in the same angular channel segment can be employed as a regulating variable, the difference quantity preferably being derived from the averages of a group of detectors. For excluding anomalies, at least one lowest and at least one highest discrete value per group can be discarded. Disturbances in the measurement can be suppressed by this measure. When the computed tomography apparatus has an X-ray tube with a rotating anode as the x-ray source, or when some other effects can lead to rapidly fluctuating intensities of the radiation over time, then all measured quantities are averaged over a time span that allows these temporal fluctuations to be compensated. Since the subject itself usually is centrally located over the detector field and it is certain that no occlusion-free regions are present in this area, only predefined, preferably edge-proximate angular channel segments and their image detector information are used for regulating the diaphragm position. In a further embodiment only measurements that are acquired at a specific rotational angle of the measuring unit are employed for regulating the diaphragm position. Centrifugal and gravitational force acting on the rotating anode thus do not add or subtract in the determination of the setting signal. It is known that an adjustment of the diaphragm is especially needed when the measuring unit moves, i.e. rotates around the system axis. In this case, centrifugal forces occur and will be dependent on the rotational speed, among other things. During standstill of the measuring unit, essentially only thermally dependent changes need to be compensated. In an embodiment of the invention, therefore, the frequency of the evaluation of the measured data for regulating the diaphragm position is constant given a non-rotating measuring unit, and the measured data evaluation occurs more frequently given a rotating measuring unit. For example, the frequency with w hitch the evaluation is undertaken can be set directly proportional to the rotational speed. There is also the possibility of adapting only the increase itself proportionally to the rotational speed, starting from a base evaluation frequency (rate). When more than two lines of image detectors are employed, it can be advantageous, for reducing the measurement and calculating outlay, to use only the measurements of image detectors of suitable lines for regulating the diaphragm position, preferably the first and last lines. It is also possible, given the use of more than two lines of image detectors to employ measurements from identical angular channel segments of a number of lines for regulation of the diaphragm position, in order to determine an intensity curve of the radiation over these lines, and to employ the position of the maximum of the intensity curve as a regulating variable for the diaphragm positioning. If an additional improvement of the diaphragm regulation is desired by generating a redundancy, then the known, specific position detectors can be additionally separately employed. These position detectors can be installed at the radiator was well as at the receiver. The additional position detectors can be employed for the diaphragm regulation when no occlusion-free image detector signal is available. In such an embodiment, two overlapping control circuits can be employed. A first control circuit receives signals from the image detectors as a regulating variable and a second control circuit receives signals from the specific position detectors as a regulating variable. The control circuits preferably are weighted corresponding to the quality and/or dependability of the regulating variable, so that an overall optimization of the diaphragm setting is achieved. In addition to the optional omission of specific position detectors, an advantageous method and apparatus is that an adjustable diaphragm at the detector can be foregone due to the extremely precise adjustment of the diaphragm at the radiation source. As a result, an improved dose utilization can be produced, so that the overall radiation stress on an examined patient is reduced. Since the regulating signals are always available for the control of the diaphragm, it is also possible to use these in the preparation of calibration tables with which the computed tomography is calibrated, with only exposures wherein the dose profile is accurately positioned in the direction of the system axis being evaluated. As a result, qualitatively better calibration tables that have a higher accuracy are produced, thereby minimizing or eliminating maladjustments of the diaphragm. FIG. 1 is a schematic illustration of an embodiment of a computed tomography system in the form of an X-ray computed tomography system shown in cross-section. The section is perpendicular to the system axis 4 around which the measuring unit or gantry of the computed tomography system rotates for the production of tomograms. The measuring unit is composed of an X-ray tube 2 that is equipped with a rotating anode 2.1 for generating X-rays. The X-ray beam from the rotating anode 2.1 is limited and set with a diaphragm adjustment device 3 with an integrated diaphragm 3.1 in the transverse direction and a diaphragm 3.2 in the longitudinal direction. A detector is located under the X-ray tube 2, the detector having a detector field 6 that is formed by a number of a plurality of detectors 6.1.1 through 6.n.m in m rows and m columns. Due to the sectional illustration, however, the rows arranged behind one another can not be directly seen in FIG. 1; but only the first row with the detectors 6.1.1 through 6.n.1 is shown. A patient bed of a subject table 9, on which a patient 5 (subject) to be examined is schematically shown with torso and head, is situated between the X-ray tube 2 and the detector field or radiation receiver 6. The patient is located in a beam path of a fan-shaped X-ray beam 7 and occludes the detector field from the X-ray tube 2 in the segment that is shown by the broken-line course 8 of the radiation. Beyond the segment limited by the rays 8, the X-ray beam proceeds occlusion-free to the detector field. In the detector field, the measured radiation signal intensity values are supplied to a signal acquisition unit 15 via signal lines 16.1.1 through 16.n.1 and the collecting line 16 from m rows and n columns of image detectors. Of course, the other detector rows that are not visible here are also evaluated by the signal acquisition unit 15 and are forwarded in digital form to a computer unit 10 in order to be available for the image formation. As more specifically shown in FIGS. 3 and 4, in accordance with the invention, the existing signals of the detector field 6, are used not only for the image preparation in an image computer 19 but also for determining the illumination of the detector field by the fan-shaped X-ray beam in a diaphragm regulation unit 18. Irregularities and modifications of the irradiation of the detector field 6 are identified in the diaphragm regulation unit 18, which forwards signals via a control line 17 to the diaphragm adjustment device 3, so that the appropriate diaphragm adjustment can be undertaken to again obtain a uniform irradiation of the detector field. In addition to the inventive use, the measured detector signals are employed, as is conventional, for producing tomograms that can be subsequently presented on a display 11, controlled by the keyboard 12. The fan is set in the direction of the system axis 4, since care must be exercised to obtain an especially narrow radiation field. The width of this radiation field along the system axis is a significant factor for defining the dose stress applied to the patient in the production of the CT exposures. FIGS. 2 and 3 therefore again show a section through an inventive X-ray CT system in the longitudinal direction, FIG. 2 shows a detector field 6 that is fashioned with two rows, whereas FIG. 3 shows a detector field fashioned with four rows. FIG. 2 includes position detectors 20 in the detector field, that respectively emit detector outputs identifying the position of the diaphragm 3. The intensity distribution I(z) is shown in a coordinate system under the detector 6 in spatial dependence on the detectors situated thereabove. The z-axis of the coordinate system corresponds to the system axis 4 of the computed tomography system, with the intensity I of the X-rays entered on the ordinate. It can be seen in the illustrated embodiment that the X-ray are slightly shifted toward the right with respect to the maximum and limits at the point in time of the exposure. Consequently, a different intensity of the X-rays is also measured at the detectors of a different row and identical line. This difference signal can be obtained from the information normally employed for producing a tomogram, so that a corresponding modification of the diaphragm that subsequently leads to a restoration of a uniform irradiation of the detector field can be controlled via a control circuit. The same applies to the computed tomography system shown in FIG. 3, having a four-line detector field 6.1.1 through 6.n.4, but only the outer row of detectors can be utilized according to the above-described method for setting the detector field irradiation or the diaphragm regulation and their difference signal is identified and can then serve as a regulating variable for setting the diaphragm. In the simplest case, for example, only the detectors 6.1.1, 6.1.m, 6.n.1, and 6.n.m can be utilized for the diaphragm control, and a rotation of the diaphragm aperture around a vertical axis also can be regulated in addition to the width and length adjustment, if this is necessary. With more than three detector lines, the measured values of the individual rows also can be utilized in order to produce an intensity profile in the Z-direction by means of an approximation calculation or direct solution of an equation system. The position of the calculated maximum of this intensity distribution and its deviation from the actual middle of the detector lines thus can be employed as the regulating variable for setting the diaphragm control. When the position of the maximum at both sides of the detector field is determined, then a rotating of the ray fan perpendicular to the system axis can be detected as a result, and compensated as warranted. In the schematic illustration of FIGS. 1 through 3, image detectors and their spacings from one another are shown relatively large for clarity. Typical fan angles are 50°. The expanse of the detector in the z-direction typically amounts to approximately 20 mm. As a result of the inventive design of a computed tomography system, particularly the diaphragm regulation, and the application of the described method for diaphragm regulation, it is possible to employ the detector signals, that conventionally served only for image generation, in a control circuit for the diaphragm control of the X-ray tube, so that a very efficient and economical diaphragm regulation is possible. It should be noted that the examples of a computed tomography system shown herein merely represent a preferred embodiment of the invention. Such a diaphragm control is likewise possible for gamma radiators, beta radiators, other ionizing radiation, or even in ultrasound tomography systems. Although modifications and changes may be suggested by those skilled in the art, it is the intention of the inventors to embody within the patent warranted hereon all changes and modifications as reasonably and properly come within the scope of their contribution to the art. |
|
054950627 | description | DESCRIPTION OF THE PREFERRED EMBODIMENTS The invention relates to improved methods for separating from soil unwanted nuclear waste material, particularly the radionuclides as previously disclosed, by concentrating in very small particles or fines of soil or clay. The concentrated radionuclide-containing fines thus are in a state which permits more efficient disposal, such as by storage, or for further treatment to modify the radionuclides to less toxic and more environmentally benign substances. The methods are based on the observation that ammonia possesses the unique ability to break up soils into very fine slurries. It was also found that suspensions of what appear to be extremely fine particles of soil can be prepared by mixing with ammonia. In the methods, radionuclide-contaminated soils are mixed, preferably with anhydrous liquid ammonia, to form finely-dispersed suspensions or slurries. Because of the lower density of ammonia relative to water, significantly smaller soil particles were found to remain in solution, and particles which would otherwise be suspended in water readily precipitate from the dispersion. The larger bulk fraction of the soil consisting of larger precipitated particles are sufficiently free of the radionuclide contaminant as to permit recycling and reclaiming of large volumes of the treated soil. The following specific example demonstrates the invention, however, it is to be understood it is for illustrative purposes only and does not purport to be wholly definitive as to conditions and scope. EXAMPLE Methods of the invention can be carried out by means of a system, such as that illustrated by the drawing. A closed reactor 10 is utilized as a mixing vessel for nuclear waste contaminated soil 14 positioned at the bottom of the vessel. The term "soil" is intended to have its ordinary understood meaning, and includes one or more components in varying proportions, such as of clay, stone, disintegrated rock particles or sand, organic matter, along with varying amounts of water and the like. Obviously, soil compositions will vary widely depending on source and location. For instance, soils from desert or other arid locations are mainly sandy compositions with little organic material. One representative soil from the State of Ohio known as Ohio Loam was found to have an analysis of 35% sand, 32% silt, 33% clay and 4.1% organic matter and have a pH 7.7. By contrast soil from Oak Ridge, Tenn. was found to contain only 1% sand, 26% silt, 73% clay, no organic matter, and have a pH of 5.2. In sum, the term "soil" for purposes of this invention is intended to have a broad compositional makeup, including varying ranges of clay, disintegrated rock/sand particulates, organic matter, silt-fines, moisture and so on. This would include soils which are mainly clay or sand. Anhydrous liquid ammonia 16 or a solution of liquid ammonia containing a small amount of water is introduced to closed reactor 10 from ammonia storage vessel 18. Once filled, liquid ammonia is withdrawn from reactor 10 from below the surface of the liquid by circulating pump means 20 positioned in outlet line 22. The flow of ammonia is directed by means of 3-way diverter valves 24-25 to either by-pass line 26 or to solvator 28 containing a bed of reactive metal 30, such as alkali or alkaline earth metals or mixtures of the same. Suitable representative metals include sodium, potassium, lithium, calcium and magnesium. Aluminum would also a suitable reactive metal. By circulating ammonia 16 through a bed of metal in reactor 28 solvated electrons are formed in-line. This avoids the problems associated with injecting metal rods or other metal sources directly to reaction vessel 10. Accordingly, methods of the present invention contemplate the option of enhanced particle size demarcation and separation of radioactive components in fines of soil and clay with ammonia and electrons solvated in the ammonia. Whether ammonia circulates through by-pass line 26 or through solvator 28 the solution is recirculated to the bottom of reactor 10 through valve 32, setting up a fluidized flow pattern in the reactor. This produces a mixing action of the soil and ammonia solution and/or solvated electrons to form a slurry. Once the soil has been uniformly dispersed in the ammonia, pump 20 is deactivated to allow the dispersion to undergo phase separation, i.e. a lower solid phase and an upper liquid-solid phase. Large particulates of the dispersion precipitate out as solid phase 34 in the bottom of reactor 10, and are sufficiently free of radionuclide contaminants, the latter being concentrated in a smaller soil fraction consisting of fines or silt dispersed in the ammonia solution as upper liquid-solid phase 36. The slurry of suspended particle fines forming the upper liquid-solid phase 36 is withdrawn from reactor vessel 10 to evaporator tank 38 via line 40 by opening valve 42. Ammonia 43 is evaporated to separate it from radioactive fines. 44. Optionally, the ammonia can be transferred via line 48 to compressor 46 for reliquification if it is desired to recycle the ammonia for further use in the decontamination process. The liquified ammonia is then transferred to ammonia storage tank 18 through line 50. Thus, the methods of the disclosed invention provide the advantages of separating nuclear waste by means of smaller particles than relied on using aqueous based systems; permits recycling of ammonia not otherwise achieved with systems relying on more costly scrubbing chemicals; provides means for readily separating fines from liquid ammonia; eliminates transport and storage of water to desert locations, and provides additional means for controlling particle sizes within a predetermined range with solvated electrons. While the invention has been described in conjunction with various embodiments, they are illustrative only. Accordingly, many alternatives, modifications and variations will be apparent to persons skilled in the art in light of the foregoing detailed description, and it is therefore intended to embrace all such alternatives and variations as to fall within the spirit and broad scope of the appended claims. |
abstract | Example embodiments of the present invention relate to a method of adjusting an oxygen concentration of a reactor water side stream in a nuclear plant. The method may include injecting demineralized water into the reactor water side stream to produce an oxygenated stream with an increased oxygen concentration. The oxygenated stream may be tested to determine the effect of a process treatment on the reactor system. |
|
050874110 | summary | FIELD OF THE INVENTION The invention relates to a device for the recovery and elimination of radioactive waste under water, in a well such as the well of a pressurized-water nuclear reactor or the well for deactivating the fuel. BACKGROUND OF THE INVENTION In the course of the operation of light-water nuclear reactors and, in particular, of pressurized-water nuclear reactors, there are carried out, during more or less prolonged shutdowns of the reactor, operations of recharging of the core consisting in replacing a part of the used fuel assemblies constituting the core. In the course of these recharging operations, there are also carried out the maintenance and the repair of certain items of internal equipment of the vessel of the reactor, in which vessel the core is placed. During these operations, the vessel of the reactor is open and filled with water, just like the well of the reactor which surmounts and surrounds the vessel; this permits the operators carrying out the maintenance of the reactor to work on the elements situated within the vessel, from the service floor of the well of the reactor, with an effective biological protection consisting of a head of water of at least four meters. The vessel of the reactor is liable to contain waste or debris which is highly radioactive and which may have become detached from the items of internal equipment or from the core, during the operation of the reactor or during the recharging or maintenance operations. This debris or waste may consist, for example, of mechanical parts belonging to the internal structures of the reactor which have become damaged and detached during operation, under the effect of corrosion and of wear. They may also consist of highly radioactive fuel pellets originating from a rod of a fuel assembly which may have been pierced or torn, either under the effect of corrosion in the reactor or as a result of attachment of the fuel assembly, in the course of its handling. There is a risk that this waste or debris may constitute mobile bodies which are placed in circulation in the cooling fluid of the reactor when it is started up. These mobile bodies, which are entrained at very high speed by the cooling fluid, could damage the structures or to the core of the reactor and become lodged in an interstice, for example between two fuel rods. Thus, there is a risk that the presence of mobile bodies will have an unfavorable effect on the operation of the reactor, with regard to both mechanical and thermal configurations. It is therefore necessary to locate and to recover the waste or debris present in the vessel of the reactor, during the recharging and maintenance operations. In order to recover the debris constituted by small mechanical parts which may be found wedged in the various parts of the fuel assemblies, a prior art device for the extraction of these foreign particles or parts comprises a grip which can be remotely controlled, from the edge of the well of the reactor. Such a device has is disclosed in French Patent Application 88-09025, assigned to FRAMATOME. This extraction device, which takes the foreign body by gripping, does not permit the extraction of friable debris such as the fuel pellets which are subject to breakage when the grip is tightened. In the case of highly radioactive waste such as fuel pellets, there is a risk of contaminating the entire primary circuit. It has also been proposed to use aspiration, filtration and delivery installations in which the water from the well is circulated, in order to filter the water and to retain the radioactive foreign bodies in the filter, before returning the water into the well of the reactor. However, in the case of highly radioactive bodies, the elimination of those bodies retained by the filter poses problems which are very difficult to resolve at the technical level. Moreover, the aspiration installation itself becomes contaminated after a certain operating time, so that it is very difficult to ensure its maintenance and its repair in the case of a break-down. SUMMARY OF THE INVENTION The object of the invention is therefore to propose a device for the recovery and elimination of radioactive waste, under water, in a well, comprising a means for the aspiration of the water from the well, the maintenance of which may be ensured in a very simple manner, which avoids any contamination of the aspiration means and which permits elimination of the radioactive waste to be carried out with very good biological protection. To this end, the device according to the invention further comprises: a column suspended in a vertical position from a handling and lifting means situated above the well, an aspiration head fixed at the end of the column opposite to the handling means, consisting of two hollow walls assembled to one another, between which there is placed a filtration wall pierced by openings separating the internal volume of the head into a first part comprising an aspiration opening communicating with the exterior and a second part connected via a conduit to the aspiration and delivery means, and remotely demountable connecting means connecting the two hollow walls of the aspiration head. |
049869523 | summary | The present invention relates to nuclear reactors. In general, it applies under the following circumstances: A reactor has a vertical axis. The nuclear reaction which takes place in the core of the reactor is accompanied by a neutron flux which is distributed around said axis (and vertically). Said core has vertical hollows distributed around said axis and it is provided with controllable reaction-inhibiting elements (control rods) capable of descending in said hollows in order to absorb said neutron flux, thereby controlling said nuclear reaction. As a result, an accidental fall of one of said reaction-inhibiting elements into one of said hollows locally absorbs said neutron flux and disturbs the neutron flux distribution around said axis. Continuing said nuclear reaction may then damage said core. That is why a protection system is provided. Such a system conventionally includes not less than three separate protection chains (for safety reasons). Each of these chains comprises: a neutron flux detector disposed at a distance from said axis for measuring a local neutron flux which, in the event of one of said reaction-inhibiting elements falling, is subjected to a reduction, with the reduction being larger the nearer said detector is to the hollow in which said fall has taken place; and a primary treatment circuit associated with said detector for providing a primary fall signal solely when the neutron flux measured by said detector is subjected to a reduction whose rate of decrease exceeds a predetermined speed threshold. Said detectors are angularly distributed around said axis. Said system further includes a secondary treatment circuit receiving the fall signals output by said protection chains and providing a secondary fall signal solely on receiving not less than two of said fall signals from two respective chains, thereby reducing the risk of such a secondary fall signal being provided when one of said reaction-inhibiting elements has not fallen; and means for limiting said nuclear reaction in the event that said secondary fall signal is provided. More specifically, consider the case of one or more clusters of control rods, i.e. reaction-inhibiting elements, falling into the core of a nuclear reactor of the pressurized water (PWR) type. The consequence of such a fall is to disturb the distribution of neutron flux in the core. This disturbance may be very large and could give rise to the crisis of the water boiling, thereby damaging the fuel rods, unless protective measures are undertaken. One such protective measure consists, in particular, in implementing said means for limiting the nuclear reaction. With respect to this, and other risks, the electrical protection and safety members of a nuclear reactor are divided into four distinct groups referred to as protection chains. Each of these groups is independent from the other groups. They are powered from distinct power supplies and they are located in separate premises. The cables interconnecting the various components of a given group follow paths which are different from and separate from the paths used by the other groups. The power of the reactor is measured by short response time neutron flux detectors installed outside the reactor, and this measurement is used by the safety members. For reasons of bulk and positioning, there is only one detector per measurement point rather than four probes as in the case of other measurements. Each of these detectors is attributed to one of the protection chains. In conventional manner, the core of the reactor is in the form of a square grid located within a circumscribing square. Relative to said circumscribing square, the four neutron flux detectors are placed on its diagonal axes (see FIG. 1). However, it should be understood that the system of the invention is equally applicable to a hexagonal grid reactor. Reactor-protecting measures are undertaken when two of the four chains (2/4 logic) observe a rapid flux drop which is more negative than a threshold speed which is typically 2% to 3% of nominal flux per second. This speed is the derivative of the measured flux with respect to time, with said derivative being frequency filtered. The threshold is passed almost immediately after the accident while the nuclear power is being transiently reduced by the falling cluster and before the feedback and regulation systems have had any substantial effect. Such feedback systems tend to re-establish equilibrium between the nuclear power and the power requested by the steam turbine which is conventionally powered by the nuclear reactor. The core must be protected even if one of the four protection chains is faulty (single fault criterion). The normal presence of one or more neutron-absorbing clusters for limiting nuclear power in the core sets up a radial disturbance of the neutron flux which is acceptable, per se. However, it has been found that when one or more clusters fall accidentally, such a disturbance can greatly attenuate the fall-detecting signal from one or even two of the more distant detectors from the cluster or clusters which have fallen accidentally. Under such circumstances, only the closest two detectors deliver a meaningful signal. It is necessary to assume that one of these detectors is faulty. That is why, within the above-mentioned single fault criterion, it must be assumed that the protective measures required by some cluster falls will not in fact be performed because said falls will not be detected by the protection system. Such falls which may potentially not be reliably detected must be taken into account when dimensioning the boiler, and therefore lead to boiler performance being limited. The particular object of the present invention is to improve the performance of a nuclear boiler by reducing the constraints imposed on the constructor by the risk of the protection system failing to detect the fall of a cluster. The present invention provides a system which comprises, in conventional manner: said protection chains; PA1 said secondary treatment circuits; and PA1 said means for limiting said nuclear reaction. PA1 Compared with the above-described prior art system, the system of the invention is characterized by the fact that each of said protection chains includes: PA1 at least two of said neutron flux detectors angularly separated by more than 90.degree. about said axis; PA1 two of said primary treatment circuits associated respectively with said two detectors for providing said primary fall signals; and PA1 an intermediate treatment circuit for receiving said primary fall signals and for providing an intermediate fall signal on receiving at least one primary fall signal from at least one of said two primary treatment circuits; PA1 said fall signals provided at the outputs from said protection chains and received by said secondary treatment circuit being constituted by said intermediate fall signals, such that said secondary fall signal is provided in the event of one said reaction-inhibiting elements falling even if the resulting reductions in neutron flux received by a plurality of said detectors which are relatively far away from said hollow receiving said falling reaction-inhibiting element do not exceed said predetermined variation speed threshold, and even in the event of one of said chains being faulty so that it prevents one of said primary fall signals from a detector relatively close to said hollow being generated. Preferably, when said reactor core has square symmetry in plan view, with the number of said protection chains being four, said detectors are angularly disposed around said axis at an angular pitch of 45.degree.. Each of said protection chains then comprises two of said detectors which are angularly spaced by 135.degree. or by 180.degree. about said axis. An implementation of the present invention in the context explained above is described in greater detail by way of non-limiting example with reference to the accompanying diagrammatic figures. When the same item appears in several figures, it is designated in all of them by the same reference symbol. It should be understood that the items mentioned may be replaced by other items performing the same technical functions. |
summary | ||
052271242 | description | DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS A preventive maintenance structure for stress corrosion cracking according to one embodiment of the present invention shown in FIG. 1 is applicable to an ICM housing provided in an RPV shown in FIG. 2, for example. An RPV 1 comprises, as shown in FIG. 2, a main body 11, a bottom head plate 12 fixed to one end of the main body 11, and a top head (not shown) fixed to the other end of the main body 11. A coolant is accommodated in the RPV 1. The RPV 1 is fixedly installed on a pedestal 14 of pressure containment vessel through a skirt 13. A plurality of ICM housings 21 extend through the bottom head plate 12 into the RPV 1. In the drawing, a single ICM housing is illustrated. As shown in FIG. 1, the bottom head plate 12 is provided on an inner peripheral surface thereof with a liner layer 15 of nickel-chromium alloy or inconel. A plurality of stubtubes 16 (only one of which is shown) are welded by buildup welding onto the linear layer 15 and separated from each other. Each ICM housing 21 extends through the bottom head plate 12, the liner layer 15 and the stubtube 16 into the RPV 1 with an annular space 18 around it. The ICM housing 21 is fixedly secured to the stubtube 16 through a weld 19. The ICM housing 21 is joined at one end thereof with one end of an ICM guide tube 22 and is usually closed at the other end thereof with a plug (not shown). The other end of the ICM guide tub 22 is joined to an opening formed in a core plate 23 disposed in the RPV 1. Previously, there has been a possibility in this kind of construction that, a as shown in FIG. 3, continuous crack 3 occurs in the ICM housing 21 at a portion thereof corresponding to the weld due to the stress corrosion crack. Once the cracking 3 has occurred, the coolant is caused to leak out of the RPV 1 through the cracking 3 and the annular space 18. To prevent the above phenomenon, in the present embodiment, as shown in FIG. 1, the ICM housing 21 is provided at a portion of an inner peripheral surface thereof corresponding to the weld 19 with a molten metal part 4, which portion is in danger of stress corrosion cracking. The molten metal part 4 includes .delta. ferrite composition, content of which is 4% or more. In the FIG. 4, a cross represents a component combination in which a corrosion cracking occurs, while a circle represents a component combination in which no corrosion cracking occurs. As apparent from FIG. 4, a combination of 0.06% or less carbon and 4% or more .delta. ferrite, namely, within a hatched area, can prevent the occurrence of corrosion cracking. The molten metal part 4 including 4% or more .delta. ferrite composition has a good resisting property against the stress corrosion cracking as compared with the pure ICM housing 21 because the carbon content of the pure ICM housing, i.e. SUS 304 is about 0.07%. Therefore, occurrence of continuous cracking is suppressed, to a minimal amount so that there is very little possibility of leakage of the coolant. Next, the procedure for the formation of the molten metal part 4 will be described with reference to FIG. 2 and FIGS. 5 to 8. First, as shown in FIG. 2, the other end of the ICM guide tube 22 is closed by a seal cap 24. Then, the plug is removed from the other end of the ICM housing 21 to discharge the coolant out of the ICM housing 21 and the ICM guide tube 22. Subsequently, as shown in FIG. 5, a remote-control inner surface working machine or grinder 81 is inserted into the ICM housing 21 through the other end thereof so as to smooth the inner peripheral surface of a portion 211 of the ICM housing 21 which corresponds to the weld 19. Thereafter, as shown in FIG. 6, a cylindrical sleeve 41 is set in position to the inner peripheral surface portion 211 by an inserting jig (not shown). Since the inner peripheral surface portion 211 is smoothed as mentioned before, the sleeve 41 can be inserted easily. When the sleeve 41 is applied to the ICM housing 21 of ordinary dimension, the thickness of the sleeve 41 is between 0.4 mm and 0.8 mm and more preferably 0.6 mm, with considering the easiness to handle. Further, the sleeve 41 contains less than 0.03% carbon from the viewpoint of the corrosion resistance and, in addition, contains chromium and nickel by amounts equivalent to those required to produce 4% or more .delta. ferrite composition when molten and mixed with the base metal SUS304. The sleeve 41 is made of a material containing the following components, such as SUS316. It is noted that the unit is weight percent. ______________________________________ C Si Mn P S ______________________________________ .about.0.03 .about.1.00 .about.2.00 .about.0.045 .about.0.030 ______________________________________ Ni Cr Mo ______________________________________ 12.00.about. 15.00 16.00.about. 18.00 2.00.about. 3.00 ______________________________________ When, as shown in FIG. 7, an expander 5 is inserted into the ICM housing 21. The expander 5 comprises a stem 51, a rubber tube 52 fitted around the stem 51, and a pair of axially movable pistons 53 and 54 serving to hold the tube 52 therebetween. As the tube 52 is positioned in alignment with the sleeve 41, the pair of pistons 53 and 54 are moved to close to each other so that the rubber tube 52 is axially compressed and radially expanded As a result, the sleeve 41 is plastically deformed to be uniformly press-fitted to the inner peripheral surface portion 211 of the ICM housing 21 over the entire surface of the sleeve 41. Finally, as shown in FIG. 8, a head 61 of a remote-control non-filler type tungsten inert gas arc welder or a TIG welder 6 is inserted into the ICM housing 21 through the other end of the ICM housing 21. The head 61 is rotated and moved along the whole axial length of the sleeve 41 to melt the sleeve 41 throughout. In this case, axially opposite ends of the sleeve 41 are molten with low heat input for the purpose of preventing sensitization due to thermal effect. Since the sleeve 41 has already been deformed plastically to a certain extent, the sleeve is deformed very little in the welding operation, thus contributing to a good melting performance. Consequently, the sleeve 41 and a portion of the inner surface of the ICM housing 21 are molten together to form on the inner surface of the ICM housing 21 the molten metal part 4 which includes 4% or more .delta. ferrite composition and has a good resisting property against the stress corrosion cracking. Results of metal component analysis of the molten metal part 4 formed in accordance with the present embodiment in which the welding heat input is 3.1 KJ/cm and the pitch of axial movement of a torch is 1.5 mm are as follows. It is noted that the point of measurement is located 0.5 mm radially outward from the inner peripheral surface and the unit is weight percent. ______________________________________ C Si Mn Ni Cr Mo .delta.-ferrite ______________________________________ 0.020 0.38 1.36 12.49 18.74 106 4.9 ______________________________________ TIG welding is used for melting the sleeve 41 in the present embodiment, and however, other welding methods or laser can be used as well. In the present embodiment, welding of the sleeve or heating thereof is conducted with cooling the ICM housing 21 from the outside thereof by coolant. Namely, a heat sink welding is conducted. According this the residual stress in the ICM housing 21 is suppressed and sensitization of the sleeve 41 is also suppressed, thereby obtaining a higher resistance against the stress corrosion cracking. In another embodiment shown in FIG. 9, in order to press-fit the sleeve 41 to the inner peripheral surface portion 211 of the ICM housing 21, thermal expansion of the sleeve 41 is utilized in place of using the expander 5. More specifically, a power source 71 is provided to supply electric current (sleeve current) to both ends of the sleeve 41 and, in addition, a control unit 72 is provided to control the power source 71. The control unit 72 serves to control the welder 6 in such a manner that the welder 6 is supplied with pulses of current (arc current) I.sub.A intermittently as well as to control the power source 71 in such a manner that the sleeve 41 is supplied with pulses of sleeve current I.sub.S in inverted relation to the arc current I .sub.A. The sleeve current causes the sleeve 41 to thermally expand to be uniformly press-fitted to the inner peripheral surface portion 211 of the ICM housing 21 over the entire surface thereof. Furthermore, the sleeve 41 is heated with the sleeve current so that the efficiency of melting is improved and the penetration is increased. It is therefore possible to operate with smaller heat input value in total, resulting in that the ICM housing 21 can be restricted from being sensitized due to heat input. It is easily understood that the above procedure is applicable to the CRD housing as well. |
summary | ||
063317133 | abstract | An ion source assembly 10 is disclosed, the assembly comprising a source sub assembly having an ion source 20, an extraction electrode 40 and an electrically insulating high voltage bushing 60 to support the extraction electrode 40 relative to the ion source 20. The ion source assembly further includes a chamber 70 having an exit aperture to allow egress of ions to an ion implanter. The chamber 70 encloses one or more further electrodes 80,90. The source sub assembly is mounted to the chamber 70 via a hinge 150. This allows ready access to the inner walls of the chamber 70, which in turn allows easier maintenance and cleaning of the further electrodes 80,90 as well as the inner walls of the chamber 70. Preferably, a liner 160 is employed on the inner walls of the chamber 70. |