domain
stringclasses
526 values
subdomain
stringclasses
590 values
style
stringclasses
5 values
target
stringclasses
1 value
source
stringclasses
1 value
target_text
stringlengths
0
5.51k
source_text
stringlengths
1
6.11k
len
int64
0
4.92k
72129
기타 엔지니어링 서비스업
특허
ko
en
컴퓨터 네트워크를 통해 검색될 정보를 지정하기 위한 장치 및 방법본 발명은 인터넷을 통해서 정보를 지정하고 검색하기 위한 시스템 및 방법에 관한 것이다. 적어도 하나의 웹페이지(850, 954, 1058)가 엑세스되며, 상기 적어도 하나의 웹페이지(850, 954, 1058)의 개별 부분들(324, 326, 328, 330)이 지정되고, 이 개별 부분들(324, 326, 328, 330) 각각은 기본적인(underlying) 정보 내용과 관련된다. 적어도 하나의 웹페이지(850, 954, 1058)의 지정된 개별 부분들(324, 326, 328, 330)은 단일의 구성 디스플레이 스크린(570) 내에 위치되며, 각 웹페이지(850, 954, 1058)의 지정된 개별 부분들(324, 326, 328, 330)을 포함하는 단일의 구성 디스플레이 스크린(570) 포맷은 구성 파일(410)에 저장된다. 지정된 개별 부분들(324, 326, 328, 330) 각각과 관련된 기본적인 정보 내용 및 구성 파일(410)은 검색되어, 상기 구성 파일(410)에 따라서 출력 디스플레이 스크린(10) 상에 위치된다. 컴퓨터 네트워크를 통해서 정보를 지정하여 검색하기 위한 시스템으로서, 디스플레이될 적어도 하나의 스크린(850, 954, 1058)의 개별 부분들(324, 326, 328, 330)을 지정하며, 이 개별 부분들과 관련된 기본적인(underlying) 정보 내용을 포함하기 위한 수단(500)과; 상기 지정된 개별 부분들(324, 326, 328, 330)을 단일 디스플레이 스크린(570)에 위치시키기 위한 수단(212)과; 상기 지정된 개별 부분들(324, 326, 328, 330)을 구성 파일(410)에 저장하기 위한 수단(205)과; 상기 구성 파일(410)을 검색하기 위한 수단(6)을, 포함하며, 여기서 상기 지정된 개별 부분들(324, 326, 328, 330) 각각과 관련된 상기 기본적인 정보 내용은 상기 구성 파일(410)에 따라 검색되어, 디스플레이 스크린(570) 상에 디스플레이되는 컴퓨터 네트워크를 통해서 정보를 지정하여 검색하기 위한 시스템.
APPARATUS AND METHOD FOR DESIGNATING INFORMATION TO BE RETRIEVED OVER A COMPUTER NETWORKA system and method for designating and retrieving information over the internet. At least one webpage (850, 954, 1058) is accessed and individual portions (324, 326, 328, 330) of the at least one webpage (850, 954, 1058) are designated, each of the individual portions (324, 326, 328, 330) being associated with an underlying information content. The designated individual portions (324, 326, 328, 330) of the at least one webpage (850, 954, 1058) are positioned within a single configuration display screen (570) and the format of the single configuration display screen (570) including the designated individual portions (324, 326, 328, 330) of each webpage (850, 954, 1058) are stored in a configuration file (410). The configuration file (410) and underlying information content associated with each of the designated individual portions (324, 326, 328, 330) are retrieved and positioned on an output display screen (10) in accordance with the configuration file (410). A system for designating and retrieving information over a computer network, comprising: means (500) for designating individual portions (324,326,328,330) of at least one screen (850,954,1058) to be displayed including an underlying information content associated therewith; means (212) for positioning said designated individual portions (324,326,328,330) within a single display screen (570); means (205) for storing said designated individual portions (324,326,328,330) in a configuration file (410); and means (6) for retrieving said configuration file (410), wherein said underlying information content associated with each of said designated individual portions (324,326,328,330) is retrieved and displayed on a display screen (570) in accordance with said configuration file (410). 2. The system of claim 1, wherein said at least one screen(850,954,1058) to be displayed is a webpage downloaded from the world wide web via the internet.
582
24222
알루미늄 압연, 압출 및 연신제품 제조업
특허
ko
en
고강도 알루미늄 합금판 및 그 제조 방법가전 제품이나 자동차 외판 등의 구조 재료에 적합한, 우수한 표면 거칠기성 및 성형성을 겸비한 고강도 알루미늄 합금판 및 그 제조 방법을 제공한다. Mg : 2.0 내지 3.3mass%, Mn : 0.1 내지 0.5mass%, Fe : 0.2 내지 1.0mass%를 함유하고, 잔량부가 불가피적 불순물과 Al로 이루어지고, 불가피적 불순물 중 Si : 0.20mass% 미만으로 한 화학 조성을 갖고, 금속간 화합물의 평균 원상당 직경 1㎛ 이하, 금속간 화합물의 면적율 1.2% 이상, 재결정립의 평균 입경 10㎛ 이하, 인장 강도 220MPa 이상인 고강도 알루미늄 합금판. 상기 화학 조성의 알루미늄 합금 용탕을 쌍벨트 주조기에 주탕하고, 두께 6 내지 15㎜의 박슬라브를 슬라브 두께 1/4의 위치에 있어서의 냉각 속도 50 내지 200℃/sec로 연속적으로 주조하여 코일에 권취한 후, 냉연율 60 내지 98%의 냉간 압연을 행하고, 최종 어닐링을 연속 어닐링로에 의해 승온 속도 100℃/min 이상, 또한 유지 온도 400 내지 520℃에서 유지 시간 5분 이내로 하여 제조한다.Mg : 2.0 내지 3.3mass%, Mn : 0.1 내지 0.5mass%, Fe : 0.2 내지 1.0mass%를 함유하고, 잔량부가 불가피적 불순물과 Al로 이루어지고, 불가피적 불순물 중 Si : 0.20mass% 미만인 화학 조성을 갖고, 금속간 화합물의 평균 원상당 직경 1㎛ 이하, 금속간 화합물의 면적율 1.2% 이상, 재결정립의 평균 입경 10㎛ 이하, 인장 강도 220MPa 이상인 것을 특징으로 하는 표면 거칠기성 및 성형성이 우수한 고강도 알루미늄 합금판.
High strength aluminum alloy sheet and method of production of sameHigh strength aluminum alloy sheet having superior surface roughening and formability suitable for home electrical appliances and automobile outer panels and other structural materials and a method of production of the same are provided. High strength aluminum alloy sheet having a chemical composition containing Mg: 2.0 to 3.3 mass %, Mn: 0.1 to 0.5 mass %, and Fe: 0.2 to 1.0 mass %, having a balance of unavoidable impurities and Al, and having an Si among the unavoidable impurities of less than 0.20 mass % and having an average circle equivalent diameter of intermetallic compounds of 1 μm or less, having an area ratio of intermetallic compounds of 1.2% or more, having an average diameter of recrystallized grains of 10 μm or less, and having a tensile strength of 220 MPa or more. This is obtained by pouring an aluminum alloy melt having the above chemical composition in a twin belt caster, continuously casting a thin slab of a thickness of 6 to 15 mm at a cooling rate at a position of ¼ the slab thickness of 50 to 200° C./sec and winding it up into a coil, then cold rolling it at a cold reduction of 60 to 98%, final annealing it by a continuous annealing furnace at a heating rate of 100° C./min or more, at a holding temperature of 400 to 520° C. for a holding time of within 5 minutes.A high strength aluminum alloy sheet having superior surface roughening and formability, said sheet having a chemical composition comprising: Mg: 2.0 to 3.3 mass %,Mn: 0.1 to 0.5 mass %, andFe: 0.79 to 1.0 mass %,having a balance of unavoidable impurities and Al, and having a Si among the unavoidable impurities of less than 0.20 mass %, andsaid sheet having an average circle equivalent diameter of intermetallic compounds of 1 μm or less, having an area ratio of intermetallic compounds of 3.80% or more, having an average diameter of recrystallized grains of 10 μm or less, and having a tensile strength of 220 MPa or more at room temperature.
583
27211
레이더, 항행용 무선 기기 및 측량 기구 제조업
특허
ko
en
음파 감지기본 발명에 따른 음파 감지기는, 음파를 전송할 수 있는 파형 전송장치 및 상기 파형 전송장치를 구동하기 위한 구동회로를 가지는 파형 전송기와, 상기 파형 전송기로부터 전송된 음파를 직접적으로 수신하거나 혹은 상기 파형 전송기로부터 전송되어 물체에 의하여 반사되고, 수신된 음파를 전기신호들의 파형 수신 신호들로 변환하는 파형 수신장치들을 구비함으로써, 상기 파형 전송기 또는 상기 물체까지의 거리와 상기 파형 전송기 또는 상기 물체가 위치되는 방향중 적어도 하나가 검출될 수 있는 파형 수신기를 포함하고, 상기 파형 수신장치는 열충격을 대기에 공급하여줌으로써 음파를 발생하는 음파발생기에 의하여 구성되어, 파형 전송장치로부터 전송된 음파에 포함된 잔향구성요소에 의하여 유발된 비사용구역 및 파형 수신장치들로부터 출력된 파형 수신 신호들에 포함된 잔향구성요소들에 의하여 유발된 비사용구역중 적어도 하나를 감축할 수 있고, 파형 전송장치 및 파형 수신장치들로써 압전장치들을 사용하는 일반적인 음파 감지기와 비교하여 음파 감지기의 각도분해능을 증가시킬 수 있는 효과가 있다. 음파를 전송할 수 있는 파형 전송장치와, 상기 파형 전송장치를 구동하기 위한 구동회로를 가지는 파형 전송기; 및 상기 파형 전송기로부터 전송된 음파를 직접적으로 수신하거나 혹은 상기 파형 전송기로부터 전송되어 물체에 의하여 반사된 음파를 수신하고, 수신된 음파를 전기신호들의 파형 수신 신호들로 변환하는 파형 수신장치들을 구비함으로써, 상기 파형 전송기 또는 상기 물체까지의 거리와 상기 파형 전송기 또는 상기 물체가 위치되는 방향중 적어도 하나가 검출될 수 있는 파형 수신기를 포함하고, 상기 파형 수신장치는 열충격을 대기에 공급하여줌으로써 음파를 발생하는 음파발생기에 의하여 구성되는 것을 특징으로 하는 음파 감지기.
ACOUSTIC WAVE SENSORIn an acoustic wave sensor for detecting a distance to an object and an orientation where the object is located with using acoustic waves, an acoustic wave generating device generating an acoustic wave by applying thermal impact to the air with no mechanical vibration is used as a wave transmitting device, and an electric capacitance microphone converting variation of pressure due to acoustic wave to variation of an electric signal is used as each wave receiving device. Therefore, dead zone caused by reverberation component included in the acoustic wave transmitted from the wave transmitting device and dead zone caused by reverberation component included in wave receiving signals outputted from the wave receiving devices can be shortened and angular sensitivity of the acoustic wave sensor cam be increased, in comparison with a conventional acoustic wave sensor using piezoelectric devices as the wave transmitting device and the wave receiving devices.An acoustic wave sensor comprising: a wave transmitter having a wave transmitting device which can transmit acoustic wave and a driving circuit for driving the wave transmitting device; and a wave receiver having wave receiving devices directly receive acoustic wave transmitted from the wave transmitter or receive acoustic wave transmitted from the wave transmitter and reflected by an object, and convert received acoustic wave to wave receiving signals of electric signals, thereby at least one of a distance to the wave transmitter or the object and an orientation where the wave transmitter or the object is located can be detected; wherein the wave transmitting device is constituted by an acoustic wave generator generating the acoustic wave by applying thermal impacts to air.
583
30331
자동차용 신품 동력 전달장치 제조업
특허
ko
en
토크 제한기를 갖는 오버러닝 디커플러회전 부재와 허브 사이에서 회전력을 전달하도록 구성되는 오버러닝 디커플러를 생산하기 위한 방법에 관한 것이다. 클러치 스프링, 상기 클러치 스프링에 결합되는 캐리어, 및 상기 캐리어를 상기 허브에 탄성적으로 결합하는 적어도 하나의 스프링을 갖는 일방향 클러치를 포함하는 오버러닝 디커플러를 생산하기 위한 방법이다. 상기 방법은 상기 적어도 하나의 스프링의 희망하는 피로 수명을 설정하는 단계와, 공명 동안에 상기 적어도 하나의 스프링의 디자인 변형을 설정하는 단계와, 상기 적어도 하나의 스프링의 최대 변형을 제어하여 상기 최대 변형이 상기 디자인 변형보다 적거나 같게 함으로써 상기 오버러닝 디커플러에서의 공명을 방지하는 단계를 포함하고, 공명 동안에 상기 디자인 변형에서 상기 적어도 하나의 스프링의 변형은 상기 희망하는 피로 수명 이하로 상기 적어도 하나의 스프링의 피로 수명을 감소시키지 않는다.회전 부재와 허브 사이에서 회전력을 전달하도록 구성되며, 클러치 스프링, 상기 클러치 스프링에 결합되는 캐리어, 및 상기 캐리어를 상기 허브에 탄성적으로 결합하는 적어도 하나의 스프링을 갖는 일방향 클러치를 포함하는 오버러닝 디커플러를 생산하기 위한 방법이며,상기 적어도 하나의 스프링의 희망하는 피로 수명을 설정하는 단계와, 공명 동안에 상기 적어도 하나의 스프링의 디자인 변형(deflection)을 설정하는 단계와,상기 적어도 하나의 스프링의 최대 변형을 제어하여 상기 최대 변형이 상기 디자인 변형보다 적거나 같게 함으로써 상기 오버러닝 디커플러에서의 공명을 방지하는 단계를 포함하고,공명 동안의 상기 디자인 변형에서의 상기 적어도 하나의 스프링의 변형은 상기 희망하는 피로 수명 이하로 상기 적어도 하나의 스프링의 피로 수명을 감소시키지 않는, 오버러닝 디커플러를 생산하기 위한 방법.
OVER-RUNNING DECOUPLER WITH TORQUE LIMITERA method for producing an over-running decoupler that is configured to transmit rotary power between a rotary member and a hub. The over-running decoupler includes a one-way clutch having a clutch spring, a carrier that is coupled to the clutch spring and at least one spring that resiliently couples the carrier to the hub. The method includes: establishing a desired fatigue life of the at least one spring; establishing a design deflection of the at least one spring during resonance, wherein deflection of the at least one spring at the design deflection during resonance does not reduce a fatigue life of the at least one spring below the desired fatigue life; and preventing resonance in the over-running decoupler by controlling a maximum deflection of the at least one spring such that the maximum deflection is less than or equal to the design deflectionA method for producing an over-running decoupler that is configured to transmit rotary power between a rotary member and a hub, the over-running decoupler comprising a one-way clutch having a clutch spring, a carrier that is coupled to the clutch spring and at least one spring that resiliently couples the carrier to the hub, the method comprising: establishing a desired fatigue life of the at least one spring; establishing a design deflection of the at least one spring during resonance, wherein deflection of the at least one spring at the design deflection during resonance does not reduce a fatigue life of the at least one spring below the desired fatigue life; and preventing resonance in the over-running decoupler by controlling a maximum deflection of the at least one spring such that the maximum deflection is less than or equal to the design deflection.
583
H
운수 및 창고업(49~52)
특허
ko
en
쌓을 수 있는 컨테이너 보관 장치공항의 분류 컨베이어 시스템에서 물품, 특히 수하물 물품을 운반하기 위한 쌓을 수 있는 컨테이너용 저장 장치는 적어도 하나의 컨테이너를 저장하기 위해 상부 및 하부 운반 평면 사이에서 안내될 수 있는 컨테이너 수용 장치를 구비한 리프팅 컨베이어를 포함한다. 상부 컨베이어 평면에는 저장 용기를 이송 위치로 안내하기 위한 컨베이어가 배치되고, 이송 위치에서 저장된 용기를 배출하기 위한 배출 컨베이어는 상부 컨베이어 평면에 배치된다. 이동 장치는 용기를 이송 위치에서 빈 용기 수용 장치 또는 용기 수용 장치의 최상부에 놓인 용기로 이동시키고 용기 수용 장치에 놓인 최상부 용기를 이송 위치로 이동시킨다. 결과적으로, 빈 컨테이너는 간단하고 비용 효율적인 방식으로 수령, 보관 및 안내될 수 있다.공항의 분류 컨베이어 시스템에서 개별 품목 또는 수하물 품목을 운반하기 위한 적층형 컨테이너의 보관 장치에 있어서,상기 보관 장치는, 상부 운반 평면 및 하부 운반 평면; 수신 위치 및 전송 위치; 적어도 하나의 용기를 저장하기 위해, 상기 상부 이송 평면과 상기 하부 이송 평면 사이에서 이동가능한 용기 수용 장치를 갖는 리프팅 컨베이어; 상기 수용 위치에 저장될 용기를 공급하기 위해 상기 상부 컨베이어 평면에 배치된 공급 컨베이어; 상기 이송 위치로부터 컨테이너를 배출하기 위해 상기 상부 이송 평면에 배치된 배출 컨베이어; 및 상기 수용 위치로부터 상기 빈 용기 수용 장치 상으로 또는 상기 용기 수용 장치 상에 적재된 각각의 최상부 용기 상으로 용기를 이동시키고 상기 용기 수용 장치 상에 최상부에 적재된 용기를 상기 이송 위치로 이동시키기 위한 이동 장치; 상기 이동 장치는 상기 상부 이송 평면에 평행하게 이동하도록 구성된 캐리지를 포함하고, 상기 캐리지는 상기 컨테이너 수용 장치 위에 위치되고 컨테이너와 맞물리고 들어 올리도록 구성된 제어 가능한 그리퍼 요소를 갖는 것을 특징으로 하는 이동 장치.
Storage device for stackable containersA storage device for stackable containers for conveying items, in particular items of luggage in a sorting conveyor system in an airport, includes a lifting conveyor with a container receiving device which can be guided between upper and lower conveying planes for storing at least one container. A conveyor is disposed in the upper conveyor plane for guiding storage containers to a transfer position and a discharge conveyor is disposed in the upper conveyor plane for discharging stored containers from a transfer position. A moving device moves a container from the transfer position to the empty container receiving device or to a container placed on the uppermost part of the container receiving device and moves the most upper container placed on the container receiving device to the transfer position. As a result, empty containers can be received, housed and guided back in a simple and cost effective manner.A storage device for stackable containers for conveying individual items or items of luggage in a sorting conveyor system in an airport, the storage device comprising: an upper conveying plane and a lower conveying plane;a receiving position and a transfer position;a lifting conveyor having a container receiving device being movable between said upper conveying plane and said lower conveying plane, for storing at least one container;a feed conveyor disposed in said upper conveyor plane for feeding containers to be stored to said receiving position;a discharge conveyor disposed in said upper conveying plane for discharging containers from said transfer position; anda moving device for moving a container from said receiving position onto said empty container receiving device or onto a respective uppermost container deposited on said container receiving device as well as for moving the container deposited uppermost on said container receiving device to said transfer position;said moving device including a carriage configured to move parallel to said upper conveying plane, said carriage located above said container receiving device and having controllable gripper elements configured to engage and lift a container.
583
24110
제철, 제강 및 합금철 제조업
특허
ko
en
Fe-Ni계 합금 박판의 제조 방법 및 Fe-Ni계 합금 박판광폭화가 되어도 등방적인 기계 특성을 구비하는 것이 가능한 Fe-Ni계 합금 박판과 그 제조 방법을 제공한다. 질량%로 Ni+Co: 35.0 내지 43.0%(단, Co는 0 내지 6.0%), Si: 0.5% 이하, Mn: 1.0% 이하, 잔부는 Fe 및 불순물로 이루어지고, 두께가 2mm 이상인 열간 압연재를 사용하여 냉간 압연용 소재로 하고, 상기 냉간 압연용 소재에 대하여, 압하율 85% 이상의 제1 냉간 압연을 행하고, 상기 제1 냉간 압연 후, 온도 800℃ 이상, 유지 시간 0.1 내지 1.2분의 조건에서 재결정 어닐링을 행하고, 상기 재결정 어닐링 후, 압하율 40% 이하의 최종 냉간 압연을 행하여, 두께가 0.25mm 이하인 Fe-Ni계 합금 박판으로 하고, 최종 냉간 압연 후에는 열처리를 행하지 않는 것을 특징으로 하는 Fe-Ni계 합금 박판의 제조 방법 및 Fe-Ni계 합금 박판.질량%로 Ni+Co: 35.0 내지 43.0%(단, Co는 0 내지 6.0%), Si: 0.5% 이하, Mn: 1.0% 이하, 잔부는 Fe 및 불순물로 이루어지고, 두께가 2mm 이상인 열간 압연재를 사용하여 냉간 압연용 소재로 하고, 상기 냉간 압연용 소재에 대하여,압하율 85% 이상의 제1 냉간 압연을 행하고,상기 제1 냉간 압연 후, 온도 800℃ 이상, 유지 시간 0.1 내지 1.2분의 조건에서 재결정 어닐링을 행하고,상기 재결정 어닐링 후, 압하율 40% 이하의 최종 냉간 압연을 행하여, 두께가 0.25mm 이하인 Fe-Ni계 합금 박판으로 하고, 최종 냉간 압연 후에는 열처리를 행하지 않는 것을 특징으로 하는, Fe-Ni계 합금 박판의 제조 방법.
METHOD FOR PRODUCING Fe-Ni-BASED ALLOY THIN PLATE AND Fe-Ni-BASED ALLOY THIN PLATEProvided are an Fe-Ni-based thin plate capable of having isotropic mechanical characteristics even if the plate is widened, and a method for producing the same. The Fe-Ni-based alloy thin plate and the method for producing the Fe-Ni-based alloy thin plate are characterized by the following: the thin plate is formed of, in terms of mass percentage, 35.0-43.0% of Ni + Co (Co is 0-6.0%), 0.5% or lessof Si, 1.0% or less of Mn, with the remainder being Fe and impurities; a hot-rolled material is used as a material for cold rolling; first cold rolling is conducted on the material for cold rolling,with a rolling reduction ratio of 85% or higher; after the first cold rolling, recrystallization sintering is conducted on such material under conditions where the temperature is 800oC or higher and the retention time is 0.1-1.2 minutes; after the recrystallization sintering, a final cold rolling is conducted on such material with a rolling reduction ratio of 40% or less to obtain a Fe-Ni-based alloy thin plate having a thickness of 0.25 mm or less; and no heat treatment is conducted after the final cold rolling.A kind of manufacturing method of fe-ni system latten, which is characterized in that cold rolling base is made using following hot rolling material material, the hot rolling material ni+co:35.0~43.0%, si:0.5% or less, mn:1.0% or less, surplus fe in terms of quality % and impurity, wherein co is 0~6.0%, and with a thickness of 2mm or more,for the cold rolling blank, the 1st cold rolling of 85% or more reduction ratio is carried out,after the 1st cold rolling, recrystallization is carried out with the condition of 800 deg c of temperature or more, the retention time 0.1~1.2 minute and is moved back fire,after the recrystallization annealing, the final cold rolling below of reduction ratio 40% is carried out,to be made with a thickness of 0.25mm fe-ni system latten below,without heat treatment after final cold rolling.
584
27199
그 외 기타 의료용 기기 제조업
특허
ko
en
복합 유전자 풀의 PCR을 위한 다중 특이적/비특이적 프라이머유전자 변이체의 원래 비율을 유지하면서 샘플에 공통된 다양한 변이체 표적 유전자 서열을 동시에 증폭시킬 수 있는, 복합 표적 유전자 풀을 함유하는 샘플의 단일 단계 PCR을 위한 조성물 및 방법이 개시된다. 본원에 기재된 조성물 및 방법은 (1) 증폭 편향을 도입할 수 있는 혼합물에서 변이체의 증폭에 모두 필요한 표적 서열의 복합 혼합물을 함유하는 샘플에서 발생하는 다수의 변이체를 함유하는 유전자-특이적 프라이머 풀을 (2) 다수의 유전자-특이적 프라이머 변이체를 표적화하고 증폭 편향을 제거하도록 설계된 비특이적 PCR 프라이머와 함께 활용한다.(a) 샘플을 단일 용기에서 복수의 올리고뉴클레오티드 프라이머와 접촉시키는 단계이며, 여기서 복수의 올리고뉴클레오티드 프라이머는(i) 표적 DNA 서열의 특이적 연속 염기 서열에 상보적인 특이적 뉴클레오티드 서열에 연결된, 샘플 중의 DNA 서열에 어닐링하지 않도록 설계된 비특이적 뉴클레오티드 서열을 갖는 정방향 및 역방향 특이적 프라이머의 하나 이상의 세트; 및(ii) (i)의 비특이적 뉴클레오티드 서열에 상보적인 뉴클레오티드 서열을 갖는 정방향 및 역방향 비특이적 프라이머의 하나 이상의 세트를 포함하는 것인 단계;(b) 용기에서 최소 3 라운드의 다중-프라이머 증폭 반응을 수행하는 단계이며, 여기서 비특이적 프라이머의 세트는 증폭 반응의 라운드 3까지 증폭 반응에 참여하지 않는 것인 단계; 및(c) 표적 DNA 서열에 상응하는 증폭 생성물의 존재를 검출하는 단계이며, 여기서 증폭 생성물의 비율은 샘플 중의 표적 DNA 서열의 비율을 반영하는 것인 단계를 포함하는, 샘플 중의 표적 DNA 서열의 비율을 결정하는 다중-프라이머 검정법.
MULTIPLE SPECIFIC/NONSPECIFIC PRIMERS FOR PCR OF A COMPLEX GENE POOLDisclosed are compositions and methods for single-step PCR of a sample containing a complex target gene pool that can simultaneously amplify a wide variety of variant target gene sequences common to the sample while maintaining the original ratios of gene variants. The compositions and methods described herein utilize (1) a gene-specific primer pool that contains multiple variants that occur in a sample containing a complex mixture of target sequences that are both required for amplification of variants in the mixture which may introduce amplification bias, with (2) a non-specific PCR primer that is designed to target multiple gene-specific primer variants and eliminate amplification bias.A multi-primer assay for determining ratios of target DNA sequences in a sample, comprising:(a) contacting the sample with a plurality of oligonucleotide primers in a single vessel, wherein the plurality of oligonucleotide primers comprises:(i) one or more sets of forward and reverse specific primers having a nonspecific nucleotide sequence designed to not anneal to a DNA sequence in the sample, linked to specific nucleotide sequences complementary to specific consecutive base sequences of targetDNA sequences; and(ii) one or more sets of forward and reverse nonspecific primers having a nucleotide sequence complementary to the nonspecific nucleotide sequence in (i); (b) performing a minimum of three rounds of a multi-primer amplification reaction in the vessel, wherein the sets of nonspecific primers do not participate in the amplification reaction until round three of the amplification reaction; and(c) detecting the presence of amplification products corresponding to the target DNA sequences, wherein the ratios of the amplification products reflects the ratio of the target DNA sequences in the sample.
584
29299
그 외 기타 특수 목적용 기계 제조업
특허
ko
en
스틸 브레이커 이송 장치절단한 브레이커 단체를 절단 위치에서 조인트 장치까지 적절한 자세로 단시간에 이송한다. 이송 장치 20은 띠형 스틸 브레이커용 재료 W1의 단부 W1a를 절단해 제작한 브레이커 단체 W2를 소정의 중계 위치 P2까지 브레이커 단체 W2의 길이 방향으로 교차하는 제1 방향 Y로 이송한 후, 소정의 조인트 위치 P3까지 브레이커 단체 W2의 길이 방향인 제2 방향 X로 이송한다. 이송 장치 20은 스틸 브레이커용 재료 W1의 단부 W1a를 절단할 때 단부 W1a가 재치되는 테이블 24 및 단부 W1a를 절단해 제작한 브레이커 단체 W2가 재치된 채로 테이블 24를 중계 위치 P2까지 제1 방향 Y로 이동시키는 테이블 이동 기구 25를 가지는 제1 이송 기구 21과 중계 위치 P2로 테이블 24에서 브레이커 단체 W2를 받아, 브레이커 단체 W2를 조인트 위치 P3까지 제2 방향 X로 이송하는 제2 이송 기구 22를 구비한다.띠형 스틸 브레이커용 재료의 단부를 절단해 제작한 브레이커 단체를 소정의 중계 위치까지 그 브레이커-단체의 길이 방향으로 교차하는 제1 방향으로 이송한 후, 소정의 조인트 위치까지 그 브레이커-단체의 길이 방향인 제2 방향으로 이송하는 스틸 브레이커의 이송 장치로서, 상기 스틸 브레이커용 재료의 단부를 절단할 때 당해 단부가 재치되는 테이블 및 그 단부를 절단해 제작한 상기 브레이커 단체가 재치된 채로 상기 테이블을 상기 중계 위치까지 상기 제 1 방향으로 이동시키는 테이블 이동 기구를 가지는 제1 이송 기구와 상기 중계 위치에서 상기 테이블에서 상기 브레이커 단체를 받아, 그 브레이커-단체를 상기 조인트 위치까지 상기 제 2 방향으로 이송하는 제2 이송 기구를 구비하고 있는, 스틸 브레이커의 이송 장치.
TRANSPORT DEVICE OF STEEL BREAKERTo provide a transport device for transporting a breaker element having been cut from a cutting position to a joint device with a proper posture in a short time. A transport device 20 transports a breaker element W2 prepared by cutting an end part W1a of a belt-like material for steel breaker W1 in a first direction Y which crosses a longer direction of the breaker element W2 to a desired relay position P2, and then transports it in a second direction X which is a longer direction of the breaker element W2 to a desired joint position P3. The transport device 20 comprises: a first transportation mechanism 21 which has a table 24 on which the end part W1a is mounted on cutting the end part W1a of the material for steel breaker W1, and a table moving mechanism 25 for moving the table 24 in the first direction Y to the relay position P2 while the breaker element W2 prepared by cutting the end part W1a is being mounted; and a second transportation mechanism 22 for receiving the breaker element W2 from the table 24 at the relay position P2 and transporting the breaker element W2 in the second direction X to the joint position P3.The breaker unit produced by cutting the end of the strip-shaped steel breaker material is transported to a predetermined relay position in the first direction intersecting the longitudinal direction of the breaker unit, and then the breaker unit is transported to a predetermined joint position. A steel breaker transporting device that transports in the second direction, which is the longitudinal direction, and cuts the table on which the end is placed when cutting the end of the steel breaker material, and the end. The first transport mechanism having a table moving mechanism for moving the table to the relay position in the first direction while the breaker single unit produced in the above-described is placed, and the breaker single unit is received from the table at the relay position. A steel breaker transfer device including a second transfer mechanism for transporting the breaker unit to the joint position in the second direction.
584
12000
담배제품 제조업
특허
ko
en
에어로졸 발생 장치 및 어댑터 요소를 포함하는 시스템본 발명은 에어로졸 발생 장치를 포함하는 시스템에 관한 것이다. 에어로졸 발생 장치는 가열 챔버를 포함한다. 가열 챔버는 제1 유형의 에어로졸 발생 물품을 수용하도록 구성된다. 에어로졸 발생 물품은 에어로졸 형성 기재를 포함한다. 시스템은 에어로졸 발생 장치의 가열 챔버 내로 삽입을 위한 어댑터 요소를 더 포함한다. 어댑터 요소는 에어로졸 발생 장치의 가열 챔버 내로 적어도 부분적으로 삽입될 외부 형상을 갖도록 구성된다. 어댑터 요소는 어댑터 요소의 길이방향 축을 따라 연장되는 공동을 갖는다. 공동은 제1 유형의 에어로졸 발생 물품의 직경보다 작은 직경을 갖는 제2 유형의 에어로졸 발생 물품이 공동 내로 그리고 이에 의해 가열 챔버 내로 삽입될 수 있도록 구성된다.시스템으로서,[이미지] 가열 챔버를 포함하는 에어로졸 발생 장치로서, 상기 가열 챔버는 제1 유형의 에어로졸 발생 물품을 수용하도록 구성되고, 상기 에어로졸 발생 물품은 에어로졸 형성 기재를 포함하는 에어로졸 발생 장치, 및[이미지] 상기 에어로졸 발생 장치의 가열 챔버 내로 삽입을 위한 어댑터 요소를 포함하며,상기 어댑터 요소는 상기 가열 챔버 내로 적어도 부분적으로 삽입될 외부 형상을 갖도록 구성되고, 상기 어댑터 요소는 상기 어댑터 요소의 길이방향 축을 따라 연장되는 공동을 갖고, 상기 공동은 상기 제1 유형의 에어로졸 발생 물품의 직경보다 작은 직경을 갖는 제2 유형의 에어로졸 발생 물품이 공동 내로 그리고 이에 따라 상기 가열 챔버 내로 삽입될 수 있도록 구성되고, 상기 어댑터 요소는 상기 에어로졸 발생 장치에 의해, 상기 가열 챔버 내로 삽입된 어댑터 요소의 유형의 식별을 가능하게 하도록 구성된 검출 요소를 포함하는, 시스템.
SYSTEM COMPRISING AEROSOL-GENERATING DEVICE AND ADAPTER ELEMENTThe present invention relates to a system comprising an aerosol-generating device. The aerosol-generating device comprises a heating chamber. The heating chamber is configured to receive an aerosol-generating article of a first type. The aerosol-generating article comprises aerosol-forming substrate. The system further comprises an adapter element for insertion into the heating chamber of the aerosol-generating device. The adapter element is configured having an outer shape to be at least partly inserted into the heating chamber of the aerosol-generating device. The adapter element has a cavity extending along the longitudinal axis of the adapter element. The cavity is configured so that an aerosol- generating article of a second type having a diameter which is smaller than the diameter ofthe aerosol-generating article of the first type can be inserted into the cavity and thereby into the heating chamber.A system, comprising:an aerosol-generating device comprising a heating chamber, wherein the heating chamber is configured to receive an aerosol-generating article of a first type, wherein the aerosol-generating article comprises an aerosol-forming substrate, andan adapter element for insertion into a heating chamber of the aerosol-generating device,wherein the adapter element is configured to have a profile that is at least partially insertable into the heating chamber, wherein the adapter element has a cavity extending along a longitudinal axis of the adapter element, wherein the cavity is configured such that a second type of aerosol-generating article having a diameter that is smaller than a diameter of the first type of aerosol-generating article is insertable into the cavity and thereby into the heating chamber, and wherein the adapter element comprises a detection element configured such that the type of the adapter element inserted into the heating chamber is identifiable by the aerosol-generating device.
585
25933
비동력식 수공구 제조업
특허
ko
en
조절 가능한 접이식 다리미판다리미판을 벽이나 기타 지지대에 장착하기 위한 장착 기구를 포함하는 접이식 다리미판. 장착 기구는 일반적으로 수평인 사용 위치와 벽에 인접한 일반적으로 수직인 보관 위치 사이에서 다림판의 접힘 운동을 허용하기 위해 피봇식으로 연결된 지지대 및 제어 부재를 포함한다. 높이 조절 팔은 벽에서 조절 팔까지 피벗식으로 연결되며 지지 부재가 그에 따라 회전하는 두 개의 개별 작업 높이 사이에서 다림판을 이동시키기 위해 걸쇠 부재를 해제하여 회전할 수 있다. 턴테이블 또는 기타 피벗은 사용 위치에서 각도 방향을 조정할 수 있도록 다림판을 장착 메커니즘에 장착한다.벽 또는 다른 지지체에 부착하기위한 조정 가능한 폴딩 다림질 보드에있어서, 장착 프레임; 상기 다리 질 기판 표면 부재를 상기 장착 프레임에 회전 가능하게 고정하기위한 턴테이블 수단;지지 레그 수단은 그 일단에서 피봇 식으로 연결된다. 상기 벽면 또는 다른 지지체에 대한 다른 단부의 피봇 액을 포함하는, 상기 장착 프레임에; 상기 지지대 다리 수단의 연결 위의 위치에서 상기 벽 또는 다른 지지체에 고정하기위한 높이 제어 수단; 접힘 제어 암 상기 장착 프레임에 피봇 식으로 연결되고 다른 단부를 상기 높이 제어 수단에 피봇 식으로 연결하는 수단은 일반적으로 수평 사용 사이에 상기 다림질 기판의 접힘을 허용하도록 구성된 상기 지지부 다리 수단 및 접기 제어 아암 수단을 포함한다. 벽 또는 다른 지지체에 인접한 다림질 보드 표면 부재의 밑면을 갖는 위치 및 일반적으로 수직 저장 위치; 상기 폴딩 제어 암 수단을 포함하는 조정 가능한 수단을 포함하는 조정 수단은 상기 지지부 다리와 하부 위치 사이에서 상기 다리를 억제하기 위해 상기 다리를 균쇄하여 상기 다림질 보드 표면 부재를 상기 제 1 사용보다 더 일반적으로 더 낮은 더 낮은 수평 사용 위치로 이동시키는 다림질 보드.
Adjustable folding ironing boardA folding ironing board including a mounting mechanism for mounting the ironing board to a wall or other support. The mounting mechanism includes pivotally connected support and control members for permitting folding movement of the ironing board between a generally horizontal use position and a generally vertical storage position adjacent the wall. Height control arms pivotally connect from the wall to the control arms and can be pivoted by releasing latch members to move the ironing board between two separate working heights, with the support members pivoting accordingly. A turntable or other pivot mounts the ironing board to the mounting mechanism to permit adjustment of angular orientation in the use position.An adjustable folding ironing board for attachment to a wall or other support, comprising:a mounting frame;an ironing board surface member;turntable means for rotatably securing said ironing board surface member to said mounting frame;support leg means pivotally connected at one end thereof to said mounting frame, including means for pivotal connection of the other end thereof to said wall or other support;height control means for securing to said wall or other support at a position above the connection of said support leg means;fold-up control arm means pivotally connected at one end thereof to said mounting frame and including means pivotally connecting the other end thereof to said height control means, said support leg means and fold-up control arm means configured to permit folding of said ironing board between a generally horizontal use position and a generally vertical storage position with the underside of the ironing board surface member adjacent the wall or other support; andsaid height control means including adjustable means for moving said fold-up control arm means between an upper position and a lower position with said support leg means pivoting accordingly to move said ironing board surface member to a further generally horizontal use position lower than the first use position.
585
28122
전기회로 접속장치 제조업
특허
ko
en
IC 캐리어 보드의 솔더 마스크 플러그 홀의 움푹 들어감에 대한 개선 방법 및 IC 캐리어 보드의 제조 방법본 발명은 IC 캐리어 보드의 솔더 마스크 플러그 홀의 움푹 들어감에 대한 개선 방법 및 제조 방법이 개시된다. 상기방법은, 기판을 제공하고, 기판 상에 비아홀을 만든 단계; 플러그 홀 잉크를 사용하여 상기 비아홀을 충진시키고, 상기 기판에 대해 노광, 현상을 수행하여 상기 비아홀 내의 플러그 홀 잉크를 경화시키는 단계; 기판의 표면을 연마하는 단계; 기판 상에 회로 패턴을 형성하는 단계; 기판의 표면에 솔더 레지스트 잉크를 인쇄하고, 상기 기판에 대해 노광, 현상을 수행하여 상기 기판 상의 솔더 레지스트 잉크를 경화시키는 단계를 포함한다. 상기 IC 캐리어 보드의 솔더 마스크 플러그 홀의 움푹 들어감에 대한 개선 방법은, 플러그 홀 잉크는 경화된 후에도 여전히 어느 정도 움푹 들어가게 되나, 솔더 레지스터 잉크를 인쇄할 시, 이러한 움푹 들어가는 부분들을 다시 커버하기에 솔더 마스크 플러그 홀이 움푹 들어가는 현상을 실용적이고 효과적으로 개선할 수 있다.IC 캐리어 보드의 솔더 마스크 플러그 홀의 움푹 들어감에 대한 개선 방법에 있어서,기판을 제공하고, 기판 상에 비아홀을 형성하는 단계;플러그 홀 잉크를 사용하여 상기 비아홀을 충진시키고, 상기 기판에 대해 노광, 현상을 수행하여 상기 비아홀 내의 플러그 홀 잉크를 경화시키는 단계;기판의 표면을 연마하는 단계;기판 상에 회로 패턴을 형성하는 단계;기판의 표면에 솔더 레지스트 잉크를 인쇄하고, 상기 기판에 대해 노광, 현상을 수행하여 상기 기판 상의 솔더 레지스트 잉크를 경화시키는 단계를 포함하는 것을 특징으로 하는 IC 캐리어 보드의 솔더 마스크 플러그 홀의 움푹 들어감에 대한 개선 방법.
Improvement method for solder plug hole depressions of IC substrate and manufacturing methodThe invention discloses an improvement method for solder plug hole depressions of an IC substrate and a manufacturing method. The improvement method for the solder plug hole depressions of the IC substrate comprises the following steps: providing a substrate and fabricating via holes on the substrate; filling the via holes with plugging ink, exposing and developing the substrate, and curing the plugging ink in the via holes; grinding the surface of the substrate; fabricating a circuit pattern on the substrate; and printing solder resist ink on the surface of the substrate, exposing and developing the substrate, and curing the solder resist ink on the surface of the substrate. According to the improvement method for the solder plug hole depressions of the IC substrate, the plugging ink generates certain depressions after being cured; and these depressions are recovered when the solder resist ink is printed, so that the improvement method plays a role in improving the solder plug hole depressions and is practical and effective. According to the manufacturing method of the IC substrate, mass production is carried out on the IC substrate product by the principle; the problem of the solder plug hole depressions can be basically solved; the product is stable in quality; and the manufacturing method has good promotional value.An ameliorative way for ic support plate welding resistance consent depression, is characterized in that, comprise the following steps:substrate is provided, substrate makes via;adopt rabbet ink to fill described via, to described base board to explosure, development, solidify the rabbet ink in described via;the surface of grinding base plate;substrate makes line pattern;at the surface printing solder mask of substrate, to described base board to explosure, development, solidify the solder mask on described substrate surface.
585
31111
강선 건조업
특허
ko
en
풍력 발전 설비의 제어 방법본 발명은 풍력 발전 설비(100)를 운전하기 위한 방법에 관한 것으로, 풍력 발전 설비(100)는 로터 허브와 블레이드 각도가 조절될 수 있는 로터 블레이드(20)를 가진 공기 역학적 로터(106)를 포함하고, 공기 역학적 로터(106)의 방위각 방향이 조절될 수 있고, 상기 방법은 우세한 바람이 강해서 풍력 발전 설비(100)가 자체 보호를 위해 저속 스피닝 모드로 변경되는 폭풍 상황을 검출하는 단계, 풍력 발전 설비(100)가 바람에 의해 주 풍향으로부터 가급적 하중을 받지 않는 저하중 배향으로 바람에 대해 로터(106)의 방위각 위치를 배향하는 단계, 돌풍으로 인해 야기된 로터에 작용하는 적어도 하나의 하중(LM)을 검출하는 단계 및 적어도 하나의 로터 블레이드(202)가 야기되는 돌풍에 의해 가급적 하중을 받지 않도록 로터 블레이드 중 적어도 하나의 블레이드 각도를 조절하는 단계를 포함한다.풍력 발전 설비(100)의 운전 방법으로서, - 상기 풍력 발전 설비(100)는 로터 허브와 블레이드 각도가 조절될 수 있는 로터 블레이드(202)를 가진 공기 역학적 로터(106)를 포함하고, - 상기 공기 역학적 로터(106)의 방위각 방향이 조절될 수 있고, 상기 방법은- 우세한 바람이 강해서 상기 풍력 발전 설비(100)가 자체 보호를 위해 저속 스피닝 모드로 변경되는 폭풍 상황을 검출하는 단계, - 상기 풍력 발전 설비(100)가 바람에 의해 주 풍향으로부터 가급적 하중을 받지 않는 저하중 배향으로 바람에 대해 상기 로터(106)의 방위각 위치를 배향하는 단계, - 돌풍으로 인해 야기된 로터에 작용하는 적어도 하나의 하중(LM)을 검출하는 단계, 및- 야기되는 돌풍에 의해 적어도 하나의 로터 블레이드(202)가 가급적 하중을 받지 않도록 로터 블레이드(202) 중 적어도 하나의 블레이드 각도를 조절하는 단계를 포함하는 것인 풍력 발전 설비의 운전 방법.
METHOD FOR CONTROLLING A WIND TURBINEThe invention relates to a method for operating a wind turbine (100), and the wind turbine (100) has an aerodynamic rotor (106) with a rotor hub and with rotor blades (202) whose blade angle can be adjusted, and the azimuth direction of the aerodynamic rotor (106) can be adjusted, and the method comprises the steps of sensing a storm situation in which the prevailing wind is so strong that for thesake of self-protection the wind turbine (100) is placed in a rotor idling mode, orienting the rotor (106) in its azimuth position into a low-load orientation with respect to the wind, in which orientation the wind turbine (100) experiences as little loading as possible by the wind from a main wind direction, sensing at least one load (LM) which is caused by a gust of wind and acts on the rotor,and adjusting the blade angle of at least one of the rotor blades (202) in such a way that the at least one rotor blade (202) experiences the lowest possible loading by the gust of wind causing the loading.Method of the one kind for running wind energy facility (100), andthe wind energy facility (100) has aerodynamic rotor (106), and the aerodynamic rotor has rotor hub and the adjustable rotor blade of blade angle (202), andthe aerodynamic rotor (106) can adjust along its azimuth direction,and described method includes following steps:storm condition is detected, under the storm condition, the intensity for the wind being dominant reaches following degree: for self-shield, by institute wind energy facility (100) is stated to be placed in free-runing operation,in the orientation that the rotor (106) is directed to underload relative to wind in its position of orientation, in the orientation, the wind energy facility (100) is born by the wind-induced load as small as possible from prevailing wind direction,detect at least one as caused by fitful wind, act on the load (l of the rotorm), andthe blade angle for adjusting at least one of described rotor blade (202), so that at least one described rotor blade (202) bear the load as small as possible as caused by fitful wind.
585
20111
석유화학계 기초 화학 물질 제조업
특허
ko
en
난연성 유리 섬유 강화 폴리아마이드 수지 조성물(A) 폴리아마이드 수지 60 내지 90질량%, (B) 할로젠 원소를 포함하지 않는 난연제 40 내지 10질량%로 이루어지는 난연성 수지 조성물((A)+(B)=100질량%) 100질량부에 대하여, (C) 장경/단경의 비가 1.5 내지 10인 편평 단면을 갖는 편평 유리 섬유 60 내지 210질량부로 이루어지는 난연성 유리 강화 폴리아마이드 수지 조성물로서, (A) 폴리아마이드 수지가 결정성 폴리아마이드 수지(a1)와 비정성 폴리아마이드 수지(a2)를 포함하고, (B) 할로젠 원소를 포함하지 않는 난연제가 포스핀산염(b1) 및/또는 다이포스핀산염(b2)으로 이루어지며, (A) 폴리아마이드 수지 중의 (a2) 비정성 폴리아마이드 수지의 배합 비율이, 0.1≤(a2)/(A)≤0.5인 것을 특징으로 하는 난연성 유리 섬유 강화 폴리아마이드 수지 조성물.(A) 폴리아마이드 수지 60 내지 90질량%, (B) 할로젠 원소를 포함하지 않는 난연제 40 내지 10질량%로 이루어지는 난연성 수지 조성물((A)+(B)=100질량%) 100질량부에 대하여, (C) 장경/단경의 비가 1.5 내지 10인 편평 단면을 갖는 편평 유리 섬유 60 내지 210질량부로 이루어지는 난연성 유리 강화 폴리아마이드 수지 조성물로서, (A) 폴리아마이드 수지가 결정성 폴리아마이드 수지(a1)와 비정성 폴리아마이드 수지(a2)를 포함하고, (B) 할로젠 원소를 포함하지 않는 난연제가 포스핀산염(b1) 및/또는 다이포스핀산염(b2)으로 이루어지며, (A) 폴리아마이드 수지 중의 (a2) 비정성 폴리아마이드 수지의 배합 비율이, 0.1≤(a2)/(A)≤0.5인 것을 특징으로 하는 난연성 유리 섬유 강화 폴리아마이드 수지 조성물.
FLAME-RETARDANT GLASS-FIBER-REINFORCED POLYAMIDE RESIN COMPOSITIONA flame-retardant glass fiber-reinforced polyamide resin composition, containing: 100 parts by mass of a flame-retardant resin composition consisting of (A) 60 to 90 percent by mass of a polyamide resin, and (B) 40 to 10 percent by mass of a flame retardant containing no halogen atom, (A) + (B) being 100 percent by mass; and 60 to 210 parts by mass of a flattened glass fiber (C) having a flat section of 1.5-10 in a ratio of major axis/minor axis, wherein the polyamide resin (A) contains a crystalline polyamide resin (a1) and an amorphous polyamide resin (a2), the flame retardant containing no halogen atom (B) contains a phosphinate (b1) and/or a diphosphinate (b2), and a blending ratio of the amorphous polyamide resin (a2) in the polyamide resin (A) is 0.1 ≤ (a2)/(A) ≤0.5.A flame-retardant glass fiber-reinforced polyamide resin composition, comprising: 100 parts by mass of a flame-retardant resin composition consisting of (A) 60 to 90 percent by mass of a polyamide resin, and (B) 40 to 10 percent by mass of a flame retardant containing no halogen atom, (A) + (B) being 100 percent by mass; and 60 to 210 parts by mass of a flattened glass fiber (C) having a flat section of 1.5-10 in a ratio of major axis/minor axis, wherein the polyamide resin (A) comprises a crystalline polyamide resin (a1) and an amorphous polyamide resin (a2), the flame retardant containing no halogen atom (B) comprises a phosphinate (b1) and/or a diphosphinate (b2), and a blending ratio of the amorphous polyamide resin (a2) in the polyamide resin (A) is 0.1 ≤ (a2)/(A) ≤0.5.
586
26111
메모리용 전자집적회로 제조업
특허
ko
en
호스트 IO 동작에 기초하는 판독 전압 교정 호스트 IO 동작에 기초한 플래시 기반의 스토리지 시스템의 판독 전압 캘리브레이션을 위한 디바이스 및 기술이 개시된다. 일례에서, 메모리 디바이스는 메모리 셀의 다수의 블록의 그룹을 구비하는 NAND 메모리 어레이, 및 메모리 어레이의 판독을 위한 전압 캘리브레이션을 최적화하기 위한 메모리 컨트롤러를 포함한다. 일례에서, 최적화 기술은, 각각의 블록에 대해 발생하는 판독 동작을 모니터링하는 것, 판독 동작에 기초하여 판독 레벨 캘리브레이션을 트리거하기 위한 조건을 식별하는 것, 각각의 블록 또는 각각의 블록을 포함하는 메모리 컴포넌트에 대한 판독 레벨 캘리브레이션을 수행하는 것을 포함한다. 또 다른 예에서, 캘리브레이션은 각각의 블록을 판독하기 위한 임계 전압에 기초하여 수행되는데, 이것은 각각의 블록을 판독하기 위한 임계 전압이 판독 레벨 캘리브레이션에 의해 수행되는 샘플링 동작 내에서 평가될 때 고려될 수도 있다. 메모리 디바이스로서,메모리 셀의 다수의 블록의 그룹을 구비하는 NAND 메모리 어레이; 및상기 메모리 어레이에 동작 가능하게 커플링되는 메모리 컨트롤러를 포함하되, 상기 메모리 컨트롤러는, 상기 메모리 어레이의 각각의 블록에 대해 발생하는 판독 동작을 모니터링하는 것; 상기 각각의 블록에 대해 발생하는 상기 판독 동작에 적어도 부분적으로 기초하여, 판독 레벨 캘리브레이션을 트리거하기 위한 조건을 식별하는 것; 및 상기 식별된 조건에 응답하여, 상기 각각의 블록을 호스팅하는 다수의 블록의 각각의 그룹의 임계 전압 레벨에 기초하여 상기 판독 레벨 캘리브레이션을 수행하는 것으로서, 상기 판독 레벨 캘리브레이션은 상기 각각의 블록을 판독하기 위한 임계 전압에 적어도 부분적으로 기초하여 수행되는, 상기 판독 레벨 캘리브레이션을 수행하는 것을 포함하는 동작을 수행하는, 메모리 디바이스.
READ VOLTAGE CALIBRATION BASED ON HOST IO OPERATIONSDevices and techniques for read voltage calibration of a flash-based storage system based on host IO operations are disclosed. In an example, a memory device includes a NAND memory array having groups of multiple blocks of memory cells, and a memory controller to optimize voltage calibration for reads of the memory array. In an example, the optimization technique includes monitoring read operations occurring to a respective block, identifying a condition to trigger a read level calibration based on the read operations, and performing the read level calibration for the respective block or a memory component that includes the respective block. In a further example, the calibration is performed based on a threshold voltage to read the respective block, which may be considered when the threshold voltage to read the respective block is evaluated within a sampling operation performed by the read level calibration.A memory device, comprising:a NAND memory array having groups of multiple blocks of memory cells; anda memory controller operably coupled to the memory array, thememory controller to perform operations comprising:monitoring read operations occurring to a respective block of the memory array;identifying, based at least in part on the read operationsoccurring to the respective block, a condition to trigger a read level calibration; andperforming, in response to the identified condition, the read level calibration based on a threshold voltage level of a respective group of multiple blocks hosting the respective block, wherein the read level calibration is performed based at least in part on a threshold voltage to read the respective block.
586
28202
축전지 제조업
특허
ko
en
짧은 레이저 펄스들 및 복합 타겟 재료들을 활용함으로써 얇은 필름들을 제조하기 위한 방법본 발명은 분해된 2 개 또는 수 개의 재료들로 제조된 복합 타겟들을 활용함으로써 금속성, 세라믹 및 유기 재료들로부터 타이트하고 다공성인 코팅들을 제조하고, 타겟 재료로 지향되는 짧은 레이저 펄스들을 활용함으로써 코팅될 대상물을 향하는 재료 유동을 이러한 방식으로 생성하기 위한 방법을 나타낸다. 본 방법에 의해, 제어되는 방식으로 재료 구조들을 생성하며, 레이저 펄스들 및 열 발생의 필요되는 에너지를 최소화시키는 것이 가능하며, 그리고 본 방법에 의해 타겟 재료를 위한 컴포넌트들을 정확히 선택함으로써 생산성을 개선시키는 것이 또한 가능하다.레이저 펄스들(laser pulses)에 의해 얇은 필름(film) 유형의 코팅(coating)을 제조하기 위한 방법에 있어서, 요망되는 형상의 타겟은 압축함으로써 압력 및/또는 온도에 의해 적어도 2 개의 상이한 타겟 재료들로 제조되며, 상기 타겟 재료의 적어도 하나의 재료 컴포넌트의 어블레이션 임계치(ablation threshold)(J/cm2)는 사용되는 다른 타겟 재료들의 어블레이션 임계치보다 더 낮으며; 제 1 타겟 재료는 압축하기 전에 제 2 타겟 재료를 위한 코팅으로서 기능하며; 그리고 제 1 타겟 재료는 금속성, 무기 또는 유기 재료이며, 그리고 상기 타겟의 코팅된 소스 재료는 압축하기 전에 분말형이며; 레이저 펄스들은 상기 타겟 재료들을 분리하기 위해 그리고 요망되는 크기 및 재료 분포를 갖는 입자들을 형성하기 위해 상기 타겟으로 지향되며; 개별적인 레이저 펄스들의 지속 기간은 10ns보다 더 작으며; 그리고 상기 타겟으로부터 분리된 상기 타겟 재료들은 기판의 표면 또는 표면의 부분에 대해 얇은 필름 유형의 코팅을 형성하기 위해 상기 기판을 향하여 지향되는 것을 특징으로 하는 레이저 펄스들에 의해 얇은 필름 유형의 코팅을 제조하기 위한 방법.
METHOD FOR MANUFACTURING THIN FILMS BY UTILIZING SHORT LASER PULSES AND COMPOSITE TARGET MATERIALSThe present invention presents a method for manufacturing tight and porous coatings from metallic, ceramic and organic materials by utilizing composite targets manufactured of two or several materials, which are disintegrated, and producing in this way material flow towards the object to be coated by utilizing short Iaser pulses directed to the target material. With the method it is possible to produce material structures in a controlled manner, minimizing the needed energy of the Iaser pulses and heat generation, and with the method it is also possible to improve productivity by correctly choosing the components for the target material.Method for manufacturing a thin film type of coating by means of laser pulses, characterized in that- a target of a desired shape is manufactured from at least two different target materials by means of pressure and/or temperature by compressing, in which the ablation threshold (J/cm2) of at least one material component of the target material is lower than that of the other target materials used; in which the first target material functions as a coating for the second target material before compressing; and in which the first target material is metallic, inorganic or organic material, and in which the coated source material of the target is powdery before compressing;- laser pulses are directed to the target for detaching the target materials and for forming particles with a desired size and material distribution; in which the duration of an individual laser pulse is less than 10 ns; and - the target materials detached from the target are directed towards the substrate to form a thin film type of coating to the surface or part of the surface of the substrate
586
41223
항만, 수로, 댐 및 유사 구조물 건설업
특허
ko
en
해일용 방조제해저면이 연약한 지반에 있어서 높은 해일용 제방을 단기간에 합리적으로 건설하는 것.마치 고속도로를 건설하도록 강철관 등 1을 제방의 형태에 평행하게 등간격으로2~3열 박아, 한 개의 강관 1에서 소정의 높이가 나오지 않는 경우에는 각각 의족하고 다음에 강관 1을 좌우, 전후에 철골 6에서 연결하고 다음에 프레임의 내부나 외측 둘레에 토사 4를 투입해 거의 해면 근처까지 매립해 다음에 제방을 형성하는 강관 1의 전면과 배면의 열을 따라 시트파일 7을 틈 없이 박아, 또한 그 상부는 시트파일 연결재 7을 옆에 건네주어 강관과 널말뚝을 연결하고 제방의 끝 해면 상부는 전면과 배면의 열을 따라 패널이나 콘크리트로 벽 3을 설치해 다음에 그 벽 사이에 끝까지 토사 4를 투입해 묻어 제방의 전면에는 끝에서 해저까지 자연의 슬로프상에 토사를 번창해, 배면은 해면에서 아래를 자연의 슬로프상에 토사를 번화가 및 해저면에 파이프나 간벌재 5를 다수 박은 해일용 방조제.해저에 강관이나 철기둥을 제방의 골격을 형성하도록 박아, 한 개의 강관이나 철기둥에서는 높이가 부족할 때는 각각 의족해 소정의 높이되도록 하고 각각 전후좌우의 강철관 등을 철골로 연결해 프레임으로서 일체적으로 구성하고. 내부 및 주변의 해저에 토사를 투입하고 다음에 제방의 전면과 뒤의 열 강관이나 철기둥을 따라 시트파일을 각각 해면의 조금 위까지 박아, 해면에서 위는 제방의 전면과 뒤의 열 강관이나 철기둥을 따라 빌딩의 벽을 구축하는 것과 같이 패널이나 콘크리트로 벽을 설치하고 그 내부에는 위에서 토사를 투입하고 제방의 끝까지 토사로 묻어 제방의 전면은 제방의 끝에서 슬로프상으로 토사를 해저까지 깔아 채워 배면은 해면에서 아래를 슬로프상에 토사를 해저까지 깔아 채워 구성하는, 것을 특징으로 하는 해일용 방조제.
TIDE EMBANKMENT FOR TSUNAMITo rationally construct an embankment for a high Tsunami in a short period in the weak ground of a seabed. A tide embankment for a Tsunami is provided so that a steel pipe 1 is driven in 2-3 rows at an equal interval in parallel in a shape of an embankment as if an express highway is constructed, and is respectively extended when a predetermined height is not produced by one steel pipe 1, and next, the steel pipe 1 is laterally and longitudinally connected by a steel frame 6, and next, sediment 4 is inputted to the inside and the outer periphery of a frame, and is landfilled up to the almost sea surface vicinity, and next, a steel sheet pile 7 is closely driven along a row of a front face and a back face of the steel pipe 1 for forming the embankment, and its upper part connects the steel pipe and a sheet pile by horizontally extending a steel sheet pile connection material 7, and a sea surface upper part of the top end of the embankment installs a wall 3 by a panel and concrete along a row of the front face and the back face, and next, the sediment 4 is inputted and filled up to the top end between its walls, and the sediment is piled up in a natural slope shape up to the sea bottom from the top end on the front face of the embankment, and the back face is piled up with the sediment in a natural slope shape under a sea surface, and a pipe and a thinning material 5 are also driven in large numbers in a seabed.Steel pipes and iron pillars are driven into the seabed so as to form the skeleton of the embankment, and if one steel pipe or iron pillar is not high enough, they are added to reach the specified height, and the front, rear, left and right steel pipes, etc. are made of steel. It is connected to form an integral frame, and earth and sand are poured into the inner and surrounding sea floors, and then steel sheet piles are driven in along the steel pipes and iron columns in the front and back rows of the embankment to a little above the sea surface, and from the sea surface. On the top, a wall is made of panels and concrete in the same way as building a building wall along the steel pipes and iron pillars in the front and back rows of the embankment, and earth and sand are poured from above into the wall to the top of the embankment. The front of the embankment is covered with earth and sand from the top of the embankment to the bottom of the sea, and the back is a tide embankment for tsunami, which is constructed by laying the earth and sand from the surface of the sea to the bottom of the sea.
586
20202
합성수지 및 기타 플라스틱 물질 제조업
특허
ko
en
덮개 기구, 포장체 및 분여 용기스프링 부재의 용기 본체에 고정된 단부가 안정적으로 고정되는 것과 함께, 스프링 부재의 교환 조작이 용이한 덮개 기구, 포장체 및 분여 용기의 제공. 덮개 기구(2)는, 힌지부(5)와 전후 방향(Z)에 있어서 대향하여 위치하며, 또한, 상측 덮개(30)의 선회축(Q)과 교차하도록 상측 덮개(30)와 오목면부(23)의 사이에 연장되는 스프링 부재(36)를 가진다. 스프링 부재(36)는, 상측 덮개(30)의 내면에 부착되는 제 1 단부(36A)과, 용기 본체(20)에 부착되는 제 2 단부(36B)를 가지며, 제 2 단부(36B)는, 오목면부(23)와, 용기 본체(20)와는 별체이며, 또한, 용기 본체(20)에 착탈 가능하게 부착된 부재의 사이에 협지되어 있다.가로 방향 및 전후 방향과, 그들과 교차하는 상하 방향을 가지며, 용기 본체와, 상기 용기 본체의 정상면벽의 오목면부에 위치하는 시트재의 취출 개구와, 전후단 가장자리를 가지며, 상기 후단 가장자리측에 있어서 상기 용기 본체에 힌지부를 개재하여 선회 가능하게 부착된 상측 덮개를 가지는 덮개 기구를 구비한 상기 시트재의 분여 용기에 있어서,상기 덮개 기구는, 상기 힌지부와 상기 전후 방향에 있어서 대향하여 위치하며, 또한, 상기 상측 덮개의 선회축과 교차하도록 상기 상측 덮개와 상기 오목면부의 사이에 연장되는 스프링 부재를 가지며,상기 스프링 부재는, 상기 상측 덮개의 내면에 부착되는 제 1 단부와, 상기 용기 본체에 부착되는 제 2 단부를 가지며,상기 제 2 단부는, 상기 오목면부와, 상기 용기 본체와는 별체이며, 또한, 상기 용기 본체에 착탈 가능하게 부착된 부재의 사이에 협지 되는 것을 특징으로 하는 상기 분여 용기.
LID MECHANISM, PACKAGING BODY, AND DISPENSING CONTAINERProvided are: a lid mechanism in which an end section fixed to a container body of a spring member is stably fixed and replacement operation of the spring member is facilitated; a packaging body; anda dispensing container. The lid mechanism (2) comprises a spring member (36) that is positioned so as to face a hinge section (5) in the front-back direction (Z) and that extends between an upper lid(30) and a recessed surface section (23) so as to intersect the pivot (Q) of the upper lid (30). The spring member (36) comprises a first end (36A) attached to the inner surface of the upper lid (30)and a second end (36B) attached to a container main body (20). The second end (36B) is a separate body from the recessed surface section (23) and the container main body (20) and is sandwiched betweenthe container main body (20) and a member detachably attached thereto.A kind of distribution container, with transverse direction and front-rear direction and the up and down direction intersected with them, and equipped with lid mechanism, the lid mechanism have the taking-up opening and upper cover of vessel, sheet material, and the taking-up opening is located at the container sheet the concave part of the top wall of body, the upper cover have front and back ora terminalis, can be rotatably via hinge part quilt in the end edge side it is installed on the vessel, which is characterized in thatthe lid mechanism has spring member, and the spring member is located at opposite on the front-rear direction with the hinge part position, also, extend between the upper cover and the concave part across with the rotary shaft of the upper cover,the spring member has the first end for the inner surface for being installed in the upper cover and is installed in the vessel the second end,the second end is clamped in the concave part and can be installed on independently of the vessel and removably institute it states between the component of vessel.
587
26323
컴퓨터 프린터 제조업
특허
ko
en
의장면 정화기구를 구비한 액압전사방법 및 그 액압전사장치[과제]비교적 간소한 구조이면서, 전사액중으로부터 부상해 오는 피전사체의 의장면에 전사액면상의 필름 찌꺼기나 거품 등이 다가오게 하지 않도록 한 신규의 액압전사방법의 개발을 과제로 한다. [해결수단]본 발명은, 전사조의 상방으로부터 피전사체를 가압하고, 피전사체의 표면에 적절한 전사패턴을 형성하는 액압전사방법에 관한 것으로서, 전사조에는, 피전사체를 전사액중으로부터 들어올리는 탈출영역에, 오버플로조 등의 의장면 정화기구에 의하여 탈출중인 피전사체의 의장면으로부터 떨어지는 의장면 이반류를 형성하고, 전사액면상의 거품이나 액중에 체류하는 협잡물을 탈출중인 피전사체의 의장면으로부터 멀어지게 하고, 전사조 외부로 배출하도록 하는 것을 특징으로 한다.수용성 필름(水溶性film)에 적어도 전사패턴(轉寫pattern)을 건조상태에서 형성하여 이루어지는 전사필름을 전사조(轉寫槽)내의 액면(液面)상에 부유(浮遊)시켜 지지하고, 그 상방으로부터 피전사체(被轉寫體)를 가압하고, 이것에 의하여 발생하는 액압(液壓)에 의하여 주로 피전사체의 의장면(意匠面)측에 전사패턴을 전사하는 방법에 있어서,상기 전사조에는, 피전사체를 전사액중으로부터 들어올리는 탈출영역(脫出領域)에,탈출중인 피전사체의 의장면으로부터 떨어지는 의장면 이반류(意匠面離反流)를 형성하여, 전사액면(轉寫液面)상의 거품이나 액중에 체류(滯留)하는 협잡물(挾雜物)을 탈출중인 피전사체의 의장면으로부터 멀어지게 하여 전사조 외부로 배출하도록 하는 것을 특징으로 하는, 의장면 정화기구(意匠面 淨化機構)를 구비한 액압전사방법(液壓轉寫方法).
METHOD FOR TRANSFERRING LIQUID PRESSURE PROVIDED WITH DESIGN SURFACE CLEANING MECHANISM AND LIQUID PRESSURE TRANSFER DEVICE THEREFORThe present invention relates to a liquid pressure transferring technique for forming an appropriate transfer pattern on the surface of an object by pressing the object from the upper side of a transfer tank, in a liquid-leaving area in which the object is pulled up from the transfer liquid in the transfer tank, a design surface oppositely-separating flow that is separated away from a design surface of the object that is in the process of getting out of a liquid is formed by using the design surface cleaning device such as an overflow tank, and foam on a surface of the transfer liquid and foreign substances staying in the liquid are separated away from the design surface of the object that is in the process of getting out of the liquid and are discharged outside the transfer tank.A liquid pressure transfer method provided with a design surface cleaning device in which a transfer film configured by forming at least a transfer pattern on a water-soluble film in a dried state is supported on a liquid surface inside a transfer tank so as to float, and the transfer pattern is transferred mainly to a design surface side of an object in accordance with liquid pressure generated by pressing the object from an upper side, the liquid pressure transfer method comprising: forming a design surface oppositely-separating flow that is separated away from a design surface of the object that is in the process of getting out of a liquid in a liquid-leaving area in which the object is pulled up from the transfer liquid in the transfer tank, separating foam on a surface of a transfer liquid and foreign substances staying in the liquid away from the design surface of the object that is in the process of getting out of the liquid, and discharging the foam and the foreign substances outside the transfer tank.
587
29194
동력식 수지 공구 제조업
특허
ko
en
소켓 렌치 소켓의 피벗 각도 조정 메커니즘소켓 렌치는 바닥으로부터 이격된 블라인드 홀을 포함하는 분기 헤드를 갖는 핸들 및 블라인드 홀과 각각 연결되는 양단 및 헤드에 의해 정의되는 공간을 갖는 채널을 포함하고; 블라인드 홀에 배치되고 제1경사면, 제2경사면, 및 제1경사면과 제2경사면을 연결하는 직선을 갖는 스프링 누름 트리거 핀; 채널에 활주 가능하게 배치되고 일단에 제1 가블 부재가 있고, 팁 에지를 포함하는 제1 가블 부재, 타단에 제2 가블 부재, 제2 경사에 대해 가압된 팁 에지를 갖는 잠금 핀 표면; 및 핸들 헤드에 회동 가능하게 배치된 돌출부를 갖는 소켓을 포함하고, 아치형 톱니형 섹션을 포함하는 돌출부는 제1 조각형 부재의 팁 에지와 기어 맞물린다.바닥과 이격된 블라인드 홀을 포함하는 분기 헤드, 및 상기 블라인드 홀과 각각 연통되는 양단 및 헤드에 의해 정의되는 공간을 갖는 채널을 포함하는 핸들; 상기 블라인드 홀에 배치되고, 제1경사면, 제2경사면, 및 제1경사면과 제2경사면을 연결하는 직선을 포함하는 스프링 누름 트리거 핀; 채널에 활주 가능하게 배치되고 일단에 제1 가블 부재를 포함하고, 제1 가블 부재가 팁 에지를 포함하고, 타단에 제2 가블 부재를 포함하고, 제2 가블 부재가 제2 경사면에 대해 가압된 팁 에지를 갖는 잠금 핀 표면; 및 핸들의 헤드에 피벗 가능하게 배치된 돌출부를 포함하는 소켓을 포함하고, 아치형 톱니 섹션을 포함하는 돌출부는 제1 가블 부재의 팁 에지와 기어 맞물리며, 이에 의해 트리거 핀을 눌러 제2 가블 부재의 팁 에지를 활주시킴 직선에서 멈출 때까지 첫 번째 경사면을 따라 부재가 톱니형 부분에서 첫 번째 조각난 부재의 끝 가장자리를 분리하는 것을 특징으로 하는 소켓 렌치.
Mechanism for adjusting pivot angle of socket of socket wrenchA socket wrench includes a handle having a bifurcated head including a blind hole spaced from bottom and a channel having both ends communicating with the blind hole and a space defined by the head respectively; a spring depressible trigger pin disposed in the blind hole and having a first inclined surface, a second inclined surface, and a straight line joining the first and second inclined surfaces; a lock pin slidably disposed in the channel and having a first garbled member at one end, the first garbled member including a tip edge, and a second garbled member at the other end, the second garbled member having a tip edge urged against the second inclined surface; and a socket having a projection pivotably disposed in the handle head, the projection including an arcuate toothed section being in gear engagement with the tip edge of the first garbled member.A socket wrench comprising: a handle comprising a bifurcated head including a blind hole spaced from bottom and a channel having both ends communicating with the blind hole and a space defined by the head respectively; a spring depressible trigger pin disposed in the blind hole and comprising a first inclined surface, a second inclined surface, and a straight line joining the first and second inclined surfaces; a lock pin slidably disposed in the channel and comprising a first garbled member at one end, the first garbled member including a tip edge, and a second garbled member at the other end, the second garbled member having a tip edge urged against the second inclined surface; and a socket comprising a projection pivotably disposed in the head of the handle, the projection including an arcuate toothed section being in gear engagement with the tip edge of the first garbled member, whereby pressing the trigger pin to slide the tip edge of the second garbled member along the first inclined surface until being stopped at the straight line will disengage the tip edge of the first garbled member from the toothed section.
587
59114
방송 프로그램 제작업
특허
ko
en
방송 신호 송신 장치, 방송 신호 수신 장치, 방송 신호 송신 방법, 및 방송 신호 수신 방법본 발명은 방송 신호 송신 장치, 방송 신호 수신 장치, 방송 신호 송신 방법, 및 방송 신호 수신 방법을 제공한다. 본 발명의 다른 실시예에 따른 방송 신호 송신 장치는 서비스의 적어도 하나의 콘텐트 컴포넌트에 포함되며, 독립적으로 복원되는 적어도 하나의 딜리버리 오브젝트를 생성하는 딜리버리 오브젝트 제너레이터; 상기 서비스 및 상기 적어도 하나의 콘텐트 컴포넌트의 발견 및 획득을 제공하는 시그널링 정보를 생성하는 시그널링 인코더, 상기 시그널링 정보는 상기 서비스의 상기 적어도 하나의 콘텐트 컴포넌트를 전송하는 전송 세션 및 상기 전송 세션을 통해 전송되는 적어도 하나의 딜리버리 오브젝트에 관한 제1 정보를 포함하고; 상기 적어도 하나의 딜리버리 오브젝트 및 상기 시그널링 정보를 단방향 채널(unidirectional channel)을 통해서 전송하는 트랜스미터(Transmitter)를 포함할 수 있다. 본 발명의 다른 실시예에 따르면, 멀티미디어 콘텐츠의 획득에서부터 사용자에게 보여지기까지의 총 시간을 줄일 수 있는 효과가 있다. 서비스의 적어도 하나의 콘텐트 컴포넌트에 포함되며, 독립적으로 복원되는(recovered individually) 적어도 하나의 딜리버리 오브젝트를 생성하는 딜리버리 오브젝트 제너레이터(Delivery Object Generator);상기 서비스 및 상기 적어도 하나의 콘텐트 컴포넌트의 발견 및 획득을 제공하는 시그널링 정보를 생성하는 시그널링 인코더(Signaling Encoder),상기 시그널링 정보는 상기 서비스의 상기 적어도 하나의 콘텐트 컴포넌트를 전송하는 전송 세션 및 상기 전송 세션을 통해 전송되는 적어도 하나의 딜리버리 오브젝트에 관한 제1 정보를 포함하고; 및상기 적어도 하나의 딜리버리 오브젝트 및 상기 시그널링 정보를 단방향 채널(unidirectional channel)을 통해서 전송하는 트랜스미터(Transmitter)를포함하는 방송 신호 송신 장치.
Broadcast signal transmitting device, broadcast signal receiving device, broadcast signal transmitting method, and broadcast signal receiving methodThe present invention provides a broadcast signal transmitting device, a broadcast signal receiving device, a broadcast signal transmitting method and a broadcast signal receiving method. The broadcast signal transmitting device according to another embodiment of the present invention may comprise: a delivery object generator for generating at least one delivery object which is included in at least one content component of a service and is recovered individually; a signaling encoder for generating signaling information which provides discovery and acquisition of the service and the at least one content component, wherein the signaling information comprises a transmission session for transmitting the at least one content component of the service, and first information on at least one delivery object transmitted through the transmission session; and a transmitter for transmitting the at least one delivery object and the signaling information through a unidirectional channel. According to another embodiment of the present invention, there is an effect of being capable of reducing the total time taken from acquisition of multimedia content to display thereof to a user.Broadcast singal sends an equipment, including:delivering object generator, described delivery object generator is configured to generate at least one the content group of the service of being included in the delivery object that at least one in part is individually recovered;withsignalling coding device, described signalling coding device is configured to generation for providing at least one content components of described service the signaling information found and obtain, described signaling information includes that the first information, the described first information are about for described service at least one content components transmission transmit session and by described transmission session send at least one delivery object;withemitter, described emitter is configured to send at least one delivery object described by one-way channel and described signaling is believed breath.
587
22110
고무 타이어 및 튜브 생산업
특허
ko
en
캡과 베이스 구조의 트레드 밴드를 구비한 차륜용 타이어차량 바퀴용 타이어에는 카커스 구조, 벨트 구조, 트레드 밴드 및 사이드월 쌍이 포함된다. 카커스 구조는 적어도 하나의 카커스 플라이를 포함한다. 카커스 구조의 반대쪽 측면 가장자리는 각각의 비드 와이어와 관련이 있다. 각 비드 와이어는 각각의 비드에 둘러싸여 있다. 벨트 구조는 적어도 하나의 벨트 스트립을 포함합니다. 발판 밴드에는 지면과 접촉하도록 설계된 방사형 외부 레이어와 벨트 구조와 방사형 외부 레이어 사이의 방사형 내부 레이어가 포함된다. 방사적으로 내부 층은 교차 연결된 탄성중합체 구성을 포함한다. 교차 연결된 탄성중합체 구성에는 적어도 하나의 다이엔 탄성중합체 및 0.01nm 이상의 개별 층 두께와 30nm 이하의 개별 층으로 구성된 최소 하나의 무기 물질이 포함된다. 타이어를 생산하는 과정도 공개된다.카커스 구조, 벨트 구조, 트레드 밴드 및 한 쌍의 측면부로 구성된 차량 휠용 타이어. 카커스 구조가 적어도 하나의 카커스 플라이로 구성되며, 카커스 구조의 반대쪽 측면 가장자리가 각각의 비드 와이어와 연관된다. 와이어는 각각의 비드에 둘러싸여 있으며, 벨트 구조물은 적어도 하나의 벨트 스트립으로 구성된다. 벨트 구조물은 카커스 구조에 대해 원주 외부 위치에 처분된다. 여기서 발판 밴드는 원주적으로 벨트 구조 위에 겹쳐지며, 발판 띠는 방사적으로 외부를 구성한다: 지면과 접촉하도록 설계된 레이어; 그리고 벨트 구조와 방사형 외부 레이어 사이의 방사형 내부 레이어; 측면 벽이 카커스 구조에 비해 반대쪽에 측면으로 적용되고, 방사형 내부 레이어는 교차 연결된 탄성머 컴포지션을 구성한다.최소 1개의 다이엔 탄성중합체 및 0.01nm 이상의 개별 층 두께와 30nm 이하의 개별 층으로 구성된 최소 1개의 층층이 있는 무기 물질로 구성되는 타이어.
Tyre for vehicle wheels with tread band of cap and base constructionA tyre for a vehicle wheel includes a carcass structure, a belt structure, a tread band, and a pair of sidewalls. The carcass structure includes at least one carcass ply shaped in a substantially toroidal configuration. Opposite lateral edges of the carcass structure are associated with respective bead wires. Each bead wire is enclosed in a respective bead. The belt structure includes at least one belt strip. The tread band includes a radially outer layer designed to contact the ground and a radially inner layer between the belt structure and the radially outer layer. The radially inner layer includes a crosslinked elastomeric composition. The crosslinked elastomeric composition includes at least one diene elastomeric polymer and at least one layered inorganic material comprising an individual layer thickness greater than or equal to 0.01 nm and less than or equal to 30 nm. A process for producing the tyre is also disclosed.A tyre for a vehicle wheel, comprising: a carcass structure; a belt structure; a tread band; and a pair of sidewalls; wherein the carcass structure comprises at least one carcass ply shaped in a substantially toroidal configuration, wherein opposite lateral edges of the carcass structure are associated with respective bead wires, wherein each bead wire is enclosed in a respective bead, wherein the belt structure comprises: at least one belt strip; wherein the belt structure is disposed in a circumferentially external position relative to the carcass structure, wherein the tread band is superimposed circumferentially on the belt structure, wherein the tread band comprises: a radially outer layer designed to contact the ground; and a radially inner layer between the belt structure and the radially outer layer; wherein the side walls are applied laterally on opposite sides relative to the carcass structure, wherein the radially inner layer comprises a crosslinked elastomeric composition, and wherein the crosslinked elastomeric composition comprises: at least one diene elastomeric polymer; and at least one layered inorganic material comprising an individual layer thickness greater than or equal to 0.01 nm and less than or equal to 30 nm.
588
26529
기타 음향기기 제조업
특허
ko
en
만능 스피커(과제) 음성신호의 전기에너지로부터 변환된 운동에너지를 1개 이상의 진동판에 효율적으로 전달하고, 보다 음량이 높고 선명한 방음에 의하여 난청자와 건청자가 불편함 없이 함께 알아들을 수 있는 만능 스피커를 제공한다. (해결수단) 본 발명의 만능 스피커(10)는, 평판모양을 한 진동판(1)과, 입력된 전기신호에 따라 진동판을 진동시키는 드라이버 유닛(2)과, 진동판과 드라이버 유닛과를 수용하는 중공구조의 프레임(3)을 적어도 구비한다. 프레임은 일면에 개구부(39)를 구비하고, 드라이버 유닛은 진동판의 면방향과 동일한 방향으로 구동하도록 진동판의 단연부와 접촉하고 또한 프레임에 고정하여 부착되어 있다. 또한 진동판은 드라이버 유닛이 부착된 일단(1a)측으로부터 대향하는 타단(1b)측으로 향함에 따라서 만곡하는 곡면부를 형성하고 프레임의 개구부를 피복하도록 배치되어 있다.평판모양을 한 진동판(振動板)과, 입력된 전기신호에 따라 상기 진동판을 진동시키는 드라이버 유닛(driver unit)과, 상기 진동판과 상기 드라이버 유닛을 수용하는 중공구조(中空構造)의 프레임(frame)을 적어도 구비하고,상기 프레임은, 일면에 개구부(開口部)를 구비하고,상기 드라이버 유닛은, 상기 진동판의 면방향과 동일한 방향으로 구동하도록 상기 진동판의 단연부(端緣部)와 접촉하고, 또한 상기 프레임에 고정되어 부착되고,상기 진동판은, 상기 드라이버 유닛이 부착된 일단측(一端側)으로부터 대향하는 타단측(他端側)으로 향함에 따라서 만곡하는 곡면부(曲面部)를 형성하고, 상기 프레임의 개구부를 피복(被覆)하도록 배치되어 있는것을 특징으로 하는 만능 스피커(萬能 speaker).
UNIVERSAL SPEAKER[Problem] To provide a universal speaker that efficiently transmits kinetic energy that has been converted from electrical energy of an audio signal to one or more diaphragms, and enables both healthy individuals and the hard of hearing to comfortably hear as a result of higher-volume and sharp emitted-sound. [Solution] This universal speaker (10) is provided at least with a diaphragm (1) having a planar shape, a driver unit (2) for causing the diaphragm to vibrate in accordance with an inputted electrical signal, and an enclosure (3) with a hollow structure for accommodating the diaphragm and the driver unit. The enclosure has an opening (39) at one surface, and the driver unit abuts against an end edge of the diaphragm so as to drive in the same direction as the plane direction of the diaphragm and is also fixedly mounted onto the enclosure. The diaphragm forms a curved section that curves going from one end (1a) side where the driver unit is mounted toward the opposing other end (1b) side, and is disposed so as to cover the opening of the enclosure.A universal speaker comprising at least: a diaphragm in a flat plate shape; a driver unit configured to vibrate the diaphragm in accordance with an inputted electric signal; and a housing having a hollow structure to house the diaphragm and the driver unit, wherein the housing has an opening on one side, the driver unit is brought into contact with an edge portion of the diaphragm in such a way as to be driven in the same direction as a direction of a plane of the diaphragm, and is fixedly attached to the housing, and the diaphragm is formed into a curved surface portion being curved from one end side to which the driver unit is attached toward another end side opposite to the one end side, and disposed in such a way as to cover the opening of the housing.
588
29272
디스플레이 제조용 기계 제조업
특허
ko
en
입체 화상 표시 장치, 그 제조 방법 및 경계 불균일의 저감 방법, 입체 화상 표시 시스템 그리고 패턴 위상차판본 발명은 상하 방향의 크로스토크 시야각의 경감 및 3D 경계 불균일의 경감에 기여하는 입체 화상 표시 장치, 그 제조 방법 및 경계 불균일의 저감 방법, 입체 화상 표시 시스템 그리고 패턴 위상차판을 제공하는 것이다. 화상 표시 패널과, 화상 표시 패널의 시인측에 배치되는 패턴 위상차판을 적어도 갖고, 패턴 위상차판은, 지지체와, 지지체 상에 면내 지상축 방향 및 위상차 중 적어도 일방이 서로 상이하고, 스트라이프상으로 교대로 배치되어 있는 제 1 위상차 영역 및 제 2 위상차 영역을 갖는 패턴 광학 이방성층을 적어도 갖고, 지지체에 있어서, 패턴 광학 이방성층의 패턴을 따른 방향의 단부의, 패턴 광학 이방성층의 패턴을 따른 방향에 대한 수직 방향에 있어서의 사행폭인 직선성이, 화상 표시 패널의 패턴 광학 이방성층의 패턴을 따른 방향에 대한 수직 방향의 길이의 0.0195 % 이하이다.화상 표시 패널과, 상기 화상 표시 패널의 시인측에 배치되는 패턴 위상차판을 적어도 갖는 입체 화상 표시 장치로서, 상기 패턴 위상차판은, 지지체와, 상기 지지체 상에 면내 지상축 방향 및 위상차 중 적어도 일방이 서로 상이하고, 스트라이프상으로 교대로 배치되어 있는 제 1 위상차 영역 및 제 2 위상차 영역을 갖는 패턴 광학 이방성층을 적어도 갖고, 상기 지지체의 단부에 있어서, 상기 패턴 광학 이방성층의 패턴을 따른 방향의 단부의, 상기 패턴 광학 이방성층의 패턴을 따른 방향에 대해 수직 방향에 있어서의 사행폭인 직선성이, 상기 화상 표시 패널의 상기 패턴 광학 이방성층의 패턴을 따른 방향에 대한 수직 방향의 길이의 0.0195 % 이하인 것을 특징으로 하는 입체 화상 표시 장치.
STEREOSCOPIC IMAGE DISPLAY DEVICE, METHOD FOR MANUFACTURING SAME, METHOD FOR REDUCING BOUNDARY VARIATION, STEREOSCOPIC IMAGE DISPLAY SYSTEM, AND PATTERNED PHASE DIFFERENCE PLATEA stereoscopic image display device including at least an image display panel and a patterned phase difference plate disposed on an image-displaying side of the panel, the patterned phase difference plate includes at least a supporter and a patterned optical anisotropic layer having, on the supporter, first phase difference regions and second phase difference regions which are different in either or both an in-plane slow axis direction and a phase difference, and are alternately disposed in a stripe shape, and, in the supporter, a linearity, which is a meandering width in a direction perpendicular to a direction along the pattern of the patterned optical anisotropic layer, of an edge in a direction along a pattern of the patterned optical anisotropic layer is 0.0195% or less of a length in the direction perpendicular to the direction along the pattern of the patterned optical anisotropic layer in the image display panel.A stereoscopic image display device comprising at least: an image display panel; anda patterned phase difference plate disposed on an image-displaying side of the image display panel,wherein the patterned phase difference plate includes at least a supporter and a patterned optical anisotropic layer having, on the supporter, first phase difference regions and second phase difference regions which are different in either or both an in-plane slow axis direction and a phase difference and are alternately disposed in a stripe shape, andwherein, in edges of the supporter, a linearity, which is a meandering width in a direction perpendicular to a direction along the pattern of the patterned optical anisotropic layer, of an edge in a direction along a pattern of the patterned optical anisotropic layer is 0.0195% or less of a length in the direction perpendicular to the direction along the pattern of the patterned optical anisotropic layer in the image display panel.
588
62021
컴퓨터 시스템 통합 자문 및 구축 서비스업
특허
ko
en
통신 시스템 및 방법, 정보 처리 장치 및 방법본 발명은, 용이하고 신속하게 복수개의 기기로 이루어지는 통신 그룹을 형성할 수 있도록 하는 통신 시스템 및 방법, 정보 처리 장치 및 방법에 관한 것이다. 사용자가 PDA(2)를 퍼스널 컴퓨터(1)에 근접시켜, PDA(2)의 리더 라이터로부터 복사되는 전자파가, 퍼스널 컴퓨터(1)의 리더 라이터에서 수신되었을 때, 퍼스널 컴퓨터(1)는 기억하고 있는 통신 그룹 형성 정보를 PDA(2)에 통지한다. PDA(2)는 통신 그룹 형성 정보를 기억함과 함께, 통신 그룹 형성 정보에 포함되는 블루투스 어드레스에 기초하여 퍼스널 컴퓨터(1)와의 사이에서 블루투스 통신을 위한 동기를 확립하여, 통신을 확립한다. 본 발명은, 퍼스널 컴퓨터나 PDA 등의 각종 정보 처리 장치에 적용할 수 있다. 통신 그룹에 속하는 제1 정보 처리 단말기와, 소정의 정보를 송수신하는 제1 무선 통신 수단과, 상기 제1 무선 통신 수단에 의해, 상기 통신 그룹을 관리하는 제2 정보 처리 단말기의 식별 정보, 및 상기 통신 그룹이 제공 가능한 상기 무선 통신의 통신 방식에 관한 통신 방식 정보를 적어도 포함하는 통신 그룹 형성 정보를, 상기 제1 정보 처리 단말기로부터 취득하는 취득 수단과, 상기 제2 정보 처리 단말기와 무선 통신을 행하는 제2 무선 통신 수단과, 상기 취득 수단에 의해 취득 된 상기 통신 그룹 형성 정보를 기억하는 기억 수단과, 상기 통신 방식 정보에 기초하여, 상기 제2 무선 통신 수단에 의한 상기 무선 통신에 있어서 이용하는 상기 통신 방식을 선택하는 선택 수단과, 상기 식별 정보에 기초하여, 상기 통신 그룹을 관리하는 상기 제2 정보 처리 단말기와의 사이에서 상기 무선 통신의 동기를 확립하는 동기 확립 수단과, 상기 동기 확립 수단에 의해 동기가 확립된 상기 무선 통신을, 상기 선택 수단에 의해 선택된 상기 통신 방식을 이용하여 확립하는 통신 확립 수단 을 포함하는 것을 특징으로 하는 정보 처리 장치.
COMMUNICATION SYSTEM AND METHOD, AND INFORMATION PROCESSING APPARATUS AND METHODThe present invention relates to a communication system, a communication method, an information processing apparatus, and an information processing method for easily and quickly organizing a communication group containing a plurality of apparatuses. The user places a PDA 2 in proximity to a personal computer 1. When an electromagnetic wave emitted from a reader/writer of the PDA 2 is received by a reader/writer of the personal computer 1, the personal computer 1 notifies the PDA 2 of stored communication group organizing information. The PDA 2 stores the communication group organizing information while establishing a synchronization for a Bluetooth communication with the personal computer 1 based on the communication group organizing information to establish the Bluetooth communication with the personal computer 1. The present invention is applicable to a variety of information processing apparatuses such as a personal computer and a PDA. A communication system having a plurality of information processing terminals, comprising a first information processing terminal and a second information processing terminal,    wherein the first information processing terminal comprises:first radio communication means for transmitting predetermined information to and receiving predetermined information from the second information processing terminal in proximity using an electromagnetic wave,second radio communication means, different from the first radio communication means, for performing radio communication with the second information processing terminal,storage means for storing communication group organizing information containing at least identification information of an information processing terminal managing a communication group and communication method information relating to a communication method of the radio communication providable by the communication group,providing means for providing the communication group organizing information stored in the storage means to the second information processing terminal through the first radio communication means,first synchronization establishing means for establishing synchronization of the radio communication with the second information processing terminal in response to a request which is made by the second information processing terminal in accordance with the identification information provided by the providing means, andfirst communication establishing means for establishing the radio communication, with the synchronization thereof established by the first synchronization establishing means, using the communication method which is selected by the second information processing terminal in accordance with the communication method information, and    wherein the second information processing terminal comprises:third radio communication means for transmitting predetermined information to and receiving predetermined information from the first information processing terminal in proximity using an electromagnetic wave,fourth radio communication means, different from the third radio communication means, for performing radio communication with the first information processing terminal,acquisition means for acquiring the communication group organizing information from the first information processing terminal through the third radio communication means,storage means for storing the communication group organizing information acquired by the acquisition means,selection means for selecting the communication method to be used in the radio communication of the fourth radio communication means in accordance with the communication method information,second synchronization establishing means for establishing synchronization of the radio communication with the information processing terminal, managing the communication group, in accordance with the identification information, andsecond communication establishing means for establishing the radio communication, with the synchronization thereof established by the second synchronization establishing means, using the communication method which is selected by the selection means.
588
22292
플라스틱 적층, 도포 및 기타 표면처리 제품 제조업
특허
ko
en
가스 라이터 이러한 형태의 라이터 (1) 는 액화 석유 가스를 포함하는 저장용기, 저장용기에 장착되어 가스를 저장기에서 내보내는 밸브 (3), 밸브 개방 메카니즘 (4, 5) 및 점화 시스템을 구비하며, 이러한 점화 시스템은 스파크 휠과 동일한 축방향으로 장착되는 하나 이상의 썸 휠 (10) 에 의해 회전 동작가능한 스파크 휠 (7) 과 함께 동작하는 라이터 돌 (6) 을 구비한다이러한 라이터는 각각의 썸 휠 (10) 이 스파크 휠에 독립된 상태로 있으며, 스파크 휠에 독립적으로 썸 휠의 축을 중심으로 선회 가능하며, 힘이 소정의 값보다 큰 값을 갖는 방사상 구성요소를 갖는 썸 휠상에 가해질 때, 회전하는 마찰로 움직이는 연동 수단들은 스파크 휠과 하나 이상의 썸 휠 (10) 사이에 제공되는 것을 특징으로 한다.안전 점화 시스템을 구비한 가스 라이터에 있어서, 액화 석유 가스를 포함하는 저장용기, 저장용기에 장착되며 가스를 저장기에서 내보내는 밸브 (3), 밸브 개방용 메카니즘 (4, 5) 을 구비하며, 뿐만 아니라 점화 시스템은 스파크 휠과 동축으로 장착된 하나 이상의 썸 휠 (10) 에 의해 회전 동작가능한 스파크 휠 (7) 과 협동하는 라이터 돌 (6) 를 구비하고, 각각의 썸 휠 (10) 은 스파크 휠 (7) 과 독립된 상태로 있으며, 스파크 휠 (7) 에 독립적으로 썸 휠의 축을 중심으로 선회 가능하고, 회전하는 마찰 연동 수단은 스파크 휠 (7) 과 하나 이상의 썸 휠 (10) 사이에 제공되며, 힘이 소정의 값보다 큰 값을 갖는 방사상 구성요소를 구비하는 썸 휠에 가해질 때, 썸 휠이 스파크 휠과 연동할 수 있게 하는 것을 특징으로 하는 가스 라이터.
Gas lighterA gaslighter, comprising a reservoir for containing liquefied petroleum gas, a valve (3) mounted on the reservoir and permitting the gas to leave the same, a valve opening mechanism (4, 5), as well as an ignition system comprising a flint (6) co-operating with a spark wheel (7) rotationally operated by at least one circular thumb wheel (10) mounted co-axially with the spark wheel. This lighter is characterised in that each thumb wheel (10) is independent of the spark wheel (7) and can pivot around its axis independently of the spark wheel, and in that rotational frictional engaging means are provided between the spark wheel and the at least one thumb wheel (10) when a force is exercised on the thumb wheel with a radial component having a value greater than a pre-determined value.Gas lighter that comprises safety ignition system, it comprises the container that is used for the splendid attire liquefied petroleum gas; be installed in the valve (3) on the container, so that gas leaves container; the mechanism (4,5) that is used for open valve; and ignition system, ignition system comprises the flint (6) with spark wheel (7) co-operating, the annular thumb press wheel (10) that spark wheel is installed by at least one and spark wheel coaxial line is handled rotationally, it is characterized in that, each thumb press wheel (10) is independent of spark wheel (7), and can be independent of spark wheel (7) pivot around self axis, its feature also is, between spark wheel (7) and at least one thumb press wheel (10), the pivoting friction jockey is set, on the thumb press wheel, apply an active force with box lunch, its radial component value makes the thumb press wheel to mesh with spark wheel during greater than predetermined value.
589
27194
의료용 가구 제조업
특허
ko
en
유체 셀식 매트리스제조 용이한 구조에 의해 우수한 체압 분산 작용을 발휘하면서, 불쾌한 배멀미감 등을 안정된 지지에 의해 방지하는 것도 가능해지는, 신규한 구조의 유체 셀식 매트리스를 제공하는 것. 복수의 유체 셀 12,22가 서로 중첩되는 상시트 32와 하 시트 34를 포함하고 일체적으로 형성되어 있음과 동시에, 유체 셀 12,22의 주위에서 상시트 32와 하 시트 34가 서로 중첩되어 고착되어 있는 한편, 유체 셀 12,22의 주위에서 상시트 32와 하 시트 34가 부분적으로 비고착으로 중첩되어 있고 상시트 32와 하 시트 34의 비고착 영역 42의 중첩면 사이에 유체실 18,28을 서로 연통하는 연통로 44,46이 형성되어 있음과 동시에, 상시트 32와 하 시트 34의 비고착 영역 42의 중첩면 간의 거리가 연통로 44,46 내의 압력에 따라 변화함으로써 연통로 44,46의 통로 단면적이 가변으로 되어 있다.내부에 유체실을 구비하고 신축 가능으로 된 유체 셀에 의해 사용자를 싣는 지지면 중 적어도 일부가 구성된 유체 셀식 매트리스로서, 상기 유체 셀이 서로 중첩되는 상시트와 하 시트를 포함해 구성되어 있고 이들상 시트와 하 시트에 의해 복수의 상기 유체 셀이 일체적으로 형성되어 있음과 동시에, 이들 복수의 유체 셀의 주위에서 상기 상 시트와 상기 하 시트가 서로 중첩되어 고착되어 있는 한편, 상기 유체 셀의 주위에서 상기 상 시트와 상기 하 시트가 부분적으로 비고착으로 중첩되어 있고 이들상 시트와 하 시트의 비고착 영역의 중첩면 사이에 상기 유체실을 서로 연통하는 연통로가 형성되어 있음과 동시에, 상기 상 시트와 상기 하 시트의 비고착 영역의 중첩면 간의 거리가 상기 연통로 내의 압력에 따라 변화함으로써 상기 연통로의 통로 단면적이 가변으로 되어 있는 것을 특징으로 하는 유체 셀식 매트리스.
FLUID CELL-TYPE MATTRESSTo provide a fluid cell-type mattress of a new structure in which an unpleasant feeling of seasickness can be prevented by stable support using the structure easily manufactured, while exhibiting superior body pressure dispersion action. A fluid cell-type mattress is characterized as follows: multiple fluid cells 12, 22 are integrally formed by comprising an upper sheet 32 and a lower sheet 34 overlapped with each other; also, the upper sheet 32 and the lower sheet 34 are overlapped with each other and fixed in the periphery of the fluid cells 12, 22; alternatively, the upper sheet 32 and the lower sheet 34 are partially overlapped in a non-fixation manner in the periphery of the fluid cells 12, 22; communication paths 44, 46 for bringing fluid chambers 18, 28 into communication with each other are formed between overlapping surfaces of a non-fixation area 42 of the upper sheet 32 and the lower sheet 34; also, a distance between the overlapping surfaces of the non-fixation area 42 of the upper sheet 32 and the lower sheet 34 changes according to pressure in the communication paths 44, 46; and thereby the passage cross section of the communication paths 44, 46 is made variable.A fluid cell type mattress in which at least a part of a support surface on which a user is placed is formed by a fluid cell having a fluid chamber inside and being expandable and contractible, and an upper sheet on which the fluid cells are superposed on each other. It is configured to include a lower sheet, and a plurality of the fluid cells are integrally formed by the upper sheet and the lower sheet, and the upper sheet and the lower sheet are mutually formed around the plurality of fluid cells. While they are overlapped and fixed, the upper sheet and the lower sheet are partially non-fixed and overlapped around the fluid cell, and between the overlapping surfaces of the non-fixed region of the upper sheet and the lower sheet. A communication passage that communicates with each other in the fluid chamber is formed, and the distance between the overlapping surfaces in the non-fixed region of the upper sheet and the lower sheet changes according to the pressure in the communication passage. A fluid cell type mattress characterized in that the cross-sectional area of the passage is variable.
589
29293
인쇄 및 제책용 기계 제조업
특허
ko
en
인쇄기기의 급지장치개시된 인쇄기기의 급지장치는, 카세트에 적재된 용지와 밀착되어 회전함으로써 그 용지를 인쇄기기 내부의 급지경로로 진입시키는 픽업롤러와, 동력원과 연결된 회전축과, 회전축에 회동가능하게 설치되며 그 자유단부에 픽업롤러가 회전가능하게 지지되는 회동부재와, 카세트가 인쇄기기 내부로 진입됨에 따라 연동되어 슬라이딩되며 일측면에는 회동부재를 회동시키기 위한 소정의 캠부가 형성된 슬라이더와, 슬라이더의 캠부를 타고 슬라이딩되도록 회동부재에 마련된 연동리브를 포함하여서, 카세트가 인쇄기기에 출입하면서 슬라이더를 이동시키면, 연동리브가 캠부를 타고 슬라이딩하여 회동부재가 회동됨으로써 픽업롤러가 승강되도록 된 것을 특징으로 한다. 이와 같은 구성에 의하면, 카세트가 인쇄기기 내부에 완전히 진입된 다음에 핀치롤러와 용지가 접촉되기 때문에 카세트 장착시 용지 위치가 틀어지는 현상을 방지할 수 있다.카세트에 적재된 용지에 밀착되어 회전함으로써 그 용지를 인쇄기기 내부의 급지경로로 진입시키는 픽업롤러; 및 동력원과 연결된 회전축에 회동가능하게 설치되며 그 자유단부에 상기 픽업롤러가 회전가능하게 지지되는 회동부재와, 상기 카세트가 상기 인쇄기기 내부로 진입됨에 따라 연동되어 슬라이딩되는 것으로 일측면에는 상기 회동부재를 회동시키기 위한 소정의 캠부가 형성되는 슬라이더와, 상기 슬라이더의 캠부를 타고 슬라이딩되도록 상기 회동부재에 마련된 연동리브를 구비하는 승강수단; 을 포함하며,상기 카세트가 상기 슬라이더를 이동시키면 상기 연동리브가 상기 캠부를 타고 슬라이딩하여 상기 회동부재가 회동됨으로써, 상기 픽업롤러가 승강되는 것을 특징으로 하는 인쇄기기의 급지장치.
Paper feeding apparatus for printing apparatus A paper feeding apparatus for a printing apparatus includes a pickup roller, which rotates in close contact with a sheet of paper loaded in a cassette and which allows the paper to enter in a paper feeding path of the printing apparatus, and a moving device, which allows the pickup roller to be selectively close to or separated from the paper loaded in the cassette while moving the pickup roller up and down. The moving device includes a rotary shaft connected to a predetermined driving source, a pivoting member, installed at the rotary shaft to be capable of pivoting, and having the pickup roller rotatably supported at a free end thereof, a slider, sliding in engagement with the cassette entering in the printing apparatus and having a predetermined cam portion for pivoting the pivoting member formed at one side thereof, and an engagement rib provided at the pivoting member to be capable of sliding along the cam portion of the slider, wherein, when the slider is moved as the cassette enters or is pulled from the printing apparatus, the engagement rib slides along the cam portion to pivot the pivoting member so that the pickup roller is moved up and down. Thus, as the pickup roller is allowed to contact the paper after the cassette completely enters the printing apparatus, the displacement of the position of the paper can be prevented when the cassette is inserted. A paper feeding apparatus for a printing apparatus comprising: a pickup roller which rotates in close contact with a sheet of paper loaded in a cassette and which allows the paper to enter in a paper feeding path of the printing apparatus; and a moving mechanism which moves the pickup roller up and down while the pickup roller is one of selectively close to and separated from the paper loaded in the cassette, wherein said moving mechanism comprises: a rotary shaft connected to a predetermined driving source for rotation about a rotational axis; a pivoting member, installed at the rotary shaft to be capable of pivoting, said pivoting member having the pickup roller rotatably supported at a free end thereof; a slider, sliding in engagement with the cassette entering into the printing apparatus and in a direction substantially parallel to the rotational axis of the rotary shaft, said slider having a cam portion formed at one side thereof, which pivots the pivoting member; and an engagement rib provided at the pivoting member to be capable of sliding along the cam portion of the slider; wherein, when the slider is moved as the cassette one of enters and is pulled from the printing apparatus, the engagement rib slides along the cam portion to pivot the pivoting member so that the pickup roller is moved up and down.
589
16212
강화 및 재생 목재 제조업
특허
ko
en
목재 섬유 복합 재료로 만든 일체형 목재 도어이 발명품은 목재 섬유 복합 재료로 만들어진 일체형 나무 문을 공개한다. 기존의 목재 도어 제조 공정 모드를 깨고, 주로 폐목재 또는 슬래시 재료로 만들어진 목재 섬유 복합 재료는 주형 압착 기술의 도움으로 한 번에 성형되며, 목재 문은 옵티미즈의 도움으로 성공적으로 제조됩니다.ed 핫 프레싱 공정, 통합 목재 도어의 두께는 30mm ~ 60mm, 통합 목재 도어의 밀도는 0.65g/cm3 ~ 1.05g/cm3입니다. 기존 상인용 목조문에 비해 일체형 목조문은 제작이 단순하고 효율성이 높고 원가가 저렴하며 친환경적이며 적용 전망과 경제성이 극히 넓다.목재 섬유 복합 재료 제조를 위한 통합된 목재 문은 다음과 같은 특징이 있다: 기술된 목재 섬유 복합 재료는 주형 압착 기술을 통해 얻어진다; 질량 측정기에 따르면 기술된 목재 섬유 복합 재료는 목재 섬유 100 부분, 8~25 부분, 접착제 및 0.5~2 부분, 경화제로 구성된다.ibed 접착제는 요소-알데히드 수지 접착제, 페놀 수지 접착제 또는 멜라민 변형 요소-알데히드 접착제이다. 설명된 경화제는 염화 암모늄, 인산 암모늄, 붕산 및 구연산에 하나 이상의 혼합물이다. 콘크리트 제조 공정: 목재 섬유 복합 재료 mi가 된 후 포장 기초, 사전 압축 공정을 수행한다.xed, 그리고 slab 후 공정은 목재 몰드에 보내지고, 일단 고온 형성 후, edging 공정을 채택하고, 통합 목재 도어를 획득합니다; 설명된 증기 주입 압착 기술에서 고온 압착 온도는 180℃~230℃, 연탄 압착 압력은 65kg/cm2~95kg/cm2, 체류 시간은 6분~15m입니다.분무로 가열하는 증기압력은 4~10kg/cm2, 증기주입시간은 5~90s, 기술목재의 두께는 30mm~60mm, 밀도는 0.65g/cm3이다.
Integral wooden door made of wood fiber composite materialsThe invention discloses an integral wooden door made of wood fiber composite materials. In the integral wooden door, a traditional wooden door manufacturing process mode is broken through, the wood fiber composite materials which are mainly made of waste wood leftover materials or slash materials are molded at one step by the aid of mould pressing technology, the integral wooden door is successfully manufactured by the aid of optimized hot pressing process, the thickness of the integral wooden door ranges from 30mm to 60mm, and the density of the integral wooden door ranges from 0.65g/cm3 to 1.05g/cm3. Compared with an existing merchant wooden door, the integral wooden door is simple in manufacture, high in efficiency and low in cost, is environment-friendly, and has extremely wide application prospect and economic prospect.An integrated wooden door for wood fiber composites making, is characterized in that: described timber is that wood fiber composites obtains through mould pressing technology one-shot forming;according to mass fraction meter, described wood fiber composites comprises wood fibre 100 parts, 8 ~ 25 parts, adhesive and 0.5 ~ 2 part, curing agent; described adhesive is urea-formaldehyde resin adhesive, phenolic resin glue or melamine modified urea-formaldehyde glue; described curing agent is one or more the mixing in ammonium chloride, ammonium phosphate, boric acid and citric acid;concrete manufacturing process is: carry out paving base, precompressed process after wood fiber composites being mixed, and the slab after process is sent in timber mould, and adopt steam injection pressing technique once hot-forming, then edging process, obtains integrated wooden door;in described steam injection pressing technique, hot pressing temperature is 180 deg c ~ 230 deg c, and briquetting pressure is 65kg/cm 2~ 95kg/cm 2, the dwell time is 6min ~ 15min; the steam pressure that heating is steamed in spray is 4 ~ 10kg/cm 2, steam injection time 5 ~ 90s; the thickness of described timber is 30mm ~ 60mm, and density is 0.65g/cm 3~ 1.05g/cm 3.
590
26121
발광 다이오드 제조업
특허
ko
en
헤테로에피택셜 n-형 트랜지스터들과 p-형 트랜지스터들의 웰 기반 집적비-실리콘 핀 구조체들이 기판의 웰 리세스에서 결정질 헤테로에피택셜 웰 재료로부터 연장한다. III-V 핀FET들이 웰 리세스 내의 핀 구조체들 상에 형성될 수 있으면서 IV족 핀FET들이 웰 리세스에 인접한 기판의 지역에서 형성된다. 웰 재료는 기판의 시딩 표면에 웰 재료를 커플링시키는 격리 재료를 통과하는 필러들을 둘러싸는 비정질 격리 재료에 의해 기판으로부터 전기적으로 격리될 수 있고 결정 성장 결함들을 트랩핑할 수 있다. 필러들은 측방향 에피택셜 과도성장에 의해 웰-격리 재료 위로 연장될 수 있고 웰 리세스는 고품질의 단결정으로 채워진다. 웰 재료는 인접한 기판 지역들과 평탄화될 수 있다. n-형 핀 구조체들이 기판으로부터 제작된 p-형 핀 구조체들과 연속하여 웰 재료로부터, 또는 제2 에피택셜 웰로부터 제작될 수 있다.모놀리식 반도체 핀 구조체들로서, 기판의 제1 지역에서의 제1 웰 리세스 - 상기 제1 웰 리세스는 웰 바닥 위에 배치된 비정질 웰-격리 재료와, 상기 웰-격리 재료 위에 배치된 결정질 헤테로에피택셜 웰 재료를 포함하고, 상기 웰 재료는 상기 웰-격리 재료를 통해 연장되는 헤테로에피택셜 재료의 하나 이상의 필러에 의해 상기 웰 바닥에서 상기 기판의 시딩 표면에 커플링됨 -;상기 제1 웰 리세스 위에 그리고 상기 제1 지역에 인접한 기판의 제2 지역 위에 배치된 비정질 핀-격리 재료;상기 웰 재료로부터 연장되고 상기 핀-격리 재료를 통해 돌출하는 결정질의 제1 핀; 및 기판의 상기 제2 지역으로부터 연장되고 상기 핀-격리 재료를 통해 돌출하는 IV족 재료의 제2 핀을 포함하는, 핀 구조체들.
WELL-BASED INTEGRATION OF HETEROEPITAXIAL N-TYPE TRANSISTORS WITH P-TYPE TRANSISTORSNon-silicon fin structures extend from a crystalline heteroepitaxial well material in a well recess of a substrate. III-V finFETs may be formed on the fin structures within the well recess while group IV finFETs are formed in a region of the substrate adjacent to the well recess. The well material may be electrically isolated from the substrate by an amorphous isolation material surrounding pillars passing through the isolation material that couple the well material to a seeding surface of the substrate and trap crystal growth defects. The pillars may be expanded over the well-isolation material by lateral epitaxial overgrowth, and the well recess filled with a single crystal of high quality. Well material may be planarized with adjacent substrate regions. N-type fin structures may be fabricated from the well material in succession with p-type fin structures fabricated from the substrate, or second epitaxial well.Monolithic semiconductor fin structures, comprising:a first well recess in a first region of a substrate, the first well recess containing an amorphous well-isolation material disposed over the well bottom, and a crystalline heteroepitaxial well material disposed over the well-isolation material, wherein the well material is coupled to a seeding surface of the substrate at the well bottom by one or more pillar of heteroepitaxial material extending through the well-isolation material;an amorphous fin-isolation material disposed over the first well recess and over a second region of substrate adjacent to the first region;a first crystalline fin extending from the well material and protruding through the fin-isolation material; anda second fin of a group IV material extending from the second region of substrate andprotruding through the fin-isolation material.
590
29142
기어 및 동력전달장치 제조업
특허
ko
en
슬라이딩 풀리를 구비한 벨트 텐셔너브래킷, 제1 축을 중심으로 회전하기 위해 브래킷에 결합된 텐셔너 본체, 제1 축에 평행한 제2 축 및 제3 축 주위로 각각 회전하기 위해 텐셔너 본체에 결합되는 제1 휠 및 제2 휠, 및 텐셔너 본체에 대해 작용선을 따라 제2 휠을 부세시키는 스프링을 구비한 텐셔너. 제2 휠은 작용선을 따라 제1 위치와 제2 위치 간의 이동을 위해 텐셔너 본체에 결합된다.텐셔너(10, 10d, 10e, 10f)로서,브래킷 허브(32, 380)를 갖는 브래킷(12, 12f);텐셔너 본체 허브(50, 50f), 제1 마운트(52) 및 제2 마운트(54, 54d, 54e, 54f)를 갖는 텐셔너 본체(14, 14f, 14h)로서, 상기 텐셔너 본체 허브(50, 50f)는 상기 브래킷 허브(32, 380)에 회전 가능하게 결합되고, 상기 제1 마운트(52) 및 상기 제2 마운트(54, 54d, 54e, 54f)는 상기 텐셔너 본체 허브(50, 50f)에 고정식으로 결합되는, 상기 텐셔너 본체(14, 14f, 14h);상기 제1 마운트(52)에 고정식으로 결합된 제1 차축(18);상기 제2 마운트(54, 54d, 54e, 54f)에 슬라이딩 가능하게 결합된 제2 차축(20, 20e, 20f);상기 제1 차축(18) 상에 회전 가능하게 배치된 제1 휠(22);상기 제2 차축(20, 20e, 20f) 상에 회전 가능하게 배치된 제2 휠(24); 및상기 텐셔너 본체(14, 14f, 14h)에 결합되고 작용선을 따라 소정의 방향으로 상기 제2 휠(24)을 부세시키는 스프링(26, 26f)을 포함하는, 텐셔너(10, 10d, 10e, 10f).
BELT TENSIONER WITH SLIDING PULLEYA tensioner with a bracket, a tensioner body coupled to the bracket for rotation about a first axis, a first and second wheels that are coupled to the tensioner body for rotation about second and third axes, respectively, that are parallel the first axis, and a spring that biases the second wheel along a line of action relative to the tensioner body. The second wheel is coupled to the tensioner body for movement between first and second positions along the line of action.A tensioner (10, 10d, 10e, 10f) comprising:a bracket (12, 12f) having a bracket hub (32, 380);a tensioner body (14, 14f, 14h) having a tensioner body hub (50, 50f), a first mount (52) and a second mount (54, 54d, 54e, 54f), the tensioner body hub (50, 50f) being rotatably coupled to the bracket hub (32, 380), the first mount (52) and the second mount (54, 54d, 54e, 54f) being fixedly coupled to the tensioner body hub (50, 5 Of);a first axle (18) fixedly coupled to the first mount (52);a second axle (20, 20e, 20f) slidably coupled to the second mount (54, 54d, 54e, 54f);a first wheel (22) rotatably disposed on the first axle (18);a second wheel (24) rotatably disposed on the second axle (20, 20e, 20f); and a spring (26, 26f) coupled to the tensioner body (14, 14f, 14h) and biasing the second wheel (24) in a predetermined direction along a line of action.
590
46710
연료, 연료용 광물 및 관련제품 도매업
특허
ko
en
화력 발전소, 공업용 및 중앙 가열 퍼니스에서 화석 연료 대체용으로 사용되는 연료 및 가연 혼합물본 발명은 새로운 가연 혼합물을 개시하며, 이는 연소시, 갈탄의 에너지보다 15배 큰 에너지를 그리고 코크의 에너지보다 4-5배 많은 에너지를 방출한다. 상기 가연 혼합물은 고상 및 액상으로 구성되며, 상기 고상은 알루미늄 분말; 적어도 하나의 M1X2 (여기서, M1은 산화 상태 +2의 어떠한 금속일 수 있고, X는 어떠한 할로겐일 수 있음); M2CO3 (여기서, M2는 어떠한 2 가 금속일 수 있음); 아연 암모니아 클로라이드; 규사 형태의 SiO2; 및 생석회를 포함하며; 상기 액상은 적어도 하나의 C1 내지 C6 카르복시산, 또는 상기 카르복시산의 적어도 하나의 무수물 또는 적어도 하나의 이의 에스테르 또는 아미드; 메틸셀룰로오스; 및 포름알데히드, 또는 이의 상업적으로 이용가능한 용액 - 포르말린; 및 물을 포함한다. 연료는 상기 가연 혼합물이 용기에 밀폐되는 경우에 제조된다. 본 발명은 또한, 에너지 생성 방법 및 본 발명에 의한 연료의 용도를 제시한다. 고상 및 액상으로 구성되는 가연 혼합물에 있어서,상기 고상은 알루미늄 분말; 적어도 하나의 M1X2 (여기서, M1은 산화 상태 +2의 어떠한 금속일 수 있고, X는 어떠한 할로겐일 수 있음); M2CO3 (여기서, M2는 산화 상태 +2의 어떠한 금속일 수 있음); 아연 암모니아 클로라이드;SiO2; 및 생석회를 포함하며; 그리고상기 액상은 적어도 하나의 C1 내지 C6 카르복시산, 또는 적어도 하나의 상기 카르복시산의 무수물 또는 적어도 하나의 이의 에스테르 또는 아미드; 메틸셀룰로오스; 및 포름알데히드, 즉, 이의 상업적으로 이용가능한 용액 - 포르말린; 및 물을 포함하는 가연 혼합물.
FUEL AND COMBUSTIBLE MIXTURE USED AS A SUBSTITUTE FOR FOSSIL FUELS IN THERMOELECTRIC POWER PLANTS, INDUSTRIAL AND CENTRAL HEATING FURNACESPresent Invention discloses new combustible mixture and fuel, which during burning releases energy 15 times greater than that of lignite and 4-5 times than that of coke. The combustible mixture consists of the liquid and solid phases, where the solid phase comprises: aluminium powder; at least one M1X2, where M1 can be any metal in oxidation state +2, and X can be any halogen; M2CO3, where M2 can be any two-valent metal; zinc ammonia chloride, SiO2 in the form of quartz sand; and quick lime; whereas the liquid matter comprises: at least one C1 to C6 carboxylic acid, or at least one anhydride of the mentioned carboxylic acids, or at least one its ester or amide; methylcellulose; and formaldehyde, or its commercially accessible solution—formalin; and water. Fuel is made when the combustible mixture is hermetically closed in a container. The Invention also presents both the energy production method and the use of the invented fuelThe combustible mixture, consisting of the solid and liquid phases, characterized in that the solid phase comprises: aluminium powder;at least one M1X2, where M1 can be any metal in oxidation state +2, and X any halogen;M2CO3, where M2 can be any metal in oxidation state +2;zinc ammonia chloride;SiO2; andquick lime;and the liquid phase comprises:at least one C1 to C6 carboxylic acid, or at least one anhydride of the said carboxylic acids, or at least one its ester or amid;methylcellulose; andformaldehyde, i.e. its commercially available solution—formalin;and water, where the share of solid phase in the mixture varies between 32% w/w and 46% w/w, and that of the liquid phase between 54% w/w and 68% w/w,whereas the solid phase components are present in the following weight percentages:aluminium powder 3% to 10%M1X21% to 4%M2CO31% to 3%zinc ammonia chloride2% to 5%SiO23% to 8%quick lime 70% to 90%,and the liquid phase components are present in the following weight percentages:at least one carboxylic acid, or at least one anhydride of carboxylic acid, or at least one its ester or amid 10% to 27%;methylcellulose 20%-40%;formaldehyde, 1% to 10%;and the rest up to 100% is water.
590
20499
그 외 기타 분류 안된 화학제품 제조업
특허
ko
en
안료로서의 고순도 나프톨본 발명은 하기 표의 상한에 의해 규정된 최대 함량의 부수적인 성분을 갖는 화학식 IV의 나프톨 AS 안료에 관한 것이다: 화학식 IV 상기 식에서, X1은 수소, 할로겐, 니트로, 카바모일, 페닐카바모일, 설파모일, 페닐설파모일, C1-C4-알킬설파모일 또는 다이(C1-C4)-알킬설파모일이고; X2는 수소 또는 할로겐이고, Y는 수소, 할로겐, 니트로, C1-C4-알킬, C1-C4-알콕시 또는 C1-C4-알콕시카보닐이고, Z는 페닐, 나프틸, 벤즈이미다졸로닐, 페닐이거나, 또는 할로겐-, 니트로-, C1-C4-알킬- 및/또는 C1-C4-알콕시-치환된 페닐이다:각각 고압 액체 크로마토그래피에 의해 측정하였을 때 하기 표에 도시된 바와 같은 상한으로 정의되는 최대 함량의 하기 규정된 부수적인 성분 (1) 내지 (5)을 갖는, 하기 화학식 IV의 나프톨 AS 안료: 화학식 IV [이미지] 상기 식에서, X1은 수소, 할로겐, 니트로, 카바모일, 페닐카바모일, 설파모일, 페닐설파모일, C1-C4-알킬설파모일 또는 다이(C1-C4)-알킬설파모일이고; X2는 수소 또는 할로겐이고, Y는 수소, 할로겐, 니트로, C1-C4-알킬, C1-C4-알콕시 또는 C1-C4-알콕시카보닐이고, Z는 페닐, 나프틸, 벤즈이미다졸로닐, 페닐이거나, 또는 할로겐-, 니트로-, C1-C4-알킬- 및/또는 C1-C4-알콕시-치환된 페닐이며, [이미지] 상기 식에서, Ar은 [이미지]의 의미를 갖는 고순도 나프톨.
High-Purity Naphthol as PigmentsNaphthol AS pigments of the formula (IV) where X1, X2 Y and Z are as defined in the specification and have a maximum content of the secondary components (1) to (5) defined by the upper limits set forth in the table within the specification.A Naphthol AS pigment of the formula (IV) [Image] wherein X1 is hydrogen, halogen, nitro, carbamoyl, phenylcarbamoyl, sulfamoyl, phenylsulfamoyl, C1-C4-alkylsulfamoyl or di(C1-C4)-alkylsulfamoyl; X2 is hydrogen or halogen; Y is hydrogen, halogen, nitro, C1-C4-alkyl, C1-C4-alkoxy or C1-C4-alkoxy-bonyl; and Z is phenyl, naphthyl, benzimidazolonyl, phenyl or halogen-, nitro-, C1-C4-alkyl- and/or C1-C4-alkoxy-substituted phenyl, having a maximum content of hereinbelow specified secondary components (1) to (5), defined by the following upper limits: Secondary component: Upper limit: 1 Amine of formula H2N?Ar ?60 ppm 2 Amine of formula H2N?Z ?50 ppm 3 Triazene of formula Ar?N?N?N?Ar ?50 ppm 4 Mixed triazene of formula Ar?N?N?NHZ ?50 ppm 5 [Image] 200 ppm wherein Ar has the meaning [Image] each determined by high pressure liquid chromatography.
591
27192
정형 외과용 및 신체 보정용 기기 제조업
특허
ko
en
치과 이식 시스템 및 내비게이션 방법치과 이식 시스템 및 내비게이션 방법이 제공된다. 상기 치과 이식 시스템은 치과 이식 장치에 연결된 작용 단부를 갖는 다축 로봇 아암 및 상기 다축 로봇 아암에 결합되고, 치과 이식 처리 동안 임플란트 받는 환자의 임플란트 수용 영역에 대한 실시간 이미지 정보를 캡처하기 위한 적어도 하나의 광학 장치를 포함한다. 상기 다축 로봇 아암은 이식 전 계획과 상기 실시간 이미지 정보의 연관 결과에 따라 상기 임플란트 수용 영역에서 미리 결정된 경로를 따라 상기 치과 이식 장치가 이동하도록 구동한다. 상기 이식 전 계획은 상기 임플란트 수용 영역의 3D 모델과 연관되고, 상기 미리 결정된 경로와 연관된 미리 결정된 진입점, 상기 미리 결정된 경로와 연관된 적어도 하나의 미리 결정된 중계점 및 상기 미리 결정된 경로와 연관된 미리 결정된 목표점을 포함한다. 상기 3D 모델은 상기 임플란트 수용 영역에 대한 이식 전 이미지 정보로부터 구성된다.치과 이식 시스템으로서, 치과 이식 장치에 연결된 작용 단부를 갖는 다축 로봇 아암 및상기 다축 로봇 아암에 결합되고, 치과 이식 처리 동안 임플란트 받는 환자의 임플란트 수용 영역에 대한 실시간 이미지 정보를 캡처하기 위한 적어도 하나의 광학 장치를 포함하고,상기 다축 로봇 아암은 이식 전 계획과 상기 실시간 이미지 정보의 연관 결과에 따라 상기 임플란트 수용 영역에서 미리 결정된 경로를 따라 상기 치과 이식 장치가 이동하도록 구동하고,상기 이식 전 계획은 상기 임플란트 수용 영역의 3D 모델과 연관되고, 상기 미리 결정된 경로와 연관된 미리 결정된 진입점, 상기 미리 결정된 경로와 연관된 적어도 하나의 미리 결정된 중계점, 및 상기 미리 결정된 경로와 연관된 미리 결정된 목표점을 포함하고, 상기 3D 모델은 상기 임플란트 수용 영역에 대한 이식 전 이미지 정보로부터 구성된 것을 특징으로 하는 치과 이식 시스템.
TOOTH IMPLANTATION SYSTEM AND NAVIGATION METHOD THEREFORA dental implantation system and navigation method are provided. The dental implantation system includes: a multi-axis robotic arm having an action end connected to a dental implantation device; and at least one optical device coupled to the multi-axis robotic arm to capture a real-time image information about an implant-receiving region of an implant-receiving patient during a dental implantation process. The multi-axis robotic arm drives the dental implantation device moving along a predetermined path in the implant-receiving region according to association result of a pre-implantation plan and the real-time image information. The pre-implantation plan is associated with a 3D model of the implant-receiving region and includes a predetermined entry point associated with the predetermined path, at least one predetermined relay point associated with the predetermined path, and a predetermined target point associated with the predetermined path. The 3D model is constructed from a pre-implantation image information about the implant-receiving region.A dental implantation system, comprising: a multi-axis robotic arm having an action end connected to a dental implantation device; and at least one optical device coupled to the multi-axis robotic arm to capture a real-time image information about an implant-receiving region of an implant-receiving patient during a dental implantation process, wherein the multi-axis robotic arm drives the dental implantation device moving along a predetermined path in the implant-receiving region according to an association result of a pre-implantation plan and the real-time image information, wherein the pre-implantation plan is associated with a 3D model of the implant-receiving region and comprises a predetermined entry point associated with the predetermined path, at least one predetermined relay point associated with the predetermined path, and a predetermined target point associated with the predetermined path, wherein the 3D model is constructed from a pre-implantation image information about the implant-receiving region.
591
29293
인쇄 및 제책용 기계 제조업
특허
ko
en
스크린 프린터스크린 프린터에 있어서,보드를 스크린 프린터의 작업 위치로 이송 및 유지하도록 구성된 보드 위치 결정 장치; 작업 위치 위에 마스크를 고정하도록 구성된 마스크 고정 장치; 기판 상의 마스크에 크림 솔더를 도포하도록 구성된 스퀴지 장치; 기판 위치 결정 장치의 기판과 마스크 유지 장치의 마스크 사이의 상대 위치를 보정하도록 구성된 보정 장치; 상기 스크린 프린터 내부 또는 외부에 구비된 인쇄 검사 장치로부터 인쇄 상태의 검사 데이터를 획득하고, 상기 검사 데이터에 기초하여 인쇄 편차량에 따라 보정된 보정값으로 상기 보정 장치에 대한 보정 제어를 수행하는 제어 장치; 및 오퍼레이터의 검사 지시 조작을 감지하고, 에 기초하여 인쇄 검사 장치로부터 인쇄 상태의 검사 데이터를 획득하기 위한 검사 데이터 획득 신호를 제어 장치로 전송하는 지시 입력부를 포함하도록 구성된 입력 장치를 포함한다. 명령 입력 섹션에 연산자의 명령 조작.스크린 프린터에 있어서,보드를 스크린 프린터의 작업 위치로 이송 및 유지하도록 구성된 보드 위치 결정 장치; 작업 위치 위에 마스크를 고정하도록 구성된 마스크 고정 장치; 기판 상의 마스크에 크림 솔더를 도포하도록 구성된 스퀴지 장치; 기판 위치 결정 장치의 기판과 마스크 유지 장치의 마스크 사이의 상대 위치를 보정하도록 구성된 보정 장치; 상기 스크린 프린터 내부 또는 외부에 구비된 인쇄 검사 장치로부터 인쇄 상태의 검사 데이터를 획득하고, 상기 검사 데이터에 기초하여 인쇄 편차량에 따라 보정된 보정값으로 상기 보정 장치에 대한 보정 제어를 수행하는 제어 장치; 및 오퍼레이터의 검사 지시 조작을 감지하고, 에 기초하여 인쇄 검사 장치로부터 인쇄 상태의 검사 데이터를 획득하기 위한 검사 데이터 획득 신호를 제어 장치로 전송하는 지시 입력부를 포함하도록 구성된 입력 장치를 포함한다. 명령 입력 섹션에 연산자의 명령 조작.
SCREEN PRINTERA screen printer comprising: a board positioning device configured to convey and hold a board to a work position in the screen printer; a mask holding device configured to hold a mask above the work position; a squeegee device configured to spread a cream solder on a mask on the board; a correction device configured to correct a relative position between the board of the board positioning device and the mask of the mask holding device; a control device configured to acquire inspection data of a print state from a print inspection device provided inside or outside the screen printer and perform correction control on the correction device by a correction value corrected according to a deviation amount of printing based on the inspection data; and an input device configured to include an instruction input section that senses an inspection instruction operation of an operator and transmit, to the control device, an inspection data acquisition signal for acquiring the inspection data of the print state from the print inspection device based on an instruction operation of the operator to the instruction input section.A screen printer comprising: a board positioning device configured to convey and hold a board to a work position in the screen printer; a mask holding device configured to hold a mask above the work position; a squeegee device configured to spread a cream solder on a mask on the board; a correction device configured to correct a relative position between the board of the board positioning device and the mask of the mask holding device; a control device configured to acquire inspection data of a print state from a print inspection device provided inside or outside the screen printer and perform correction control on the correction device by a correction value corrected according to a deviation amount of printing based on the inspection data; and an input device configured to include an instruction input section that senses an inspection instruction operation of an operator and transmit, to the control device, an inspection data acquisition signal for acquiring the inspection data of the print state from the print inspection device based on an instruction operation of the operator to the instruction input section.
591
01000
농업
특허
ko
en
재배 포드에서 물 투여량을 관리하는 시스템 및 방법사전에 결정된 재배 일에 식물 재료로 물을 제공하도록 배치된 배수 스테이션들을 포함하는 조립 라인 재배 포드(100) 및 이를 공급하는 방법이 개시되어 있다. 조립 라인 재배 포드(100)는 시더 구성요소(108) 및 하베스터 구성요소(208) 간의 길이를 연장하는 트랙(102), 시더 및 하베스터 구성요소들(108, 208) 간의 상기 트랙(102)의 길이를 따른 복수의 위치들에서 상기 트랙(102)에 인접하여 배치된 복수의 배수 스테이션들, 및 상기 트랙(102) 상에 지지된 카트(104)로서, 카트(104) 내 상기 시더 구성요소(108)에 의해 파종되는 시드들이 상기 하베스터 구성요소(208)에서 수확되는 식물 재료로 재배되도록 상기 시더 구성요소(108)로부터 상기 하베스터 구성요소(208)로 상기 트랙(102)을 따라 이동 가능한, 카트(104)를 포함한다. 상기 복수의 배수 스테이션들 각각은 물이 사전에 결정된 재배 메트릭으로 상기 배수 스테이션에 의해 상기 카트에 제공되도록 상기 시더 및 상기 하베스터 구성요소들(108, 208) 사이에 위치된다.조립 라인 재배 포드로서,상기 조립 라인 재배 포드는,시더 구성요소 및 하베스터 구성요소 간의 길이를 연장하는 트랙;상기 시더 구성요소 및 상기 하베스터 구성요소 간 트랙 길이를 따른 복수의 위치들에서 상기 트랙에 인접하여 배치된 복수의 배수 스테이션들; 및상기 트랙 상에 지지된 카트로서, 카트 내 상기 시더 구성요소에 의해 파종되는 시드들이 상기 하베스터 구성요소에서 수확되는 식물 재료로 재배되도록 상기 시더 구성요소로부터 상기 하베스터 구성요소로 상기 트랙을 따라 이동 가능한, 카트;를 포함하며,상기 복수의 배수 스테이션들 각각은 물이 사전에 결정된 재배 메트릭으로 상기 배수 스테이션에 의해 상기 카트에 제공되도록 상기 시더 구성요소 및 상기 하베스터 구성요소 사이에 위치되는, 조립 라인 재배 포드.
SYSTEM AND METHOD FOR MANAGING WATER DOSAGE IN A GROW PODAn assembly line grow pod (100) that includes watering stations positioned to provide water to plant material at predetermined days of growth and methods of supplying the same are disclosed. An assembly line grow pod (100) includes a track (102) extending a length between a seeder component (108) and a harvester component (208), a plurality of watering stations arranged adjacent to the track (102) at a plurality of locations along the length of the track (102) between seeder and harvester components (108,208), and a cart (104) supported on and movable along the track (102) from the seeder component (108) to the harvester component (208) such that seeds that are placed by the seeder component (108) within the cart (104) grow into plant material that is harvested at the harvester component (208). Each one of the plurality of watering stations is positioned between the seeder and harvester components (108,208) such that water is provided by the watering station to the cart at a predetermined growth metric.An assembly line grow pod comprising:a track extending a length between a seeder component and a harvester component; a plurality of watering stations arranged adjacent to the track at a plurality of locations along the length of the track between the seeder component and the harvester component; and a cart supported on the track and movable along the track from the seeder component to the harvester component such that seeds that are placed by the seeder component within the cart grow into plant material that is harvested at the harvester component,wherein each one of the plurality of watering stations is positioned between the seeder component and the harvester component such that water is provided by the watering station to the cart at a predetermined growth metric.
592
26112
비메모리용 및 기타 전자집적회로 제조업
특허
ko
en
SRAM 메모리 셀, SRAM 메모리 셀을 갖는 집적회로를 제조하는 방법 및 비대칭성 부하 트랜지스터를제조하는 방법누설 전류를 감소시킨 SRAM 셀의 제조 방법이 개시된다. 이 방법은 SRAM 셀에서 비대칭성 트랜지스터를 제조하는 단계를 포함한다. 트랜지스터들은 트랜지스터들의 드레인 누설 전류를 감소시키는 방식으로 비대칭성이다. 비대칭성 패스 트랜지스터의 제조는 제1 도전형을 갖는 기판의 표면 상에 유전체 영역(516)을 형성하는 단계를 포함한다. 유전체 영역 상에 길이 및 폭을 갖는 게이트 영역(500)이 형성된다. 게이트 영역의 대향측 상의 기판에 제2 도전형을 갖는 소스 및 드레인 연장 영역(506, 510)이 형성된다. 소스에 인접하여 제1 농도 및 제1 도전형를 갖는 제1 포켓 불순물 영역(508)이 형성된다. 드레인에 인접하여 제2 농도 및 제1 도전형를 갖는 제2 포켓 불순물 영역이 형성될 수 있다. 형성될 경우, 제2 농도는 제1 농도보다 낮아, 게이트 유도된 드레인 누설 전류를 감소시킨다.SRAM 메모리 셀을 갖는 집적 회로를 제조하는 방법으로서, 상기 SRAM 메모리 셀의 부하 트랜지스터에 대한 게이트 구조를 반도체 바디 상에 형성하는 단계, 상기 게이트 구조의 축적 노드측 상에 제1 소스/드레인 영역을 형성하고 상기 게이트 구조의 공급측 상에 제2 소스/드레인 영역을 형성하는 단계, 상기 부하 트랜지스터의 상기 축적 노드측으로부터의 도펀트를 적어도 부분적으로 차단시키면서 상기 부하 트랜지스터의 상기 공급측 상의 포켓 영역 내로 도펀트를 주입하는 단계 상기 제1 소스/드레인 영역을 축적 노드에 연결하는 단계, 및 상기 제2 소스/드레인 영역을 공급 전압에 연결하는 단계 를 포함하는 SRAM 메모리 셀을 갖는 집적 회로를 제조하는 방법.
Sram cell with asymmetrical transistors for reduced leakageThe present invention discloses a method of fabricating an SRAM cell with reduced leakage. The method comprises fabricating asymmetrical transistors in the SRAM cell. The transistors are asymmetrical in a manner that reduces the drain leakage current of the transistors. The fabrication of asymmetrical pass transistors comprises forming a dielectric region on a surface of a substrate having a first conductivity type. A gate region having a length and a width is formed on the dielectric region. Source and drain extension regions having a second conductivity type are formed in the substrate on opposite sides of the gate region. A first pocket impurity region having a first concentration and the first conductivity type is formed adjacent the source. A second pocket impurity region having a second concentration and the first conductivity type may be formed adjacent the drain. If formed, the second concentration is smaller than the first concentration, reducing the gate induced drain leakage current.A processing has the method for the integrated circuit of sram memory cell, and it comprises following steps:on semiconductor substrate, form the grid structure of the load transistor of described sram memory cell;storage-node side in described grid structure forms first source/drain region, and forms second source/drain region at the mains side of described grid structure;inject the bag district of dopant to the described mains side of described load transistor, the part stops that described dopant enters the described storage-node side of described load transistor at least simultaneously;connect described first source/drain region to a memory node; andconnect described second source/drain region to a supply voltage.
592
28511
주방용 전기 기기 제조업
특허
ko
en
셀프 라이팅 핸드헬드 기구셀프 라이팅 핸드헬드 기구는 일반적으로 둥근 하부 측을 갖는 베이스, 및/또는 베이스 내에 배치될 수 있는 가중 요소, 베이스로부터 바깥쪽으로 연장되는 세장형 영역, 및 사용 부분을 포함한다. 베이스의 가중 요소 및/또는 둥근 하부는 평평하거나 실질적으로 평평한 표면상에 위치될 때 사용자의 개입 또는 임의의 외부 힘의 인가를 필요하지 않고 기구를 직립 수직 방향으로 균형을 이루도록 개시된 기구에 대한 셀프 라이팅 기능을 제공한다. 베이스는 사용자가 개시된 기구를 사용하기 전에 세장형 영역 및 사용 부분으로부터 분리될 필요가 없다. 베이스는 또한 이의 외부 표면상에 미적 특징부를 가질 수 있고, 베이스의 일부는 평평한 영역 및 복수의 스파이크 요소 중 하나를 포함할 수 있다.셀프 라이팅 핸드헬드 기구(self-righting handheld utensil)로서, 상부 측 및 일반적으로 볼록한 표면을 포함하는 하부 측을 가진 베이스; 상기 베이스의 적어도 일부 내에 배치된 가중 요소(weighted element);상기 베이스로부터 바깥쪽으로 연장되고, 제1 단부 및 제2 단부를 갖는 세장형 영역(elongated region)으로서, 상기 세장형 영역의 상기 제1 단부의 적어도 일부는 상기 베이스의 적어도 일부 내에 배치되고, 상기 세장형 영역은 사용자에 의해 보유될 수 있는, 상기 세장형 영역; 및상기 세장형 영역의 상기 제2 단부 상에 배치된 사용 부분을 포함하되, 상기 가중 요소는 사용자의 개입 또는 외부 힘의 인가를 필요하지 않고 상기 기구를 지지하는 평평하거나 실질적으로 평평한 표면에 대해 상기 기구를 직립 수직 방향으로 균형을 이루어, 상기 기구를 지지하는 평평하거나 실질적으로 평평한 표면에 대해 상기 세장형 영역 및 상기 사용 부분을 수직으로 지향되게 할 수 있으며, 상기 기구는 상기 베이스가 먼저 상기 세장형 영역 또는 상기 사용 부분으로부터 분리될 필요 없이 사용될 수 있는 셀프 라이팅 핸드헬드 기구.
SELF-RIGHTING HANDHELD UTENSILA self-righting handheld utensil comprises a base having a generally rounded bottom side, and/or a weighted element, which weighted element may be disposed within the base, an elongated region extending outwardly from the base, and a use portion. The weighted element and/or rounded bottom of the base provide for a self-righting function for the disclosed utensil such that, when placed on a flat or substantially flat surface, it counterbalances the utensil to an upright vertical orientation without the need for user intervention or the application of any external force. The base need not become uncoupled from the elongated region and use portion before the user makes use of the disclosed utensil. The base may also have an aesthetic feature on an outer surface thereof, and a portion of the base may comprise one of a flat region and a plurality of spike elements.A self-righting handheld utensil comprising:a base having a top side and a bottom side, said bottom side comprising a generally convex surface;a weighted element disposed within at least a portion of said base; an elongated region extending outwardly from said base, said elongated region having a first end and a second end, at least a portion of said first end of said elongated region being disposed within at least a portion of said base, said elongated region capable of being held by a user; and a use portion disposed on said second end of said elongated region, wherein said weighted element is capable of counterbalancing the utensil to an upright vertical orientation with respect to a flat or substantially flat surface supporting the utensil without the need for user intervention or an application of an external force, resulting in said elongated region and said use portion becoming oriented vertically with respect to the flat or substantially flat surface supporting the utensil, andwherein the utensil may be used without said base first having to be separated from said elongated region or said use portion.
592
33301
체조, 육상 및 체력 단련용 장비 제조업
특허
ko
en
볼 임팩트 시 대향 벽부 사이의 팽창을 견디기 위한장치를 갖춘 골프클럽헤드개선된 골프 드라이버 클럽헤드에 있어서 각 드라이버 클럽헤드는 압축시 클럽헤드의 주변/외부 구조를 위치시키는 인장 장치를 갖고 있는 것에 의해 클럽헤드 구조가 더 강해지고 더 단단하게 된다. 이는 인장 장치를 클럽헤드의 크라운(상부) 표면과, 금속헤드의 소울(하부) 표면에 부착함으로서 달성된다. 이 장치는 클럽헤드의 두 표면에 부착되고 예비 인장된다. 인장은 표면들이 상대적인 압축 상태에 유지되도록 두 개의 클럽헤드 표면들을 함께 압축시키고, 그 후에 대향 면들에 장치를 부착함으로서 이루어진다. 이를 위한 다른 방법은 장치를 홀 또는 보스를 통해 두 개의 클럽헤드 표면들에 부착하고 이 장치를 체결, 접착 또는 다른 형태로 조임으로서 적응시키는 것이다. 선호된 실싱예에서 인장 장치는 각각의 단부 부재가 있는 연장된 원통형 부재를 포함한다. 골프클럽헤드의 상부 및 하부면들은 각각 원통형 침하부 또는 리세스 및 개구 또는 침하부의 하단부의 통로를 갖추고 있다. 통로들은 인장 장치를 조절하기에 충분할 만큼 크지만 너무 작아서 각 단부 부재들을 지날 수는 없다. 클럽헤드를 제조하는 동안, 장치의 길이는 헤드 표면들 사이에 압축력을 적용시키도록 선택된다. 나사 체결된 단부 부재에 의해 뛰어나게 조정된다. 실제 압축력은 결합구조, 구성 및 클럽 헤드의 재료에 따라 최대 성능을 내도록 선택될 수도 있다.소울, 크라운, 토우, 힐 및 내부 공동을 모두 형성하는 타격면을 갖고, 내부 호젤에 의해 골프클럽 샤프트에 연결 가능한 골프클럽헤드에 있어서, 이 헤드가: 소울과 크라운에서 대향위치 사이에 내부 공동에 위치하고 상기 대향 위치에 대해 각각의 단부에 첨부되는 인장 부재를 포함하는데 상기 인장 부재는 상기 소울과 상기 크라운 사이에 압축력을 적용시키기 위해 선택된 인장 상태에 있는 것을 특징으로 하는 골프클럽헤드.
Golf club head having a device for resisting expansion between opposing walls during ball impactAn improved golf driver club head wherein each driver club head has a tensioning device, which places the peripheral/outer structure of the club head in compression; thereby, resulting in a stronger, more rigid club head structure. In the preferred embodiment, the tensioning device comprises an elongated cylindrical member having respective end members. The top and bottom surfaces of the golf club head are each provided with a cylindrical depression or recess and an aperture or passage at the lower end of the depression. The passages are just large enough to accommodate the tensioning device, but are too small to pass the respective end members. During club head manufacture, the length of the device is selected to apply a compressive force between the head surfaces. Fine adjustment is accommodated by a threaded end member. The actual compression force may be selected to yield the best performance depending on the geometry, structure and material of the club head.A golf club head having a sole, a crown, a toe, a heel and a hitting surface all forming an interior cavity and being connectable to a golf club shaft by an integral hosel, the head comprising: a tensioning member located in the interior cavity between apertures at opposing locations on the sole and crown for increasing compression therebetween and being affixed at respective ends to said opposing locations, said tensioning member being in a state of selected tension to apply a selected compressive force between said sole and said crown.
592
20119
석탄화학계 화합물 및 기타 기초 유기화학 물질 제조업
특허
ko
en
모니터링 시스템치매 환자 등 배회 독특한 인물이 행방 불명이 되는 것을 방지하기 위한 모니터링 시스템을 제공한다. 배회할 가능성이 있는 모니터링 대상자 M가 소지하는 무선 표지기 1과 상기 모니터링 대상자가 거주하는 주거 시설 등의 건물 출입구에 설치하는 감지기 2와 상기 무선 표지기가 발신하는 데이터 신호를 기록하는 메모리 기능과 제어 기능을 가지는 컨트롤 수단 3과 상기 컨트롤 수단이 송신하는 알람 신호에 의해 알람을 발하는 알림 수단(알람 5 및 스마트폰 6)을 구비한다. 상기 모니터링 대상자가 소지하는 상기 무선 표지기로부터의 비콘 전파를 수신한 데이터 신호가 경계할 필요가 있는 데이터 신호인지의 여부를 판정해, 경계할 필요가 없는 데이터 신호와 판정하면, 그대로 기록하고 경계할 필요가 있는 데이터 신호와 판정하면, 상기 알림 수단으로 알람 신호를 낸다.배회할 가능성이 있는 모니터링 대상자가 소지하는 무선 표지기와 상기 모니터링 대상자가 거주하는 주거 시설 등의 건물 출입구에 설치하는 감지기와 상기 무선 표지기가 발신하는 데이터 신호를 기록하는 메모리 기능과 제어 기능을 가지는 컨트롤 수단과 상기 컨트롤 수단이 송신하는 알람 신호에 의해 알람을 발하는 알림 수단를 구비하고, 상기 감지기는 상기 모니터링 대상자가 소지하는 상기 무선 표지기로부터의 전파를 수신하는 비콘 감지 수단과 상기 비콘 감지 수단이 감지한 데이터 신호를 통신 가능하게 구성된 데이터 처리 수단을 구비하고, 상기 컨트롤 수단에 비콘 감지 수단이 감지한 데이터 신호를 통신하고 상기 컨트롤 수단은 상기 무선 표지기로부터의 데이터 신호를 상기 메모리 기능에 기억시키고 상기 제어 기능은 상기 데이터 신호가 경계할 필요가 있는 데이터 신호인지의 여부를 판정해, 경계할 필요가 없는 데이터 신호와 판정하면, 그대로 기록하고 경계할 필요가 있는 데이터 신호와 판정하면, 상기 알림 수단으로 알람 신호를 내는 것을 특징으로 하는 모니터링 시스템.
WATCHING SYSTEMTo provide a watching system for preventing a person having a habit of wandering about such as a patient with dementia from being lost. The watching system comprises: a radio sign implement 1 carried by a person to be watched M who has a habit of wandering about; a detector 2 installed at a building gateway of a residence/facility or the like where the person to be watched resides; control means 3 having a memory function for recording a data signal transmitted by the radio sign implement and a control function; and notification means (alarm 5 and smartphone 6) for issuing an alarm in accordance with an alarm signal transmitted by the control means. The watching system is configured to determine whether or not the data signal which has received a beacon radio wave from the radio sign implement carried by the person to be watched needs to be warned, and to, when determining that the data signal does not need to be warned, record the data signal as it is, and to, when determining that the data signal needs to be warned, output the alarm signal to the notification means.A detector in which a wireless label and a detector installed at a building outlet such as a residential, facility such as a residential facility living by a person eligible to be crawling, and a data signal transmitted by the wireless tracker. A control unit having a memory function and a control function; and a notification unit that emits an alarm by alarm signal transmitted by the control means, and the detector is a radio wave from the wireless label whose watch protruding is a person. A beacon detection unit for receiving a beacon detection unit and a data processing means configured to communicate data signals detected by the beacon detection means, and communicate data signals detected by the beacon detection means in the control means, and the control means The data signal from the wireless label is stored in the memory function, and the control function determines whether it is a data signal that needs to be warned by the data signal, and it is determined as a data signal that does not need to be warned. If it is determined as a data signal that needs to be recorded, and it is necessary to be alert, a watch system characterized by issuing an alarm signal to the reporting means.
593
26294
전자코일, 변성기 및 기타 전자 유도자 제조업
특허
ko
en
화이트 밸런스를 조정하기 위한 컷-오프 제어회로화면 장치의 화이트 밸런스를 조정하기 위한 컷-오프 제어 회로가 개시된다.상기 컷-오프 제어회로는 비디오 입력 신호와 휘도 제어 신호를 입력으로하여 프리앰프시켜 비디오 출력신호를 발생하는 비디오 프리 앰프와, 상기 비디오 프리앰프의 출력신호를 받아 씨알티를 드라이브하는 드라이브 앰프를 구비하며, 상기 비디오 프리앰프는 직류 커플링 모드 및 교류 커플링 모드중 어느하나를 선택하여 동작하기 위하여 버스 제어회로가 내장된 스위칭수단을 포함하는 것을 특징으로 한다.상기 비디오 프리앰프는, 상기 비디오 입력 신호와 클램프 신호를 더하는 제1 가산기와; 상기 제1 가산기의 출력을 증폭하여 상기 비디오 출력신호를 발생하는 증폭기와; 상기 스위칭 수단의 출력과 상기 휘도 제어 신호를 더하는 제2 가산기와; 상기 제2 가산기의 출력과 상기 증폭기의 출력을 비교하는 비교기와; 클램프 게이트 펄스에 응답하여 상기 비교기의 출력을 상기 클램프 신호로써 전달하는 클램프 스위치; 및 버스 제어 블록과, 상기 버스 제어 블록의 신호를 입력으로 하는 디지틀/아나로그 변환기, 및 상기 디지틀/아나로그 변환기의 출력을 상기 제2 가산기 또는 컷-오프 신호로 전달하기 위해 사용되어지고 상기 버스 제어 블록의 신호로써 제어되는 스위치를 구비하는 스위칭 수단을 포함하여 이루어진다.비디오 입력 신호와 휘도 제어 신호를 입력으로하여 프리앰프시켜 비디오 출력신호를 발생하는 비디오 프리 앰프와, 상기 비디오 프리앰프의 출력신호를 받아 씨알티를 드라이브하는 드라이브 앰프를 구비하며, 상기 비디오 프리앰프는 직류 커플링 모드 및 교류 커플링 모드중 어느하나를 선택하여 동작하기 위하여 버스 제어 회로가 내장된 스위칭수단을 포함하는 것을 특징으로 하는 컷-오프 제어회로.
Cut-off control circuit for adjusting white balance Cut-off control circuits implementing DC-coupling and AC-coupling to CRT cathodes can employ the same preamplifier integrated circuits with few additional components. The preamplifier includes a switching unit for receiving control data, generating a control signal according to control data, and outputting the control signal internally or externally. The switching unit provides a control signal internally to an amplification circuit, when the preamplifier operates in a cut-off control circuit having a DC-coupling to a CRT. With a DC coupling the amplification circuit controls a DC bias applied to a CRT cathode. The switching unit provides a bus control signal externally to a bias circuit, when the preamplifier operates in a cut-off control circuit having an AC-coupling to a CRT. A video pre-amplifier for use in a cut-off control circuit, comprising: a first adder for adding a video input signal to a clamping signal; an amplifier for receiving an output signal of the first adder and amplifying such signal to generate an amplified signal as a video output signal; a second adder for adding a first control signal to a brightness control signal; a comparator for comparing an output signal of the second adder with the video output signal from the amplifier and outputting a compared result as the clamping signal; and a switch circuit for receiving control data and generating a bus control signal according to the control data; wherein, the switch circuit outputs the bus control signal as the first control signal, or outputs a zero value as the first control signal and the bus control signal as a second control signal.
593
29133
탭, 밸브 및 유사 장치 제조업
특허
ko
en
밸브 장치 및 펌프 장치유형물이 혼재하는 이송 유체의 유동을 적절하게 제어할 수 있는 밸브 장치를 제공한다. 이송 유체가 유입하는 유입로 2와 이송 유체가 유출되는 유출로 3과 이들 유입로 2와 유출로 3 사이에 위치하는 밸브체 4를 구비한다. 밸브체 4는 유입로 2 및 유출로 3에 연통하는 밸브실 17을 가진다. 이 밸브실 17의 내측에 탄성 변형 가능한 변형 밸브 부재 18을 양단부를 밀폐 상태에 고정해 마련한다. 밸브실 17은 변형 밸브 부재 18 사이의 기밀 부분에 공기를 공급 및 배출하는 공기 급배부 26을 가진다. 그리고 공기 급배부 26에 의한 공기 공급 및 배출에 의해 변형 밸브 부재 18을 탄성 변형 및 복원을 조작하여 밸브실 17을 이송 유체와 함께 유형물이 통과 가능한 통과 상태와 유형물의 통과가 규제되는 규제 상태를 전환한다.유형물이 혼재하는 이송 유체의 유동을 제어하는 밸브 장치로서, 상기 이송 유체가 유입하는 유입로와 상기 이송 유체가 유출되는 유출로와 이들 유입로와 유출로 사이에 위치하는 밸브체를 구비하고 상기 밸브체는 상기 유입로 및 상기 유출로에 접속된 밸브실과 이 밸브실의 내측에 기밀 부분을 형성하도록 마련된 탄성 변형 가능한 변형 밸브 부재와 이들 밸브실의 내주면과 변형 밸브 부재 사이의 기밀 부분에 공기를 공급 및 배출하는 공기 급배부를 가지고 있으며 상기 공기 급배부에 의한 공기 공급 및 배출에 따라 상기 변형 밸브 부재가 상기 밸브실의 내주면에 근접해 상기 이송 유체와 함께 상기 유형물이 통과 가능한 통과 상태와 상기 변형 밸브 부재가 상기 밸브실의 중앙 측으로 향해 팽출하도록 탄성 변형해 적어도 상기 유형물의 통과를 규제하는 규제 상태에 전환 가능한 것을 특징으로 하는 밸브 장치.
VALVE DEVICE AND PUMP DEVICETo provide a valve device capable of properly controlling flow of transfer fluid in which a material object is mixed. A valve device includes an inflow passage 2 to which transfer fluid flows in, an outflow passage 3 from which the transfer fluid flows out, and a valve element 4 disposed between the inflow passage 2 and the outflow passage 3. The valve element 4 has a valve chamber 17 communicating with the inflow passage 2 and the outflow passage 3. A deformation valve member 18 elastically deformable is disposed inside of the valve chamber 17 in a state of being airtightly fixed at both end portions. The valve chamber 17 has an air supply/discharge portion 26 for supplying and discharging the air to an airtight part, to the deformation valve member 18. The deformation valve member 18 is operated to be elastically deformed and restored by supplying and discharging of the air by the air supply/discharge portion 26, to switch the valve chamber 17 to a passing state in which a material object can pass with the transfer fluid, and a restriction state in which the passing of the material object is restricted.A valve device that controls the flow of a transfer fluid in which tangible materials are mixed, and is located between an inflow path through which the transfer fluid flows in, an outflow path through which the transfer fluid flows out, and between these inflow paths and outflow paths. The valve body includes a valve body, and the valve body includes a valve chamber connected to the inflow passage and the outflow passage, and an elastically deformable deformable valve member provided so as to form an airtight portion inside the valve chamber. It has an air supply / exhaust section for supplying and discharging air to the airtight portion between the inner peripheral surface of the valve chamber and the deformable valve member, and responds to the supply and discharge of air by the air supply / discharge section. Then, the deformable valve member is in a passing state where the tangible object can pass together with the transfer fluid in the vicinity of the inner peripheral surface of the valve chamber, and the deformable valve member bulges toward the center side of the valve chamber. A valve device characterized in that it can be elastically deformed as described above and can be switched to at least a regulated state that restricts the passage of the tangible object.
593
58212
모바일 게임 소프트웨어 개발 및 공급업
특허
ko
en
애플리케이션 인스턴트 리플레이를 컴퓨팅하는 방법컴퓨팅 애플리케이션 인스턴트 리플레이를 제공하는 것과 관련되는 다양한 실시예가 개시된다. 일 실시예에서, 현재 실행되는 컴퓨팅 애플리케이션이, 복수의 상이한 컴퓨팅 애플리케이션들 중 임의의 컴퓨팅 애플리케이션과는 별개로 실행되는 플랫폼-레벨 인스턴트 리플레이 컴패니언(companion)에 의해 관찰(oversee)된다. 현재 실행되는 컴퓨팅 애플리케이션으로부터 렌더링된 콘텐츠의 가장 최근에 실행된 부분은, 플랫폼-레벨 인스턴트 리플레이 컴패니언을 사용해 자동으로 그리고 계속적으로 리코딩된다. 렌더링된 콘텐츠의 가장 최근에 실행된 부분으로부터의 리코딩된 게임플레이 세그먼트는, 플랫폼-레벨 인스턴트 리플레이 컴패니언을 사용해 디스플레이된다.컴퓨팅 애플리케이션을 위한 인스턴트 리플레이 방법에 있어서,복수의 상이한 컴퓨팅 애플리케이션들을 실행시키도록 구성된 컴퓨터 장치상에서, 상기 복수의 상이한 컴퓨팅 애플리케이션들 중 임의의 컴퓨팅 애플리케이션과는 별도로 실행되는 플랫폼-레벨 인스턴트 리플레이 컴패니언(companion)을 사용해, 상기 복수의 상이한 컴퓨팅 애플리케이션들 중 현재 실행되는 컴퓨팅 애플리케이션을 관찰(oversee)하는 단계;상기 플랫폼-레벨 인스턴트 리플레이 컴패니언을 사용해, 상기 현재 실행되는 컴퓨팅 애플리케이션으로부터 렌더링된 콘텐츠의 가장 최근에 실행된 부분을 자동으로 그리고 계속적으로 리코딩하는 단계; 및상기 플랫폼-레벨 인스턴트 리플레이 컴패니언을 사용해, 상기 현재 실행되는 컴퓨팅 애플리케이션의 상태가 디스플레이되는 동안, 상기 렌더링된 콘텐츠의 가장 최근에 실행된 부분으로부터의 리코딩된 콘텐츠 세그먼트를 디스플레이하는 단계를 포함하는, 컴퓨팅 애플리케이션을 위한 인스턴트 리플레이 방법.
COMPUTING APPLICATION INSTANT REPLAYVarious embodiments relating to providing computing application instant replays are disclosed. In one embodiment, a currently executing computing application is overseen by a platform-level instant replay companion that executes separately from any of a plurality of different computing applications. A most recently executed portion of rendered content from the currently executing computing application is automatically and continuously recorded with the platform-level instant replay companion. A recorded content segment from the most recently executed portion of rendered content is displayed with the platform- level instant replay companion.An instant replay method for computing applications, the method comprising:on a computer device configured to execute a plurality of different computing applications, overseeing a currently executing computing application of the plurality of different computing applications with a platform-level instant replay companion that executes separately from any of the plurality of different computing applications;with the platform-level instant replay companion, automatically and continuously recording a most recently executed portion of rendered content from the currently executing computing application; andwith the platform-level instant replay companion, displaying a recorded content segment from the most recently executed portion of rendered content while a state of the currently executed computing application is displayed.
593
20493
접착제 및 젤라틴 제조업
특허
ko
en
이층 구조 발포 난연성 점착 테이프 및 이층 구조 발포 난연성 시트난연성이면서 소각 가능하며 소각 시 유해 가스가 발생하지 않고, 회분의 찌꺼기가 적고 필터의 막힘도 없고 수중에 침강하지 않고 수면에 뜨는, 발포 난연 시트에 점착성이 좋은 점착제를 도공한 2층 구조 발포 난연성 점착 테이프를 제공한다. 난연성 수지 혼합물 100 중량부와 열팽창성 마이크로 캡슐 0.8~3.0 중량부를 배합해 발포시켜 성형 가공한 발포 난연 시트층으로 구성되는 A시트와 무발포 난연 시트층으로 구성되는 B시트를 밀착하고 또한 B시트면에 점착제층을 형성하고 상기 난연성 수지 혼합물이 우레탄 수지 100~90 중량%에 대해, 에틸렌-초산비닐 공중합체 0~10 중량%을 배합한 전체 수지 100 중량부에 대해, 적어도 난연제로서 하이드라조디카본아미드를 30~120 중량부 함유하고 비중 0.60~0.98, 산소 지수 26 이상, 찌꺼기 회분 0.1 중량%이하인 것을 특징으로 하는 2층 구조 발포 난연성 점착 테이프이다.난연성 수지 혼합물 100 중량부와 열팽창성 마이크로 캡슐 0.8~3.0 중량부를 배합해 발포시켜 성형 가공한 발포 난연 시트층으로 구성되는 A시트와 무발포 난연 시트층으로 구성되는 B시트를 밀착하고 또한 B시트면에 점착제층을 형성하고 상기 난연성 수지 혼합물이 우레탄 수지 100~90 중량%에 대해, 에틸렌-초산비닐 공중합체 0~10 중량%을 배합한 전체 수지 100 중량부에 대해, 적어도 난연제로서 하이드라조디카본아미드를 30~120 중량부 함유하고 비중 0.60~0.98, 산소 지수 26 이상, 찌꺼기 회분 0.1 중량%이하인 것을 특징으로 하는 2층 구조 발포 난연성 점착 테이프.
TWO-LAYERED, FOAMED FLAME-RETARDANT ADHESIVE TAPE AND TWO-LAYERED, FOAMED FLAME-RETARDANT SHEETTo provide a two-layered, foamed flame-retardant adhesive tape obtained by coating an adhesive having good adhesiveness on a foamed flame-retardant sheet which is flame-retardant but can be burned, does not generate harmful gas when burned, produces only a small amount of an ash residue, does not cause filter clogging, and does not settle in water but floats on the water surface. A sheet B including an unfoamed flame-retardant sheet layer is adhered to a sheet A including a foamed flame-retardant sheet layer obtained by blending 100 pts.wt. of a flame-retardant resin mixture and 0.8-3.0 pts.wt. of a thermally expandable microcapsule and foaming the resulting mixture followed by molding and processing. Then, an adhesive layer is formed on the surface of the sheet B to obtain the two-layered, foamed flame-retardant adhesive tape. The flame-retardant resin mixture at least contains 30-120 pts.wt. of hydrazodicarbonamide as a flame retardant based on 100 pts.wt. of the total resin obtained by blending 0-10 wt.% of an ethylene-vinyl acetate copolymer with 100-90 wt.% of a urethane resin. The flame-retardant resin mixture has a specific gravity of 0.60-0.98, an oxygen index of 26 or more, and a residual ash of 0.1 wt.% or less.A sheet composed of a foamed flame retardant sheet layer formed by blending 100 parts by weight of a flame retardant resin mixture and 0.8 to 3.0 parts by weight of a heat-expandable microcapsule and foaming, and a B sheet composed of a non-foaming flame retardant sheet layer. A pressure-sensitive adhesive layer is further formed on the B sheet surface, and the flame retardant resin mixture is a total resin in which 0 to 10% by weight of an ethylene-vinyl acetate copolymer is mixed with 100 to 90% by weight of a urethane resin. A two-layer structure foam containing at least 30 to 120 parts by weight of hydrazodicarboxylic amide as a flame retardant with respect to 100 parts by weight, having a specific gravity of 0.60 to 0.98, an oxygen index of 26 or more, and a residual ash content of 0.1% by weight or less. Flame retardant adhesive tape.
594
26529
기타 음향기기 제조업
특허
ko
en
연장형 댐퍼를 갖는 분산 모드 라우드스피커용 액추에이터 및 이를 포함하는 시스템 시스템은 평면에서 연장하는 패널, 패널의 표면에 부착되는 액추에이터, 그리고 패널을 진동시키도록 액추에이터를 활성화하기 위한 전자 제어 모듈을 포함한다. 액추에이터는, 패널을 진동시켜 음파를 생성하기 위해 힘을 생성하는 플레이트로, 제1 엣지에서 폭 WT을 갖는 플레이트; 플레이트의 제1 엣지로부터 연장하는 돌출부로, 플레이트로의 연결 영역에서 WT보다 작은 폭을 가지고, 플레이트로부터 수신한 힘을 패널로 전달하여 패널을 진동시키기 위해 패널의 표면에 부착되는 돌출부; 그리고 패널을 향하는 플레이트의 표면에 의해 지지되는 댐퍼로, 플레이트를 패널에 커플링시키고, WS보다 큰 폭을 갖는 댐퍼;를 포함한다. 평면에서 연장하는 패널;패널의 표면에 부착되는 액추에이터로, 음파를 생성하기 위해 패널의 진동을 야기하는 힘을 발생시키도록 구성되는 플레이트로, 플레이트의 제1 엣지에서 제1 방향을 따르는 폭 WT과 제1 방향에 직교하는 제2 방향을 따르는 길이 LT를 가지되, 제1 방향과 제2 방향이 평면에 평행한, 플레이트; 플레이트의 제1 엣지로부터 연장하는 돌출부(stub)로, 플레이트로의 연결 영역에서 제1 방향으로 WT보다 작은 폭 WS을 가지고, 패널을 진동시키기 위해 플레이트로부터 받은 힘을 패널로 전달하도록 패널의 표면에 부착되어 있는, 돌출부; 및 패널을 향하는 플레이트의 표면에 의해 지지되는 댐퍼로, 플레이트를 패널에 커플링하고, 제1 방향으로 WS보다 큰 양만큼 연장하는 폭 WD을 갖는, 댐퍼;를 포함하는, 액추에이터; 및액추에이터와 전기적으로 통신하는 전자 제어 모듈로, 시스템의 작동 중에 패널의 진동을 야기하기 위해 액추에이터를 활성화시키도록 프로그램되는, 전자 제어 모듈;을 포함하는 것을 특징으로 하는, 시스템.
ACTUATOR FOR DISTRIBUTED MODE LOUDSPEAKER WITH EXTENDED DAMPER AND SYSTEMS INCLUDING THE SAMEA system includes a panel extending in a plane, an actuator attached to a surface of the panel, and an electronic control module to activate the actuator to cause vibration of the panel. The actuator includes: a plate to create a force to cause vibration of the panel to generate sound waves, having a width, WT, at a first edge; a stub extending from the first edge of the plate, having a width at a region of connection to the plate that is less than WT, the stub being attached to the surface of the panel to transfer the force received from the plate to the panel and cause the panel to vibrate; and a damper supported by a surface of the plate facing the panel coupling the plate to the panel, the damper having a width greater than WS.A system, comprising:a panel extending in a plane;an actuator attached to a surface of the panel, the actuator comprising:a plate adapted to create a force to cause vibration of the panel to generate sound waves, the plate having a width, WT, along a first direction at a first edge of the plate and a length, LT, along a second direction orthogonal to the first direction, the first and second directions being parallel to the plane;a stub extending from the first edge of the plate, the stub having a width, Ws, in the first direction at a region of connection to the plate that is less than WT, the stub being attached to the surface of the panel to transfer the force received from the plate through to the panel and cause the panel to vibrate; anda damper supported by a surface of the plate facing the panel, the damper coupling the plate to the panel, the damper having a having a width, WD, extending in the first direction by an amount greater than Ws; and an electronic control module in electrical communication with the actuator and programmed to activate the actuator during operation of the system to cause the vibration of the panel.
594
30110
자동차용 엔진 제조업
특허
ko
en
내연기관에서 공연비를 제어하기 위한 방법과 배열체내연기관에서 공연비를 제어하기 위한 AFR 제어 시스템으로서 - 측정된 공기 양 지표를 설정값 (410) 에 비교하고 그리고 피드백 제어 출력 (403) 을 생성하도록 구성되는 피드백 제어기 (402) - 공지된 작동 요건에 기초하여 정상 상태 설정값 (405) 을 선택하도록 구성되는 설정치 선택기 (404) - 상기 내연기관의 연료 요구에서의 변경에 대한 응답으로서 과도 설정치 오프셋 (408) 을 생성하도록 구성되는 제 1 과도 제어기 (407) 및 - 상기 정상 상태 설정값 (405) 또는 상기 설정값 (410) 중 하나에서의 변화에 대한 응답으로서 과도 출력 오프셋 (412, 812) 을 생성하도록 구성되는 제 2 과도 제어기 (411, 811) 를 포함하고 상기 설정값 (410) 은 상기 정상 상태 설정값 (405) 과 상기 과도 설정치 오프셋 (408) 의 조합이고 상기 AFR 제어 시스템의 출력 (414) 은 상기 피드백 제어 출력 (403) 및 상기 과도 출력 오프셋 (412, 812) 의 조합이다.내연기관에서 공연비를 제어하기 위한 AFR 제어 시스템으로서- 측정된 공기 양 지표를 설정값 (410) 에 비교하고 그리고 피드백 제어 출력 (403) 을 생성하도록 구성되는 피드백 제어기 (402)- 공지된 작동 요건들에 기초하여 정상 상태 설정값 (405) 을 선택하도록 구성되는 설정치 선택기 (404)- 상기 내연기관의 연료 요구에서의 변경에 대한 응답으로서 과도 설정치 오프셋 (408) 을 생성하도록 구성되는 제 1 과도 제어기 (407) 및- 상기 정상 상태 설정값 (405) 또는 상기 설정값 (410) 중 하나에서의 변화에 대한 응답으로서 과도 출력 오프셋 (412, 812) 을 생성하도록 구성되는 제 2 과도 제어기 (411, 811) 를 포함하고 상기 설정값 (410) 은 상기 정상 상태 설정값 (405) 과 상기 과도 설정치 오프셋 (408) 의 조합이고 상기 AFR 제어 시스템의 출력 (414) 은 상기 피드백 제어 출력 (403) 및 상기 과도 출력 오프셋 (412, 812) 의 조합인 AFR 제어 시스템.
METHOD AND ARRANGEMENT FOR CONTROLLING AIR TO FUEL RATIO IN AN INTERNAL COMBUSTION ENGINEAn AFR control system for controlling air to fuel ratio in an internal combustion engine, comprising: - a feedback controller (402) configured to compare a measured air amount in-dicator to a setpoint value (410) and to produce a feedback control output (403), - a setpoint selector (404) configured to select a steady-state setpoint value (405) on the basis of known requirements of operation, - a first transient controller (407) configured to produce a transient setpoint off-set (408) as a response to a change in fuel demand of said internal combustion en- gine, and - a second transient controller (411, 811) configured to produce a transient output offset (412, 812) as a re- sponse to a change in either said steady-state setpoint value (405) or said setpoint value (410); wherein said setpoint value (410) is a combination of said steady-state setpoint value (405) and said transi- ent setpoint offset (408), and wherein an output (414) of the AFR control system is a combination of said feedback control output (403) and said transient output offset (412, 812).An AFR control system for controlling air to fuel ratio in an internal combustion engine, comprising:- a feedback controller (402) configured to compare a measured air amount in- dicator to a setpoint value (410) and to produce a feedback control output(403),- a setpoint selector (404) configured to select a steady-state setpoint value (405) on the basis of known requirements of operation,- a first transient controller (407) configured to produce a transient setpoint off- set (408) as a response to a change in fuel demand of said internal combustion engine, and- a second transient controller (41 1 , 81 1 ) configured to produce a transient output offset (412, 812) as a response to a change in either said steady-state setpoint value (405) or said setpoint value (410); wherein said setpoint value (410) is a combination of said steady-state setpoint value (405) and said transient setpoint offset (408), and wherein an output (414) of the AFR control system is a combination of said feedback control output (403) and said transient output offset (412, 812).
594
17902
위생용 종이제품 제조업
특허
ko
en
에어매트리스 교체 가능한 침낭침낭 구조는 상부층 및 하부층을 갖는 침낭, 상부층 개방 가장자리 및 상부층 밀봉 가장자리를 갖는 상부층, 및 하부층 개방 가장자리 및 하부층 밀봉 가장자리를 갖는 하부층을 포함하고, 제1 부착 부위에 부착된 제1 체결구에 의해 길이의 일부를 따라 해제 가능하게 고정되는 상부 층 개방 에지 및 하부 층 개방 모서리, 및 제2 체결구의 상부를 위한 제2 부착 부위를 포함하는 하부 층 . 에어 매트리스에는 둘레가 있으며 팽창 가능한 부분이 포함되어 있다. 상기 수면 구조물은 바닥층 아래에 형성되어 에어매트리스를 둘러싸는 봉입백과 봉입백에 에어매트리스가 삽입될 수 있도록 하는 입구를 포함한다. 수면 구조는 제2 패스너의 하부를 위한 제3 부착 부위를 포함하고, 여기서 제2 패스너의 상부 및 하부는 인클로저 백 내의 에어 매트리스를 해제가능하게 고정한다.상부층 및 하부 층을 갖는 침낭, 상부층 개방 에지 및 상부층 스케일링 에지를 갖는 상부 층 및 하부 층 개방 에지 및 하부 층 스케일링 에지를 갖는 바닥 층을 갖는 침낭; 제 1 부착 부위에 부착 된 제 1 패스너에 부착 된 제 1 패스너에 의해 상기 길이의 일부를 따라 상기 제 2 패스너의 상부에 대한 제 2 부착 부위를 포함하는 상기 길이의 일부를 따라 상기 길이의 일부를 따라 해제 가능하게 고정되는 상기 상부층 개구부. 둘레를 갖는 공기 매트리스 및 팽창성 부분을 포함하는; 상기 공기 매트리스를 둘러싸도록 구성된 상기 바닥층 및 상기 공기 매트리스가 상기 인클로저 백에 삽입되도록 구성된 상기 하부 층 아래에 형성된 인클로저 백을 포함하는 수면 구조; 상기 제 2 패스너의 상부 및 하부가 해제 가능하게 상기 인클로저 백에 상기 공기 매트리스를 제거 할 수있는 제 2 패스너의 하부에 대한 제 3 부착 부위를 포함하는 수면 구조.
Sleeping bag with replaceable air mattressA sleeping bag structure includes a sleeping bag having a top layer and a bottom layer, the top layer having a top layer opening edge and a top layer sealed edge, and the bottom layer having a bottom layer opening edge and a bottom layer sealed edge, the top layer opening edge and the bottom layer opening edge being releasably fastened along some portion of their length by a first fastener which is attached at a first attachment site, and the bottom layer including a second attachment site for an upper portion of a second fastener. An air mattress has a perimeter and included an inflatable portion. The sleeping structure includes an enclosure bag formed below the bottom layer configured to enclose the air mattress and a mouth to allow the air mattress to be inserted into the enclosure bag. The sleeping structure includes a third attachment site for a lower portion of the second fastener, where the upper and lower portions of the second fastener releasably fastens the air mattress in the enclosure bag.A sleeping structure comprising: a sleeping bag having a top layer and a bottom layer, the top layer having a top layer opening edge and a top layer scaled edge, and the bottom layer having a bottom layer opening edge and a bottom layer scaled edge, the top layer opening edge and the bottom layer opening edge being releasably fastened along some portion of their length by a first fastener which is attached at a first attachment site, the bottom layer including a second attachment site for an upper portion of a second fastener; an air mattress having a perimeter and including an inflatable portion; wherein the sleeping structure including an enclosure bag formed below the bottom layer configured to enclose the air mattress, and a mouth to allow the air mattress to be inserted into the enclosure bag; and wherein the sleeping structure including a third attachment site for a lower portion of the second fastener where the upper and lower portions of the second fastener releasably fastens the air mattress in the enclosure bag.
595
26219
기타 표시장치 제조업
특허
ko
en
가시표시 화면장치LCD 화면과 같은 가시표시화면(100')이 영상을 표시하기 위하여 표시영역(30)에 제공되어 있다. 표시영역(30)은 광학적으로 비활성영역(60)까지 연장되며, 화면의 단부에 디바이스 구동부를 포함한다. 커버판 조립체(40)는 표시영역(30)위에 놓여있다. 커버판 조립체는 얇으며 그 상부 반투명 플라스틱층(520)은 표시영역(30)위에 평면상으로 되어 있지만 렌즈를 제공하도록 단부에서 구부러져 있다. 그래서 커버판 조립체(40)를 통하여 보이는 표시영역내의 영상은 화면(100')의 단부로 이동되게 나타나서 광학적으로 비활성영역(60)이 감추어진다. 두 화면은 이 기술을 사용하여 접합부가 보이지 않게 서로 인접하여 접촉될 수 있다. 단부에서의 영상의 압축은 렌즈에 의한 단부 왜곡을 보상한다. 두 화면사이의 어느 한 쪽 접합부에서 영상을 반복하여서 접합이 나타나는 곳을 넘어서까지 시야각을 증가한다.표시영역을 가지는 영상표시수단과, 표시영역을 덮도록 배열되고, 제 1 커버부재단부를 가진 반투명 커버부재를 구비하고, 상기 표시영역은 상기 제 1 커버부재단부까지 미치지 않게 연장하는 단부를 가지도록 하는 영상표시용 가시표시 화면장치에 있어서, 상기 커버부재는 (a) 적어도 상기 표시영역의 일부를 덮으며 상기 장치의 외부에서 그리고 대부분의 평면부를 통하여 보게될 때, 상기 표시영역의 대부분이 실질적으로 왜곡됨이 없이 나타나도록 실질적으로 굽힘이 없이 광선을 통과시키도록 배열된 대부분의 평면부와, (b) 상기 제 1 커버부재단부를 포함하고, 상기 표시영역의 단부에서나 또는 인접하여서 방사하는 광선만을 휘어지도록 배열되어서, 상기 표시영역은 상기 장치의 외부에서 그리고 굴절부를 통하여 보았을때 상기 표시영역이 상기 커버부재의 단부까지 실질적으로 연장하도록 나타내는 굴절부를 가지는 것을 특징으로 하는 가시표시 화면장치.
VISUAL DISPLAY SCREEN ARRANGEMENTA visual display screen (100') such as an LCD screen is provided with a display area (30) for displaying an image. The display area (30) extends as far as an optically inactive region (60), containing device drivers, at the edge of the screen. A cover plate assembly (40) overlays the display area (30). The cover plate assembly is laminar and an upper translucent plastics layer (520) thereof is planar over the display area (30) but is curved at the edge to provide a lens there. Thus, the image in the display area viewed through the cover plate assembly (40) appears to be shifted to the edge of the screen (100') so that the optically inactive region (60) becomes invisible. Two screens can be abutted adjacent each other with no visible join using this technique. Compression of the image at the edge compensates for edge distortion by the lens. Repeating of the image either side of the join between two screens increases the viewing angle beyond which the join appears.A visual display screen arrangement for displaying an image, comprising: image display means having a display area; a translucent cover member arranged to cover the display area and having a first cover member edge, the display area having an edge extending towards but not as far as the said first cover member edge; characterised in that the cover member has:(a) a generally planar portion covering at least a part of the display area and being arranged to pass rays of light substantially without bending such that the majority of the display area as viewed externally of the arrangement and through the said generally planar portion, appears substantially undistorted; and(b) a refracting portion which includes the said first cover member edge, the said refracting portion being arranged to bend only those rays of light emanating from at or adjacent to the edge of the display area, such that the said display area as viewed externally of the arrangement and through the said refracting portion, appears to extend substantially as far as the edge of the cover member.
595
29133
탭, 밸브 및 유사 장치 제조업
특허
ko
en
밸브 코어[과제] 경량화가 요구되고 있다. [해결 수단] 본 개시의 밸브 코어(10)에서는, 코어 장착 구멍(52)에 나사결합되는 코어 본체(11)가 코어 장착 구멍(52)의 암나사부(57)에 나사결합하는 수나사부(12N)를 가지는 지지 베이스(12)와, 지지 베이스(12)가 끼워 맞추어진 씨일 링(19)의 2 부품으로 이루어진다. 지지 베이스(12)는 씨일 링(19)이 장착된 통부(13)와, 판부(15)를 줄지어 갖추고 있고, 판부(15)에, 수나사부(12N)의 전체가 형성되어 있다.코어 장착 구멍의 암나사부에 나사결합되는 코어 본체가 상기 코어 장착 구멍 중 상기 암나사부보다 안쪽의 테이퍼부에 밀착하는 씨일 링과, 상기 암나사부에 나사결합하는 수나사부와 상기 씨일 링이 외측에 끼워 맞추어지는 통부를 가지는 지지 베이스의 2 부품으로 구성되고,상기 지지 베이스를 관통하는 샤프트부의 선단에 밸브체를 가지고, 그 밸브체가 상기 씨일 링에 맞닿음 및 이간하여 상기 코어 장착 구멍을 개폐하는 밸브 코어에 있어서,상기 통부에, 기단부로부터 측방으로 뛰어나와 설치되고, 상기 씨일 링에 기단측으로부터 맞닿고 또한 상기 테이퍼부에 맞닿아지는 튀어나옴부와,상기 지지 베이스에, 상기 튀어나옴부를 포함하는 상기 통부의 기단부로부터 기단측으로 돌출하여 설치되고, 상기 수나사부를 상기 암나사부에 나사결합 조작하는 공구가 대어지는 1쌍의 평탄한 공구 맞닿음면을 가지는 판 형상을 이루고, 그 측면에 상기 수나사부의 전체가 형성된 판부와,상기 판부에, 상기 통부의 중심 구멍의 연장선상에 관통 형성되고, 상기 통부의 상기 중심 구멍과 함께 상기 샤프트부에 삽입통과되는 샤프트 수용 구멍을 갖추는 밸브 코어.
Valve coreThe object of the invention is to achieve weight savings. A valve core (10) according to the disclosure has a core body (11) that is to be screwed into a core installation hole (52) and comprises two components: a support base (12) that has a male screw part (12N) that screws into a female screw part (57) of the core installation hole (52); and a seal ring (19) that is fitted onto the support base (12). The support base (12) comprises, side by side: a cylinder part (13) on which is seal ring (19) is installed; and a plate part (15). The entirety of the male screw part (12N) is formed at the plate part (15).A valve element, a core main body of which is screwed with an internal thread portion of a core fitting hole, the core main body comprising two members: a seal ring which is tightly attached to a tapered portion of the core attachment hole on a deeper side than the internal thread portion; and a support base having an external thread portion screwed to the internal thread portion and a cylindrical portion to which the seal ring is fitted on the outside,the valve body of the valve body opens and closes the core attachment hole by coming into contact with and separating from the seal ring,the valve element includes:a projecting portion provided in the cylindrical portion so as to project laterally from a base end portion, and abutting the seal ring from the base end side and abutting the tapered portion;a plate portion provided on the support base so as to protrude from a base end portion of the cylindrical portion including the protruding portion toward a base end side, the plate portion having a flat pair of tool abutment surfaces against which a tool for screwing the male screw portion into the female screw portion is brought, the plate portion having a side surface on which the whole of the male screw portion is formed; andand a shaft accommodating hole formed through the plate portion on an extension of a center hole of the cylindrical portion, and penetrated by the shaft portion together with the center hole of the cylindrical portion.
595
58222
응용 소프트웨어 개발 및 공급업
특허
ko
en
가상 물체로부터의 반응력을 시뮬레이션하는 시스템 및 방법본 발명은 가상 물체로부터의 반응력을 시뮬레이션하는 시스템을 제공한다. 이 시스템은 적어도 입력 힘 센서, 입력 힘 센서에 연결된 계산 수단, 선형 공진 액추에이터 (LRAs)의 적어도 제1 선형 공진 액추에이터(LRA) 쌍(201a, 201b) 및 계산 수단에 연결된 LRA를 위한 드라이버를 포함한다. 입력 힘 센서는 사용자에 의해 가상 물체에 가해지는 입력 힘을 감지하는 것이다. 계산 수단은 입력 힘 센서로부터 입력 힘을 수신하고 사용자에게 적용할 반응력을 계산하는 것이다. LRA 쌍(201a, 201b)은 제1축(Y)을 따라 이동하도록 구속된다. 드라이버는 계산 수단으로부터 구동 신호를 수신하여 LRA 쌍(201a, 201b)의 각각을 반응력에 의해 결정된 양만큼 제1축을 따르는 제1방향으로 교대로 구동하는 한편, LRA 쌍의 다른 하나가 제1방향의 반대 방향으로보다 더 완만하게 복귀하는 것을 허용한다. 또한, 본 발명은 가상 물체로부터의 반응력을 시뮬레이션하는 방법 및 적어도 이러한 시스템을 포함하는 모바일 장치(101)를 제공한다.가상 물체(106)로부터의 반응력(701)을 시뮬레이션하는 시스템에 있어서,사용자에 의해 상기 가상 물체에 가해지는 입력 힘(103)을 감지하는 입력 힘 센서;상기 입력 힘 센서에 연결되고 상기 입력 힘을 수신하여 사용자에게 적용할 반응력을 계산하는 계산 수단;제1축(Y)을 따라 이동하도록 구속된 적어도 하나의 제1 선형 공진 액추에이터(LRA) 쌍(201a, 201b); 및상기 계산수단으로부터 구동 신호(601a, 601b)를 수신하도록 상기 계산 수단에 연결되어 상기 제1축을 따르는 제1방향으로 상기 반응력에 의해 결정된 양만큼 상기 LRA 쌍의 각각을 교대로 구동하는 한편, 상기 LRA 쌍 중 다른 하나가 제1방향의 반대 방향으로 더 완만하게 복귀하는 것을 허용하는 상기 LRA 용 드라이버;를 포함하는, 시스템.
SYSTEM AND METHOD FOR SIMULATING A REACTION FORCE FROM A VIRTUAL OBJECTThe present invention provides a kind of systems for simulating the reaction force from virtual objects.Computing device, at least first pair of Linear Resonant Actuator (LRA) (201a, 201b) and the driver for LRA for being connected to computing device that the system includes at least input force snesor, is connected to input force snesor.Input force snesor is for sensing the input power for being applied to virtual objects by user.Computing device is used to receive the reaction force that user is applied to from the input power and calculating for inputting force snesor.First pair of LRA (201a, 201b) is confined to mobile along first axle (Y).Driver is used to receive driving signal from computing device alternately to drive first couple of LRA (201a in a first direction along first axle, the amount each of 201b) determined by reaction force, at the same allow in first couple of LRA another restore in a direction opposite the first direction more gentlely.The present invention also provides the correlation method of reaction force of the simulation from virtual objects and including at least the mobile device (101) of this system.System of the one kind for simulating the reaction force (701) from virtual objects (106), the system include at least:force snesor is inputted, is used to sense the input power (103) for being applied to the virtual objects by user;computing device, be connected to the input force snesor by from the input force snesor receive the input power and in terms of calculate the reaction force for being applied to the user;at least first pair of linear resonant actuator (lra) (201a, 201b) is confined to mobile along first axle (y);andfor the driver of the lra, the driver is connected to the computing device and is driven with receiving from the computing device signal (601a, 601b), with alternately driven in a first direction along the first axle each of described first couple of lra by the amount that the reaction force determines, while enabling another in first couple of lra opposite to the first direction direction on restore more gentlely.
595
21102
생물학적 제제 제조업
특허
ko
en
SAG: 아팝토시스 감응성 유전자본 발명은 세포의 생장을 촉진하고, 아팝토시스로부터 세포를 보호하고, 산소 라디칼을 제거하며, 종양 형질의 복원에 사용할 수 있는 산화환원-감응성 단백질로부터 유래한 신규 유전자 및 폴리펩티드를 제공한다. 종양 세포에서 1,10-페난트롤린(OP)에 의해 유도된 아팝토시스의 주요 유전자(들)을 동정하기 위해, 차등 발현 분석(differential display) 기술을 사용하여 OP에 의해 유도된 유전자(SAG, Sensitive to Apoptosis Gene)를 클로닝하였다. SAG는 아연 고리 핑거 도메인이 있는 신규 산화환원-감응성, 헴-결합 단백질을 암호화한다. SAG 단백질은 계산된 분자량이 12.7kDa이며 113개의 아미노산으로 구성된다. 서열 상동성 분석으로 SAG는 종간에 보존성이 높다는 것을 알았고, 이는 기능상의 중요성을 제시한다. 이는 SAG가 붕괴된 효모가 죽는다는 것으로 증명되었다. 결장 및 고환 기원의 인간 암 세포주에서 두 가지 돌연변이체가 발견되었고, 이는 인간의 발암과정에서 어떤 역할을 할 수 있음을 나타낸다. 인간 결장 암종 세포주 DLD1 및 인간 신경모세포종 세포주 SY5Y에서 SAG 단백질을 과다 발현시키면, OP, 아연 및 구리 이온에 의해 유도되는 아팝토시스로부터 세포를 보호하였다. 게다가, 안티센스 SAG의 형질감염은 인간 DLD1 세포주에서 특정 종양 세포를 억제하였고, SAG RNA의 미세주입은 세포의 생장을 자극하였다. 따라서, 본 출원인은 SAG 단백질이 산화환원 감지기로서 산화-스트레스에 의한 손상을 완충시키는 기능 뿐만 아니라 생장 인자로서 세포의 생장을 자극 하는 세포 보호 단백질임을 제안한다. SAG 단백질은 퇴행성 신경장애, 암, 근육 영양실조 및 상처 치료의 촉진에 대한 약물의 개발에 이상적인 분자적 표적이 될 것이다.서열 1에 기재된 DNA 서열과 실질적으로 유사한 단리 및 정제된 DNA 서열.
SAG: SENSITIVE TO APOPTOSIS GENEThe present invention provides novel genes and polypeptides derived therefrom encoding a redox-sensitive protein that promotes cell growth, protects cells from apoptosis, scavenges oxygen radicals and can be used for the reversion of a tumor phenotype. In an attempt to identify gene(s) responsible for 1,10-phenanthroline (OP)-induced apoptosis in tumor cells we have used the differential display technique and cloned an OP-inducible gene, SAG (Sensitive to Apoptosis Gene). SAG encodes a novel, redox-sensitive, heme-binding protein with a zinc RING finger domain. The SAG protein consists of 113 amino acids with a calculated molecular weight of 12.7 kDa. Antisense SAG transfection inhibits certain tumor cell phenotypes in DLD1 human cell line and microinjection of SAG RNA stimulates cell growth. We propose that SAG protein is a cellular protective molecule functioning as a redox sensor to buffer oxidative-stress induced damage as well as a growth factor to stimulate cell growth. SAG protein will be an ideal molecular target in the development of drugs against neurodegenerative disorders, cancers, muscle dystrophy, and promoting wound healing.An isolated and purified DNA sequence substantially similar to the DNA sequence shown in SEQ ID 1.
596
27211
레이더, 항행용 무선 기기 및 측량 기구 제조업
특허
ko
en
고도 측정을 하는 항법 장치(NAVIGATION APPARATUS WITH IMPROVED ATTITUDE DETERMINATION)개선된 고도 결정을 하는 항법 장치를 이동 플랫폼 상에서 이용되는 것이 의도된다.그것은 플랫폼의 항법 상태의 평가를 얻기 위한 목적으로 플랫폼 관성 항법 장치로부터의 데이터 및 플랫폰 상의 다수의 입력점에서 입력되는 다수의 GPS 위성신호에 대한 반송파 위상 데이터를 결합한다. 항법 장치는 (1) 측정된 위성 신호 반송파 위상으로부터 계산된 일 이상의 측정된 위상 이중차이, (2) 플랫폼의 평가된 위치, (3) 입력점의 평가된 위치, 및 (4) 위성의 위치로 구성된 입력으로부터 상태의 평가를 계산하는 프로세서로 구성되며, 위상 이중차이는 2개의 위성으로부터 입력된 신호에 대한 위상차에서의 차이로서 정의되고, 그리고 위상차는 2개의 입력점에서 입력된 위성 신호의 반송파 위상에서의 차이로서 정의된다.항법 및 자세 결정 해는 칼만 필터에 의해서 얻어지는데, 여기에서 관찰 매트릭스는 측정된 위상 이중차이로 구성되고, 각 예상된 위상 이중차이는 위상 이중차이를 항법 상태로 연결시키는 방정식을 선형화함으로써 정의 되는 관찰 매트릭스로써 칼만 상태 벡터 상에 동작시킴으로써 얻어진다.(1) 다수의 위성에 의해 전송된 신호로부터 얻어진 일 이상의 측정된 위상 이중차이, (2) 기준점의 계산된 위치, (3) 기준점에 관하여 입력점의 계산된 위치, 및 (4) 위성의 위치로 구성되는 입력으로부터 플랫폼 상태에서의 오차의 평가를 계산하기 위한 프로세서로 구성되고, 위상 이중차이는 2개의 위성으로부터 입력된 신호에 대한 위상 차이에서의 차이로 정의되며, 위상 차이는 플랫폼 상의 2개의 입력점에서 입력된 위성 신호의 반송파 위상에서의 차이로 정의되는, 플랫폼의 위치를 나타내는 기준점과 안테나 신호를 입력받기 위한 안테나 위치에 해당하는 최소한 2개의 입력점을 갖는 플랫폼의 1 이상의 상태를 평가할때 다수의 GPS 지구 위성과 사용하기 위한 장치.
NAVIGATION APPARATUS WITH ATTITUDE DETERMINATIONThe navigation apparatus with improved attitude determination is intended for use on a mobile platform. It combines data from a platform inertial navigation unit and carrier phase data for a plurality of GPS satellite signals received at a plurality of receiving points on the platform for the purpose of obtaining estimates of the navigation states of the platform. The navigation apparatus comprises a processor which computes estimates of the states from inputs comprising (1) one or more measured phase double-differences calculated from the measured satellite signal carrier phases, (2) the estimated position of the platform, (3) the estimated positions of the receiving points, and (4) the positions of the satellites, a phase double-difference being defined as the difference in phase differences for signals received from two satellites and a phase difference being defined as the difference in carrier phase of a satellite signal received at two receiving points. The navigation and attitude determination solution is obtained by means of a Kalman filter process wherein the observables comprise measured phase double-differences and each predicted phase double-difference is obtained by operating on the Kalman state vector with an observation matrix defined by linearizing the equations relating the phase double-difference to the navigation states.An apparams for use with a plurality of GPS earth satellites in estimating one or more states of a platform, the platform having a reference point that represents the position of the platform and at least two receiving points corresponding to the locations of antennas for receiving satellite signals, the apparams comprising: a processor for computing estimates of the errors in the platform states from inputs comprising (1) one or more measured phase double-differences obtained from signals transmitted by a plurality of satellites, (2) the estimated position of the reference point, (3) the estimated positions of the receiving points relative to the reference point, and (4) the positions of the satellites, a phase double-difference being defined as the difference in phase differences for signals received from two satellites, a phase difference being the difference in carrier phase of a satellite signal received at two receiving points on the platform.
596
29229
기타 가공 공작기계 제조업
특허
ko
en
브레이크 장치 및 브레이크 방법취성 재료 기판에 윤곽용 스크라이브 라인에 의해 기능 영역을 분단할 때 기능 영역에 파단이 생기지 않도록 하는 것. 취성 재료 기판 17의 표면에 윤곽용 스크라이브 라인 51을 형성하고 이 윤곽용 스크라이브 라인의 외측에 보조 스크라이브 라인 52 a~52 d를 형성한다. 분단할 때 하나의 보조 스크라이브 라인과 윤곽용 스크라이브 라인 51에 근접하는 위치까지 깔려용 시트 53을 삽입하고 보조 스크라이브 라인 52 a를 사이에 두고 시트 53이 삽입되지 않은 쪽의 부근을 가압하면서 보조 스크라이브 라인에서 떨어지도록 취성 재료 기판의 면에 평행하게 이동시킨다. 이렇게 하면 취성 재료 기판 17보다 제품 기판을 손상 없게 분단할 수 있다.취성 재료 기판을 분단하는 브레이크 방법으로서, 테이블상에 취성 재료 기판의 기능 영역을 포함한 폐곡선으로 구성되는 윤곽용 스크라이브 라인과 상기 윤곽용 스크라이브 라인의 외측에 상기 취성 재료 기판의 주위에서 상기 윤곽용 스크라이브 라인에 근접하는 위치까지 형성된 보조 스크라이브 라인을 가지는 취성 재료 기판을 재치하고 상기 보조 스크라이브 라인 중 하나의 보조 스크라이브 라인과 상기 윤곽용 스크라이브 라인에 근접하는 위치까지 상기 취성 재료 기판의 하방에서(보다) 깔려용 시트를 삽입하고 상기 보조 스크라이브 라인의 부근에서 상기 보조 스크라이브 라인을 사이에 두고 깔개용 시트가 삽입되지 않은 취성 재료 기판의 영역을 압압 부재로 가압하고 상기 압압 부재로 가압한 위치에서(보다) 상기 보조 스크라이브 라인에서 떨어지도록 상기 취성 재료 기판의 면에 평행하게 이동시킴으로써 상기 취성 재료 기판에서 제품 기판을 분단하는 브레이크 방법.
BREAK DEVICE AND BREAK METHODTo prevent a functional area from fragmenting when the functional area is parted from a brittle material substrate along a scribe line for contour. A scribe line 51 for contour is formed on a surface of a brittle material substrate 17, and auxiliary scribe lines 52a-52d are formed outside the scribe line for contour. For parting, an underlay sheet 53 is inserted up to a position where the underlay sheet 53 is close to one auxiliary scribe line and the scribe line 51 for contour, and then moved in parallel with the surface of the brittle material substrate 17 to leave the auxiliary scribe line while pressing a periphery on a side where the sheet 53 is not inserted across the auxiliary scribe line 52a. Consequently, a product substrate can be parted from the brittle material substrate 17 without any damage.A break method for dividing a brittle material substrate, which is a contour scribing line consisting of a closed curve including a functional region of the brittle material substrate on a table, and outside the contour scribing line, the contour from the periphery of the brittle material substrate. A brittle material substrate having an auxiliary scribing line formed to a position close to the auxiliary scribing line is placed, and the brittle material substrate is placed up to a position close to one of the auxiliary scribing lines and the contour scribing line. An underlay sheet is inserted from below the brittle material substrate, and a pressing member presses a region of the brittle material substrate on which the underlay sheet is not inserted with the auxiliary scribing line sandwiched in the vicinity of the auxiliary scribing line. A break method for separating a product substrate from the brittle material substrate by moving it parallel to the surface of the brittle material substrate so as to be away from the auxiliary scribing line from the position pressed by.
596
62090
기타 정보 기술 및 컴퓨터 운영 관련 서비스업
특허
ko
en
멀티모달 스마트펜 컴퓨팅 시스템펜 기반(pen-based) 컴퓨팅(computing) 시스템에서, 스마트 펜(smart pen)은 복수의 모달리티(modality)를 사용하여 펜 기반 컴퓨팅 시스템과 사용자 상호작용을 하도록 한다. 일반적으로, 입력(또는 명령) 모달리티 및 출력(또는 피드백) 모달리티로 카테고리화(categorize)된다. 스마트 펜을 위한 입력 모달리티의 예는, 필기된 입력을 제공하기 위하여 스마트 펜으로 필기하기 및/또는 스마트 펜에 오디오 입력을 주기 위한 소리를 제공하는 말하기 또는 다른 방법을 포함한다. 스마트 펜을 위한 출력 모달리티의 예는, 시스템에 의해 표시된 시각적 정보를 읽기, 햅틱 피드백(haptic feecback)을 수신하기 및/또는 시스템에 의해 재싱된 소리를 청취하기를 포함한다.프로세서; 상기 프로세서와 연결되고 수기 데이터를 캡처하도록 구성된 제스처 캡처 시스템; 상기 프로세서와 연결되고 오디오 데이터를 캡처하도록 구성된 하나 이상의 마이크로폰; 상기 프로세서와 연결되고 상기 프로세서가 입력을 식별하는 것에 반응하여 캡처된 수기 데이터 또는 캡처된 오디오 데이터를 저장하도록 구성된 내장 메모리; 상기 프로세서와 연결되고 상기 프로세서가 상기 캡처된 수기 데이터 또는 상기 캡처된 오디오 데이터와 관련된 출력을 식별하는 것에 반응하여 상기 내장 메모리에 저장된 디스플레이 데이터를 출력하도록 구성된 디스플레이 시스템; 상기 프로세서와 연결되고 상기 프로세서가 상기 캡처된 수기 데이터 또는 상기 캡처된 오디오 데이터와 관련된 출력을 식별하는 것에 반응하여 저장된 오디오 데이터를 재생하도록 구성된 오디오 출력 시스템; 및 메모리 상에 저장되고 상기 프로세서에 의해 실행되도록 구성되며, 상기 캡처된 수기 데이터 또는 상기 캡처된 오디오 데이터와 관련된 입력을 식별하기 위한 명령어, 디스플레이 시스템으로 표시 데이터로서 또는 오디오 출력 시스템으로 오디오 데이터로서 출력을 제공하기 위한 명령어를 포함하는 컴퓨터 프로그램 코드를 포함하는 것을 특징으로 하는 복수의 모달리티를 사용하여 사용자와 상호작용하기 위한 스마트 펜 장치.
MULTI-MODAL SMARTPEN COMPUTING SYSTEMIn a pen-based computing system, a smart pen allows user interaction with the pen- based computing system using multiple modalities. Generally, the modalities are categorized as input (or command) modalities and output (or feedback) modalities. Examples of input modalities for the smart pen include writing with the smart pen to provide written input and/or speaking or otherwise providing sound to give audio input to the smart pen. Examples of output modalities for the smart pen include reading visual information displayed by the system, receiving haptic feedback and/or listening to sound played by the systemA smart pen device for interacting with a user using a plurality of modalities, the system comprising: a processor; a gesture capture system coupled to the processor and configured to capture handwriting data; one or more microphones coupled to the processor and configured to capture audio data; an onboard memory coupled to the processor and configured to store the captured handwriting data or captured audio data responsive to the processor identifying the input; a display system coupled to the processor and configured to output display data stored in the onboard memory responsive to the processor identifying an output associated with the captured handwriting data or with the captured audio data; an audio output system coupled to the processor and configured to play stored audio data responsive to the processor identifying an output associated with the captured handwriting data or with the captured audio data; and computer program code stored on a memory and configured to be executed by the processor, the computer program code including instructions for identifying an input associated with the captured handwriting data or with the captured audio data, instructions for providing an output as display data to the display system or audio data to the audio output system.
596
22232
포장용 플라스틱 성형용기 제조업
특허
ko
en
콤팩트 용기받침 접시 부재에 전해지는 충격을 효과적으로 흡수함과 동시에, 충격 흡수 부재의 취급하기 쉬움을 개선한, 신규한 콤팩트 용기를 제공한다. 본 발명의 콤팩트 용기 10은 고체물(고형 화장료 S)을 고정하는 받침 접시 부재 12와 받침 접시 부재 12를 수납하는 오목한 곳 13 d가 형성된 용기 본체 13과 오목한 곳 13 d의 상단 개구가 개폐 가능한 덮개 14를 구비하고, 받침 접시 부재 12와 용기 본체 13 사이에 충격 흡수 부재 15가 배치된 콤팩트 용기 10으로서, 충격 흡수 부재 15는 평면시로 받침 접시 부재 12를 외측에서 둘러싸는 주벽 15 a와 주벽 15 a의 하단부를 폐색해 받침 접시 부재 12를 아래쪽에서 덮는 바닥 벽 15 b를 가지며, 주벽 15 a 및 바닥 벽 15 b 중 적어도 일부가 탄성 부재로 형성됨과 동시에, 바닥 벽 15 b를 구성하는 탄성 부재 중 적어도 일부는 탄성 부재의 상면 또는 하면에 적층된 보강부 15 b4와 함께 적층 구조 L를 구성한다.고체물을 고정하는 받침 접시 부재와 당해 받침 접시 부재를 수납하는 오목한 곳이 형성된 용기 본체와 상기 오목한 곳의 상단 개구가 개폐 가능한 덮개를 구비하고, 받침 접시 부재와 용기 본체 사이에 충격 흡수 부재가 배치된 콤팩트 용기로서, 상기 충격 흡수 부재는 평면시로 상기 받침 플레이트 부재를 외측에서 둘러싸는 주벽과 상기 주벽의 하단부를 폐색해 상기 받침 플레이트 부재를 아래쪽에서 덮는 바닥 벽을 가지며, 상기 주벽 및 상기 바닥 벽 중 적어도 일부가 탄성 부재로 형성됨과 동시에, 상기 바닥 벽을 구성하는 탄성 부재 중 적어도 일부는 상기 탄성 부재의 상면 또는 하면에 적층된 보강부와 함께 적층 구조를 구성하고 있는 것을 특징으로 하는 콤팩트 용기.
COMPACT CONTAINERTo provide a novel compact container in which impact transmitted to a receiving tray member is effectively absorbed, and handleability of an impact absorbing member is improved. A compact container 10 of the present invention includes a receiving tray member 12 that fixes a solid object (solid cosmetic S); a container body 13 formed with a recess 13d that accommodates the receiving tray member 12; and a lid body 14 with which an upper end opening of the recess 13d can be opened and closed. In the compact container 10, an impact absorbing member 15 is arranged between the receiving tray member 12 and the container body 13. The impact absorbing member 15 includes a peripheral wall 15a surrounding the receiving tray member 12 from the outside in plane view, and a bottom wall 15b blocking a lower end part of the peripheral wall 15a and covering the receiving tray member 12 from below. At least a part of the peripheral wall 15a and the bottom wall 15b is formed of an elastic member, and at least a part of the elastic member forming the bottom wall 15b forms a laminated structure L together with a reinforcement part 15b4 laminated on an upper surface or a lower surface of the elastic member.A saucer member for fixing a solid object, a container body having a recess for accommodating the saucer member, and a lid body capable of opening and closing the upper end opening of the recess are provided between the saucer member and the container body. A compact container in which a shock absorbing member is arranged, the shock absorbing member includes a peripheral wall that surrounds the saucer member from the outside in a plan view, and a bottom wall that closes the lower end of the peripheral wall and covers the saucer member from below. The peripheral wall and at least a part of the bottom wall are formed of elastic members, and at least a part of the elastic members constituting the bottom wall together with a reinforcing portion laminated on the upper surface or the lower surface of the elastic member. A compact container characterized by having a laminated structure.
597
27112
전기식 진단 및 요법 기기 제조업
특허
ko
en
초음파 감지기 조립체를 위한 다수성분 받침 블록본 발명은 변환기 배열(12)과 상호 연결 케이블(18)을 상호 연결하기 위한 받침 블록(16)에 관한 것으로, 상기 상호 연결 케이블(18)은 상기 변환기 배열(12)을 초음파 영상 시스템의 주 시스템 처리 유닛에 연결하고, 이러한 초음파 영상 시스템은, 상호 연결 케이블(들)(18)에 전기적으로 연결된 적어도 하나의 기본 전자 성분(24)을 포함하고, 상호 연결 구조물(28)의 패턴, 한쪽 면(40)이 기본 성분들(들)(24)에 전기적으로 결합되고 반대쪽 면(38)이 변환기 배열(12)에 전기적으로 결합되는 재분배 삽입기(22) 및 기본 성분들(들)(24)에 의해 지지되는 적어도 하나의 보조 전자 성분(26)을 제공한다. 상기 보조 성분(26)은 재분배 삽입기(22)와 나란히 배치될 수 있는데, 즉 기본 성분들(24)의 공통 면 위에 배치될 수 있고, 재분배 삽입기(22)가 적어도 1차원으로 끝이 가늘어지게 되어 있으므로, 변환기 배열(12)에 연결된 한 면(38)보다 기본 성분(들)(24)에 연결된 면(40) 위에서 더 작은 피치를 가지게 된다.초음파 변환기에서의 변환기 소자(14)의 배열(12)과 초음파 영상 시스템의 처리 유닛을 상호 연결하기 위한 받침(backing) 블록(16)으로서, 제 1 면에 상호 연결 구조물(28)의 패턴을 한정하는 적어도 하나의 기본 전자 성분(24), 제 1 면(40) 위에서, 상기 적어도 하나의 기본 전자 성분(24)의 상기 제 1 면 위의 상기 상호 연결 구조물(28)에 전기적으로 결합되고, 변환기 소자(14)의 배열(12)의 제 2의 반대쪽 면(38) 위에서 전기적으로 결합되도록 적응된 재분배 삽입기(interposer)(22), 및 상기 상호 연결 구조물(28)을 경유하여 상기 제 1 면 위에서 상기 적어도 하나의 기본 전자 성분(24)에 전기적으로 연결되고, 상기 재분배 삽입기(22)를 따라 배치된 적어도 하나의 보조 전자 성분(26)을 포함하는 받침 블록.
MULTICOMPONENT BACKING BLOCK FOR ULTRASOUND SENSOR ASSEMBLIESBacking block (16) for interconnecting a transducer array (12) and interconnection cables (18) connecting the transducer array (12) to a main system processing unit of an ultrasound imaging system which includes at least one base electronic component (24) electrically connected to the interconnection cable(s) (18) and which provides a pattern of interconnection structures (28), a redistribution interposer (22) electrically coupled on one side (40) to the base component(s) (24) and on an opposite side (38) to transducer array (12), and at least one subsidiary electronic component (26) supported by the base component(s) (24). The subsidiary components (26) can be arranged alongside the redistribution interposer (22), i.e., on a common side of the base component(s) (24) therewith, since the redistribution interposer (22) tapers in at least one dimension so that it has a smaller pitch on the side (40) connected to the base component(s) (24) than on the side (38) connected to the transducer array (12).A backing block (16) for interconnecting an array ( 12) of transducer elements (14) in an ultrasound transducer and a processing unit of an ultrasound imaging system, comprising: at least one base electronic component (24) defining a pattern of interconnection structure (28) on a first side thereof; a redistribution interposer (22) electrically coupled on a first side (40) to said interconnection structure (28) on said first side of said at least one base component (24) and adapted to be electrically coupled on a second, opposite side (38) to the array (12) of transducer elements (14); and at least one subsidiary electronic component (26) electrically connected to said at least one base component (24) on said first side thereof via said interconnection structure (28) and arranged alongside said redistribution interposer (22).
597
29241
건설 및 채광용 기계장비 제조업
특허
ko
en
비파괴형 매설관 개축 공법철근이나 강제계륜을 파쇄하는 특수한 커터 헤드를 이용하는 일 없이 개축 작업을 안전하게 실시할 수 있는 비파쇄형 매설관 개축 공법을 제공하는 것을 목적으로 한다. 매설관의 시단 위치에 발진 수갱을 굴착하고 종단 위치에 도달 수갱을 굴착하는 공정과 도달 수갱에 설치한 견인 장치에 의해 견인되어 매설관 내측 둘레를 가압하는 반력동용 그리퍼를 구비한 반력몸통과 반력몸통에 회전 가능하게 연결되어 절연 대상의 매설관 내측 둘레를 가압하는 회전동용 그리퍼를 구비하고 회전 수단으로 회전하는 회전몸통에 의해 발진 수갱 측에서 도달 수갱을 향하여 순서대로 매설관과 주위 지반과의 절연을 실시하는 공정과 주위 지반과의 절연이 실시되어 인발저항이 감소한 매설관을 도달 수갱에 배치한 인발 장치에 의한 제거력과 발진 수갱에 설치한 굴진기에 의한 압출력에 의해 회수하는 공정과 매설관의 추출공정과 동시에 신설관을 부설하는 공정를 구비하는 것을 특징으로 한다.매설관의 시단 위치에 발진 수갱을 굴착하고 종단 위치에 도달 수갱을 굴착하는 공정과 도달 수갱에 설치한 대형 회전 인발 장치에서 성장하는 회전 인발 로드에 절연 대상의 매설관 내측 둘레를 가압하는 절연용 그리퍼를 배치하고 회전 인발 장치의 회전을 회전 인발 로드, 절연용 그리퍼를 통해 절연 대상의 매설관을 회전시켜 발진 수갱 측에서 도달 수갱을 향하여 순서대로 매설관과 주위 지반과의 절연을 실시하는 공정과 주위 지반과의 절연이 실시되어 인발저항이 감소한 매설관을 도달 수갱에 배치한 회전 인발 장치에 의한 제거력과 발진 수갱에 설치한 굴진기에 의한 압출력에 의해 회수하는 공정과 매설관의 추출공정과 동시에 신설관을 부설하는 공정를 구비하는 것을 특징으로 하는 비파쇄형 매설관 개축 공법.
NONDESTRUCTION TYPE UNDERGROUND PIPE RECONSTRUCTION METHODTo provide a nondestruction type underground pipe reconstruction method which can implement a reconstruction work safely without using a special cutter head to break a steel bar and a steel ring joint. A nondestruction type underground pipe reconstruction method comprises a step of drilling a departure shaft at a start position of an underground pipe and drilling an arrival shaft at an end position, a step of implementing an edge cutting of the underground pipe and a peripheral ground in order from the departure shaft to the arrival shaft by a reaction drum comprising a gripper for the reaction drum drawn by a traction device installed in the arrival shaft and pushing an internal circumference of the underground pipe and a rotating drum rotating by rotation means comprising a gripper for the rotating drum rotatably connected to the reaction drum and pushing the internal circumference of the underground pipe targeted to the edge cutting, a step of collecting the underground pipe whose pull-out resistance is reduced by implementation of the edge cutting from the peripheral ground by a pull-out force of a pull-out machine installed in the arrival shaft and a pushing force of a boring machine installed in the departure shaft, and a step of railing a new pipe simultaneously with the step of pulling-out the underground pipe.For the process of excavating the starting shaft at the start position of the buried pipe and digging the shaft to reach the end position, and for edge cutting that presses the inner circumference of the buried pipe to be trimmed against the rotary pulling rod extending from the large rotary pulling device installed in the reaching shaft. A gripper is placed, and the rotation of the rotary pulling device is rotated via the rotary pulling rod and the gripper for edge cutting to rotate the buried pipe to be edge cut, and the edge cutting between the buried pipe and the surrounding ground is carried out in order from the starting shaft side to the reaching shaft. The process, the process of recovering the buried pipe by cutting the edge with the surrounding ground and reducing the pulling resistance by the pulling force by the rotary pulling device placed in the reaching shaft and the pushing force by the excavator installed in the starting shaft, and the buried pipe A non-crushing type buried pipe renovation method characterized by having a process of laying a new pipe at the same time as the pulling process.
597
72911
물질 성분 검사 및 분석업
특허
ko
en
다형 검출용 프로브, 다형 검출 방법, 약효 평가 방법, 및 다형 검출용 키트 하기 P1 올리고뉴클레오티드 및 P2 올리고뉴클레오티드로 이루어지는 군에서 선택되는 적어도 1종의 형광 표지 올리고뉴클레오티드를 포함하는, MDR1 유전자의 다형 검출용 프로브: (P1)서열번호 2에 나타내는 염기서열에 있어서, 288?300번째의 염기를 포함하는 염기 길이 13?68인 제1 염기서열에 상보적인 서열 또는 그 상보서열에 상동인 서열을 갖고, 288번째의 염기에 상보적인 염기가 제1 형광 색소로 표지되어 있는 올리고뉴클레오티드, 및, (P2)서열번호 2에 나타내는 염기서열에 있어서, 300?305번째의 염기를 포함하는 염기 길이 6?93인 제2 염기서열에 상보적인 서열 또는 그 상보서열에 상동인 서열을 갖고, 305번째의 염기에 상보적인 염기가 제2 형광 색소로 표지되어 있는 올리고뉴클레오티드. 하기 P1 올리고뉴클레오티드 및 P2 올리고뉴클레오티드로 이루어지는 군에서 선택되는 적어도 1종의 형광 표지 올리고뉴클레오티드를 포함하는, MDR1 유전자의 다형 검출용 프로브:(P1)서열번호 2에 나타내는 염기서열에 있어서, 288?300번째의 염기를 포함하는 염기 길이 13?68인 제1 염기서열에 상보적인 서열 또는 그 상보서열에 상동인 서열을 갖고, 288번째의 염기에 상보적인 염기가 제1 형광 색소로 표지되어 있는 올리고뉴클레오티드, 및,(P2)서열번호 2에 나타내는 염기서열에 있어서, 300?305번째의 염기를 포함하는 염기 길이 6?93인 제2 염기서열에 상보적인 서열 또는 그 상보서열에 상동인 서열을 갖고, 305번째의 염기에 상보적인 염기가 제2 형광 색소로 표지되어 있는 올리고뉴클레오티드.
Polymorphism detection probe, polymorphism detection method, evaluation of drug efficacy, and polymorphism detection kitThe invention provides a probe which detects a polymorphism in the MDR1 gene. The probe has a P1 and/or a P2 oligonucleotide. The P1 oligonucleotide has a sequence that is complementary to a first base sequence, in which the first base sequence is a partial sequence of SEQ ID NO: 2 having a length of from 13 bases to 68 bases and including the 288th to 300th bases of SEQ ID NO: 2. The base complementary to the 288th base is labeled with a fluorescent dye. The P2 oligonucleotide has a sequence that is complementary to a second base sequence, in which the second base sequence is a partial sequence of SEQ ID NO: 2 having a length of from 6 bases to 93 bases and including the 300th to 305th bases of SEQ ID NO: 2. The base complementary to the 305th base is labeled with a fluorescent dye.A probe which detects a polymorphism in the MDR1 gene, the probe comprising at least one fluorescently labeled oligonucleotide selected from the group consisting of a P1 oligonucleotide and a P2 oligonucleotide, the P1 oligonucleotide having (1) a sequence that is complementary to a first base sequence or (2) a sequence that is homologous to (1), the first base sequence being a partial sequence of SEQ ID NO:2 having a length of 13 bases to 68 bases and comprising the 288th to 300th bases of SEQ ID NO:2, and the P1 oligonucleotide having, as a base complementary to the 288th base, a base that is labeled with a first fluorescent dye, and the P2 oligonucleotide having (3) a sequence that is complementary to a second base sequence or (4) a sequence that is homologous to (3), the second base sequence being a partial sequence of SEQ ID NO:2 having a length of 6 bases to 93 bases and comprising the 300th to 305th bases of SEQ ID NO:2, and the P2 oligonucleotide having, as a base complementary to the 305th base, a base that is labeled with a second fluorescent dye.
597
24210
비철금속 제련, 정련 및 합금 제조업
특허
ko
en
고 Mn 강 및 그 제조 방법소재나 제조에 필요로 하는 비용을 억제할 수 있는, 저온 인성이 우수한 고 Mn 강에 대하여 제공한다. 질량% 로, C : 0.100 % 이상 0.700 % 이하, Si : 0.05 % 이상 1.00 % 이하, Mn : 20.0 % 이상 35.0 % 이하, P : 0.030 % 이하, S : 0.0070 % 이하, Al : 0.01 % 이상 0.07 % 이하, Cr : 0.5 % 이상 7.0 % 이하, N : 0.0050 % 이상 0.0500 % 이하, O : 0.0050 % 이하, Ti : 0.0050 % 이하 및 Nb : 0.0050 % 이하를 포함하고, 잔부가 Fe 및 불가피적 불순물의 성분 조성과 오스테나이트를 기지상으로 하는 마이크로 조직을 갖고, 그 마이크로 조직에 있어서의 Mn 편석부의 Mn 농도를 16 % 이상 38 % 이하로 하고, KAM 값의 평균을 0.3 이상으로 한다.질량% 로,C : 0.100 % 이상 0.700 % 이하,Si : 0.05 % 이상 1.00 % 이하,Mn : 20.0 % 이상 35.0 % 이하,P : 0.030 % 이하,S : 0.0070 % 이하,Al : 0.01 % 이상 0.07 % 이하,Cr : 0.5 % 이상 7.0 % 이하,N : 0.0050 % 이상 0.0500 % 이하,O : 0.0050 % 이하,Ti : 0.005 % 이하 및Nb : 0.005 % 이하를 포함하고, 잔부가 Fe 및 불가피적 불순물의 성분 조성과 오스테나이트를 기지상으로 하는 마이크로 조직을 갖고, 그 마이크로 조직에 있어서의 Mn 편석부의 Mn 농도가 16 % 이상 38 % 이하이고, KAM (Kernel Average Misorientation) 값의 평균이 0.3 이상이고, -196 ℃ 에 있어서의 샤르피 충격 시험의 흡수 에너지가 100 J 이상 또한 항복 강도가 400 ㎫ 이상인 고 Mn 강.
HIGH-MN STEEL AND PRODUCTION METHOD THEREFORProvided is high-Mn steel excellent in low-temperature toughness which can suppress costs of materials and production, the steel including: a chemical composition containing, in mass%, C: 0.100% or more and 0.700% or less, Si: 0.05% or more and 1.00% or less, Mn: 20.0% or more and 35.0% or less, P: 0.030% or less, S: 0.0070% or less, Al: 0.01% or more and 0.07% or less, Cr: 0.5% or more and 7.0% or less, N: 0.0050% or more and 0.0500% or less, O: 0.0050% or less, Ti: 0.0050% or less, and Nb: 0.0050% or less with the balance being Fe and inevitable impurities; and a microstructure having austenite as a matrix phase, in which the microstructure has a Mn segregation portion with a Mn concentration of 16% or more and 38% or less, and the high-Mn steel has an average KAM value of 0.3 or more.High-Mn steel comprising: a chemical composition containing, in mass%, C: 0.100 % or more and 0.700 % or less, Si: 0.05 % or more and 1.00 % or less, Mn: 20.0 % or more and 35.0 % or less, P: 0.030 % or less, S: 0.0070 % or less, Al: 0.01 % or more and 0.07 % or less, Cr: 0.5 % or more and 7.0 % or less, N: 0.0050 % or more and 0.0500 % or less, O: 0.0050 % or less, Ti: 0.005 % or less, and Nb: 0.005 % or less with the balance being Fe and inevitable impurities; and a microstructure having austenite as a matrix phase, wherein the microstructure has a Mn segregation portion with a Mn concentration of 16 % or more and 38 % or less, and the high-Mn steel has an average KAM (Kernel Average Misorientation) value of 0.3 or more, an absorbed energy in a Charpy impact test at -196 °C of 100 J or more, and a yield stress of 400 MPa or more.
598
28122
전기회로 접속장치 제조업
특허
ko
en
채널 격리 실드차폐 커넥터에는 금속 쉘로 형성된 외부 금속 차폐가 있다. 쉘의 한쪽 또는 양쪽 자유단은 위로 향하고 함께 인접하여 내부 차폐 벽을 형성한다. 이 내부 차폐 벽은 지정된 단자 쌍 사이의 커넥터 리셉터클 부분으로 확장된다. 커넥터 하우징은 자유 단부를 함께 고정하기 위해 그 위에 성형된다. 내부 실드 벽은 커넥터 단자와의 용량성 결합을 증가시켜 커넥터의 임피던스를 감소시킵니다.대향하는 플러그 커넥터용 리셉터클을 정의하는 절연 커넥터 본체 - 상기 절연 커넥터 본체는 복수의 전도성 단자를 지지하고, 상기 단자의 적어도 쌍은 상기 커넥터의 단일 채널 - 상기 절연성 커넥터 본체는 상기 절연성 커넥터 본체의 폭 방향으로 연장되는 제1 벽 부분을 갖고, 상기 단자의 적어도 제1 및 제2 쌍은 커넥터 본체 제1 벽 상에 배치되고 상기 절연성 커넥터 본체의 길이 방향으로 이격됨 상기 제1 단자 쌍은 상기 커넥터의 제1 채널의 적어도 일부를 형성하고, 상기 제2 단자 쌍은 상기 커넥터의 제2 채널의 적어도 일부를 형성하고; 및 전도성 차폐 부재 - 차폐 부재는 2개의 자유 단부를 갖는 쉘을 포함하고, 상기 쉘은 상기 쉘 자유 단부가 서로 인접하도록 하는 방식으로 절연성 커넥터 본체 둘레로 적어도 부분적으로 연장되고, 상기 쉘 자유 단부 중 적어도 하나는 연장 상기 절연성 커넥터 본체 내에서, 그리고 상기 커넥터 본체 리셉터클의 내부 차폐 벽을 정의하기 위해 상기 제1 및 제2 단자 쌍 사이의 상기 커넥터 본체 리셉터클을 향하고, 상기 내부 차폐 벽은 상기 제1 및 제2 단자 쌍의 인접 단자 사이의 간섭을 감소시키는, 커넥터의 채널들 사이의 전기적 간섭이 감소된 다중 채널 커넥터.
Channel isolation shieldA shielded connector has an outer metal shield that is formed from a metal shell. One or both free ends of the shell are upturned and abutted together so as to form an inner shielding wall. This inner shielding wall extends into the receptacle portion of the connector between pairs of designated terminals. The connector housing is molded over the free ends in order to hold them together. The inner shield wall increases capacitive coupling with the connector terminals which decreases the impedance of the connector.A multiple channel connector having reduced electrical interference between the channels of the connector, comprising: an insulative connector body defining a receptacle for an opposing plug connector, the insulative connector body supporting a plurality of conductive terminals, at least pairs of said terminals being associated with single channels of said connector, said insulative connector body having a first wall portion that extends widthwise of said insulative connector body, at least first and second pairs of said terminals being disposed on the connector body first wall and spaced apart lengthwise of said insulative connector body, said first pair of terminals forming at least a part of a first channel of said connector, said second pair of terminals forming at least a part of a second channel of said connector; and,a conductive shield member, the shield member including a shell having two free ends, said shell at least partially extending around insulative connector body in a fashion such that said shell free ends abut each other, at least one of said shell free ends extending within said insulative connector body and toward said connector body receptacle between said first and second pairs of terminals to define an inner shielding wall of said connector body receptacle, the inner shielding wall reducing interference between adjoining terminals of said first and second pairs of terminals.
598
38300
해체, 선별 및 원료 재생업
특허
ko
en
망간 및 아연을 함유하는 폐전지로부터의 황산망간 및 황산아연 제조방법본 발명은 망간 및 아연을 함유하는 폐전지로부터의 황산망간 및 황산아연 제조방법에 관한 것으로, 보다 상세하게는, 연속 침출 공정 후 수득한 침출용액에 아연말과 활성탄을 첨가하여 중금속(니켈 및 카드뮴)과 유기물을 제거한 뒤, 분무건조시켜 황산망간 및 황산아연을 동시에 제조하는 것을 특징으로 하는, 망간 및 아연을 함유하는 폐전지로부터의 황산망간 및 황산아연 제조방법에 관한 것이다. 본 발명에 따르면, 폐수 발생없이 간단한 공정으로 고순도의 황산망간 및 황산아연을 제조할 수 있다. 또한, 폐전지 분말을 침출시켜 황산망간 및 황산아연을 회수하는데 있어 중화적정이나 불순물 제거를 하기 위한 부가적인 화학물질을 사용할 필요가 없어 친환경적인 폐전지의 재활용 공정을 제공할 수 있다. 황산망간, 황산아연, 폐전지, 폐망간전지, 폐알칼라인전지, 침출, 분무건조다음의 단계를 포함하는, 망간 및 아연을 함유하는 폐전지로부터의 황산망간 및 황산아연 제조방법 :(a) 황산용액 및 환원제의 혼합액으로 제1폐전지 분말을 침출하는 1차 침출단계는 황산용액 및 환원제의 혼합액 100중량부에 대해 제1 폐전지 분말 10~60 중량부를 침출시키는 것을 특징으로 하는 단계 ;(b) 상기 1차 침출 후 수득한 침출여액으로 제2 폐전지 분말을 침출하는 2차 침출단계는 상기 1차 침출 후 수득한 침출여액 100 중량부에 대해 제2 폐전지 분말 10~60 중량부를 침출시키는 것을 특징으로 하는 단계 ;(c) 상기 2차 침출 후 수득한 침출여액에서 중금속 및 유기물을 제거하는 단계; 및(d) 상기 (c) 단계에서 중금속 및 유기물이 제거된 침출여액을 분무건조하여 황산망간 및 황산아연을 제조하는 단계.
Method for preparing manganese sulfate and zinc sulfate from waste batteries containing manganese and zincA method for preparing manganese sulfate and zinc sulfate from waste batteries containing manganese and zinc, and more particularly to a method for preparing manganese sulfate and zinc sulfate from waste batteries containing manganese and zinc. Zinc powder and activated carbon are added to a leached solution obtained from a continuous leaching process so as to remove heavy metals and organic materials from the leached solution, and then the leached solution is spray-dried to simultaneously obtain manganese sulfate and zinc sulfate at high-purity by a simple process without generating wastewater. An environmentally friendly waste battery recycling process is thereby provided, because it is not required to use additional chemical substances for neutralization titration or impurity removal in recovering manganese sulfate and zinc sulfate by leaching a waste battery powder.A method for preparing manganese sulfate and zinc sulfate from waste batteries containing manganese and zinc, the method comprising the steps of: (a) leaching a first waste battery powder with a mixture of a sulfuric acid solution and a reducing agent, wherein the first waste battery powder is in an amount of from 10 to 60 parts by weight, based on 100 parts by weight of the mixture of the sulfuric acid solution and the reducing agent, to form a leached solution; (b) leaching a second waste battery powder with the leached solution obtained from the step (a), wherein the second waste battery powder is in an amount of from 10 to 60 parts by weight, based on 100 parts by weight of the leached solution obtained from the step (a), to form a leached solution; (c) removing heavy metals and organic materials from the leached solution obtained from the step (b), to form a leached solution; and (d) spray-drying the leached solution obtained from the step (c), thus preparing manganese sulfate and zinc sulfate.
598
20500
화학섬유 제조업
특허
ko
en
가짜 트위스트 텍스처링 시 합성실을 열처리하는 장치 및 가짜 트위스트 텍스처링 방법히터 본체의 총 길이는 0.8~1.2m이며, 히터 본체와 히터 부재는 열처리 장치의 상류측에서 하류측으로 길이 1:3~1:1:1.5의 비율로 두 조각으로 나뉘며, 분할된 업스트림 히터 부재(12)는 다음 온도보다 높은 온도에서 업스트림 히터 본체(11)를 가열할 수 있습니다. 3700C 및 다운스트림 히터 부재(22)는 200~3200C 이상의 온도에서 다운스트림 히터 본체(21)를 가열해야 합니다. 업스트림 히터 본체는 최대 30mm의 세로 피치로 처리되는 실 가이드(15)가 있고 다운스트림 히터 본체는 80~1의 세로 피치로 처리되는 실 가이드(24)가 있습니다.20mm.합성 실을 열처리하기 위한 장치: 합성 실과 비접촉 조건에서 최소한 부분적으로 둘러싸는 히터 본체(11, 21), 해당 히터 본체(11, 21)의 하류에서 처리된 거짓 비틀림 장치에 의해 발생한 비틀림, 실의 길이를 따라 역주행하는 가열 부재 (12, 22)는 해당 히터 본체(11, 21)의 난방벽을 난방에 적합한 고온으로 가열하기 위해 해당 히터 본체(11, 21), 해당 히터 본체(11, 21), 해당 히터 본체(11, 21)의 난방벽에 둘러싸인 실 통로에 폐기한 경우, 해당 히터 본체(11, 21) 및 해당 히터 부재(12, 22)는 각각 d라고 밝혔다.열처리 장치의 상류에서 하류 쪽으로 최소한 두 조각으로 나뉘었다; 해당 상류 히터 본체(11개)의 실 통로에 폐기된 실 가이드(14, 24개)와 해당 분할 히터 본체(11, 21개)의 나머지 히터 본체(21); 분할 히터 보 길이를 따라 측정했을 때 특징적이다.dy(11, 21), 해당 업스트림 히터 본체(11)의 인접 실 가이드(14)에 있는 해당 지점 사이의 거리가 해당 나머지 히터 본체(21)의 인접 실 가이드(24) 사이의 거리보다 작습니다.
An apparatus for heat treating a synthetic yarn during false-twist texturing and method for false-twist texturingA heater body has a total length between 0.8 and 1.2 m, the heater body and heating member are divided into two pieces from upstream side to downstream side of the heat treating apparatus in ratio of length between 1 : 3 and 1 : 1.5, the divided upstream heating member (12) is able to heat the upstream heater body (11) at a temperature higher than 3700C, and the downstream heating member (22) is to heat the downstream heater body (21) at a temperature of between 200 and 3200C or higher than 3700C, the upstream heater body has yarn guides (15) disposed at a longitudinal pitch of at most 30mm, and the downstream heater body has yarn guides (24) disposed at a longitudinal pitch between 80 and 120mm. An apparatus for heat treating a synthetic yarn which comprises: a heater body (11, 21) for at least partially encircling a synthetic yarn in a non-contacting condition with the synthetic yarn, wherein twists imparted by a false twisting device disposed downstream of said heater body (11, 21) run back along the length of the yarn; a heating member (12, 22) disposed in said heater body (11, 21) for heating a heating wall of said heater body (11, 21) at a high temperature suitable for heat setting the yarn; yarn guides (14, 24) disposed in a yarn passage surrounded by said heating wall of said heater body (11, 21); said heater body (11, 21) and said heating member (12, 22) being respectively divided into at least two pieces from an upstream side to a downstream side of said heat treating apparatus; said yarn guides (14, 24) being disposed in yarn passages of said upstream heater body (11) and the remaining heater body (21) of said divided heater body (11, 21); characterized in that when measured along the length of the divided heater body (11, 21), the distance between corresponding points on adjacent yarn guides (14) of said upstream heater body (11) is less than the distance between adjacent yarn guides (24) of said remaining heater body (21).
599
27192
정형 외과용 및 신체 보정용 기기 제조업
특허
ko
en
선택적으로 커플링되는 몸체를 갖는 보철 척수 추간판 핵제1 보철체(22), 제2 보철체(24) 및 커플링 수단(26)을 포함하는 보철 척수 추간판 핵에 관한 것이다. 제1 및 제2 보철체(22, 24) 각각은 대향하는 한 쌍의 척추 사이에 바람직한 분리를 유지하기에 충분한 구조적 일체성 및 핵강(96)의 부피 미만의 부피를 갖는다. 커플링 수단(26)은 제1 보철체(22)를 제2 보철체(24)와 선택적으로 결합시킨다. 사용 동안에, 제1 보철체(22)는 윤(94) 내의 개구(100)를 통해 핵강(96) 내로 삽입된다. 커플링 수단(26)은 제2 보철체(24)가 제1 보철체(22)의 삽입을 방해하지 않도록 구성된다. 제2 보철체(24)는 유사하게 삽입된다. 이식 후에, 커플링 수단(26)은 다시 윤(94) 내의 개구(100)을 통한, 보철 척수 추간판 핵(20) 또는 그의 임의의 일부분의 후속되는 이동을 막도록 제1 및 제2 보철체(22,24)를 비교적 고정시킨다.대향하는 한 쌍의 척추를 분리시키기에 충분한 구조적 일체성 및 핵강의 부피 미만의 부피를 갖는 제1 보철체, 대향하는 한 쌍의 척추를 분리시키기에 충분한 구조적 일체성 및 핵강의 부피 미만의 부피를 갖는 제2 보철체, 및 제1 보철체에 이어 제2 보철체의 윤 내의 개구를 통한 연속적인 이식을 가능하게 하고 이식 및 최종 조립시에 제1 보철체를 제2 보철체에 실질적으로 고정시키는 형태를 갖는, 제1 보철체 및 제2 보철체를 선택적으로 결합시키기 위한 커플링 수단을 포함하고, 이 때 제2 보철체의 이식 직후에 커플링 수단이 비교적 신속하게 최종 조립체를 달성하기 위하여 제1 및 제2 보철체 각각에 연결되어 있는, 대향하는 한 쌍의 척추 및 윤에 의해 형성된 핵강 내로 이식하기 위한 보철 척수 추간판 핵.
Prosthetic spinal disc nucleus having selectively coupled bodiesA prosthetic spinal disc nucleus comprising a first prosthetic body, a second prosthetic body and a coupling means. The first and second prosthetic bodies each have sufficient structural integrity for maintaining a desired separation between an opposing pair of vertebrae and a volume that is less than a volume of the nucleus cavity. The coupling means selectively associates the first prosthetic body with the second prosthetic body. During use, the first prosthetic body is inserted into a nucleus cavity through an opening in an anulus. The coupling means is configured such that the second prosthetic body does not impede insertion of the first prosthetic body. The second prosthetic body is similarly implanted. Following implant, the coupling means relatively fixes the first and second prosthetic bodies to prevent subsequent movement of the prosthetic spinal disc nucleus, or any portion thereof, back through the opening in the anulus.A prosthetic spinal disc nucleus for implantation into a nucleus cavity defined by an opposing pair of vertebrae and an anulus, the prosthetic spinal disc nucleus comprising: a first prosthetic body having sufficient structural integrity for separating an opposing pair of vertebrae and a volume that is less than a volume of a nucleus cavity;a second prosthetic body having sufficient structural integrity for separating an opposing pair of vertebrae and a volume that is less than a volume of a nucleus cavity;coupling means for selectively associating the first prosthetic body with the second prosthetic body, the coupling means configured to allow successive implantation of the first prosthetic body and then the second prosthetic body through an opening in the anulus and to substantially affix the first prosthetic body to the second prosthetic body upon implantation and final assembly; and wherein immediately following implantation of the second prosthetic body, the coupling means is connected to each of the first and second prosthetic bodies for relatively rapidly achieving the final assembly.
599
29271
반도체 제조용 기계 제조업
특허
ko
en
반도체 디바이스턴 온 시간을 단축할 수 있는 트렌치 구조의 반도체 디바이스를 제공한다. 이 반도체 디바이스(1)는, 반도체 기판의 위에 형성된 제1의 에피택셜층과; 제1의 에피택셜층의 상면에 접하여 형성되고, 제1의 에피택셜층보다 불순물 농도가 낮은 제2의 에피택셜층과; 제2의 에피택셜층의 안에 마련되고, 그의 상면으로부터 아랫 방향에 형성된 복수의 트렌치와; 트렌치의 내측에 매립된 게이트 전극과; 트렌치의 양측면을 따라, 제2의 에피택셜층의 상면으로부터 아랫 방향에 마련된 소스 영역과; 트렌치의 양측면을 따라, 소스 영역의 하면으로부터 아랫 방향에 마련된 베이스 영역과; 트렌치로부터 떨어져서, 소스 영역과 베이스 영역에 인접하고, 제2의 에피택셜층의 상면으로부터 아랫 방향에 베이스 영역보다 깊게 형성되고, 베이스 영역과 동일한 도전형으로서 베이스 영역보다 불순물 농도가 높은 베이스 고농도 영역을 구비한다. 반도체 기판의 위에 형성된 제1의 에피택셜(epitaxial)층과, 제1의 에피택셜층의 상면에 접하여 형성되고, 제1의 에피택셜층보다 불순물 농도가 낮은 제2의 에피택셜층과, 제2의 에피택셜층의 안에 마련되고, 그의 상면으로부터 아랫 방향에 형성된 복수의 트렌치(trench)와, 트렌치의 내측에 매립된 게이트 전극과, 트렌치의 양측면을 따라, 제2의 에피택셜층의 상면으로부터 아랫 방향에 마련된 소스 영역과, 트렌치의 양측면을 따라, 소스 영역의 하면으로부터 아랫 방향에 마련된 베이스 영역과, 트렌치로부터 떨어져서, 소스 영역과 베이스 영역에 인접하고, 제2의 에피택셜층의 상면으로부터 아랫 방향에 베이스 영역보다 깊게 형성되고, 베이스 영역과 동일한 도전형으로서 베이스 영역보다 불순물 농도가 높은 베이스 고농도 영역을 구비하여 이루어지는 것을 특징으로 하는 반도체 디바이스.
SEMICONDUCTOR DEVICEA trench semiconductor device is provided which ensures a reduced turn-on time. The semiconductor device (1) includes: a first epitaxial layer provided on a semiconductor substrate; a second epitaxial layer provided in contact with an upper surface of the first epitaxial layer and having a lower impurity concentration than the first epitaxial layer; a plurality of trenches provided in the second epitaxial layer as extending downward from an upper surface of the second epitaxial layer; a gate electrode embedded in each of the trenches; a source region extending downward from the upper surface of the second epitaxial layer along each of opposite side surfaces of the trench; a base region extending downward from a lower surface of the source region along each of the opposite side surfaces of the trench; and a base high concentration region provided adjacent the source region and the base region in spaced relation from the trench as extending downward from the upper surface of the second epitaxial layer to a greater depth than the base region, and having the same conductivity type as the base region and a higher impurity concentration than the base region.A semiconductor device comprising: a first epitaxial layer provided on a semiconductor substrate; a second epitaxial layer provided in contact with an upper surface of the first epitaxial layer and having a lower impurity concentration than the first epitaxial layer; a plurality of trenches provided in the second epitaxial layer as extending downward from an upper surface of the second epitaxial layer; a gate electrode embedded in each of the trenches; a source region extending downward from the upper surface of the second epitaxial layer along each of opposite side surfaces of the trench; a base region extending downward from a lower surface of the source region along each of the opposite side surfaces of the trench; and a base high concentration region provided adjacent the source region and the base region in spaced relation from the trench as extending downward from the upper surface of the second epitaxial layer to a greater depth than the base region, and having the same conductivity type as the base region and a higher impurity concentration than the base region.
599
10121
가금류 가공 및 저장 처리업
특허
ko
en
도축 제품 전달 시스템이 발명은 도축 제품을 전달하기 위한 전달 시스템을 제공하며, 도축 제품을 전달하기 위한 수단을 포함합니다. 전달 수단은 프레임, 수직 회전 축에 대해 프레임에 회전식으로 연결되는 최소 하나의 풀리 수단, 최소 하나의 풀리 수단, 전달 경로를 따라 확장된 가이드, 그리고 컨벤션에 연결되는 도축 제품에 대한 후크로 구성됩니다.각각 가이드와의 협력을 유도하기 위한 가이드 요소를 제공하는 eying 요소. 전달 요소는 수직 방향 링크와 수평 방향 링크가 정규 패턴으로 상호 연결된 링크 체인으로 구성된다. 적어도 하나의 도르래 수단은 쌍으로 배열된 톱니를 원주적으로 제공하고, 각 쌍은 윗니와 아랫니가 서로 정반대로 배치되며, 한 쌍의 톱니 사이에 간격이 존재하며, 수평 방향의 링크는 최소한 부분적으로 확장되고 수직 방향의 링크는 수직 방향이다. 두 쌍의 치아가 연결된 네 개의 치아 사이에 제공되는데, 이는 네 개의 치아의 옆구리에 원주적으로 연결되어 있다.도축 제품을 전달하기 위한 전달 시스템, 도축 제품을 전달하기 위한 전달 수단, 프레임을 구성하는 전달 수단, 적어도 하나의 도르래는 수직 회전 축에 대해 회전 가능한 프레임에 연결된 끝없는 유연한 전달 요소, 하나 이상의 도르래 수단을 포함한다., 전달 경로를 따라 확장되는 가이드, 도축 제품에 대한 후크, 일정한 간격으로 전달 요소에 연결되고 각각 가이드와의 협력을 유도하기 위한 가이드 요소와 함께 제공되는, 전달 요소가 수직 방향 링크와 수평을 가진 링크 체인을 구성하는 것이 특징이다.규칙적인 패턴으로 상호 연결되어 있고, 적어도 하나의 도르래 수단이 쌍으로 배열된 치아와 원주적으로 제공된다는 점에서, 각각의 쌍은 윗니와 아랫니를 구성하고, 수평으로 지향하는 치아 한 쌍의 치아 사이에 간격이 존재한다.nks는 최소한 부분적으로 확장되며, 두 개의 인접한 치아 쌍과 관련된 네 개의 치아 사이에 수직 방향의 연결이 제공되고, 이것은 네 개의 치아의 옆구리에 원주적으로 연결된다.
SYSTEM FOR CONVEYING SLAUGHTER PRODUCTSThe invention provides a conveying system for conveying slaughter products, comprising conveying means for conveying the slaughter products. The conveying means comprise a frame, at least one pulley means which is rotatably connected to the frame about a vertical axis of rotation, an endless flexible conveying element which is passed over said at least one pulley means, a guide extending along a conveying path, and hooks for the slaughter products, which hooks are connected to the conveying element at regular intervals and which are each provided with a guide elements for guiding cooperation with the guide. The conveying element comprises a link chain with vertically oriented links and horizontally oriented links interconnected in a regular pattern. At least one pulley means is circumferentially provided with teeth arranged in pairs, each pair comprising an upper tooth and a lower tooth disposed directly opposite each other, wherein a gap is present between the teeth of a pair of teeth, into which gap horizontally oriented links extend at least partially, and wherein vertically oriented links are provided between the four teeth associated with two adjacent pairs of teeth, which links circumferentially abut against the flanks of said four teeth.A conveying system for conveying slaughter products, comprising conveying means for conveying the slaughter products, the conveying means comprising a frame, at least one pulley means which is rotatably connected to the frame about a vertical axis of rotation, an endless flexible conveying element which is passed over said at least one pulley means, a guide extending along a conveying path, hooks for the slaughter products, which hooks are connected to the conveying element at regular intervals and which are each provided with a guide elements for guiding cooperation with the guide, characterised in that the conveying element comprises a link chain with vertically oriented links and horizontally oriented links interconnected in a regular pattern, and in that at least one pulley means is circumferentially provided with teeth arranged in pairs, each pair comprising an upper tooth and a lower tooth disposed directly opposite each other, wherein a gap is present between the teeth of a pair of teeth, into which gap horizontally oriented links extend at least partially, and wherein vertically oriented links are provided between the four teeth associated with two adjacent pairs of teeth, which links circumferentially abut against the flanks of said four teeth.
600
26291
전자 축전기 제조업
특허
ko
en
수용성-기반 전기 이중층 캐패시터전기 이중층 캐패시터(EDLC) 및 이를 제조하는 방법. ELDC는 2개의 병렬 집전체, 2개의 대향 극성 전극, 격리판, 강성 유전체 프레임, 및 적어도 하나의 배출 메커니즘을 가진 적어도 하나의 캐패시터 셀을 포함한다. 각 전극은 각각의 집전체 상에 배치되고, 수용성 전해질이 함침된 활성 탄소로 구성된다. 프레임은 전극을 에워싸며 집전체 표면 상에 둘레를 따라서 배치된다. 배출 메커니즘은 캐패시터 셀 내부로부터 과잉의 유체 물질을 제거한다. 배출 메커니즘은 전극으로부터 새어 나오는 잔류 전해질을 수집하도록 동작하는, 프레임 내에 격실이거나, 프레임 내에 형성되고 전극의 부분 내로 확장하며, 다공성 소수성 물질로 구성되고 전극으로부터 방출된 기체를 EDLC 밖으로 배출하도록 동작하는 모세관일 수 있다.적어도 하나의 캐패시터 셀을 포함하는 전기 이중층 캐패시터(EDLC)에 있어서, 상기 캐패시터 셀은, 그것들의 면들이 나란하게 정렬된 2개의 집전체(current collector)들로서, 상기 집전체들은 도전성 물질을 포함하는 상기 집전체들; 대향 극성의 2개의 전극들로서, 상기 전극들 각각은 각각의 상기 집전체들 상에 배치되고, 상기 전극들은 수용성 전해질(aqueous electrolyte)이 함침된(impregnated) 활성 탄소를 포함하는 것인 상기 2개의 전극들; 상기 전극들 사이에 배치된 격리판으로서, 상기 격리판은 불활성의 전기적 절연 이온-투과성의 물질을 포함하는 상기 격리판; 상기 집전체들 중 적어도 하나의 표면 상에 둘레를 따라 배치되고 상기 전극들을 에워싸는 강성 유전체 프레임; 및 상기 캐패시터 셀의 내부로부터의 과잉의 유체 물질을 제거하도록 동작하는, 상기 프레임 내에 적어도 하나의 배출 메커니즘을 포함하는 전기 이중층 캐패시터.
AQUEOUS-BASED ELECTRIC DOUBLE-LAYER CAPACITORAn electric double-layer capacitor (EDLC) and method for manufacturing thereof. The ELDC includes at least one capacitor cell with two parallel current collectors, two opposite polarity electrodes, a separator, a rigid dielectric frame, and at least one evacuation mechanism. Each electrode is disposed on a respective current collector, and composed of activated carbon impregnated with aqueous electrolyte. The frame is disposed along the perimeter on the surface of a current collector and enclosing the electrodes. The evacuation mechanism removes superfluous fluid material from the capacitor cell interior. The evacuation mechanism may be a compartment in the frame, operative to collect residual electrolyte that seeps out from the electrodes, or a capillary formed within the frame and extending into a portion of the electrode, the capillary composed of a porous hydrophobic material and operative to evacuate discharged gases from the electrodes out of the EDLC.An electric double-layer capacitor (EDLC) comprising at least one capacitor cell, said capacitor cell comprising:two current collectors, aligned with their faces in parallel, said current collectors comprising a conductive material;two electrodes of opposite polarity, each of said electrodes disposed on a respective one of said current collectors, said electrodes comprising activated carbon impregnated with an aqueous electrolyte;a separator, disposed between said electrodes, said separator comprising an inert, electrically-insulating and ion-permeable material;a rigid dielectric frame, disposed along the perimeter on the surface of at least one of said current collectors and enclosing said electrodes; andat least one evacuation mechanism within said frame, said evacuation mechanism operative to remove superfluous fluid material from the interior of said capacitor cell.
600
29142
기어 및 동력전달장치 제조업
특허
ko
en
이중 헬리컬 기어 장치기어축 및 이중 헬리컬 기어가 축선 방향에 대해서 기울어져 버린 경우에도, 이중 헬리컬 기어끼리의 맞물림에 편중이 발생해 버리는 것을 억제할 수 있는 이중 헬리컬 기어 장치를 제공하는 것. 회전축선 방향에서의 일방 측의 제1 기어편 2 b의 뒤틀림각과 타방 측의 제2 기어편 2 a의 뒤틀림각이 상반되는 이중 헬리컬 기어 2와 이중 헬리컬 기어의 일방 측에 마련되는 제1 베어링 4 b와 타방 측에 마련되는 제2 베어링 4 a와 제1 베어링 및 제2 베어링에 지지되고 이중 헬리컬 기어를 회전 가능하게 지지하는 기어축 3를 구비하고, 이중 헬리컬 기어는 회전축 선상에 있어서의 이중 헬리컬 기어의 중심과 제2 베어링과의 거리보다 이중 헬리컬 기어의 중심과 제1 베어링과의 거리가 짧아지는 위치에 배치된다. 이중 헬리컬 기어 장치에 있어서 제2 기어편의 타방 측 측면에는 고기제거부가 마련되어 있는 것을 특징으로 한다.회전축선 방향에서의 일방 측의 제1 기어편의 뒤틀림각과 타방 측의 제2 기어편의 뒤틀림각이 상반되는 이중 헬리컬 기어와 상기 이중 헬리컬 기어의 상기 일방 측에 마련되는 제1 베어링과 상기 타방 측에 마련되는 제2 베어링과 상기 제 1 베어링 및 상기 제 2 베어링에 지지되고 이중 헬리컬 기어를 회전 가능하게 지지하는 기어축를 구비하고, 상기 이중 헬리컬 기어는 상기 회전축 선상의 상기 이중 헬리컬 기어의 중심과 상기 제 2 베어링과의 거리보다 상기 이중 헬리컬 기어의 중심과 상기 제 1 베어링과의 거리가 짧아지는 위치에 배치되는, 이중 헬리컬 기어 장치에 있어서 상기 제 2 기어편의 상기 타방 측의 측면에는 고기제거부가 마련되어 있는 것을 특징으로 하는 이중 헬리컬 기어 장치.
HELICAL GEAR DEVICETo provide a helical gear device which can suppress the generation of deviation in the engagement of helical gears even if a gear shaft and the helical gears are inclined to an axial line direction. A helical gear device comprises: a helical gear 2 in which a torsion angle of a first gear piece 2b at one side in a rotation axial line direction and a torsion angle of a second gear piece 2a at the other side conflict with each other; a first bearing 4b arranged at one side of the helical gear; a second bearing 4a arranged at the other side; and a gear shaft 3 which is supported to the first bearing and the second bearing, and rotatably supports the helical gear. The helical gear is arranged in a position in which a distance between a center of the helical gear and the first bearing becomes shorter than a distance between the center of the helical gear and the second bearing on a rotation axial line. In the helical gear device, a thin-thickness part is arranged at a side face of the second gear piece at the other side.A bevel gear in which the twist angle of the first gear piece on one side and the twist angle of the second gear piece on the other side in the direction of the rotation axis are opposite to each other, and the first bearing provided on the one side of the bevel gear and the said A second bearing provided on the other side, the first bearing, and a gear shaft supported by the second bearing and rotatably supporting the bevel gear are provided, and the bevel gear is on the rotation axis. In the bevel gear device, which is arranged at a position where the distance between the center of the bevel gear and the first bearing is shorter than the distance between the center of the bevel gear and the second bearing, the second A bevel gear device characterized in that a lightening portion is provided on the other side surface of the gear piece.
600
58222
응용 소프트웨어 개발 및 공급업
특허
ko
en
네트워크를 이용한 대리점 개재형 물품 판매 시스템객이 물품을 판매원의 서버에서 직접 구입하고 있도록 느껴짐과 동시에, 대리점 측의 부담이 적다 네트워크를 이용한 대리점 개재형 물품 판매 시스템을 제공한다. 대리점 개재형 물품 판매 시스템 A는 2차원 코드 71을 인쇄한 카드 7을 대리점에서 받아, 그 대리점 고유의 url로 서버 2에 액세스해 등록한 고객이 상품 판매 웹페이지에서 상품을 구입하면, 상품 구입 금액에 랭크에 따른 부여 비율을 건 할인 포인트가 고객에게 부여되고 상품 구입 금액에 소정 비율을 건 값에서 할인 포인트를 공제한 커미션 포인트가 그 고객의 대리점에 주어진다.네트워크에 상시 접속되고 마이크로 컴퓨터로 제어되는 서버의 기억 장치에 자점의 고객 일람, 고객 별 상품 판매 이력, 자점의 상품 구입 이력 및 가게 인증 키 등의 대리점 정보로 구성되는 대리점 데이터 베이스와 주소 이름 등의 개인정보, 손님 인증 키, 소속하는 대리점, 현재의 스테이터스, 상품의 구입 이력 등의 전원의 고객 정보로 구성되는 고객 데이터 베이스와 네트워크를 통해 입력된 키가 상기 대리점 데이터 베이스에 격납된 가게 인증 키와 일치하면, 상기 대리점 데이터 기반이 해당하는 대리점용 마이 페이지로의 액세스를 허가하고 상기 네트워크를 통해 입력된 키가 상기 고객 데이터 베이스에 격납된 손님 인증 키와 일치하면, 상기 고객 데이터 기반이 해당하는 고객용 마이 페이지로의 액세스를 허가하는 인증 수단을 결합한 네트워크를 이용한 대리점 개재형 물품 판매 시스템으로서, 신규의 손님을 자점 고객으로 할 경우에는 신규의 손님에게 자점 고유의 url 를 내장한 2차원 코드를 손님의 단말기에서 판독하게 하고, 그 url 로 상기 서버에 액세스시키고 신규의 손님이 개인정보 및 손님 인증 키를 상기 객의 단말기에서 입력하면, 상기 인증 수단이 고객 데이터 베이스에 신규의 손님 고객용 마이 페이지를 작성함과 동시에, 대리점 데이터 베이스에 자점의 고객으로서 신규의 손님을 추가하는 것을 특징으로 하는 네트워크를 이용한 대리점 개재형 물품 판매 시스템.
AGENCY INTERVENTION TYPE ARTICLE SELLING SYSTEM USING NETWORKTo provide an agency intervention type article selling system in which a customer can feel as if he/she directly purchases an article from a server of a distributor, and the agency side has little burden by using a network. An agency intervention type article selling system A receives a card 7 with a two-dimensional code 71 printed from an agency. When a customer who accesses a server 2 with a URL unique to the agency to make registration purchases merchandise from a merchandise sales web page, discount points obtained by multiplying a merchandise purchase amount by an application ratio corresponding to a rank are given to the customer, and commission points obtained by subtracting the discount points from a value obtained by multiplying the merchandise purchase amount by a prescribed ratio are given to the agency of the customer.A server storage device controlled by a microcomputer and controlled by a microcomputer, a memory device controlled by a microcomputer, a product sales history for each customer, a product purchase history of one store, and agency information such as a store certification key, etc. Customer data base consisting of all customer information such as store data base and address name, customer information, which belongs to the current status, purchase history of goods, and keys entered through the network If it matches the store provision key stored in the distributor database, the key data based on the appropriate agent for the agency database and the key entered via the network is the customer data If it matches the customer-based custom service, it is a distributor-mediated article sales system using a network that incorporates an authentication means that permits access to the corresponding customer's personalized customer pages If new customers are customers, new customers can read the two-dimensional code embedded in their own store-specific URL from the customer's terminal, access the server with that URL, and new customers If you enter personal information and customer authentication keys from the customer's terminal, the authentication unit creates a new customer's customer paign on the customer database, and the customers of the distributor database as a customer A distributor-mediated article sales system using a network characterized by adding them.
600
22232
포장용 플라스틱 성형용기 제조업
특허
ko
en
복실 용기배출 포트를 통상은 폐쇄하여 두고, 약제 백 개통 시에 약제 백의 변형과 연동하여 배출 포트를 개방시키는 복실 용기에 관한 것으로, 격벽 개통 시의 배출 포트의 개통을 보다 확실화하는 것을 목적으로 한다. 약제 백(10) 외주의 강시일부(14-1)에 강고하게 용착되는 배출 포트 기부(12-1)로부터 직사각형 단면부(12-6)가 일체로 약제 백 내부에 연장되어 돌출된다. 직사각형 단면부(12-6)의 상면은 U자형 홈을 형성하고, 그 저면(30')이 취약부가 된다. 직사각형 단면부(12-6)의 상벽에 있어서의 U자형 홈(30)에 약제 백 대향면이 포인트 시일(34)로써 강고하게 용착된다. 약제 백 개통 시의 팽창은 부위(33)에 외력을 가하고, 취약부(30')에서 파괴되고, 부위(33)는 풀탭(pull-tab)식으로 회동되고, 약제 백 내부를 배출 포트 내부에 연통시키는 개구(36)가 미개통 시 부위(33)가 차지하고 있던 배출 포트의 부위에 형성된다.가요성 필름으로 형성된 약제 백과, 약제의 배출을 위해 약제 백에 장착된 배출 포트와, 약제 백 내부를 각각의 약제의 수납을 위한 복수의 격실로 분리하고, 각각의 격실에 수납된 약제의 혼합을 위해 약제 백에 외부로부터 인가되는 가압력에 의해 박리 개통되도록 약제 백의 대향 내면을 용착하여 구성되는 격벽과, 상기 배출 포트에 있어서의 약제 백 내부를 향한 연장 돌출 부위에 설치되고, 배출 포트를 약제 백 내부에 대하여 통상 상태에 있어서 실질적으로 폐쇄하는 밀봉 부재를 구비하고, 밀봉 부재는 약제 백의 대향면에 연결되어, 격벽의 박리 개통 시의 약제 백의 확장 개방에 연동한 외력에 의해 밀봉 부재는 개방되고, 미개통 시에 밀봉 부재가 점유하고 있던 배출 포트의 부위가 그대로 약제 백 내부를 배출 포트에 연통시키는 개구부가 되는 복실 용기.
DOUBLE-CHAMBER CONTAINERA multi-chamber container having an outlet port, which is usually closed and is opened in cooperation with an expanded deformation of the bag upon the opening of the medical bag and aiming to obtain more reliable opening of the medical bag upon the separation of the partition wall. The outlet port firmly welded to a strong seal 14-1 at an outer periphery has a base portion 12-1, from which a rectangular cross-sectional shaped portion 12-6 integrally extends. The rectangular cross-sectional shaped portion 12-6 has, at its top surface, a U-shaped groove, the bottom surface 30 of which functions as a weak portion 30'. To a portion 33 inward from the U-shaped groove 39 at the top wall of the rectangular cross-sectional shaped portion 12-6, the opposed surface of the medical bag is firmly welded by a point seal 34. An expansion of the medical bag upon its opening generates an outside force, resulting in a breakage at the weak portion 30', so that a rotating movement of the portion 33 under a pull-tab manner is generated. As a result, an opening 36 for a communication of the inside of the medical bag to the inside of the outlet port is formed at the location of the outlet port occupied by the portion 33 prior to the formation of the opening 36.A multi-chamber container comprising: a medical bag made of flexible film; an outlet port mounted to the medical bag for discharging medicines; a partition wall for dividing the inside of the medical bag into compartments for storage of respective medicines therein, said partition wall being formed by welding opposed inner surfaces of the medical bag in a manner that the welded portion is separated by a pressing force applied to the medial bag from its outside for causing the medicines stored in the respective compartments to be mixed with each other, said outlet port having a portion extending inwardly to the medial bag, and; a closure member mounted to said inwardly extended portion of the outlet port and closing substantially the outlet port to the inside of the medical bag at the normal condition, said closure member being connected to the opposed surface of the medical bag, said closure member being opened by an outside force which is generated in cooperation with the expansion of the medical bag as obtained when the partition wall is separated and opened in a manner that a portion of the outlet port as occupied by the closure member during non-opened condition becomes, per se, an opening for causing the outlet port to communicate with the inside of the medical bag.
601
26600
마그네틱 및 광학 매체 제조업
특허
ko
en
한정 작동 광학 저장 매체 및 그의 제조 방법 한 실시태양에서, 한정 작동 광학 데이터 저장 매체는 반사 층(8), 광학 투명 중합체 수지 및 광 흡수 물질을 포함하는 조절부, 및 반사 층(8)과 조절부 사이에 배치되는 반응 층(9)을 포함하며, 이 때 상기 조절부는 650nm에서 약 70% 이상의 광 투과율, 약 0.1 이상의 경화 지수 및 약 2.5 이상의 여과 지수를 갖고, 광 흡수 물질은 1,500몰-1·cm-1·L 이상의 600nm에서의 최소 흡광도 계수(CH2Cl2 용액 중에서 측정됨), 약 1,000몰-1·cm-1·L 미만의 650nm에서의 최대 흡광도 계수(CH2Cl2 용액 중에서 측정됨), 약 0.1 미만의 650nm에서의 흡광도 계수 대 600nm에서의 흡광도 계수의 비를 가지며, 반응 층(9)은 산소에 노출된 후 매체 상의 데이터(반응 층(9)의 조절부 반대쪽 면 상에 배치됨)에 접근할 수 있는 시간을 한정하도록 디자인된다. 반사 층(8), 광학 투명 중합체 수지 및 광 흡수 물질을 포함하는 조절부, 및 반사 층(8)과 조절부 사이에 배치되는 반응 층(9)을 포함하며, 이 때 상기 조절부가 650nm에서 약 70% 이상의 광 투과율, 약 0.1 이상의 경화 지수 및 약 2.5 이상의 여과 지수를 갖고, 광 흡수 물질이 1,500몰-1·cm-1·L 이상의 600nm에서의 최소 흡광도 계수(CH2Cl2 용액 중에서 측정됨), 약 1,000몰-1·cm-1·L 미만의 650nm에서의 최대 흡광도 계수(CH2Cl2 용액 중에서 측정됨), 약 0.1 미만의 650nm에서의 흡광도 계수 대 600nm에서의 흡광도 계수의 비를 가지며, 반응 층(9)이 산소에 노출된 후 매체 상의 데이터(반응 층(9)의 조절부 반대쪽 면 상에 배치됨)에 접근할 수 있는 시간을 한정하도록 디자인되는, 한정 작동 광학 데이터 저장 매체.
LIMITED PLAY OPTICAL STORAGE MEDIUM, METHOD FOR MAKING THE SAMEIn one embodiment, a limited play optical storage medium for data comprises: a reflective layer (8), a control portion comprising an optically transparent polymeric resin and a light absorbing material, wherein the control portion has a light transmission of greater than or equal to about 70% at 650 nm, a curing index of greater than or equal to about 0.1 and a filtration index of greater than or equal to about 2.5, and wherein the light absorbing material has a minimum extinction coefficient (measured in CH2 C12 solution) at 600 nm of greater than or equal to 1,500 mol-1 cm-1 L, a maximum extinction coefficient (measured in CH2 C12 solution) at 650 nm of less than about 1,000 mol-1 cm-1 L, a ratio of extinction coefficient at 650 nm to 600 nm less than about 0.1, and a reactive layer (9) disposed between the reflective layer (8) and the control portion, wherein the reactive layer (9) is designed to limit the time during which data on the medium (disposed on a side of the reactive layer (9) opposite the control portion), can be accessed after exposure to oxygen.A limited play optical storage medium for data, comprising:a reflective layer (8);a control portion comprising an optically transparent polymeric resin and a light absorbing material, wherein the control portion has a light transmission of greater than or equal to about 70% at 650 nm, a curing index of greater than or equal to about 0.1 and a filtration index of greater than or equal to about 2.5, and wherein the light absorbing material has a minimum extinction coefficient (measured in CH C12 solution) at 600 nm of greater than or equal to 1,500 mol'1 -cm'1 -L, a maximum extinction coefficient (measured in CH C1 solution) at 650 nm of less than about 1,000 mol'1 -cm'1 -L, a ratio of extinction coefficient at 650 nm to 600 nm less than about 0.1; anda reactive layer (9) disposed between the reflective layer (8) and the control portion, wherein the reactive layer (9) is designed to limit the time during which data on the medium (disposed on a side of the reactive layer (9) opposite the control portion), can be accessed after exposure to oxygen.
601
29299
그 외 기타 특수 목적용 기계 제조업
특허
ko
en
기판 이송 장치제 1 단부 및 회전 중심 축을 구비하는 중심체를 가지는 웨이퍼 처리기가 제공된다. 제 1 웨이퍼를 지지하는 제 1 단부 작동기는 중심체와 제 1 단부 작동기 사이에 제 1 회전 축을 형성하기 위해 중심체의 제 1 단부에 회전식으로 결합된다. 선택적으로, 제 2 웨이퍼를 지지하는 제 2 단부 작동기는 중심체와 제 2 단부 작동기 사이에 제 2 회전 축을 형성하기 위해 중심체의 제 2 단부에 회전식으로 결합된다. 중심체가 제 1 각 거리에 걸쳐 제 1 방향으로 회전 중심 축을 중심으로 회전될 때, 제 1 단부 작동기가 제 1 회전 축을 중심으로 회전되고 이와 동시에, 선택적 제 2 단부 작동기가 제 2 회전 축을 중심으로 회전된다. 양 단부 작동기 모두는 제 1 각 거리보다 큰 제 2 각 거리에 걸쳐 회전된다. 단부 작동기 중 하나 이상은 무 포켓식일 수 있다.제 1 단부, 제 2 단부 및 회전 중심축을 가지는 중심체, 제 1 단부 작동기로서, 상기 중심체와 상기 제 1 단부 작동기 사이에 제 1 회전 축을 형성하도록 상기 중심체의 제 1 단부에 회전식으로 결합되어 제 1 웨이퍼를 지지하는 제 1 단부 작동기, 제 2 단부 작동기로서, 상기 중심체와 상기 제 2 단부 작동기 사이에 제 2 회전 축을 형성하도록 상기 중심체의 제 2 단부에 회전식으로 결합되어 제 2 웨이퍼를 지지하는 제 2 단부 작동기, 상기 중심체, 상기 제 1 단부 작동기 및 상기 제 2 단부 작동기에 결합되고 상기 회전 중심축을 중심으로 하여 제 1 방향으로 제 1 각 거리에 걸쳐 상기 중심체를 회전시키며, 반면에 상기 제 1 각 거리보다 큰 제 2 각 거리에 걸쳐 상기 제 2 회전 축을 중심으로 상기 제 2 단부 작동기를 회전시키고 이와 동시에 상기 제 1 회전 축을 중심으로 상기 제 1 단부 작동기를 회전시키는 구동 기구를 포함하는, 웨이퍼 처리기.
Substrate transfer apparatusA wafer handler having a central body with a first end and a central axis of rotation is provided. A first end effector, adapted to support a first wafer, is rotatably coupled to the first end of the central body so as to define a first axis of rotation between the central body and the first end effector. Optionally, a second end effector adapted to support a second wafer is rotatably coupled to the second end of the central body so as to define a second axis of rotation between the central body and the second end effector. When the central body is rotated about the central axis of rotation in a first direction over a first angular distance, the first end effector simultaneously rotates about the first axis of rotation and the optional second end effector rotates about the second axis of rotation. Both end effectors are rotated over a second angular distance that is greater than the first angular distance. One or more of the end effectors may be pocketless. A wafer handler comprising: a central body having a first end, a second end and a central axis of rotation; a first end effector adapted to support a first wafer; and rotatably coupled to the first end of the central body so as to define a first axis of rotation between the central body and the first end effector, a second end effector adapted to support a second wafer and rotatably coupled to the second end of the central body so as to define a second axis of rotation between the central body and the second end effector, a drive mechanism coupled to the central body, the first end effector and the second end effector and adapted to rotate the central body about the central axis of rotation in a first direction over a first angular distance while simultaneously rotating the first end effector about the first axis of rotation and the second end effector about the second axis of rotation over a second angular distance that is greater than the first angular distance.
601
20111
석유화학계 기초 화학 물질 제조업
특허
ko
en
방향족 탄화수소의 제조 방법본 방향족 탄화수소의 제조 방법에서는 10용량% 유출 온도가 140℃ 이상이며 90용량% 유출 온도가 380℃ 이하인 원료유를, 결정성 알루미노실리케이트를 함유하는 단환 방향족 탄화수소 제조용 촉매에 접촉시키고 반응시켜, 탄소수 6 내지 8의 단환 방향족 탄화수소 및 탄소수 9 이상의 중질 증류분을 포함하는 생성물을 얻는 분해 개질 반응 공정과, 분해 개질 반응 공정에서 얻어진 생성물로부터 탄소수 6 내지 8의 단환 방향족 탄화수소와 탄소수 9 이상의 중질 증류분을 각각 분리하는 분리 공정과, 분리 공정에서 분리된 탄소수 6 내지 8의 단환 방향족 탄화수소를 정제하여 회수하는 정제 회수 공정과, 분리 공정에서 분리된 탄소수 9 이상의 중질 증류분으로부터 나프탈렌류를 분리하여 회수하는 나프탈렌 회수 공정을 갖는다.방향족 탄화수소의 제조방법이며, 10용량% 유출 온도가 140℃ 이상이며 90용량% 유출 온도가 380℃ 이하인 원료유를, 결정성 알루미노실리케이트를 함유하는 단환 방향족 탄화수소 제조용 촉매에 접촉시키고 반응시켜, 탄소수 6 내지 8의 단환 방향족 탄화수소 및 탄소수 9 이상의 중질 증류분을 포함하는 생성물을 얻는 분해 개질 반응 공정과,상기 분해 개질 반응 공정에서 얻어진 생성물로부터 탄소수 6 내지 8의 단환 방향족 탄화수소와 탄소수 9 이상의 중질 증류분을 각각 분리하는 분리 공정과,상기 분리 공정에서 분리된 탄소수 6 내지 8의 단환 방향족 탄화수소를 정제하여 회수하는 정제 회수 공정과,상기 분리 공정에서 분리된 탄소수 9 이상의 중질 증류분으로부터 적어도 나프탈렌을 포함하는 나프탈렌류를 분리하여 회수하는 나프탈렌 회수 공정을 갖는 것을 특징으로 하는, 방향족 탄화수소의 제조 방법.
METHOD FOR PRODUCING AROMATIC HYDROCARBONSDisclosed is a method for producing aromatic hydrocarbons including a cracking reforming reaction step of bringing a feedstock having a 10 vol % distillation temperature of 140° C. or higher and a 90 vol % distillation temperature of 380° C. or lower, into contact with a catalyst for monocyclic aromatic hydrocarbon production containing a crystalline aluminosilicate to cause the feedstock to react with the catalyst, and thereby obtaining a product including monocyclic aromatic hydrocarbons having 6 to 8 carbon numbers and a heavy oil fraction having 9 or more carbon numbers; a step of separating the monocyclic aromatic hydrocarbons and the heavy oil fraction from the product obtained from the cracking reforming reaction step; a step of purifying the monocyclic aromatic hydrocarbons separated in the separating step, and collecting the hydrocarbons; and a step of separating naphthalene compounds from the heavy oil fraction separated in the separating step, and collecting the naphthalene compounds.A method for producing aromatic hydrocarbons, the method comprising the steps of: bringing a feedstock having a 10 vol % distillation temperature of 140° C. or higher and a 90 vol % distillation temperature of 380° C. or lower, into contact with a catalyst for monocyclic aromatic hydrocarbon production containing a crystalline aluminosilicate to cause the feedstock to react with the catalyst, and thereby obtaining a product including monocyclic aromatic hydrocarbons having 6 to 8 carbon numbers and a heavy oil fraction having 9 or more carbon numbers;separating respectively the monocyclic aromatic hydrocarbons having 6 to 8 carbon numbers and the heavy oil fraction having 9 or more carbon numbers from the product obtained from the cracking reforming reaction step;purifying the monocyclic aromatic hydrocarbons having 6 to 8 carbon numbers thus separated in the separation step, and collecting the monocyclic aromatic hydrocarbons having 6 to 8 carbon numbers; andseparating naphthalene compounds that include at least naphthalene, from the heavy oil fraction having 9 or more carbon numbers thus separated in the separation step, and collecting the naphthalene compounds.
602
26299
그 외 기타 전자 부품 제조업
특허
ko
en
중간 돌파 처리와 함께 하이브리드 레이저 스크라이빙 및 플라즈마 에칭 접근 방식을 사용한 웨이퍼 다이싱각각의 웨이퍼가 복수의 집적 회로들을 갖는, 반도체 웨이퍼들을 다이싱하는 방법들이 설명된다. 일례로, 복수의 집적 회로들을 갖는 반도체 웨이퍼를 다이싱하는 방법은 반도체 웨이퍼 위에 마스크를 형성하는 단계를 수반하며, 마스크는 집적 회로들을 덮고 보호하는 층을 포함한다. 마스크는 갭들이 있는 패터닝된 마스크를 제공하도록 레이저 스크라이빙 프로세스로 패터닝되어, 집적 회로들 사이의 반도체 웨이퍼의 구역들을 노출시킨다. 마스크를 패터닝한 후, 돌파 처리가 수행되는데, 돌파 처리는 첫 번째 물리적 충격 동작, 두 번째 반복적인 등방성 및 방향성 플라즈마 에칭 동작, 및 세 번째 방향성 돌파 동작을 포함한다. 돌파 처리를 수행한 후, 반도체 웨이퍼는 패터닝된 마스크의 갭들을 통해 플라즈마 에칭되어 집적 회로들을 싱귤레이트한다.복수의 집적 회로들을 포함하는 반도체 웨이퍼를 다이싱(dice)하는 방법으로서,상기 반도체 웨이퍼 위에 마스크를 형성하는 단계 ― 상기 마스크는 상기 집적 회로들을 덮고 보호하는 층을 포함함 ―;갭들이 있는 패터닝된 마스크를 제공하도록 상기 마스크를 레이저 스크라이빙(scribing) 프로세스로 패터닝하여, 상기 집적 회로들 사이의 상기 반도체 웨이퍼의 구역들을 노출시키는 단계;상기 마스크를 패터닝한 후, 돌파(breakthrough) 처리를 수행하는 단계 ― 상기 돌파 처리는 첫 번째 물리적 충격 동작, 두 번째 반복적인 등방성 및 방향성 플라즈마 에칭 동작, 및 세 번째 방향성 돌파 동작을 포함함 ―; 및상기 돌파 처리를 수행한 후, 상기 집적 회로들을 싱귤레이트(singulate)하도록, 상기 패터닝된 마스크의 갭들을 통해 상기 반도체 웨이퍼를 플라즈마 에칭하는 단계를 포함하는,복수의 집적 회로들을 포함하는 반도체 웨이퍼를 다이싱하는 방법.
WAFER DICING USING HYBRID LASER SCRIBING AND PLASMA ETCH APPROACH WITH INTERMEDIATE BREAKTHROUGH TREATMENTMethods of dicing semiconductor wafers, each wafer having a plurality of integrated circuits, are described. In an example, a method of dicing a semiconductor wafer having a plurality of integrated circuits involves forming a mask above the semiconductor wafer, the mask including a layer covering and protecting the integrated circuits. The mask is patterned with a laser scribing process to provide a patterned mask with gaps, exposing regions of the semiconductor wafer between the integrated circuits. Subsequent to patterning the mask, a breakthrough treatment is performed, the breakthrough treatment comprising a first physical bombardment operation, a second iterative isotropic and directional plasma etch operation, and a third directional breakthrough operation. Subsequent to performing the breakthrough treatment, the semiconductor wafer is plasma etched through the gaps in the patterned mask to singulate the integrated circuits.A method of dicing a semiconductor wafer comprising a plurality of integrated circuits, the method comprising:forming a mask over the semiconductor wafer, the mask comprising a layer covering and protecting the integrated circuits;patterning the mask with a laser scribing process to provide a patterned mask with gaps, exposing regions of the semiconductor wafer between the integrated circuits;performing a strike through process after patterning the mask, the strike through process comprising a first physical bombardment operation, a second iterative isotropic and directional plasma etch operation, and a third directional strike through operation; andafter the breakthrough process is performed, the semiconductor wafer is plasma etched through the gaps in the patterned mask to singulate the integrated circuits.
602
29141
구름베어링 제조업
특허
ko
en
슬라이딩 부재Bi 또는 Bi 합금을 포함하여 이루어지는 Bi계 입자(14)들을 함유하는 오버레이층(13)을 구비한 슬라이딩 부재가 개시되어 있다. 상기 Bi계 입자(14)들의 장축을 X라고 하고, 단축을 Y라고 하며, 종횡비 Z = X÷Y라고 한다. 상술된 Bi계 입자들은 Z<2을 충족시키는 제1의 Bi계 입자들, 2≤Z<3을 충족시키는 제2의 Bi계 입자들, 또는 3≤Z를 충족시키는 제3의 Bi계 입자들 중 어느 하나로서 분류된다. Bi계 입자들의 총입자수에 대하여, 제1의 Bi계 입자들의 비율을 a% 라고 하고, 제2의 Bi계 입자들의 비율을 b% 라고 하며, 제3의 Bi계 입자들의 비율을 c% 라고 하고, a÷b = d, 및 a÷c = e 라고 하면, 상기 슬라이딩 부재는 a≥30, 0.5≤d≤6.0, 및 0.5≤e≤6.0을 충족시킨다.슬라이딩 부재로서,베이스; 및상기 베이스 상의 오버레이층을 포함하여 이루어지되, 상기 오버레이층은 Bi 또는 Bi 합금으로 이루어지는 Bi계 입자들을 포함하고, 상기 Bi계 입자들은 장축 및 상기 장축의 중점에서 상기 장축에 직교하는 단축을 구비하며,상기 장축의 길이가 X로 표현되고, 상기 단축의 길이가 Y로 표현되며, 종횡비 Z는 X/Y로 정의되는 경우, 상기 Bi계 입자들은, Z<2를 충족시키는 제1의 Bi계 입자들, 2≤Z<3을 충족시키는 제2의 Bi계 입자들, 및 3≤Z를 충족시키는 제3의 Bi계 입자들로 분류되고,상기 Bi계 입자들의 총입자수에 대한 상기 제1의 Bi계 입자들의 입자수의 비율이 a%로 표현되고, 상기 제2의 Bi계 입자들의 입자수의 비율은 b%로 표현되며, 상기 제3의 Bi계 입자들의 입자수의 비율은 c%로 표현되고, a/b는 d로 정의되며, a/c는 e로 정의되는 경우,a≥30,0.5≤d≤6.0, 및0.5≤e≤6.0가 충족되는 슬라이딩 부재.
SLIDING MEMBERDisclosed is a sliding member having an overlay layer (13) containing Bi-based particles (14) comprising Bi or a Bi alloy. The long axis of the Bi-based particles (14) is considered to be X, the short axis Y, and the aspect ratio Z=X÷Y. The aforementioned Bi-based particles are classified as one of either first Bi-based particles that satisfy Z<2, second Bi-based particles that satisfy 2≦Z<3, or third Bi-based particles that satisfy 3≦Z. With respect to the total number of Bi-based particles, considering the proportion that are first Bi-based particles to be a%, the proportion that are second Bi-based particles to be b%, the proportion that are third Bi-based particles to be c%, a÷b=d, and a÷c=e, the sliding member satisfies: a≧30, 0.5≦d≦6.0, and 0.5≦e≦6.0.A sliding member comprising: a base; andan overlay layer on the base, the overlay layer including Bi-based particles consisting of Bi or a Bi alloy, the Bi-based particles having a major axis and a minor axis orthogonal to the major axis at a midpoint of the major axis,wherein when a length of the major axis is expressed by X, and a length of the minor axis is expressed by Y, and an aspect ratio Z is defined by X/Y, the Bi-based particles are classified into first Bi-based particles satisfying Z<2, second Bi-based particles satisfying 2≦Z<3, and third Bi-based particles satisfying 3≦Z, andwherein when a ratio of a number of the first Bi-based particles in relation to a total number of the Bi-based particles is expressed by a%, a ratio of a number of the second Bi-based particles is expressed by b%, a ratio of a number of the third Bi-based particles is expressed by c%, a/b is defined as d, and a/c is defined as e,a≧30,0.5≦d≦6.0, and0.5≦e≦6.0are satisfied.
602
58222
응용 소프트웨어 개발 및 공급업
특허
ko
en
설계 정보 관리 시스템, 설계 정보 관리 방법 및 프로그램복수사람이 협동하고 설계를 하는 것을 용이하게 하는 설계 정보 관리 시스템, 설계 정보 관리 방법 및 프로그램을 제공한다. 설계 정보 관리 시스템 1의 서버 10은 액세스 가부 판정부 13과 설계 정보 편집부 14와 그룹 정보 저장부 15와 그룹 설계 정보 저장부 17를 구비한다. 액세스 가부 판정부 13은 그룹 설계 정보 갱신 요구를 전송한 사용자가 그룹 설계 정보에 대응하는 그룹에 소속하는 것을 그룹 정보 저장부 15에 저장된 그룹 정보가 나타낼 경우에는 액세스를 허용한다고 판정한다. 설계 정보 편집부 14는 액세스 가부 판정부 13이 액세스를 허용한다고 판정한 경우에만 그룹 설계 정보 갱신 요구에 따라 그룹 설계 정보 저장부 17에 저장된 그룹 설계 정보를 업데이트한다.그룹에 소속하는 사용자만이 액세스 가능한 설계 정보인 그룹 설계 정보를 기억하는 그룹 설계 정보 저장 수단과 그룹과 사용자와의 연결을 나타내는 그룹 정보를 기억하는 그룹 정보 저장 수단과 사용자로부터 상기 그룹 설계 정보 저장 수단에 저장된 그룹 설계 정보를 업데이트하는 요구인 그룹 설계 정보 갱신 요구와 상기 그룹 설계 정보 갱신 요구를 전송한 사용자를 특정하는 사용자 특정 정보를 수신하는 수신 수단과 상기 사용자 특정 정보가 특정하는 사용자와 상기 그룹 설계 정보 갱신 요구의 대상인 그룹 설계 정보에 대응하는 그룹가 관련 붙어 있는 것을 상기 그룹 정보가 나타낼 경우에는 액세스를 허용한다고 판정해, 상기 사용자 특정 정보가 특정하는 사용자와 상기 그룹 설계 정보에 대응하는 그룹가 관련 붙지 않은 것을 나타낼 경우에는 액세스를 허용하지 않다고 판정하는 제1 액세스 가부 판정 수단과 상기 제 1 액세스 가부 판정 수단이 액세스를 허용한다고 판정한 경우에만 상기 수신 수단이 수신한 그룹 설계 정보 갱신 요구에 따라 상기 그룹 설계 정보 저장 수단에 저장된 그룹 설계 정보를 업데이트하는 그룹 설계 정보 업데이트 수단을 구비하는, 설계 정보 관리 시스템.
DESIGN INFORMATION MANAGING SYSTEM, DESIGN INFORMATION MANAGING METHOD, AND PROGRAMTo provide a design information managing system, a design information managing method, and a program which facilitate designing by cooperation of a plurality of persons. A server 10 of a design information managing system 1 comprises an access allowability determination unit 13, a design information editing unit 14, a group information storage unit 15, and a group design information storage unit 17. The access allowability determination unit 13 determines that access is permitted when it is indicated by group information stored in the group information storage unit 15 that a user having transmitted a group design information update request belongs to a group that corresponds to group design information. The design information editing unit 14 updates the group design information stored in the group design information storage unit 17 in accordance with the group design information update request, only when it is determined by the access allowability determination unit 13 that access is permitted.Group information storage means for storing group design information that stores group design information which is design information that can be accessible only by the user who belongs to the group, group information storage means for storing group information representing association with the user, and the group from the user. A group design information update request, which is a request for updating group design information stored in the design information storage means, and user specific information for specifying the user who has transmitted the group design information update request, and the receiving means for receiving the If the group information indicates that the user specifying the user identified by the user identification information is associated with the group design information that is the target of the group design information update request, it is determined that the group information is permitted, and A first access availability determination means for determining that the user identified by the user specifying information and the group corresponding to the group design information is not associated, and the first access availability determination means for determining that the access is not permitted, and the first If the access availability determination unit is determined to permit access, group design information that updates group design information stored in the group design information storage means according to the group design information update request received by the receiving means Design information management system comprising: update means.
602
22299
그 외 기타 플라스틱 제품 제조업
특허
ko
en
성형 쌀밥 식품 포장용 시트 및 포장 부착 성형 쌀밥 식품포장 부착 성형 쌀밥 식품에 사용하는 시트체 내의 시트상 식품이 습기것을 방지한다.단수방향으로 2 분할하기 위한 분단 수단을 가지는 표측 필름 10에 단수방향으로 2 분할한 한 쌍의 필름편 12, 14에 의해 표측 필름의 전부 또는 일부를 덮음과 동시에 표측 필름의 분단 수단에 위치하는 부분에 필름편끼리의 겹침부를 마련한 배측 필름을 중첩해 배측 필름의 필름편끼리의 겹침부를 제외한 표측 필름과 배측 필름의 양측끼리를 접합함으로써 내부에 시트상 식품 N를 수용하기 위한 봉투부를 구성하는 성형 쌀밥 식품을 포장하기 위한 시트체 1에 있어서 배측 필름의 한 쌍 필름편의 중복 부분에서 배측 필름의 한 쌍 필름 한쪽 끝의 각각에 띠형편 15, 17을 일단이 개구해 간극 16, 18을 구성하도록 고착하고, 상기의 서로의 간극에 서로의 필름 한쪽 끝을 삽입한다.단수방향으로 2 분할하기 위한 분단 수단을 가지는 표측 필름에 단수방향으로 2 분할한 한 쌍의 필름편에 의해 표측 필름의 전부 또는 일부를 덮음과 동시에 표측 필름의 분단 수단에 위치하는 부분에 필름편끼리의 겹침부를 마련한 배측 필름을 중첩해 배측 필름의 필름편끼리의 겹침부를 제외한 표측 필름과 배측 필름의 양측끼리를 접합함으로써 내부에 시트상 식품을 수용하기 위한 봉투부를 구성하는 성형 쌀밥 식품을 포장하기 위한 시트체에 있어서 배측 필름의 한 쌍 필름편의 중복 부분에서 배측 필름의 한 쌍 필름 한쪽 끝의 각각에 띠형편을 일단이 개구하고 간극을 구성하도록 고착하고, 상기의 서로의 간극에 서로의 필름 한쪽 끝을 삽입함으로써 봉투부의 기밀성을 향상시킨 것을 특징으로 하는 성형 쌀밥 식품 포장용 시트체.
FORMED RICE FOOD WRAPPING SHEET BODY AND FORMED RICE FOOD WITH WRAPPERTo prevent a sheet-shaped food in a sheet body used for a formed rice food with a wrapper from being dampened. The sheet body 1 for wrapping a formed rice food is formed by superposing a rear side film covering part of or the whole of a front side film with a pair of film pieces 12, 14 split into two in the short side direction and having a film-piece/film-piece overlapping part at the position corresponding to a splitting means provided of the front side film on the front side film 10 provided with the splitting means for splitting in the short side direction and bonding the front side film excluding the film-piece/film-piece overlapping part of the rear side film and both sides of the rear side film with one another to form a bag part for accommodating the sheet-shaped food N. In the film-piece/film-piece overlapping part of the rear film, strips 15, 17 are opened at the one ends of the paired rear film pieces of the rear film to form gaps 16, 18, into which the one ends of the pack film pieces are inserted.A front side film having a dividing means for dividing into two in the lateral direction is covered with a pair of film pieces divided in two in the lateral direction to cover all or a part of the front side film, and a film is located at a position located in the dividing means of the front side film. A bag for accommodating sheet-like food inside by stacking back side films provided with overlapping parts of one piece and joining both sides of the front side film and back side film excluding the overlapping part of the film pieces of the back side film. In a sheet body for packaging molded rice foods constituting a portion, one end of a band-shaped piece is opened at each end of a pair of film pieces of the back side film at an overlapping portion of a pair of film pieces of the back side film to form a gap. A sheet body for molded rice and rice food packaging, which is characterized in that the airtightness of the bag portion is improved by inserting one end of each film into the above-mentioned gap between the two films.
603
28119
기타 전기 변환장치 제조업
특허
ko
en
DC-DC 컨버터DC-DC 컨버터는, 권선(11)과 권선(12)을 가지는 결합 트랜스, 직류 전원(Vi)의 양단에 각 권선을 통해서 접속되는 스위치(Tr1, Tr2), 각 스위치의 양단에 접속되는 다이오드와 평활 콘덴서의 직렬 회로, 스위치(Tr1)와 스위치(Tr2)를 교대로 턴온시키는 동시에 1/2 주기마다 소정의 중복 기간만 스위치(Tr1)와 스위치(Tr2)를 동시에 온시키는 제어 회로(100)를 가진다. 더하여, 결합 트랜스(1)는 I 형상 코어(4)를 두 개의 E 형상 코어(2, 3)의 사이에 두고, 각 E 형상 코어(2, 3)의 중앙 다리(2a, 3a)와 I 형상 코어(4)의 사이에 갭부(5)를 마련하고, I 형상 코어(4)에 권선(11) 및 권선(12)을 감았다.제1 권선과 제2 권선을 가지는 결합 트랜스;직류 전원의 양단에 상기 제1 권선을 통해서 접속되는 제1 스위치;상기 직류 전원의 양단에 상기 제2 권선을 통해서 접속되는 제2 스위치;상기 제1 스위치의 양단에 접속되어 제1 다이오드와 평활 콘덴서로 이루어지는 제1 직렬 회로;상기 제2 스위치의 양단에 접속되어 제2 다이오드와 상기 평활 콘덴서로 이루어지는 제2 직렬 회로;상기 제1 스위치와 상기 제2 스위치를 교대로 턴온시키는 동시에, 1/2 주기마다 소정의 중복 기간만 상기 제1 스위치와 상기 제2 스위치를 동시에 온시키는 제어 회로를 포함하고,상기 결합 트랜스는, I 형상 코어를 두 개의 E 형상 코어가 서로 마주 보도록 사이에 두고, 일방의 E 형상 코어의 중앙 다리와 I 형상 코어의 사이에 제1 갭부를 마련하고, 타방의 E 형상 코어의 중앙 다리와 I 형상 코어의 사이에 제2 갭부를 마련하고, I 형상 코어에 상기 제1 권선 및 상기 제2 권선을 감아 구성한 것을 특징으로 하는,DC-DC 컨버터.
DC-DC CONVERTERA DC-DC converter includes a coupling transformer that has windings 11 and 12, switches (Tr1, Tr2) that are connected through the windings to both ends of a DC power source Vi, a series circuit that is connected to both ends of each of the switches and includes a diode and a smoothing capacitor, and a controller 100 that alternately turns on the switches Tr1 and Tr2 and simultaneously turns on the switches Tr1 and Tr2 for a predetermined overlapping period on every half cycle. The coupling transformer 1 includes an I-shaped core 4, two E-shaped cores 2 and 3 holding the I-shaped core 4 between them, and a gap 5 formed between each of center legs 2a and 3a of the E-shaped cores 2 and 3 and the I-shaped core 4. The windings 11 and 12 are wound around the I-shaped core 4. A DC-DC converter comprising: a coupling transformer having a first winding and a second winding;a first switch connected through the first winding to both ends of a DC power source;a second switch connected through the second winding to the both ends of the DC power source;a first series circuit connected to both ends of the first switch and including a first diode and a smoothing capacitor;a second series circuit connected to both ends of the second switch and including a second diode and the smoothing capacitor; anda controller alternately turning on the first and second switches and simultaneously turning on the first and second switches for a predetermined overlapping period on every half cycle, whereinthe coupling transformer has an I-shaped core and two E-shaped cores that hold the I-shaped core therebetween;a first gap is formed between a center leg of one of the E-shaped cores and the I-shaped core;a second gap is formed between a center leg of the other E-shaped core and the I-shaped core; andthe first and second windings are wound around the I-shaped core.
603
58221
시스템 소프트웨어 개발 및 공급업
특허
ko
en
계층적 프로파일 스케줄링 및 쉐이핑 여러가지 예시적 실시예는, 네트워크 노드에 의해, 네트워크 노드의 포트가 패킷을 수신할 준비가 되었는지 판정하는 것과, 복수의 인터페이스를 통해 수신된 복수의 패킷 중에서 최고 패킷 우선순위를 갖는 패킷을 식별하는 것 - 식별하는 것은, 제 1 계층적 레벨에서 복수의 구성요소 각각에 대해, 구성요소에 이용 가능한 복수의 패킷의 각각과 연관된 패킷 우선순위에 기초하여 구성요소에 이용 가능한 복수의 패킷 중 제 1 레벨의 최고 우선순위 패킷을 식별하는 것과, 제 2 계층적 레벨에서 적어도 하나의 구성요소와 제 1 레벨의 최고 우선순위 패킷의 패킷 우선순위를 공유하는 것을 포함함 - 과, 최고 우선순위를 갖는 패킷을 포트에 송신하는 것 중 하나 이상을 포함하는 방법 및 관련 네트워크 노드에 관한 것이다. 컴퓨터 네트워크에서 패킷을 전달하는 계층적 조직을 갖는 네트워크 노드에 의해 수행되는 방법으로서,상기 네트워크 노드에 의해, 상기 네트워크 노드의 포트(160)가 패킷을 수신할 준비가 된 것을 판정하는 단계(610)와,복수의 인터페이스를 통해 수신된 복수의 패킷 중에서 최고 패킷 우선순위를 갖는 패킷을 식별하는 단계(620) - 상기 식별하는 단계는, 제 1 계층 레벨에서 복수의 구성요소 각각에 대해, 상기 제 1 계층 레벨에서 상기 구성요소에 대해 이용 가능한 상기 복수의 패킷 각각과 연관된 패킷 우선순위에 기초하여, 상기 제 1 계층 레벨에서 상기 구성요소에 이용 가능한 복수의 패킷 중에서 제 1 레벨 최고 우선순위 패킷을 식별하는 단계(710)와, 제 2 계층 레벨에서 상기 제 1 레벨 최고 우선순위 패킷의 상기 패킷 우선순위를 적어도 하나의 구성요소와 공유하는 단계를 포함함 - 와,상기 최고 우선순위를 갖는 패킷을 상기 포트에 송신하는 단계(630)를 포함하는,컴퓨터 네트워크에서 패킷을 전달하는 계층적 조직을 갖는 네트워크 노드에 의해 수행되는 방법.
HIERARCHICAL PROFILED SCHEDULING AND SHAPINGVarious exemplary embodiments relate to a method and related network node including one or more of the following: determining, by the network node, that a port of the network node is ready to receive a packet; identifying a packet having a highest packet priority among a plurality of packets received via a plurality of interfaces, wherein the step of identifying includes, for each of a plurality of components at a first hierarchy level: identifying a first level highest priority packet among a plurality of packets available to the component, based on a packet priority associated with each of the plurality of packets available to the component, sharing the packet priority of the first level highest priority packet with at least one component at a second hierarchy level; and transmitting the packet having the highest priority to the port.A method performed by a network node having a hierarchical organization for forwarding packets in a computer network, the method comprising:determining, by the network node, that a port (160) of the network node is ready to receive a packet (610);identifying a packet having a highest packet priority among a plurality of packets received via a plurality of interfaces (620), wherein the step of identifying includes, for each of a plurality of components at a first hierarchy level:identifying a first level highest priority packet among a plurality of packets available to the component at the first hierarchy level, based on a packet priority associated with each of the plurality of packets available to the component at the first hierarchy level (710),sharing the packet priority of the first level highest priority packet with at least one component at a second hierarchy level; andtransmitting the packet having the highest priority to the port (630).
603
21210
완제 의약품 제조업
특허
ko
en
프로바이오틱 및 프리바이오틱 조성물본 발명은 축산업에서 유익할 수 있는 생성물 및 조성물에 관한 것이다. 상기 생성물 및 조성물은 미생물, 예컨대, 박테리아, 및 특히 프로바이오틱 박테리아를 포함한다. 따라서, 본원에서는 미생물 균주뿐만 아니라, 숙련된 독자가 본 발명에서 유용한 추가의 균주를 찾을 수 있게 하는 선정 기준을 제공한다. 균주뿐만 아니라, 상기를 포함하는 조성물은 동물, 가축, 특히, 예컨대, 돼지에게 투여될 수 있다. 투여는 생후 1일째에 이루어질 수 있다. 본 발명의 생성물 또는 조성물 투여에 의해 동물 성장을 촉진시킬 수 있고, 동물의 체중을 증가시킬 수 있다. 또한, 상기 화합물 또는 조성물에 의해 박테리아 감염을 예방 또는 치료할 수 있다. 갓 태어난 포유동물(바람직하게는 새끼 돼지)에서 박테리아 감염에 의해 유발되는 설사를 치료 또는 예방하는 방법에서 사용하기 위한 하나 이상의 미생물 균주를 포함하는 조성물로서, 각 균주는 적어도 하기 조건 a., 바람직하게는 두 조건 a 및 b 모두, 가장 바람직하게는 조건 a., b., 및 c. 모두를 충족시키는 것인 조성물: a. 스폿 온 론 어세이(spot on lawn assay)에 의해 측정되는 억제대에 의해 입증되는 바와 같이, 하기 항미생물 활성 중 1 이상을 가지는 조건: (i) 살모넬라(Salmonella)의 경우, 10 mm 이상의 억제대, (ii) 리스테리아 모노사이토게네스(Listeriamonocytogenes)의 경우, 10 mm 이상의 억제대, (iii) 스타필로코커스 아우레우스(Staphylococcus aureus)의 경우, 10 mm 이상의 억제대, (iv) 에스케리키아 콜라이(Escherichiacoli)의 경우, 10 mm 이상의 억제대;b. pH=3.5에서 3시간의 인큐베이션 동안 본질적으로 동일한 생존력을 유지할 수 있는 조건; c. 0.45% 담즙 추출물의 존재 하에서 4시간의 인큐베이션 동안 본질적으로 동일한 생존력을 유지할 수 있는 조건.
Probiotic and prebiotic compositionsThe invention relates to products and compositions that may be beneficial in animal husbandry. Said products and compositions comprise microorganisms, such as bacteria, and probiotic bacteria in particular. Thus, provided herein are microbial strains, as well as selection criteria which will enable the skilled reader to find further strains useful in the present invention. The strains, as well as compositions comprising the same, may be administered to animals, farmed animals such as swine in particular. The administration may occur in the first days of life. By administration of the products or compositions of the inventions animal growth can be promoted and animal weight can be increased. Bacterial infections may also be prevented or treated by said compounds or compositions.Comprise the compositions of one or more of microbial strains, it is used for the treatment of or prevents in the method for the diarrhoea caused by bacteriological infection in neonatal mammals (preferred piglets), wherein often kind of bacterial strain at least meets following condition a, more preferably both condition a and b, most preferably full terms a., b. and c.:a. be there is the following antimicrobial acivity of at least one proved by the inhibition zone measured by lawn spot test: (i) is for salmonella, 10mm or larger inhibition zone, (ii) for listeriamonocytogenes, 10mm or larger inhibition zone, (iii) for staphyloccocusaureus, 10mm or larger inhibition zone, (iv) is for escherichiacoli, 10mm or larger inhibition zone;b. hatch under ph3.5 during 3 hours and can keep substantially identical viability;c. hatch under 0.45% bile extract exists during 4 hours and can keep substantially identical viability.
604
28119
기타 전기 변환장치 제조업
특허
ko
en
전원 제어 장치, 전력 변환 시스템 및 전원 제어 방법[과제] 스너버 소자를 갖는 스위치 회로를 사용한 매트릭스 컨버터의 출력측에 공진 회로를 배치한 전력 변환 장치에 의해, 다상 교류 전원으로부터의 출력을 AC-AC 변환하는 전력 변환 장치를 제어하고, 출력 전류의 위상을 적합화하고, 출력측의 역률을 높여, 출력의 전달 효율을 향상시키는 것이 가능한 전원 제어 장치, 전력 변환 시스템 및 전원 제어 방법을 제공한다. [해결 수단] 전원 제어 장치는, 전력 변환 장치로부터 출력하는 정방향의 출력 전압의 절댓값이 증가하고 있는 기간에, 공진 회로에 의해 위상차가 발생하는 출력 전류가 부, 또는 부방향 출력 전압의 절댓값이 증가하고 있는 기간에, 출력 전류가 정으로 되고, 또한 스너버 소자가 방전하는 기간 내에 출력 전류의 극성 변화가 발생하지 않도록 제어한다.다상 교류 전원의 상마다 접속되고, 각각 충방전 가능한 스너버 소자를 갖는 복수의 스위치 회로에 의해, 상기 다상 교류 전원으로부터의 입력을 AC-AC 변환하고, 공진 회로를 통하여 출력하는 전력 변환 장치를 제어하는 전원 제어 장치이며,상기 전력 변환 장치로부터 출력시키는 출력 전압의 계단상 파형을 제작하는 파형 제작 수단과,상기 파형 제작 수단이 제작한 계단상 파형에 기초하는 출력 전압의 기본파 위상, 상기 파형 제작 수단이 제작한 계단상 파형으로 나타내는 출력 전압의 절댓값을 최대로 했을 때의 위상을 나타내는 상승 위상 및 상기 스너버 소자의 방전에 필요한 기간에 기초하는 방전 위상차에 기초하여, 상기 전력 변환 장치로부터 출력하는 출력 전압의 기본파 위상 및 출력 전류의 위상의 위상차를 도출하는 위상차 도출 수단과, 상기 위상차 도출 수단이 도출한 위상차로 되는 주파수를 도출하는 주파수 도출 수단과, 상기 주파수 도출 수단이 도출한 주파수가 되도록, 상기 전력 변환 장치의 상기 스위치 회로를 제어하는 제어 수단을 구비하는 것을 특징으로 하는 전원 제어 장치.
POWER SUPPLY CONTROL DEVICE, POWER CONVERSION SYSTEM, AND POWER SUPPLY CONTROL METHODA power supply control device, a power conversion system and a power supply control method are provided so as to: control a power conversion device that has a configuration in which a resonant circuit is provided on an output side of a matrix converter including switching circuits having snubber elements, and that performs AC-AC conversion of output from a multi-phase AC power supply; optimize a phase difference of an output current; increase power factor on the output side; and improve transmission efficiency of the output. The power supply control device performs control such that: the output current, which has a phase difference caused by the resonant circuit, is negative during a period in which an absolute value of a positive-going output voltage that is output from the power conversion device increases while the output current is positive during a period in which the absolute value of a negative-going output voltage increases; and a polarity of the output current does not change within a period in which the snubber element is discharged.[Image]A power supply control device configured to control a power conversion device including a plurality of switching circuits that is connected to a multi-phase AC power supply for every phase and that each has a snubber element capable of being charged and discharged, the power conversion device being configured to: perform AC-AC conversion of input from the multi-phase AC power supply by the plurality of switching circuits; and output the converted AC power via a resonant circuit, the power supply control device comprising: waveform creating means for creating a staircase waveform of an output voltage that is output from the power conversion device; phase difference deriving means for deriving a phase difference between a phase of a fundamental wave of the output voltage that is output from the power conversion device and a phase of an output current based on: the phase of the fundamental wave of the output voltage according to the staircase waveform created by the waveform creating means; a rising phase that is a phase when an absolute value of the output voltage indicated by the staircase waveform created by the waveform creating means reaches a maximum value; and a discharge phase difference based on a period necessary for discharge of the snubber element; frequency deriving means for deriving a frequency to obtain the phase difference derived by the phase difference deriving means; and controlling means for controlling the switching circuits of the power conversion device so as to realize the frequency that is derived by the frequency deriving means.
604
58222
응용 소프트웨어 개발 및 공급업
특허
ko
en
전자 디바이스에서의 인증을 위한 방법들 및 장치발명의 실시예들은 생체계측 인증 프로세스가 디바이스가 예를 들어, 멀웨어로 감염되었을 때에 발생할 수도 있는 것과 같이, 디바이스의 다른 컴포넌트들로부터의 간섭 없이 수행될 수 있도록, 전자 디바이스 내에서의 라우팅 구성을 모니터링하기 위한 방법들 및 장치를 제공한다. 발명은 라우팅 구성이 하나 이상의 규칙들을 준수하는지 여부를 결정하는 보안 모듈을 포함하는, 생체계측 입력 데이터를 수신하도록 결합된 코덱 또는 화자 인식 프로세서를 제공할 수도 있다. 보안 모듈은 진짜 생체계측 데이터가 화자 인식 프로세서로부터 출력되는 것을 방지하고, 및/또는 스푸프 생체계측 데이터가 인증 모듈 내로 삽입되는 것을 방지하도록 구현될 수도 있다.생체계측 인증 시스템(biometric authentication system)으로서,사용자로부터 생체계측 입력 신호들을 수신하기 위한 하나 이상의 입력들;하나 이상의 생체계측 인증 모듈 입력들을 가지고, 상기 하나 이상의 생체계측 인증 모듈 입력들에서 수신된 신호들의 생체계측 인증을 수행하고 인증 결과를 생성하도록 구성되는 생체계측 인증 모듈;애플리케이션 프로세서(application processor)로부터 하나 이상의 제어 신호들을 수신하기 위한 제어 인터페이스;라우팅 입력들의 세트 및 라우팅 출력들의 세트를 가지는 라우팅 모듈 - 상기 라우팅 입력들 중의 적어도 하나는 상기 하나 이상의 입력들에 결합되고 상기 라우팅 출력들 중의 적어도 하나는 상기 생체계측 인증 모듈에 결합되고, 상기 라우팅 모듈은 신호들을 상기 라우팅 입력들 중의 하나 이상으로부터 상기 라우팅 출력들 중의 하나 이상으로 라우팅하기 위하여 상기 하나 이상의 제어 신호들에 의해 구성가능함 -; 및라우팅 모듈 구성이 하나 이상의 규칙들을 준수(comply)하는지 여부를 결정하고, 상기 라우팅 모듈 구성이 상기 하나 이상의 규칙들 중의 하나 이상을 준수하지 않는다는 결정에 응답하여, 상기 라우팅 모듈 구성이 비보안성(insecure)이라는 것을 표시하는 신호를 생성하도록 동작가능한 보안 모듈을 포함하는, 생체계측 인증 시스템.
METHODS AND APPARATUS FOR AUTHENTICATION IN AN ELECTRONIC DEVICEEmbodiments of the invention provide methods and apparatus for monitoring the routing configuration within an electronic device such that a biometric authentication process can be carried out withoutinterference from other components of the device, such as may occur when the device has become infected with malware for example. The invention may provide a codec or speaker recognition processor, coupled to receive biometric input data, comprising a security module that determines whether a routing configuration complies with one or more rules. The security module may be implemented to prevent genuine biometric data from being output from the speaker recognition processor, and/or to prevent spoof biometric data from being inserted into the authentication module.A kind of biometric authentication system, comprising:one or more input, for receiving bioassay input signal from a user;one biometric authentication module, the biometric authentication module have one or more biometric authentication modules defeated enter, and is configured as executing and recognize in the bioassay of one or more of biometric authentication modules input received signal it demonstrate,proves and generates an authentication result;one control interface, for receiving one or more control signals from an application processor;there is one group of routing input and one group of routing to export for one routing module, the routing module, in the routing input at least one is coupled to one or more of inputs, and at least one of routing output is coupled to the biology authentication module is measured, the routing module can be configured by one or more of control signals with signal is defeated from the routing one or more of enter to be routed to one or more of described routing output;andone security module, the security module can be operated to determine whether a routing module configuration meets one or more rule, and one or more of one or more of rules are not met in response to the determination routing module configuration, it generates one instruction routing module configures unsafe signal.
604
21102
생물학적 제제 제조업
특허
ko
en
복잡한 이식 가능한 생체 장치의 적층 제조세포-스캐폴드 장치는 입구를 포함하는 적어도 하나의 채널 네트워크를 포함하고, 복수의 채널은 입구와 연통하는 단부 부분 및 제 1 분기부와 연통하는 다른 단부 부분을 갖는 부 채널을 포함하여 두 개의 자 채널을 형성한다. 각 자 채널은 제 1 분기부의 각각의 단부 부분과 연통하는 단부 부분 및 제 2 분기부와 연통하는 다른 단부 부분을 가지며, 각각의 자 채널로부터 2 개의 손 채널을 형성한다. 각각의 손 채널은 제 2 분기부의 각각의 단부 부분 및 다른 단부 부분과 연통하는 단부 부분을 갖는다. 손 채널의 다른 단부 부분은 손 채널과 연통하는 출구 또는 제 3 자 채널을 형성한다. 손 채널의 각 형성은 프랙탈 구조의 생성을 한정한다. 장치는 장치 내부 및/또는 장치에 이식된 세포들을 파종, 성장 및 유지하기 위한 스캐폴드로 사용된다.조직 성장에 사용되는 프랙탈 구조(fractal structure)를 갖는 세포-스캐폴드 장치(cell-scaffold device)로서, 상기 장치는 적어도 하나의 채널 네트워크를 포함하고, 상기 적어도 하나의 채널 네트워크는: 입구; 및 복수의 채널들을 포함하고, 상기 복수의 채널들은: 상기 입구와 연통하는 제 1 단부 부분 및 제 1 분기부와 연통하는 제 2 단부 부분을 구비하여 2 개의 자 채널들을 형성하는, 부 채널(parent channel), 상기 제 1 분기부의 각 단부 부분과 연통하는 제 1 단부 부분 및 제 2 분기부와 연통하는 제 2 단부 부분을 구비하여 각각의 자 채널로부터 2개의 손 채널들을 형성하는, 상기 각각의 자 채널(child channel), 및 상기 제 2 분기부의 각각의 단부 부분과 연통하는 제 1 단부 부분 및 제 2 단부 부분을 갖는 각각의 손 채널(grand-child channel)로서, 상기 손 채널의 제 2 단부 부분은 상기 손 채널과 연통하는 출구 또는 제 3 자 채널을 포함하는, 상기 손 채널을 포함하고, 상기 손 채널들의 각각의 형성은 상기 프랙탈 구조의 생성을 한정하는, 세포-스캐폴드 장치.
ADDITIVE MANUFACTURE OF COMPLEX IMPLANTABLE LIVING DEVICESA cell-scaffold device includes at least one channel network including an inlet, a plurality of channels include a parent channel having an end portion communicating with the inlet and another end portion communicating with a first bifurcation, forming two child channels. Each child channel has an end portion communicating with a respective end portion of the first bifurcation and another end portion communicating with a second bifurcation, forming two grand-child channels from each child channel. Each grand-child channel has an end portion communicating with a respective end portion of the second bifurcation and another end portion. The other end portion of the grand-child channel either forms an outlet or a third child channel in communication with the grand-child channel. Each forming of grand-child channels defines a generation of the fractal structure. The devices are of use as scaffolds for seeding, growing, and maintaining cells implanted in and/or on the device.A cell-scaffold device having a fractal structure utilized for growing tissue, wherein the device comprises at least one channel network comprising:an inlet;a plurality of channels comprising:a parent channel having a first end portion in communication with the inlet and a second end portion in communication with a first bifurcation, thereby forming two child channels,each child channel having a first end portion in communication with a respective end portion of the first bifurcation and a second end portion incommunication with a second bifurcation, thereby forming two grand- child channels from each child channel, andeach grand-child channel having a first end portion in communication with a respective end portion of the second bifurcation and a second end portion, wherein the second end portion of the grand-child channel either forms an outlet or a third child channel in communication with the grand-child channel,wherein each forming of grand-child channels defines a generation of the fractal structure.
605
27301
광학 렌즈 및 광학 요소 제조업
특허
ko
en
보호막을 구비하는 박막 트랜지스터 기판 및 이의 제조방법[과제] 보호막을 구비하는 박막 트랜지스터 기판으로서, 높은 구동 안정성을 부여할 수 있는 박막 트랜지스터 기판을 제공한다. [해결 수단] 박막 트랜지스터와, 박막 트랜지스터를 피복하는 실록산 조성물의 경화물로 이루어진 보호막을 포함하여 이루어진 박막 트랜지스터 기판으로서, 박막 트랜지스터가 산화물 반도체로 이루어진 반도체층을 갖고, 실록산 조성물이, 폴리실록산과, 불소 함유 화합물과, 용제를 함유하는 것을 특징으로 하는, 박막 트랜지스터 기판이다.박막 트랜지스터와,상기 박막 트랜지스터를 피복하는 실록산 조성물의 경화물로 이루어진 보호막을 포함하여 이루어지는 박막 트랜지스터 기판으로서,상기 박막 트랜지스터가 산화물 반도체로 이루어진 반도체 층을 갖고,상기 실록산 조성물이, 폴리실록산과, 불소 함유 화합물과, 용제를 함유하고,상기 폴리실록산이, 이하의 화학식 (Ia)로 표시되는 반복 단위:[화학식 (Ia)][이미지](상기 화학식 (Ia)에서,R1은, 수소, 1 내지 3가의, 탄소수 1 내지 30의, 직쇄상, 분기상 또는 환상의, 포화 또는 불포화의 지방족 탄화수소기, 또는 1 내지 3가의, 탄소수 6 내지 30의 방향족 탄화수소기를 나타내고,상기 지방족 탄화수소기 및 상기 방향족 탄화수소기에서, 하나 이상의 메틸렌기가, 비치환되어 있거나, 또는 옥시기, 이미드기, 또는 카르보닐기로 치환되어 있고, 하나 이상의 수소가, 비치환되어 있거나, 또는 불소, 하이드록시기 또는 알콕시기로 치환되어 있고, 또한 하나 이상의 탄소가, 비치환되어 있거나, 또는 규소로 치환되어 있고,R1이 2가 또는 3가인 경우, R1은 복수의 반복 단위에 포함되는 Si들을 연결한다)를 포함하는 폴리실록산인 것을 특징으로 하는, 박막 트랜지스터 기판.
THIN FILM TRANSISTOR SUBSTRATE PROVIDED WITH PROTECTIVE FILM AND METHOD FOR PRODUCING SAMETo provide a thin film transistor substrate which is provided with a protective film, and which enables the achievement of high driving stability. The film transistor substrate is characterized in that: the thin film transistor substrate comprises a thin film transistor and a protective film that is formed of a cured product of a siloxane composition and covers the thin film transistor, the thin film transistor has a semiconductor layer that is formed of an oxide semiconductor, and the siloxane composition contains a polysiloxane, a fluorine-containing compound and a solvent.A kind of thin film transistor base plate, which is characterized in thatthe thin film transistor base plate includes: thin film transistor (tft) and the cladding thin film transistor (tft) by silicone composition solidfied material formed protective film,the thin film transistor (tft) has the semiconductor layer comprising oxide semiconductor,the silicone composition contains: polysiloxanes, fluorochemical and solvent,the polysiloxanes is comprising the polysiloxanes by general formula below (ia) repetitive unit indicated:in formula,r1it indicates: hydrogen;straight-chain, branch-like or the cricoid saturation of the carbon atom number 1~30 of 1~trivalent or unsaturated rouge fat race alkyl;or the aromatic hydrocarbyl of the carbon atom number 6~30 of 1~trivalent,in the aliphatic alkyl and the aromatic hydrocarbyl, 1 or more methylene is non-displacement or by oxygen base, the imide hydrogen that perhaps carbonyl replaces 1 or more is non-displacement or replaced by fluorine, hydroxyl or alkoxy, and 1 a above carbon is non-displacement or replaced by silicon,in r1in the case where divalent or trivalent, r1si contained in multiple repetitive units is linked each other.
605
31920
모터사이클 제조업
특허
ko
en
2축 차량 밸런스 스쿠터2축 차량 밸런스 스쿠터에는 상부 쉘, 하부 쉘, 페달, 압력 센서, 브래킷, 휠, 프레스 블록, 샤프트, 칩 및 배터리 팩이 포함된다. 브래킷에는 페달 브래킷, 하드웨어 지원, 배터리 지원 및 칩 지원이 포함된다. 프레스 블록은 휠 압력 블록과 축 방향 압축 블록을 포함하며 페달 브래킷과 페달이 설치된 하우징 개스킷에 아래에서 위로 이동한다. 본체의 양측은 배터리가 장착되는 배터리 홀더인 중간 부분을 중심으로 배치된다. 따라서 스쿠터는 대칭이므로 더 나은 안정성, 더 쉬운 취급, 쉬운 설치 및 배터리 제거 및 기타 이점을 제공한다.상부 쉘 및 하부 쉘을 포함하는 하우징, 페달, 압력 센서, 하드웨어 지지대, 바퀴, 압력 블록, 샤프트, 칩 및 배터리 팩, 페달 브래킷을 포함하는 브래킷, 하드웨어 지지대, 배터리 지지대 및 칩 지지대를 포함하고, 상기 압력 블록은 휠 압력 블록과 축방향 압축 블록을 포함하고,상기 상부 쉘의 내부에 배치된 인디케이터를 포함하고, 상기 쉘은 하단에서 상단으로 부착된 개스킷, 페달 브래킷 및 페달을 포함하고, 하드웨어 지지부에 배치된 압력 센서 장착 구멍, 스프링 브래킷으로 금속 슬리브의 상부 쉘 및 하부 쉘 단부에 연결된 하드웨어 지지, 바퀴에 장착된 휠 압력 블록, 외부에 장착된 바퀴 하드웨어 지원, 샤프트에 의한 2개의 베어링 및 배터리 지원의 양쪽 끝에 장착된 베어링, 하드웨어가 있는 샤프트 클램프 브래킷으로 샤프트에 연결된 다른 쪽 끝, 배터리 지원의 하단에 설치된 배터리, 칩 내부에 고정된 칩 지지대, 하드웨어의 칩 지지대 브래킷의 하단, 하단 쉘에 부착된 칩 지지대의 하단을 포함하는 것을 특징으로 하는 2축 차량 밸런스 스쿠터.
Two-axle vehicle balance scooterThe two-axle vehicle balance scooter includes an upper shell, lower shell, pedal, pressure sensors, brackets, wheels, press block, shaft, chip, and battery pack. The brackets include a pedal bracket, hardware support, battery support and chip support. The press block includes a wheel pressure block and an axial compression block, from bottom to top on the housing gasket installed the pedal bracket and pedals. Both sides of the body are disposed around a middle section that is a battery holder below which a battery is installed. Accordingly, the scooter is symmetrical thus providing better stability, easier handling, easy installation and removal of a battery and other benefits.A two-axle vehicle balance scooter, comprising: a housing including an upper shell and a lower shell, a pedal, a pressure sensor, hardware support, wheels, a pressure block, a shaft, a chip and a battery pack,a bracket including pedals bracket, the hardware support, a battery support and a chip support,the pressure block including a wheel pressure block and an axial compression block,an indicator disposed on an inside of the upper shell, the shell from a bottom to a top includes an attached gasket, the pedal bracket and the pedals,a pressure sensor mounting hole disposed in the hardware support,the hardware support connected with the upper shell and the lower shell end of a metal sleeve with a spring bracket,the wheel pressure block mounted on the wheels,the wheels mounted on an outside of the hardware support,two bearing by the shaft and the bearing mounted at both ends of the battery support, the other end connected to the shaft by a shaft clamp bracket with hardware,batteries installed in the lower end of the battery support,the chip support fixed inside the chip, the chip in hardware support the lower end of the bracket, the lower end of the chip support attached to the lower shell.
605
20129
기타 기초 무기화학 물질 제조업
특허
ko
en
그래핀 구조체 및 디바이스를 제조하는 방법본 발명은 1 내지 100개의 그래핀 층을 갖는 그래핀 층 구조체를 제조하는 방법을 제공하며, 상기 방법은, 사파이어의 열 저항과 동일하거나 그보다 더 높은 열 저항을 갖는 기재를 반응 챔버 내의 가열되는 서셉터 상에 제공하는 단계이며, 여기서 상기 챔버는, 사용 시 상기 기재에 걸쳐 분포되고 기재로부터 일정한 간격을 갖도록 배열된 복수의 냉각되는 입구를 갖는 것인 단계, 전구체 화합물을 포함하는 유동을 입구를 통해 반응 챔버 내로 공급하여, 전구체 화합물을 분해하고 기재 상에 그래핀을 형성하는 단계이며, 여기서 상기 입구는 100℃ 미만, 바람직하게는 50 내지 60℃로 냉각되고, 상기 서셉터는 전구체의 분해 온도를 적어도 50℃ 초과하는 온도로 가열되는 것인 단계, 레이저를 사용하여 기재로부터 그래핀을 선택적으로 절제하는 단계이며, 여기서 상기 레이저는 600 nm를 초과하는 파장 및 50 와트 미만의 출력을 갖는 것인 단계를 포함한다.1 내지 100개의 그래핀 층을 갖는 그래핀 층 구조체를 제조하는 방법이며,사파이어의 열 저항과 동일하거나 그보다 더 높은 열 저항을 갖는 기재를 반응 챔버 내의 가열되는 서셉터 상에 제공하는 단계이며, 여기서 상기 챔버는, 사용 시 상기 기재에 걸쳐 분포되고 기재로부터 일정한 간격을 갖도록 배열된 복수의 냉각되는 입구를 갖는 것인 단계,전구체 화합물을 포함하는 유동을 입구를 통해 반응 챔버 내로 공급하여, 전구체 화합물을 분해하고 기재 상에 그래핀을 형성하는 단계이며, 여기서 상기 입구는 100℃ 미만, 바람직하게는 50 내지 60℃로 냉각되고, 상기 서셉터는 전구체의 분해 온도를 적어도 50℃ 초과하는 온도로 가열되는 것인 단계,레이저를 사용하여 기재로부터 그래핀을 선택적으로 절제하는 단계이며, 여기서 상기 레이저는 600 nm를 초과하는 파장 및 50 와트 미만의 출력을 갖는 것인 단계를 포함하는 방법.
A METHOD OF MAKING GRAPHENE STRUCTURES AND DEVICESThe present invention provides a method for the production of a graphene layer structure having from 1 to 100 graphene layers, the method comprising: providing a substrate having a thermal resistanceequal to or greater than that of sapphire, on a heated susceptor in a reaction chamber, the chamber having a plurality of cooled inlets arranged so that, in use, the inlets are distributed across thesubstrate and have a constant separation from the substrate, supplying a flow comprising a precursor compound through the inlets and into the reaction chamber to thereby decompose the precursor compound and form graphene on the substrate, wherein the inlets are cooled to less than 100 DEG C, preferably 50 to 60 DEG C, and the susceptor is heated to a temperature of at least 50 DEG Cin excess of adecomposition temperature of the precursor, using a laser to selectively ablate graphene from the substrate, wherein the laser has a wavelength in excess of 600nm and a power of less than 50 Watts.A method for producing a graphene layer structure having from 1 to 100 graphene layers, the method comprising:providing a substrate having a thermal resistance equal to or greater than that of sapphire on a heated susceptor located in a reaction chamber, the chamber having a plurality of cooled inlets arranged such that, in use, the inlets are distributed across the substrate and have a constant spacing relative to the substrate,supplying a flow containing a precursor compound through the inlet and into the reaction chamber, thereby decomposing the precursor compound and forming graphene on the substrate,wherein the inlet is cooled to less than 100 ℃, preferably 50 ℃ to 60 ℃, and the susceptor is heated to a temperature at least 50 ℃ above the decomposition temperature of the precursor,selectively ablating graphene from the substrate using a laser,wherein the laser has a wavelength in excess of 600nm and a power of less than 50 watts.
606
26519
비디오 및 기타 영상 기기 제조업
특허
ko
en
적응적 탭 사이즈를 갖는 저 복잡도 보간 필터링비디오 코딩의 예측 스테이지 동안, 비디오 코더는 블록과 연관된 스캐닝 순서에 대해 병렬로 배치된 비디오 데이터의 레퍼런스 블록의 레퍼런스 정수 픽셀들의 값들을 이용하여 예측 서브-픽셀 값들을 생성하기 위해 상대적으로 더 긴 보간 필터들을 이용할 수도 있고, 스캐닝 순서에 대해 수직으로 배치된 블록의 레퍼런스 정수 픽셀들의 값들을 이용하여 예측 서브-픽셀 값들을 생성하기 위해 상대적으로 더 짧은 보간 필터들을 이용할 수도 있으며, 여기서, 더 긴 보간 필터는 일반적으로, 상대적으로 더 많은 필터 계수들 또는 "탭들" 을 갖는 필터를 지칭하고, 더 짧은 필터는 일반적으로, 상대적으로 더 적은 탭들을 갖는 필터를 지칭한다.비디오 데이터의 블록들을 코딩하는 방법으로서,픽셀들의 블록 내의 정수 픽셀 포지션들에 대응하는 정수 픽셀 값들을 포함하는 상기 픽셀들의 블록을 획득하는 단계;상기 블록과 연관된 서브-픽셀 포지션들에 대응하는 서브-픽셀 값들을 산출하는 단계로서, 상기 서브-픽셀 값들을 산출하는 단계는 상기 블록 내의 필터 서포트 포지션들의 제 1 세트에 대응하는 필터 계수들의 제 1 의 1차원 어레이를 정의하는 제 1 보간 필터를 적용함으로써 제 1 서브-픽셀 값을 산출하는 단계; 및 상기 블록 내의 필터 서포트 포지션들의 제 2 세트에 대응하는 필터 계수들의 제 2 의 1차원 어레이를 정의하는 제 2 보간 필터를 적용함으로써 제 2 서브-픽셀 값을 산출하는 단계를 포함하고, 상기 제 1 의 1차원 어레이는 상기 블록과 연관된 스캐닝 순서에 기초하여 상기 제 2 의 1차원 어레이보다 더 많은 필터 계수들을 포함하도록 선택되는, 상기 서브-픽셀 값들을 산출하는 단계; 및상기 산출된 서브-픽셀 값들 중 하나 이상의 값들에 기초하여 픽셀들의 예측 블록을 생성하는 단계를 포함하는, 비디오 데이터의 블록들을 코딩하는 방법.
LOW COMPLEXITY INTERPOLATION FILTERING WITH ADAPTIVE TAP SIZEDuring a prediction stage of video coding, a video coder may use relatively longer interpolation filters to generate predictive sub-pixel values using values of reference integer pixels of a reference block of video data positioned in parallel relative to a scanning order associated with the block and may use relatively shorter interpolation filters to generate predictive sub-pixel values using values of reference integer pixels of the block positioned perpendicular relative to the scanning order, wherein a longer interpolation filter generally refers to a filter with relatively more filter coefficients, or "taps," and a shorter filter generally refers to a filter with relatively fewer taps.A method of coding blocks of video data, the method comprising:obtaining a block of pixels comprising integer pixel values corresponding to integer pixel positions within the block;computing sub-pixel values corresponding to sub-pixel positions associated with the block, wherein computing the sub-pixel values comprises:computing a first sub-pixel value by applying a first interpolation filter defining a first one-dimensional array of filter coefficients corresponding to a first set of filter support positions within the block; andcomputing a second sub-pixel value by applying a second interpolation filter defining a second one-dimensional array of filter coefficientscorresponding to a second set of filter support positions within the block,wherein the first one-dimensional array is selected to comprise more filter coefficients than the second one-dimensional array based on a scanning order associated with the block, andgenerating a prediction block of pixels based on one or more of the computed sub-pixel values.
606
29280
산업용 로봇 제조업
특허
ko
en
자율적 캡슐화 그리퍼 툴링그리퍼 툴링은 그리퍼, 하나 이상의 슬라이더 및 공작물을 파지하도록 구성된 하나 이상의 툴링 부재를 포함한다. 각각의 상기 툴링 부재는 하나 이상의 슬라이더에 슬라이딩가능하게 장착된 베이스, 베이스에 피벗 연결된 하나 이상의 중간 세그먼트, 하나 이상의 중간 세그먼트에 피벗 연결된 원위 세그먼트, 원위 세그먼트에 부착된 원위 단부 및 하나 이상의 슬라이더에 부착된 근위 단부를 갖는 애드덕팅 텐돈 및 원위 세그먼트에 부착된 원위 단부 및 베이스에 부착된 근위 단부를 갖는 애브덕팅 텐돈을 포함한다. 하나 이상의 툴링 부재는 하나 이상의 조우가 공작물로부터 이격되게 이동함에 따라 하나 이상의 툴링 부재가 파지되지 않은 위치로 자율적으로 복귀되고 하나 이상의 조우가 공작물을 향하여 이동함에 따라 하나 이상의 툴링 부재가 공작물을 자율적으로 파지하도록 구성된다.그리퍼 툴링으로서,그리퍼 몸체에 대해 선형 슬라이딩하고 그리퍼 몸체에 연결된 하나 이상의 조우 및 그리퍼 몸체를 갖는 그리퍼,하나 이상의 조우에 연결된 하나 이상의 슬라이더 및공작물을 파지하도록 구성된 하나 이상의 툴링 부재를 포함하고, 각각의 상기 툴링 부재는하나 이상의 슬라이더에 슬라이딩가능하게 장착된 베이스,베이스에 피벗 연결된 하나 이상의 중간 세그먼트,하나 이상의 중간 세그먼트에 피벗 연결된 원위 세그먼트,원위 세그먼트에 부착된 원위 단부 및 하나 이상의 슬라이더에 부착된 근위 단부를 갖는 애드덕팅 텐돈 및하나 이상의 조우가 공작물로부터 이격되게 이동함에 따라 하나 이상의 툴링 부재가 파지되지 않은 위치로 자율적으로 복귀되고 하나 이상의 조우가 공작물을 향하여 이동함에 따라 하나 이상의 툴링 부재가 공작물을 자율적으로 파지하도록 원위 세그먼트에 부착된 원위 단부 및 베이스에 부착된 근위 단부를 갖는 애브덕팅 텐돈을 포함하는 그리퍼 툴링.
AUTONOMOUSLY ENCAPSULATING GRIPPER TOOLINGA gripper tooling including a gripper, at least one slider, and at least one tooling member configured for gripping a workpiece. Each tooling member including a base slideably mounted to the at least one slider, at least one middle segment pivotally connected to the base, a distal segment pivotally connected to the at least one middle segment, an adducting tendon having a proximal end attached to the at least one slider and a distal end attached to the distal segment, and an abducting tendon having a proximal end attached to the base and a distal end attached to the distal segment. The at least one tooling member is configured for autonomously gripping the workpiece as the at least one jaw moves toward the workpiece and the at least one tooling member autonomously returns to an ungripped position as the at least one jaw moves away from the workpiece.A gripper tooling, comprising:a gripper having a gripper body and at least one jaw connected and linearly sliding relative to the gripper body;at least one slider connected to the at least one jaw; andat least one tooling member configured for gripping a workpiece, each said tooling member including:a base slideably mounted to the at least one slider;at least one middle segment pivotally connected to the base;a distal segment pivotally connected to the at least one middle segment;an adducting tendon having a proximal end attached to the at least one slider and a distal end attached to the distal segment; andan abducting tendon having a proximal end attached to the base and a distal end attached to the distal segment such that the at least one tooling member is configured for autonomously gripping the workpiece as the at least one jaw moves toward the workpiece and the at least one tooling member autonomously returns to an ungripped position as the at least one jaw moves away from the workpiece.
606
10799
그 외 기타 식료품 제조업
특허
ko
en
봉지형 샌드위치 제조 장비 및 방법이번 발명품은 봉지형 샌드위치를 자동으로 만들 수 있는 장비와 같은 것을 만들어 사용할 수 있는 방법을 제공한다. 이 장치에는 랩(피타 케이크, 옥수수 케이크 등)을 지지하도록 구성된 플랫폼과 플랫폼 중앙에 버려지는 회전 가능한 음식 봉지가 포함된다. 접이식 패들은 플랫폼 중앙을 향하거나 반대쪽으로 회전하도록 구성되어 있으며, 플랫폼 중앙을 향한 접이식 패들의 움직임이 랩의 일부를 접을 수 있도록 플랫폼에 상대적인 위치에 배치되어 있다. 고정 암의 자유 끝에는 끝이 있으며 플랫폼 중앙을 향해 수직 방향으로 움직일 수 있습니다. 고정 팔은 포장과 접촉하여 접힌 턱을 제자리에 고정시키는 반면 음식 봉지는 플랫폼에 대해 회전하여 접힌 패들이 연속적인 턱을 만들 수 있도록 구성되어 있습니다.봉지형 샌드위치를 만들기 위한 장치로서, 랩을 지지하도록 구성된 플랫폼; 플랫폼의 중앙에 폐기되고 플랫폼의 표면에 수직으로 폐기된 축에 대해 플랫폼에 대해 회전할 수 있는 음식 가방; 접이식 패들이 플랫폼에 힌지로 장착되도록 구성된다.플랫폼 중앙을 향해 그리고 멀리 회전하도록 만들어진 폴딩 패들, 플랫폼의 중앙을 향한 폴딩 패들의 움직임이 접힌 주름을 만들기 위해 랩의 일부를 그 위로 접습니다; 팔의 끝, 끝, 그리고 끝의 끝이 있는 팔을 가진 고정 팔. 플랫폼 표면 위로 처분되는 암 바디의 최소 부분, 끝부분이 플랫폼의 중심으로부터 적어도 떨어진 수직 방향으로 움직일 수 있음; 플랫폼 아래에 처분되는 캠 링 및 그에 비례하여 회전 가능한 캠 링, 접이식 패들의 이동을 안내하도록 구성된 첫 번째 캠 트랙을 포함하는 캠 링.그리고 고정 팔의 움직임을 유도하기 위해 구성된 두 번째 캠 트랙, 고정 팔은 음식 봉지가 플랫폼에 대해 미리 정해진 각도로 회전하는 동안 랩에 접촉하고 접이식 주름을 제자리에 고정하도록 구성되어 접이 접이식 패들이 랩에서 연속적인 접이식 주름을 만들 수 있도록 허용한다.
Apparatus and method for making bag type sandwichesThe present invention provides an apparatus for automatically making bag-type sandwiches, and methods for making and using the same. The apparatus includes a platform configured to support a wrap (such as a pita cake, corn cake, or the like) and a rotatable food bag disposed at a center of the platform. The folding paddle is configured to rotate toward and away from the center of the platform, being positioned at a location relative to the platform such that movement of the folding paddle toward the center of the platform folds a portion of the wrap. The holding arm has a tip at its free end, movable in a vertical direction towards and away from the centre of the platform. The retention arm is configured to contact the wrap and hold the folded tuck in place while the food bag is rotated relative to the platform to allow the folded paddle to create successive tucks.An apparatus for making a bag-type sandwich, comprising:a platform having a surface configured to support a wrap;a food bag disposed at a center of the platform and rotatable relative to the platform about an axis disposed perpendicular to a surface of the platform;a folding paddle hingedly mounted to the platform such that the folding paddle is configured to rotate toward and away from a center of the platform, the folding paddle being positioned relative to the platform such that movement of the folding paddle toward the center of the platform folds a portion of the wrap over itself to create a folded pleat;a holding arm having an arm body having a tip at a free end thereof, the tip and at least a portion of the arm body being disposed above a surface of the platform, the tip being movable at least in a vertical direction toward and away from a center of the platform; anda cam ring disposed below the platform and rotatable relative thereto, the cam ring including a first cam track configured to guide movement of the folding paddle and a second cam track configured to guide movement of the retention arm,wherein the retention arm is configured to contact the wrap and hold the fold crease in place while the food bag is rotated a predetermined angle relative to the platform to allow the folding paddle to create successive fold creases in the wrap.
607
25200
무기 및 총포탄 제조업
특허
ko
en
통합 모듈식 아키텍처 모델을 생성하기 위한 시스템, 방법 및 장치통합 모듈식 아키텍처(IMA) 모델을 생성하기 위한 시스템을 제공한다. 제1 IMA 프로토콜 정의를 컴퓨터 모델링 환경으로 가져와, 라이브러리를 생성하고 IMA 모델 객체를 생성하기 위한 인타페스인포타와 IMA 모델 객체의 일부를 컴퓨터 모델링 환경으로 가져와, 항공기의 항공기 시스템 106에 대응하는 제1 IMA 모델을 생성하고 제 1 IMA 모델을 포함한 IMA 모델을 생성함으로써 항공기에 대응하는 IMA 시스템 모델을 생성하기 위한 모델 객체 핸들러를 구비한다. IMA 시스템 모델을 검증하기 위한 인터페이스 검사기, IMA 시스템 모델이 검증될 때 항공기 시스템 제조 빌드 명령을 생성하기 위한 인터페이스 익스포터 및 항공기 시스템의 제작을 시작하기 위한 리포트 제너레이터를 구비한다.인타페스인포타(430)로서, 제1 통합 모듈식 아키텍처(IMA) 프로토콜 정의(540)를 제1 컴퓨터 모델링 환경(530)으로 가져와, 상기 제 1 IMA 프로토콜 정의(540)에 기반하여 복수의 라이브러리(550)를 생성하고 상기 복수의 라이브러리(550)에 기반하여 복수의 IMA 모델 객체(780)를 생성하기 위한 인타페스인포타(430)와 모델 객체 핸들러(450)로서, 상기 복수의 IMA 모델 객체(780) 중 일부를 상기 제 1 컴퓨터 모델링 환경(530)으로 가져와, 항공기(108)의 항공기 시스템(106)에 대응하는 제1 IMA 모델(704)을 생성하고 상기 제 1 IMA 모델(704)을 포함한 복수의 IMA 모델(702)을 생성함으로써 상기 항공기(108)에 대응하는 IMA 시스템 모델(754)을 생성하기 위한 모델 객체 핸들러(450)와 상기 IMA 시스템 모델(754)의 출력을 검증 출력과 비교함으로써 상기 IMA 시스템 모델(754)을 검증하기 위한 인터페이스 검사기(480)와 상기 IMA 시스템 모델(754)이 검증될 때, 상기 항공기 시스템(106) 제조 빌드 명령(124)을 생성하기 위한 인터페이스 익스포터(440)와 상기 제조 빌드 명령(124)에 기반하여 상기 항공기 시스템(106)의 제작을 시작하기 위한 리포트 제너레이터(490)를 구비하는 장치(100).
SYSTEM, METHOD, AND APPARATUS TO GENERATE INTEGRATED MODULAR ARCHITECTURE MODELTo provide a system to generate an integrated modular architecture (IMA) model. An apparatus includes: an interface importer to import first IMA protocol definition into computer modeling environment to generate libraries and generate IMA model objects; and a model object handler to import a part of the IMA model objects into the computer modeling environment to generate a first IMA model corresponding to an aircraft system 106 of an aircraft and generate an IMA system model corresponding to the aircraft by generating IMA models including the first IMA model. The example apparatus further includes: an interface validator to validate the IMA system model: an interface exporter to generate manufacturing build instructions of the aircraft system when the IMA system model is validated, and a report generator to initiate production of the aircraft system.An interface importer (430), the first integrated modular architecture (IMA) protocol definition (540) is imported into the first computer modeling environment (530) and based on the first IMA protocol definition (540) An interface importer (430) for generating multiple libraries (550) and generating multiple IMA model objects (780) based on the plurality of libraries (550), and model object handler (450) A first IMA model that imports part of the plurality of IMA model objects (780) into the first computer modeling environment (530) and responds to the aircraft system (106) of the aircraft (108) (704 Model objects to generate IMA system models (754) corresponding to the aircraft (108) by generating a plurality of IMA models (702) including the first IMA model (704). An interface validator (480) for verifying the IMA system model (754) by comparing the output of the IMA system model (754) with the verification output and the IMA system model (754) by comparing the output of the IMA system model (754). When) is verified, an interface exporter (440) for generating the manufacturing build instruction (124) of the aircraft system (106) and the manufacturing build instruction (124) of the aircraft system (106) based on the manufacturing build instruction (124). A device (100) comprising a report generator (490) for starting fabrication.
607
28201
일차전지 제조업
특허
ko
en
초대용량 저장 유닛을 수용하기 위한 트레이를 포함하는 전기 공급 장치본 발명은 열을 발산하기 쉬운 적어도 하나의 초대용량 전기 에너지 저장 유닛(20)이 배치되어 있는 열전도성 재료로 제조된 트레이(34)를 포함하는 자동차용 전력 공급 장치(10)에 관한 것으로서, 상기 트레이(34)는 유닛(20)에 의해 방출된 열을 전도하도록 관련 하우징(44)의 벽의 내부면과 저장 유닛(20) 사이의 간극없이 개재된 열전도성 수지 또는 열전도성 접착제로 제조되는 열도전층(54)의 존재로 인하여, 적어도 하나의 전기 에너지 저장 유닛(20)을 수용하는 적어도 하나의 하우징(44)을 포함하고 있다. 자동차용 전기 공급 장치(10)로서,열을 발산할 수 있는 적어도 하나의 초대용량 전기 에너지 저장 유닛(20)이 마련되어 있는 트레이(32, 34, 134)를 포함하고, 상기 트레이(32, 34, 134)는 상기 적어도 하나의 전기 에너지 저장 유닛(20)을 수용하기 위한 적어도 하나의 리셉터클(44, 144, 234)을 포함하며, 첫째로 저장 유닛에 의해 발산된 열을 내측 표면(46)으로부터 상기 리셉터클(44, 144, 234)의 벽(43)의 외측 표면(51, 52, 151)으로 전도하도록 열전도성 재료로 제조되며, 둘째로 트레이는 적어도 하나의 저장 유닛(20)을 수용하도록 각각 구성된 복수의 리셉터클(44, 44', 144)로 분할되며, 각 리셉터클의 벽(43)은 상기 열전도성 재료로 제조되는, 자동차용 전기 공급 장치(10)에 있어서, 열전도성 접착제 또는 열전도성 수지로 제조된 열 도전층(54)이 유극없이 관련 리셉터클(44, 144, 234)의 벽(43)의 내측 표면(46)과 저장 유닛(20) 사이에 개재되어, 저장 유닛(20)에 의해 발산된 열을 리셉터클(44, 144, 234)의 벽(43)의 내측 표면(46)에 직접 전도하는 것을 특징으로 하는자동차용 전기 공급 장치.
Electrical power supply device comprising tray for accommodating ultra-high capacity storage unitsThe invention relates to an electrical power supply device (10) for a motor vehicle, which comprises a tray (34) made of a heat-conducting material, in which there is positioned at least one ultra-high capacity electrical energy storage unit (20) liable to emit heat, said tray (34) comprising at least one housing (44) to accommodate at least one electrical energy storage unit (20) with the presence of a heat-conducting layer (54) made of a heat-conducting adhesive or a heat-conducting resin interposed without clearance between the storage unit (20) and the interior face of the wall of the associated housing (44) so as to conduct the heat emitted by the unit (20).Power supply unit (10) that is used for motor vehicle, it comprises carriage (32,34,134), be provided with at least one ultra-high capacity power storaging unit (20) in this carriage, this unit can send heat, described carriage (32,34,134,334) comprise at least one tank (44,144,234), be used to receive at least one power storaging unit (20), wherein carriage (32,34 at first, 134) by the heat conduction material manufacturing, be transmitted to outer surface (51 with heat that storage element is sent from the inner surface (46) of the wall (43) of described tank (44,144,234), 52,, and secondly 151) this carriage is separated into a plurality of tanks (44,44 ', 144), each tank all is designed to hold at least one storage element (20), and the wall of each tank (43) be is characterized in that by described heat conduction material manufacturing, the heat-conducting layer of making by heat-conducting glue or heat-conducting resin (54) by tight be plugged on storage element (20) and relevant tank (44, between the inner surface (46) of wall 144,234) (43), be directly transferred to tank (44 with the heat that storage element (20) is sent, the inner surface (46) of wall 144,234) (43).
607
42132
콘크리트 및 철근 공사업
특허
ko
en
산업용 공장건물 모서리 기초 중기둥의 축편차 조정방법 이 발명은 (1) 무거운 기둥을 가장자리 기초에 들어 올리는 단계, (2) 테오돌라이트를 사용하여 무거운 기둥의 수직성과 축 편차를 측정하는 단계, (3) 축 편차 조정 단계로 구성된 산업 공장 건물 가장자리 기초의 축 편차를 조정하는 방법과 관련이 있다.무거운 열의 I. 중량 기둥의 축 편차 조정은 먼저 바닥 형성을 위해 수평 직사각형 강판의 이소성 표면 양 끝에 각각 수직 및 고정 설치; 베이스 한쪽 끝의 수직 강판과 수평 강판을 리클라이닝한다.가장자리 기초의 볼록한 부분의 내벽 및 상단 표면으로, 베이스의 반대쪽 끝에 있는 수직 강판에 잭 받침대를 눕히고 잭의 푸시 로드 끝부분을 무거운 기둥의 외벽에 부착, 잭을 제어하여 무거운 기둥의 축 편차 조정을 마치는 방법, 그리고 U에 의한 고정강철 웨지를 그에 상응하는 크기로 부르다. 조정방식은 단순하고 쉬운 조작, 높은 조작안전성, 매력적인 가장자리 기초 외관, 높은 정밀도, 시공품질 개선, 시공시간 단축, 시공효율 개선 등의 장점이 있다.컵 베이스의 산업 시설 림에서 도끼 조정의 무거운 기둥의 정렬 불량 방법은 (1) 컵 베이스의 림에 무거운 기둥을 들어올리는 것, (2) 수직성 및 유용성 전달 조사의 저널 오프셋 정도, (3) 도끼의 무거운 기둥 정렬 불량 조정, 특징지어진다.n: 도끼 조정의 무거운 기둥 정렬 불량 단계는 다음과 같다: 처음에 수평 직사각형 강판 남극면 강판 2개가 각각 수직으로 설치되고 받침대를 형성하며, 수직 강판과 받침대 한쪽 끝의 수평 강판은 림의 내벽과 끝에 교대된다. 컵 베이스 돌출부, 잭 베이스는 받침대 반대쪽 수직 강판에 교대되어 있고 잭의 푸시 로드 끝부분은 무거운 기둥 외벽에 인접해 있으며 컨트롤 잭은 무거운 기둥의 정렬 불량 조정, Gad와의 고정.
Method for adjusting axis deviation of industrial factory building edge foundation heavy columnThe invention relates to a method for adjusting the axis deviation of an industrial factory building edge foundation heavy column, which comprises the following steps of: (1) hoisting a heavy column into an edge foundation; (2) measuring the verticality and the axis deviation of the heavy column by using a theodolite; and (3) adjusting the axis deviation of the heavy column. the axis deviation adjustment of the heavy column comprises the following steps of: firstly, vertically and fixedly installing vertical steel plates on both ends of the heterotopic surfaces of a horizontal rectangular steel plate respectively for forming a base; reclining the vertical steel plate at one end of the base and the horizontal steel plate to the inner wall and the top surface of the convex part of the edge foundation; reclining a jack base on the vertical steel plate at the other end of the base and attaching the end part of a push rod of a jack to the outer wall of the heavy column; controlling the jack to finish the axis deviation adjustment of the heavy column; and fixing by using a steel wedge with corresponding size. the adjusting method has the advantages of simple and easy operation, high operating safety, attractive edge foundation appearance, higher precision, effective improvement on the construction quality, shortening of the construction time and improvement on the construction efficiency.The heavy pillar misalignment of axe method of adjustment in industrial premises rim of a cup basis, comprise that step is: (1) enters heavy pillar lifting in the rim of a cup basis; (2) verticality and the journal offset degree of the heavy pillar of usefulness transit survey; (3) heavy pillar misalignment of axe is adjusted, it is characterized in that: the step of described heavy pillar misalignment of axe adjustment is: at first horizontal rectangular steel plate antarafacial two ends are vertically installed a vertical steel plate respectively and form a pedestal, the vertical steel plate and the horizontal steel plate of pedestal one end are abutted on the inwall and end face of rim of a cup basis protuberance, jack base is abutted on the vertical steel plate of the pedestal other end, and the push rod end of jack is adjacent to heavy pillar outer wall, the control jack finishes the adjustment of heavy pillar misalignment of axe, fixes with gad.
607
20202
합성수지 및 기타 플라스틱 물질 제조업
특허
ko
en
열적으로-전도성 폴리머 복합물본 개시내용은 폴리머 복합물, 및, 특히, 열전도성 폴리머 복합물에 대한 것이다. 폴리머 복합물은 약 20 wt.% 내지 약 80 wt.%의 기재 폴리머 수지; 입자의 표면에 복수의 음전기 작용기를 갖고 그리고 적어도 2 W/m*K의 열전도도를 갖는 열전도성 입자를 포함하는, 약 1 wt.% 내지 약 70 wt.%의 열전도성 충전제 물질; 친수성 성분 및 소수성 사슬 성분을 포함하는, 약 0.01 wt.% 내지 약 20 wt.%의 양친매성 상용화제; 및, 선택적으로, 약 0 wt.% 내지 50 wt.%의 첨가제를 포함할 수 있다. 0.00 wt.%의 양친매성 상용화제를 갖는 대조 조성물에 비교될 때, 상기 복합체는 (i) 아이조드 충격 시험에 의해 측정된 증가된 기계적 강도, 및, (ii) 수직면 또는 수평면 시험에 의해 측정된 증가된 열전도도를 갖는다.(a) 약 20 wt.% 내지 약 80 wt.%의 기재 폴리머 수지; (b) 입자의 표면에 복수의 음전기 작용기를 갖고 그리고 적어도 2 W/m*K의 열전도도를 갖는 열전도성 입자를 포함하는, 약 1 wt.% 내지 약 70 wt.%의 열전도성 충전제 물질;(c) 친수성 성분 및 소수성 사슬(chain) 성분을 포함하는, 약 0.01 wt.% 내지 약 20 wt.%의 양친매성 상용화제; 및, 선택적으로, (d) 약 0 wt.% 내지 50 wt.%의 첨가제를 포함하는 열적으로-전도성 폴리머 복합체로;여기서 모든 성분의 종합한 중량 퍼센트 값은 약 100 wt.%를 초과하지 않고 그리고 모든 중량 퍼센트 값은 조성물의 총 중량을 기준으로 하고;여기서, 0.00 wt.%의 양친매성 상용화제를 갖는 대조 조성물에 비교될 때, 상기 복합체는 (i) 아이조드 충격 시험에 의해 측정된 증가된 기계적 강도, 및, (ii) 수직면 또는 수평면 시험에 의해 측정된 증가된 열전도도를 갖는 열적으로-전도성 폴리머 복합체.
Thermally-conductive polymer compositesThe present disclosure is directed to polymer composites, and, particularly, thermally conductive polymer composites. The polymer composites can include from about 20 wt.% to about 80 wt.% of a base polymer resin; from about 1 wt.% to about 70 wt.% of thermoconductive filler material comprising thermoconductive particles having a plurality of electronegative functional groups at the surface of theparticles, and having a thermal conductivity of at least 2 W/m*K; from about 0.01 wt.% to about 20 wt.% of an amphiphilic compatibilizer including a hydrophilic component and hydrophobic chain component; and, optionally, from about 0 wt.% to 50 wt.% of an additive. As compared to a control composition having 0.00 wt.% of the amphiphilic compatibilizer, the composite has (i) increased mechanical strength as measured by Izod impact testing, and, (ii) increased thermal conductivity as measured by through-plane or in-plane testing.A kind of thermal conductive polymer composite, it includes:(a) about 20wt.% to about 80wt.% base polymer resin;(b) about 1wt.% to about 70wt.% heat filling material, it, which is included in particle surface, has multiple electronegativity functional groups heat conduction particle, and with least 2w/m*k thermal conductivity;(c) about 0.01wt.% to about 20wt.% amphipathic bulking agent, it includes hydrophilic component and hydrophobic chain component;and optionally,(d) about 0wt.% to 50wt.% additive;the combination weight percent value of wherein all components is no more than about 100wt.%, and wherein all wt percent value base in the gross weight of composition;wherein, compared with the reference composition of the amphipathic bulking agent with 0.00wt.%, the composite increases with (i) the mechanical strength added, as measured by izod impact test, and (ii) increased thermal conductivity, such as by through face or face measured by interior experiment.
608
26421
방송장비 제조업
특허
ko
en
비디오 카메라용 감마 보정 회로상관 이중 샘플링 장치를 갖고 상보 필터형 전하 결합 소자(CCD)에서 출력된 신호를 받아 컬러 라인 시퀀스 신호를 생성하는 단판형 비디오 카메라용 감마 보정 회로에 있어서, 상기 휘도 신호를 검출하여 컬러 라인 시퀀스 신호를 찌푸리는 단계; 상기 휘도 신호 검출기로부터 검출된 휘도 신호를 감마 보정하는 제1 감마 보정기; 상기 컬러 라인 시퀀스 신호를 감마 보정하는 제2 감마 보정기; 상기 제2 감마 보정부에서 감마 보정된 색선 계열 신호로부터 색차 신호를 검출하는 색차 신호 검출부; 및 상기 제1 감마 보정부에서 감마 보정된 감마 보정된 휘도 신호와 상기 색차 신호 검출부에서 검출된 감마 보정된 색차 신호를 혼합하는 믹서를 포함하는 것을 특징으로 하는 감마 보정 장치. 따라서, 감마 보정 회로는 상관 이중 샘플링 장치에서 출력되는 컬러 라인 시퀀스 신호에 감마 보정을 수행하여 회로를 단순화할 수 있다.상보 필터형 전하 결합 소자(CCD) 및 상기 CCD로부터 생성된 신호를 수신하여 컬러 라인 시퀀스 신호를 생성하는 상관 이중 샘플링 소자를 포함하는 비디오 카메라용 감마 보정 회로에 있어서, 휘도 신호 검출기; 상기 컬러 라인 시퀀스 신호로부터 휘도 신호를 검출하기 위한 제1 감마 보정기; 상기 휘도 신호 검출기로부터 검출된 휘도 신호를 감마 보정하기 위한 제1 감마 보정기; 상기 칼라 라인 시퀀스 신호를 감마 보정하기 위한 제2 감마 보정기; 색상 상기 제2 감마 보정부에 의해 감마 보정된 색선 계열 신호로부터 색차 신호를 검출하는 차 신호 검출기; 및 상기 제1 감마 보정기에 의해 감마 보정된 감마 보정된 휘도 신호와 상기 색차 신호 검출기에 의해 검출된 감마 보정된 색차 신호를 혼합하기 위한 믹서를 포함하는 비디오 카메라용 감마 보정 회로.
Gamma correction circuit for a video cameraA gamma correction circuit for single-plate type video camera having a correlation double sampling device and receiving the signal output from a complementary-filter-type charge coupled device (CCD) to thereby produce a color line sequence signal, includes a luminance signal detector for detecting the luminance signal frown the color line sequence signal; a first gamma-corrector for performing gamma-correction to the detected luminance signal from the luminance signal detector; a second gamma-corrector for gamma-correcting the color line sequence signal; a color difference signal detector for detecting the color difference signal from the color line sequence signal which is gamma-corrected by the second gamma-corrector; and a mixer for mixing the gamma-corrected luminance signal which is gamma-corrected by the first gamma-corrector with the gamma-corrected color difference signal which is detected by the color difference signal detector. Accordingly, the gamma-correction circuit performs gamma-correction to the color line sequence signal being output from the correlation double sampling device, so that the circuit can be simplified.A gamma correction circuit for use in a video camera including a complementary-filter-type charge coupled device (CCD) and a correlation double sampling device receiving a signal produced from the CCD and producing a color line sequence signal, comprising:a luminance signal detector for detecting the luminance signal from said color line sequence signal;a first gamma-corrector for performing gamma-correction to the detected luminance signal from said luminance signal detector;a second gamma-corrector for gamma-correcting said color line sequence signal;a color difference signal detector for detecting the color difference signal from the color line sequence signal which is gamma-corrected by the second gamma-corrector; anda mixer for mixing the gamma-corrected luminance signal which is gamma-corrected by said first gamma-corrector with the gamma-corrected color difference signal which is detected by said color difference signal detector.
608
29192
용기 세척, 포장 및 충전기 제조업
특허
ko
en
스티커 접착 방법 및 스티커 접착 장치감열식 프린터식 라벨러-용 씰 원지를 공용할 수 있는 잉크젯식 라벨러-를 제공한다. 컨베이어 3의 소정 위치까지 인쇄 대상물이 이송되는 도중에 인쇄 헤드 40이 마련되어 있고 인쇄 헤드 40은 띠모양 대지 7에 실시간으로 인쇄를 행한다. 인쇄 대상물 40에 있어서는 제1 인쇄 헤드 유닛 51, 제2 인쇄 헤드 유닛 52가 평행 늦추어 배치되어 있다. 이것에 의해 각 헤드의 최대 인자폭이 씰의 최대 인자폭보다 작은 헤드를 이용한 프린트가 가능해진다. 씰의 영역에 감열식 프린터식과 같은 프린트 방향의 인쇄가 가능해진다.A) 하기 a1) ~a6)를 가지는 씰첩부장치에 대해 하기 열전사식 인쇄부를 제거해, a1) 개별 씰이 연속 배치된 씰 공급지를 격납하는 격납부, a2) 인쇄 대상물을 씰 접착 위치까지 이송하는 이송부, a3) 상기 격납부에 격납된 씰 공급지를 상기 씰 접착 위치까지 공급하는 공급부, a4) 상기 공급부에 의해 상기 씰 접착 위치까지 공급되기 전에 상기 개별 씰에 열전사식 인쇄하는 열전사식 인쇄부, a5) 상기 개별 씰에는 일부 프린트되어 있지 않은 영역이 있고, 해당 영역에 상기 열전사식 인쇄부에 의해 프린트가 되어 a6) 상기 격납부에 격납된 씰 공급지의 인출 방향과 상기 인쇄 대상물의 이동 방향이 평행인 B) 상기 인쇄부로서 문자열이 배열되는 문자 배열 방향의 최대 인자폭보다 작은 폭을 가지는 잉크젯식 인쇄 헤드를 평행 늦추어 배치하고 C) 각 잉크젯식 인쇄 헤드의 늦추어 만큼만큼 프린트 타이밍을 조정하여 상기 개별 씰에 프린트하는 인쇄 타이밍을 조정하면서, 각개별 씰을 상기 인쇄 대상물에 접착하는 것를 특징으로 하는 씰 접착 방법.
SEAL STICKING METHOD AND SEAL STICKING DEVICETo provide an ink jet type labeler capable of sharing a seal base sheet for thermal printer type labeler. In the middle of conveyance of a printing object to a predetermined position of a conveyor 3, a print head 40 is provided, and the print head 40 performs printing on a belt-like base sheet 7 in real time. In the printing object 40, a first printing head unit 51, a second printing head unit 52 are arranged being deviated in parallel. Thereby, maximum printing width of each head can be printed by using a head smaller than the maximum printing width of a seal. In a seal region, printing can be performed in the same printing direction as that of a thermal printer.A) A storage unit that removes the following heat transfer type printing unit with the following A1) to A6), and A1) Storage part that stores seal supply paper in which individual seal is continuously arranged, A2) seal sticking the object to be printed Transport units to be transported to the position, A3) A supply unit supplied to the storage unit, a supply unit supplied to the seal attachment position, A4) By the supply unit, it is supplied to the seal pasted position. In the case of thermal transfer type printing unit, A5) for the individual seal, the individual seal has a region not printed, and printing is performed by the thermal transfer type printing unit in the area, and the storage The drawer direction of the sealed sheet stored in the part and the movement direction of the print object are parallel B) As the printing unit, a smaller width than the maximum printing width in the character array direction in which the character string is arranged. The inkjet type print head having parallel is disposed in parallel, and the printing timing is adjusted by the shift of each ink jet print head, and each individual seal is applied while adjusting the print timing that is printed on the individual seal. A seal paste method characterized by attaching to.
608
62022
컴퓨터시설 관리업
특허
ko
en
사운드 기반 코드들을 이용하는 모바일 이미지 지불 시스템실행가능한 지불 애플리케이션이 저장된 비일시적인 컴퓨터 판독가능 저장 매체가 개시되며, 지불 애플리케이션은 통신 네트워크를 통한 거래 인터페이스에 의한 수신을 위해 지불 요청을 생성하도록 구성되고, 거래 인터페이스는 통신 네트워크를 통해 머천트 인터페이스로부터 분리되며 원격에 있고, 지불 요청의 거래는 머천트가 소비자에게 제품을 제공하는 것과 연관되며, 지불 애플리케이션은, 사운드 기반의 거래 데이터를 포함하는 사운드 코드를 수신하는 단계 - 거래 데이터는 제품과 연관된 머천트 데이터를 나타냄 -; 소비자 식별자를 수신하는 단계 - 소비자 식별자는 소비자를 식별함 -; 제품 데이터 및 소비자 식별자를 포함하는 지불 요청을 통신 네트워크를 통해 거래 인터페이스에 송신하는 단계; 및 거래 인터페이스로부터 지불 요청의 승인 또는 거절의 확정을 수신하는 단계를 수행하도록 컴퓨터 프로세서에 지시한다.실행가능한 지불 애플리케이션이 저장된 비일시적인 컴퓨터 판독가능 저장 매체로서, 상기 지불 애플리케이션은 통신 네트워크를 통한 거래 인터페이스에 의한 수신을 위해 지불 요청을 생성하도록 구성되고, 상기 거래 인터페이스는 상기 통신 네트워크를 통해 머천트 인터페이스로부터 분리되며 원격에 있고, 상기 지불 요청의 거래는 머천트가 소비자에게 제품을 제공하는 것과 연관되며,상기 지불 애플리케이션은,사운드 기반의 거래 데이터를 포함하는 사운드 코드(sound code)를 수신하는 단계 - 상기 거래 데이터는 상기 제품과 연관된 머천트 데이터를 나타냄 -;소비자 식별자를 수신하는 단계 - 상기 소비자 식별자는 상기 소비자를 식별함 -;상기 제품 데이터 및 상기 소비자 식별자를 포함하는 상기 지불 요청을 상기 통신 네트워크를 통해 상기 거래 인터페이스에 송신하는 단계; 및 상기 거래 인터페이스로부터 상기 지불 요청의 승인 또는 거절의 확정(confirmation)을 수신하는 단계를 수행하도록 컴퓨터 프로세서에 지시하는 비일시적인 컴퓨터 판독가능 저장 매체.
MOBILE IMAGE PAYMENT SYSTEM USING SOUND-BASED CODESA non-transitory computer readable storage medium with an executable payment application stored thereon, the payment application configured for generating a payment request for receipt by a transaction interface over a communications network such that that the transaction interface is separate and remote from a merchant interface over the communications network, a transaction of the payment request associated with a merchant providing a product to a consumer, wherein the payment application instructs a computer processor to perform the following steps of: receiving a sound code containing sound-based transaction data, wherein the transaction data is representative of merchant data associated with the product; receiving a consumer identifier, wherein the consumer identifier identifies the consumer; sending the payment request including the product data and the consumer identifier to the transaction interface over the communications network; and receiving a confirmation of approval or denial of the payment request from the transaction interface.A non-transitory computer readable storage medium with an executable payment application stored thereon, the payment application configured for generating a payment request for receipt by a transaction interface over a communications network such that that the transaction interface is separate and remote from a merchant interface over the communications network, a transaction of the payment request associated with a merchant providing a product to a consumer, wherein the payment application instructs a computer processor to perform the following steps of:receiving a sound code containing sound-based transaction data, wherein the transaction data is representative of merchant data associated with the product;receiving a consumer identifier, wherein the consumer identifier identifies the consumer; sending the payment request including the product data and the consumer identifier to the transaction interface over the communications network; andreceiving a confirmation of approval or denial of the payment request from the transaction interface.
608
24132
강관 제조업
특허
ko
en
금속 전처리를 위한 방식 코팅 및 페인트 하도제로서 사용되는 수분산형 실란들금속 표면에 조성물을 도포하고 교차결합을 위하여 표면을 건조시키는 방법이 개시되며, 상기 조성물은 복수개의 아민기들이 사슬에서 적어도 4 개의 중간물질 원자들에 의하여 폴리아민 질소 원자들을 분리시키는 알킬렌 또는 아릴렌기들을 포함하는 적어도 하나의 라디칼에 결합되어 있는 (1) 폴리아민과 분자당 평균 적어도 약 2.5 개의 실란기들을 포함하는 반응 생성 분자를 제공하기 위하여, 복수개의 실리콘-결합된 가수분해성 기들 및 아민기와 공유적으로 반응하고 아민기와 결합하는 실리콘-결합된 유기기를 가지는 (2) 실란의 반응 생성물을 포함하는 화합물의 용매에 녹은 분산을 포함한다. 이러한 물질들 중 몇몇은 페인트 하도제로서 우월한 장점을 보이며 몇몇은 뛰어난 내식성을 제공한다.금속 표면에 페인트 하도제(primer)를 도포하고, 교차결합을 위하여 상기 표면을 건조시키며, 상기 하도제는 건조시키기 전에 하기의 평균적인 식의 하나 이상의 화합물들로 된 하나 이상의 용매들에 녹은 분산을 포함하며: 여기서, R은 사슬에서 적어도 4 개의 중간물질 원자들에 의하여 상기 N 원자들을 분리시키는 탄화수소 및 탄화수소 에테르 기들로 구성된 군으로부터 선택되며; 각각의 R' 기는 적어도 3 개의 탄소 원자들에 의하여 각각의 N으로부터 각각의 Si를 분리시키는 탄화수소 또는 히드록시화된(hydroxylated) 탄화수소 에테르 기이며; 각각의 R'' 기는 실리콘-결합된 가수분해성 기 또는 알킬기이며, 상기 가수분해성 기들 중 적어도 2 개는 각각의 Si 원자에 결합되며; 그리고 x 및 y는 전체 합하여 2.5 내지 4 가 되도록 본래 각각 1 내지 2의 숫자인 단계, 및 페인트를 상기 하도제가 칠해진 표면에 도포하고 건조시키는 단계를 포함하는 것을 특징으로 하는 금속 표면에 페인트 부착을 개선시키는 방법.
WATER DISPERSIBLE SILANES AS CORROSION-PROTECTION COATINGS AND PAINT PRIMERS FOR METAL PRETREATMENTA method of applying to a metal surface a composition and drying the surface for crosslinking, which composition comprises a dispersion in the solvent of a compound comprising the reaction product of (1) a polyamine in which a plurality of amine groups are bonded to at least one τadical comprising alkylene or arylene groups that separate the polyamine nitrogen atoms by at least four intermediate atoms in a chain, and (2) a silane which carries a plurality of silicon-bonded hydrolysable groups, and a silicon-bonded organic group that is covalently reactive with and which bonds with an amine group, to provide a reaction product molecule which comprises an average of at least about 2.5 of said silane groups per molecule. Some of these materials show superior advantage as a paint primer and some provide excellent corrosion resistance.A method of improving paint adhesion to a metal surface comprising the steps of: applying to said metal surface a paint primer, and drying the surface for crosslinking, wherein said primer comprises, before drying, a dispersion in one or more solvents of one or more compounds of the average formula:H2-y H2-X (R'3SiR') y N - R - N (R'SiR'3)x in which R is selected from the group consisting of hydrocarbon and hydrocarbon ether groups that separate the N atoms by at least four intermediate atoms in a chain; each R' group is a hydrocarbon or hydroxylated hydrocarbon ether group that separates each Si from each N by at least three carbon atoms; each R' group is a silicon-bonded hydrolyzable group or an alkyl group, at least two of said hydrolyzable groups being bonded to each Si atom; and x and y are each numbers of a value of essentially 1 to 2 that together total from 2.5 to 4 and followed by applying paint to the primed surface and drying.
609
28410
전구 및 램프 제조업
특허
ko
en
직관형 엘이디 램프본 발명은 일종의 직관형 LED 램프에 관한 것으로서, 램프 덮개와 램프관이 화학 접착제로 연결되어 사용 수명이 단축되고, 사용자 인체에 유해하며, 환경 오염을 야기시키며 또한 램프 램프 스트립 구성이 합리적이지 못하며, 방열 효과가 떨어지고, 쉽게 합선되는 문제들을 해결하는 것을 특징으로 한다. 본 발명은 관체를 포함하며, 상기 관체의 양단에는 램프 덮개가 씌워져 있으며, 상기 관체 내에는 회로 기판과 방열기로 구성된 램프 스트립이 삽입 연결되어 있으며, 상기 램프 덮개 내에는 램프 스트립과 연결되어 있는 하나의 연결 장치가 고정되어 있으며, 상기 연결 장치는 연결 부품을 포함하고 있으며, 상기 연결 부품 상에는 램프 스트립 길이 방향을 따라 펼쳐진 연결벽이 설치되어 있으며, 상기 연결벽 상에는 버튼이 설치되어 있으며, 램프 스트립 면에는 상응하는 위치에 버튼과 결합하는 버클이 설치되어 있으며, 회로 기판은 절연 열전도층을 통해 방열기와 포개어 합쳐져 일체 형성된다. 본 발명은 관체 사용 수명을 늘리고, 열 전도성을 높이며, 또한 효과적으로 내연성을 높이고, 쉽게 단락되지 않게 하고, 사용을 더욱 안전하고 안정적으로 하는 것을 특징으로 한다.관체를 포함하며, 상기 관체의 양단에는 램프 덮개가 씌워져 있으며, 상기 관체 내에는 회로 기판과 방열기로 구성된 램프 스트립이 삽입 연결되어 있으며, 상기 램프 덮개(2) 내에는 상기 램프 스트립(3)과 연결되어 있는 하나의 연결 장치가 고정되어 있으며, 상기 연결 장치는 연결 부품(4)을 포함하고 있으며, 상기 연결 부품 상에는 상기 램프 스트립의 길이 방향을 따라 펼쳐진 연결벽(5)이 설치되어 있으며, 상기 연결벽 상에는 버튼(6)이 설치되어 있으며, 상기 램프 스트립(3) 면에는 상응하는 위치에 상기 버튼과 결합되는 버클(7)이 설치되어 있으며, 상기 회로 기판(31)은 절연 열전도층(33)을 통해 상기 방열기(32)와 포개어 합쳐져 일체로 형성되는 것을 특징으로 하는 직관형 LED 램프.
Straight tube LED lamp having buckle connecting device for securing all parts together as one bodyA LED lamp with straight tube comprises a tube body, a lamp cover sheathed at two ends of the tube body and a lamp strip which is in inserted connection in the tube body and comprises a circuit board and a heat diffuser; the lamp cover is internally, fixedly provided with a connecting device connected with the lamp strip; the connecting device comprises a connecting piece on which a connecting arm extending along the length direction of the lamp strip is arranged; the connecting arm is provided with a buckle body; the corresponding position on the surface of the lamp strip is provided with a buckle seat matched with the buckle body; and the circuit board is overlapped and adhered with the radiator to be into a whole through an insulating heat conducting layer.An LED lamp with straight tube comprising: a tube body, two lamp covers on two ends of the tube body respectively and a lamp strip inserted in the tube body including a circuit board and a heat radiator;a connecting device comprising:a connecting piece (4) fixed on the lamp cover having a connecting arm (5) extending along a length direction of the lamp strip is arranged, a buckle body (6) being provided on the connecting arm,a buckle seat (7) matching with the buckle body positioned on a surface of the lamp strip (3);the circuit board (31) being adhered with a heat diffuser (32) as a whole body through an electric insulating heat conducting layer (33); andthe connecting device tightly connecting the lamp strip (3) with two lamp covers which are on the two ends of the tube body, thereby the lamp covers, tube body, lamp strip and heat diffuser become a one body.
609
58222
응용 소프트웨어 개발 및 공급업
특허
ko
en
변조된 랩핑 변환을 위한 분석/합성 윈도우 함수적어도 4 개의 지점(#1, #2, #3, #4)에 대응하여 선형 함수(40', 240')를 지나는 사행 부분(44, 64, 244)을 갖는 분석 윈도우 함수(40, 50, 60, 70, 240)을 사용하여, 분석/합성 윈도우 함수를 갖는 수정된 코사인 변환(MDCT)을 수행하기 위한 방법 및 장치가 제공된다.복수의 프레임을 포함하는 정보 신호를 인코딩하기 위한 장치(130, 130A, 110)에 있어서, 상기 장치는:상기 정보 신호의 시간 영역(TD) 표현 또는 그 처리 버전을, 주파수 영역(FD) 표현으로 변환하기 위한 변조 랩핑 변환 툴(131) - 상기 변조 랩핑 변환 툴(131)은 적어도 4 개 지점(#1, #2, #3, #4)에 대응하여 선형 함수와 교차하는 사행 부분(44, 64, 244)을 갖는 분석 윈도우 함수(40, 50, 70, 240)를 이용하여 변조된 랩핑 변환 분석을 수행하도록 구성됨 -; 및상기 정보 신호의 상기 FD 표현 또는 그 처리 버전에 기초하는 비트스트림을 준비하도록 구성된 비트스트림 기록기(137)를 포함하고,상기 분석 윈도우 함수는 비대칭이 되도록 정의되고,상기 분석 윈도우 함수(40, 240)는, 상기 사행 부분(44, 94, 244)에서:제1 교차점(#1)과 제2 교차점(#2) 사이의 제1 간격(41, 241)에서 상기 선형 함수(40', 240') 보다 크고;상기 제2 교차점(#2)과 제3 교차점(#3) 사이의 제2 간격(42, 242)에서 상기 선형 함수(40', 240') 보다 낮고;상기 제3 교차점(#3)과 제4 교차점(#4) 사이의 제3 간격(43, 243)에서 상기 선형 함수(40', 240')보다 크도록 정의되고,상기 분석 윈도우 함수는 절대 최대 값(41', 241')이 상기 제1 및 상기 제3 간격 중 하나 내에 있도록 정의되고,상기 선형 함수(40, 240)는 상수 값 1을 갖는 상수 함수인, 장치.
Analysis/synthesis windowing function for modulated lapped transformationThere are provided methods and apparatus for performing modified cosine transformation (MDCT) with an analysis/synthesis windowing function, using an analysis windowing function (40, 50, 60, 70, 240)having a meandering portion (44, 64, 244) which passes a linear function (40', 240') in correspondence of at least four points (#1, #2, #3, #4).An apparatus (130, 130a, 110) for encoding an information signal comprising a plurality of frames, the apparatus comprising:a modulation lapped transform tool (131) for transforming a time domain td representation of the information signal or a processed version thereof into a frequency domain fd representation, the modulation lapped transform tool (131) being configured to perform a modulation lapped transform analysis using an analysis windowing function (40, 50, 70, 240), the analysis windowing function (40, 50, 70, 240) having a meandering portion (44, 64, 244) intersecting the linear function (40 ', 240') with a correspondence of at least four points (#1, #2, #2, # 4); anda bitstream writer (137) configured to prepare a bitstream based on the fd representation of the information signal or a processed version thereof;wherein the analysis windowing function is defined so as to be asymmetric;wherein the analysis windowing function (40, 240) is defined so as to, in the meandering portion (44, 94, 244):greater than a linear function (40 ', 240') in a first interval (41, 241) between a first intersection (#1) and a second intersection (# 2);lower than the linear function (40 ', 240') in a second interval (42, 242) between the second intersection (#2) and the third intersection (# 3);is greater than the linear function (40 ', 240') in a third interval (43, 243) between the third intersection (#3) and the fourth intersection (#4),wherein the analysis windowing function is defined such that the absolute maximum (41 ', 241') is in one of the first interval and the third interval,wherein the linear function (40, 240) is a constant function having a constant value of 1.
609
24110
제철, 제강 및 합금철 제조업
특허
ko
en
저유량비 특성이 우수하고 저온강성 및 제조방법이 동일한 고강도 강판본 발명의 한 측면은 우수한 저유출률 특성과 저온 인성을 가진 고강도 강판과 관련이 있으며, 중량 %: C: 0.03 ~ 0.08%; Si: 0.05 ~ 0.0%; Mn: 1.05 ~ 2.0%; Al: 0.005 ~ 0.04%; Nb: 0.004%로 구성된다. Ti: 0.001 - 0.02%, Cu: 0.05 - 0.4%, Ni: 0.6 - 2.0%, Mo: 0.08 - 0.3%; N: 0.002 ~ 0.006%, P: 0.01% 이하, S: 0.003% 이하, 그리고 면적으로 미세구조물이 페라이트 80 ~ 92%, 마르텐나이트/오스테나이트 혼합구조(MA)의 8 ~ 20%를 포함하는 Fe 및 불가피한 불순물. 여기서 MA는 평균 크기로 측정되었다.[이미지]여기서 정의한 바와 같이 항복률이 낮고 온도 강도가 낮은 고강도 강판은 wt% c: 0.03% ~ 0.08%, si: 0.05% ~ 0.04%, mn: 1.0% ~ 2.0%, al: 0.005% ~ 0.04%, nb: 0.00.5% ~ 0.04%: 0.00%로 구성됩니다. 0.006%~p: 0.01% 이하, s: 0.003% 이하, 그리고 고강도 강판의 미세구조가 면적 기준 80%~92%의 페라이트, ma, 8%~20%의 마르텐나이트/오스테나이트의 혼합구조와 펄라이트 또는 1%의 선택적 양으로 구성된다. ma는 동일한 원형 직경으로 측정했을 때 평균 크기가 3µm 이하이며, 고강도 강판에 100µm 길이의 직선을 그렸을 때, 100µm 길이의 직선에 5~13ma 부위가 존재하며, 고강도 강판은 0.5~0.65의 항복 비율을 가지며 저온 충격이 가해진다.-40°c에서 100 j 이상의 퍼티, 고강도 강판은 350 mpa ~ 400 mpa의 강도 및 600 mpa 이상의 인장 강도를 갖는다.
HIGH STRENGTH STEEL PLATE HAVING EXCELLENT LOW YIELD RATIO CHARACTERISTICS AND LOW TEMPERATURE TOUGHNESS AND METHOD FOR MANUFACTURING SAMEOne aspect of the present invention relates to a high strength steel plate having excellent low yield ratio characteristics and low temperature toughness, comprising, by weight %: C: 0.03 to 0.08%; Si: 0.05 to 0.3%; Mn: 1.0 to 2.0%; Al: 0.005 to 0.04%; Nb: 0.005 to 0.04%; Ti: 0.001 to 0.02%; Cu: 0.05 to 0.4%; Ni: 0.6 to 2.0%; Mo: 0.08 to 0.3%; N: 0.002 to 0.006%; P: 0.01% or less; S: 0.003% or less; and the remainder being Fe and unavoidable impurities, in which a microstructure contains, by area fraction, 80 to 92% of ferrite, 8 to 20% of a martensite/austenite mixed structure (MA), wherein the MA has an average size measured as a circle-equivalent diameter of 3 µm or less.[Image]A high strength steel plate having low yield ratio characteristics and low temperature toughness as defined herein, the high strength steel plate comprising, by wt%, c: 0.03% to 0.08%, si: 0.05% to 0.3%, mn: 1.0% to 2.0%, al: 0.005% to 0.04%, nb: 0.005% to 0.04%, ti: 0.001% to 0.02%, cu: 0.05% to 0.4%, ni: 0.6% to 2.0%, mo: 0.08% to 0.3%, n: 0.002% to 0.006%, p: 0.01% or less, s: 0.003% or less, and the balance being fe and inevitable impurities, wherein a microstructure of the high strength steel plate consists of, by area%, ferrite in an amount of 80% to 92% and ma, a mixed structure of martensite/austenite, in an amount of 8% to 20%, and optionally pearlite in an amount of 1% or less, and the ma has an average size of 3 µm or less when measured in equivalent circular diameter, wherein when a 100-µm-long straight line is drawn on the high strength steel plate, five to thirteen ma sites are present on the 100-µm-long straight line, wherein the high strength steel plate has a yield ratio of 0.5 to 0.65 and a low temperature impact property of 100 j or greater at -40°c, wherein the high strength steel plate has a strength of 350 mpa to 400 mpa and a tensile strength of 600 mpa or greater.
610
28119
기타 전기 변환장치 제조업
특허
ko
en
스위칭된 전력 변환기의 출력에서의 발진들의 억제스위칭 전압 조정기의 시스템들, 방법들 및 장치들의 실시예들이 개시된다. 하나의 스위칭 전압 조정기는 직렬 스위치 소자, 션트 스위치 소자, PWM 제어기, 및 모드 제어기를 포함한다. PWM 제어기는 에러 증폭기 및 스위칭 제어기를 포함한다. 에러 증폭기는 기준 전압과 출력 전압 사이의 차에 기초하여 에러 신호를 생성한다. 게다가, 스위칭 제어기는 직렬 스위치 소자 및 션트 스위치 소자의 개방 및 폐쇄를 제어하기 위해, 에러 신호에 기초하여 스위치 소자 제어 전압들을 생성하도록 동작하고, 직렬 스위치 소자 및 션트 스위치 소자의 개방 및 폐쇄는 스위칭 전압을 생성한다. 모드 제어기는 출력 전압에서의 발진들의 가능성을 나타내는 파라미터에 기초하여 선택된 범위의 주파수들에 걸쳐 에러 증폭기의 이득을 조정하도록 동작한다.스위칭 전압 조정기에 있어서:직렬 스위치 소자; 션트 스위치 소자(shunt switch element); 및 펄스 폭 변조(PWM) 제어기로서, 기준 전압과 출력 전압 사이의 차에 기초하여 에러 신호를 생성하는 에러 증폭기; 및 상기 직렬 스위치 소자 및 상기 션트 스위치 소자의 개방 및 폐쇄를 제어하기 위해, 상기 에러 신호에 기초하여 스위치 소자 제어 전압들을 생성하도록 동작하는 스위칭 제어기로서, 상기 직렬 스위치 소자 및 상기 션트 스위치 소자의 개방 및 폐쇄는 스위칭 주파수(FSW)를 가지는 스위칭 전압을 생성하고, 출력 인덕터 및 부하 커패시터로 상기 스위칭 전압을 필터링하는 것은 상기 출력 전압을 생성하는, 상기 스위칭 제어기를 포함하는, 상기 펄스 폭 변조(PWM) 제어기를 포함하고,상기 스위칭 전압 조정기는 모드 제어기로서, 출력 전압에서의 발진들의 가능성을 나타내는 파라미터에 기초하여 선택된 범위의 주파수들에 걸쳐 에러 증폭기의 이득을 조정하도록 동작하는, 상기 모드 제어기를 추가로 포함하는 스위칭 전압 조정기.
SUPPRESSING OSCILLATIONS IN AN OUTPUT OF A SWITCHED POWER CONVERTEREmbodiments of systems, methods and apparatuses of a switching voltage regulator are disclosed. One switching voltage regulator includes a series switch element, a shunt switch element, a PWM controller, and a mode controller. The PWM controller includes an error amplifier and a switching controller. The error amplifier generates an error signal based on a difference between a reference voltage and an output voltage. Further, the switching controller is operative to generate switch element control voltages based on the error signal, for controlling opening and closing of the series switch element and the shunt switch element, wherein the opening and closing of the series switch element and the shunt switch element generates a switching voltage. The mode controller is operative adjust a gain of the error amplifier over a selected range of frequencies based on a parameter indicative of a likelihood of oscillations in the output voltage.A switching voltage regulator, comprising:a series switch element;a shunt switch element;a pulse width modulation (PWM) controller comprising;an error amplifier, the error amplifier generating an error signal based on a difference between a reference voltage and an output voltage; anda switching controller, the switching controller operative to generate switch element control voltages based on the error signal, for controlling opening and closing of the series switch element and the shunt switch element, wherein the opening and closing of the series switch element and the shunt switch element generates a switching voltage having a switching frequency (Fsw), and wherein filtering the switching voltage with an output inductor and a load capacitor generates the output voltage;the switching voltage regulator further comprising;a mode controller, the mode controller operative to:adjust a gain of the error amplifier over a selected range of frequencies based on a parameter indicative of a likelihood of oscillations in the output voltage.
610
41224
환경설비 건설업
특허
ko
en
화장실 장치화장실 장치에서 오물 유동체가 누출되는 것을 방지하고 당해 화장실 장치를 제조할 때의 작업 효율을 향상시킨다. 변기 본체부 1과 칸막이 기구부 사이의 간극을 실링하는 실링 기구부는 거의 링 형상을 이루고, 그 내경이 변기 본체부 1의 하부 개구부 1 e의 외경보다 작은 형상을 이루는 제1 실링 부재 92를 가진다. 이 제1 실링 부재 92는 그 외주부가 설치 고정되고, 그 내주부가 칸막이 기구부 측에 만곡된 상태에서 하부 개구부 1 e의 주위를 둘러싸 탄 접한다. 이것에 의해 하부 개구부 1 e가 제1 실링 부재 92에 의해 체결할 수 있고, 변기 본체부 1과 칸막이 기구부를 밀폐할 수 있다. 이 결과, 변기 본체부 1과 칸막이 기구부 사이의 간극으로부터의 오물 유동체의 누출을 방지할 수 있다. 또한 종래와 같은 액체형 접착 실링제를 사용하지 않기 때문에 당해 접착 실링제의 건조 시간이 불필요하게 되어, 당해 화장실 장치를 제조할 때의 작업 효율이 향상된다.배설된 오물과 세척수를 수용해 하부 개구부에서 상기 오물 및 상기 세정수를 유출시키는 변기 본체부와 상기 변기 본체부에서 유출된 상기 오물 및 상기 세정수가 유입하는 개구부를 가지며, 상기 오물을 분쇄해 상기 세정수와 함께 오물 유동체와 이루어 유하하는 분쇄기구부와 상기 변기 본체부와 상기 분쇄 기구부 사이에 설치되고 상기 개구부를 개폐하는 칸막이 기구부와 상기 변기 본체부와 상기 칸막이 기구부 사이의 간극을 실링하는 실링 기구부를 구비하고, 상기 실링 기구부는 거의 링 형상을 이루고, 그 내경이 상기 하부 개구부의 외경보다 작은 형상을 이루는 실링 부재를 가지며, 상기 실링 부재는 그 외주부가 설치 고정되고, 그 내주부가 상기 칸막이 기구부 측에 만곡된 상태에서 상기 하부 개구부의 주위를 둘러싸 탄 접하는 것을 특징으로 하는 화장실 장치.
TOILET DEVICETo prevent dirt fluid from leaking from a toilet device and improve work efficiency in manufacturing the toilet device. A seal mechanism sealing a gap between a toilet main body 1 and a partition mechanism has a primary seal member 92 that is nearly-ring shaped and has an inner diameter smaller than an outer diameter of a lower opening part 1e of the toilet main body 1. The primary seal member 92 has its outer peripheral part fixed and its inner peripheral part curved toward the partition mechanism and goes around and elastically contact with the lower opening part 1e. This causes the primary seal member 92 to tighten the lower opening part 1e, allowing the toilet main body 1 and partition mechanism to seal. This makes it possible to prevent dirt fluid from leaking from the gap between the toilet main body 1 and the partition mechanism. In addition, since the toilet device does not use a conventional liquid adhesive sealant that requires time to dry, work efficiency is improved in manufacturing the toilet device.It has a toilet bowl body that receives the excreted filth and wash water and drains the filth and wash water from the lower opening, and an opening into which the filth and wash water flow out of the toilet bowl body. A partition mechanism provided between the toilet bowl main body and the crushing mechanism, which crushes the filth to form a filth fluid together with the washing water and flows down, and opens and closes the opening. A portion and a seal mechanism portion that seals a gap between the toilet bowl main body portion and the partition mechanism portion are provided. The seal mechanism portion has a substantially ring shape, and the inner diameter thereof is outside the lower opening. It has a sealing member having a shape smaller than the diameter, and the sealing member surrounds the lower opening in a state where the outer peripheral portion thereof is attached and fixed and the inner peripheral portion thereof is curved toward the partition mechanism portion. A toilet device that is characterized by being in contact with the toilet.
610