repo_name
stringlengths
6
92
path
stringlengths
7
220
copies
stringlengths
1
3
size
stringlengths
2
9
content
stringlengths
15
1.05M
license
stringclasses
15 values
danielfrg/danielfrg.github.io-source
content/blog/notebooks/2013/01/copper-easy-data-analysis-machine-learning-python.ipynb
1
44636
{ "metadata": { "name": "Post_1" }, "nbformat": 3, "nbformat_minor": 0, "worksheets": [ { "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "As part of my Master in IT &amp; Management this semester I am taking a class called \"Advanced Business Intelligence\" which is the same as machine learning or data analysis to the Business people; in general they teach how to use SAS (Enteprise Miner) to do data mining.\n", "\n", "SAS and Enterprise Miner is a good software - with some problems:\n", "\n", "* Costs a **lot** of money\n", "* Is slow as hell, seriously\n", "* Good for business people, not so much for engineers\n", "* Costs a **lot** of money\n", "\n", "I was able to get it for $100 dollars because the university has an arrangement with SAS but without that is impossible to buy for personal use. For that reason I start learning R (from [coursera](http://coursera.org/ \"Coursera\")) and Python Data analysis packages (pandas and scikit-learn), while I was taking my \"(basic) Business Intelligence\".\n", "\n", "I learned that is possible to replace SAS with R or Python but some easy tasks can take a long time. I want to make a contribution by making some tasks easier and keep learning python. For that reason I am going to try to do **everything** we do on my BI class with Python and try to make it into a package for making some tasks more easy.\n", "\n", "The first week was review of how to import Data into SAS Enterprise Miner and explore a little bit the data." ] }, { "cell_type": "heading", "level": 2, "metadata": {}, "source": [ "Copper" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "After thinking a **lot** how to call the package I decide to call it copper (inspired by the dog of [The Fox and the Hound](http://en.wikipedia.org/wiki/The_Fox_and_the_Hound) a.k.a. the saddest movie ever)." ] }, { "cell_type": "heading", "level": 3, "metadata": {}, "source": [ "Importing" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Note: I am going to use the same data that as on my class, a dataset from donations, available here: [donors.csv](https://raw.github.com/danielfrg/copper/master/examples/donors/data/data.csv)\n", "\n", "One thing that SAS does really good and pandas does not have is meta-data:\n", "\n", "* Understands the type of each column: for example, columns with money symbols are converted to numbers that later can be used for doing machine learning. \n", "* But also can change the type (or level how SAS call it) to be categorical or number variables, also can define roles: for example Rejected columns are not used for Machine Learning and that way is very easy to try different combinations.\n", "\n", "So I create a class called DataSet which is a wrapper around a few pandas DataFrames to introduce meta-data.\n", "\n", "To load data have to `import copper` and then configure the directory path for the project. Inside the project directory needs to be another folder called 'data' with the data (`csv` files for example)" ] }, { "cell_type": "code", "collapsed": false, "input": [ "import copper\n", "copper.project.path = '../'" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 1 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Then create a new Dataset and load the `data.csv` file from the `data` folder." ] }, { "cell_type": "code", "collapsed": false, "input": [ "ds = copper.Dataset()" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 2 }, { "cell_type": "code", "collapsed": false, "input": [ "ds.load('data.csv')" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 3 }, { "cell_type": "heading", "level": 3, "metadata": {}, "source": [ "Metadata" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "By default copper tries to find the best match for each column, similar at what SAS does. \n", "\n", "* Depending on the name of the column decides what the target and ID columns are; the rest are inputs. \n", "* Also tries to figure out the type of each column depending on the dtype (from pandas/numpy) and the content: for example if the dtype is object but most of the values starts with a *$* symbols defines the column as a money column." ] }, { "cell_type": "code", "collapsed": false, "input": [ "ds.metadata" ], "language": "python", "metadata": {}, "outputs": [ { "html": [ "<div style=\"max-height:1000px;max-width:1500px;overflow:auto;\">\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Role</th>\n", " <th>Type</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <td><strong>TARGET_B</strong></td>\n", " <td> Input</td>\n", " <td> Number</td>\n", " </tr>\n", " <tr>\n", " <td><strong>ID</strong></td>\n", " <td> ID</td>\n", " <td> Number</td>\n", " </tr>\n", " <tr>\n", " <td><strong>TARGET_D</strong></td>\n", " <td> Input</td>\n", " <td> Money</td>\n", " </tr>\n", " <tr>\n", " <td><strong>GiftCnt36</strong></td>\n", " <td> Input</td>\n", " <td> Number</td>\n", " </tr>\n", " <tr>\n", " <td><strong>GiftCntAll</strong></td>\n", " <td> Input</td>\n", " <td> Number</td>\n", " </tr>\n", " <tr>\n", " <td><strong>GiftCntCard36</strong></td>\n", " <td> Input</td>\n", " <td> Number</td>\n", " </tr>\n", " <tr>\n", " <td><strong>GiftCntCardAll</strong></td>\n", " <td> Input</td>\n", " <td> Number</td>\n", " </tr>\n", " <tr>\n", " <td><strong>GiftAvgLast</strong></td>\n", " <td> Input</td>\n", " <td> Money</td>\n", " </tr>\n", " <tr>\n", " <td><strong>GiftAvg36</strong></td>\n", " <td> Input</td>\n", " <td> Money</td>\n", " </tr>\n", " <tr>\n", " <td><strong>GiftAvgAll</strong></td>\n", " <td> Input</td>\n", " <td> Money</td>\n", " </tr>\n", " <tr>\n", " <td><strong>GiftAvgCard36</strong></td>\n", " <td> Input</td>\n", " <td> Money</td>\n", " </tr>\n", " <tr>\n", " <td><strong>GiftTimeLast</strong></td>\n", " <td> Input</td>\n", " <td> Number</td>\n", " </tr>\n", " <tr>\n", " <td><strong>GiftTimeFirst</strong></td>\n", " <td> Input</td>\n", " <td> Number</td>\n", " </tr>\n", " <tr>\n", " <td><strong>PromCnt12</strong></td>\n", " <td> Input</td>\n", " <td> Number</td>\n", " </tr>\n", " <tr>\n", " <td><strong>PromCnt36</strong></td>\n", " <td> Input</td>\n", " <td> Number</td>\n", " </tr>\n", " <tr>\n", " <td><strong>PromCntAll</strong></td>\n", " <td> Input</td>\n", " <td> Number</td>\n", " </tr>\n", " <tr>\n", " <td><strong>PromCntCard12</strong></td>\n", " <td> Input</td>\n", " <td> Number</td>\n", " </tr>\n", " <tr>\n", " <td><strong>PromCntCard36</strong></td>\n", " <td> Input</td>\n", " <td> Number</td>\n", " </tr>\n", " <tr>\n", " <td><strong>PromCntCardAll</strong></td>\n", " <td> Input</td>\n", " <td> Number</td>\n", " </tr>\n", " <tr>\n", " <td><strong>StatusCat96NK</strong></td>\n", " <td> Input</td>\n", " <td> Category</td>\n", " </tr>\n", " <tr>\n", " <td><strong>StatusCatStarAll</strong></td>\n", " <td> Input</td>\n", " <td> Number</td>\n", " </tr>\n", " <tr>\n", " <td><strong>DemCluster</strong></td>\n", " <td> Input</td>\n", " <td> Number</td>\n", " </tr>\n", " <tr>\n", " <td><strong>DemAge</strong></td>\n", " <td> Input</td>\n", " <td> Number</td>\n", " </tr>\n", " <tr>\n", " <td><strong>DemGender</strong></td>\n", " <td> Input</td>\n", " <td> Category</td>\n", " </tr>\n", " <tr>\n", " <td><strong>DemHomeOwner</strong></td>\n", " <td> Input</td>\n", " <td> Category</td>\n", " </tr>\n", " <tr>\n", " <td><strong>DemMedHomeValue</strong></td>\n", " <td> Input</td>\n", " <td> Money</td>\n", " </tr>\n", " <tr>\n", " <td><strong>DemPctVeterans</strong></td>\n", " <td> Input</td>\n", " <td> Number</td>\n", " </tr>\n", " <tr>\n", " <td><strong>DemMedIncome</strong></td>\n", " <td> Input</td>\n", " <td> Money</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "output_type": "pyout", "prompt_number": 4, "text": [ " Role Type\n", "TARGET_B Input Number\n", "ID ID Number\n", "TARGET_D Input Money\n", "GiftCnt36 Input Number\n", "GiftCntAll Input Number\n", "GiftCntCard36 Input Number\n", "GiftCntCardAll Input Number\n", "GiftAvgLast Input Money\n", "GiftAvg36 Input Money\n", "GiftAvgAll Input Money\n", "GiftAvgCard36 Input Money\n", "GiftTimeLast Input Number\n", "GiftTimeFirst Input Number\n", "PromCnt12 Input Number\n", "PromCnt36 Input Number\n", "PromCntAll Input Number\n", "PromCntCard12 Input Number\n", "PromCntCard36 Input Number\n", "PromCntCardAll Input Number\n", "StatusCat96NK Input Category\n", "StatusCatStarAll Input Number\n", "DemCluster Input Number\n", "DemAge Input Number\n", "DemGender Input Category\n", "DemHomeOwner Input Category\n", "DemMedHomeValue Input Money\n", "DemPctVeterans Input Number\n", "DemMedIncome Input Money" ] } ], "prompt_number": 4 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Of course is possible to change the defaults role and type of each column, lets fix some of the metadata" ] }, { "cell_type": "code", "collapsed": false, "input": [ "ds.role['TARGET_D'] = ds.REJECTED\n", "ds.role['TARGET_B'] = ds.TARGET\n", "ds.type['ID'] = ds.CATEGORY" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 5 }, { "cell_type": "code", "collapsed": false, "input": [ "ds.metadata.head(3)" ], "language": "python", "metadata": {}, "outputs": [ { "html": [ "<div style=\"max-height:1000px;max-width:1500px;overflow:auto;\">\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Role</th>\n", " <th>Type</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <td><strong>TARGET_B</strong></td>\n", " <td> Target</td>\n", " <td> Number</td>\n", " </tr>\n", " <tr>\n", " <td><strong>ID</strong></td>\n", " <td> ID</td>\n", " <td> Category</td>\n", " </tr>\n", " <tr>\n", " <td><strong>TARGET_D</strong></td>\n", " <td> Rejected</td>\n", " <td> Money</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "output_type": "pyout", "prompt_number": 6, "text": [ " Role Type\n", "TARGET_B Target Number\n", "ID ID Category\n", "TARGET_D Rejected Money" ] } ], "prompt_number": 6 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Depending of the metadata copper transforms the data. Mainly it transforms non-numbers into numbers to make machine learning possible; in scikit-learn is necessary to enter **only** numbers. But more on that on a later post." ] }, { "cell_type": "heading", "level": 3, "metadata": {}, "source": [ "Histograms" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Before going into Machine Learning is a good idea to explore the data, the usual way is with a histogram. Is easy to explore money (numerical) columns. I remove the legend, because is to big but the method also returns a list with the information of each bin." ] }, { "cell_type": "code", "collapsed": false, "input": [ "ds.histogram('DemMedIncome', legend=False, retList=True)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "pyout", "prompt_number": 8, "text": [ "0 0.0 - 10000.05: 2358\n", "1 10000.0 - 20000.10: 9\n", "2 20000.1 - 30000.15: 304\n", "3 30000.1 - 40000.20: 1397\n", "4 40000.2 - 50000.25: 2187\n", "5 50000.2 - 60000.30: 1303\n", "6 60000.3 - 70000.35: 921\n", "7 70000.3 - 80000.40: 550\n", "8 80000.4 - 90000.45: 290\n", "9 90000.4 - 100000.50: 130\n", "10 100000.5 - 110000.55: 110\n", "11 110000.5 - 120000.60: 39\n", "12 120000.6 - 130000.65: 34\n", "13 130000.6 - 140000.70: 18\n", "14 140000.7 - 150000.75: 7\n", "15 150000.8 - 160000.80: 13\n", "16 160000.8 - 170000.85: 7\n", "17 170000.8 - 180000.90: 7\n", "18 180000.9 - 190000.95: 0\n", "19 190000.9 - 200001.00: 2" ] }, { "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAagAAAEYCAYAAAAJeGK1AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n" } ], "prompt_number": 8 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Is also possible to explore categorical variables." ] }, { "cell_type": "code", "collapsed": false, "input": [ "ds.histogram('DemGender')" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAagAAAEYCAYAAAAJeGK1AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAIABJREFUeJzt3XtYVXW+x/E3sNUQubhJUkOPGhiCIxfRMvJych6b1NIo\ndcwus9WZsmYqnhmzQ3lkpunxqCkDxTk6OtKUHseYkmycM9OMoXKpxBQz0AlvkyREbGAbGgKyzx/k\nHpCrF2Bt9+f1PPM8s257/9b+VR9+67vW+rnZ7XY7IiIiBuPe3Q0QERFpiQJKREQMSQElIiKG5DQB\nlZ+f391NkA5SXzkP9ZXzcMW+cpqAKigo6O4mSAepr5yH+sp5uGJfOU1AiYiIazG1t8PZs2dZu3Yt\nRUVFADz55JMMGDCAxMREysrK6NevH3FxcXh5eQGwbds2MjIycHd3x2KxEB4eDsDx48dJSUmhtraW\nyMhILBZLJ56WiIg4u3ZHUK+//jqRkZEkJibyyiuvcPPNN5Oens6oUaNISkpi5MiRpKenA1BUVERO\nTg5r1qwhPj6eDRs2cPExq/Xr17No0SKSk5MpKSkhLy+vc89MREScWpsjqHPnznH48GGeeuopADw8\nPOjduzf79u0jISEBgEmTJpGQkMC8efPIzc0lJiYGk8lEQEAA/fv3p7CwkH79+lFdXU1QUBAAEyZM\nIDc3l4iIiBa/Nz8/v9n1VrPZzM6dO6/2fKULqK+ch/rKeVzPfVVeXt5kOTQ0lLCwsLYDqrS0FB8f\nH/77v/+bf/7znwwbNozHHnsMm82Gn58fAL6+vthsNgAqKioIDg52HO/v7095eTkmkwmz2exYbzab\nmzWosbCwMMLCwpqs27lzJ1FRUR08XRERcQb79+9n1qxZLW5r8xLfhQsXOHHiBFOmTGHFihX06tWL\nd999t8k+bm5u166lIiIi32kzoPz9/TGbzY5Lc7fffjvHjx/Hz8+PyspKoGHU5OvrCzSMjKxWq+N4\nq9Xq+IzGIyar1dpkRCXXl6ysrO5ugnSQ+sp5uGJftRlQfn5+3HjjjZw+fRqAQ4cOMWjQIEaPHs2u\nXbsA2L17N2PGjAEgOjqa7Oxs6urqKC0tpaSkhKCgIPz8/PD09KSwsBC73U5mZqbjGBERkZa4tfc2\n85MnT7Ju3Trq6uq46aabePLJJ6mvr2/1NvN33nmHjIwMPDw8+NGPfuS4EeLibeY1NTVERUVd9m3m\nqkGJiFx/9u/fz+TJk1vc1m5AGYUCSkQ6g9Vq5fz586qnd4KL8XLjjTfSs2fPFvdpK6DafVBX5HJl\nZWVx5513dnczpANcva+qqqoAGDhwYDe35PpVX1/Pl19+yU033dRqSLVGrzoSEZd15swZ3bDVydzd\n3bn55pspKyu7/GM7oT3i4lz5L3Jno77SozJdwd39yqJGASUiLkvh1HWu5LdWQMk154rPazgr9ZUY\nmQJKREQMSXfxyTWnuobzUF81537yJO7fTS/UGeoDA6kfMqRD+4aHh1NdXc2BAwfo3bs3AG+++SZp\naWls377dsZ/dbicqKoobbriBDz/88LLac+jQIZ5++mkKCwsZPnw4ycnJjBw58rI+o7MooEREGnEv\nKsL7vvs67fO/2b69wwEFDbdpr1u3jri4uFb3ycnJoaamhrNnz3LgwAEiIyM79Nk1NTXMmzePJ598\nkgULFpCamsq8efPYt28fPXr06HAbO4su8ck1p7qG81BfGZubmxs//elPee211zhz5kyr+/3hD3/g\n3nvv5Z577uEPf/hDhz8/KyuL+vp6nnjiCXr06MFPfvIT7HY7e/bsuRbNv2oKKBERA4uIiODOO+/k\ntddea3H7uXPn2L59O/fffz8zZ87knXfeoba21rF97ty5JCcnt3jskSNHCA0NbbJu5MiR/OMf/7h2\nJ3AVdIlPrjnVNZyH+sr43Nzc+I//+A9+8IMf8Pjjjzfb/qc//Qlvb29uu+02Lly4AMD777/PtGnT\nANiyZUurn3327Fl8fHyarPP29uabb765hmdw5TSCEhExuJCQEO6++25+85vfNNt28fIeNMx6PnXq\n1A5f5uvTp0+zMDpz5gze3t5X3+hrQAEl15zqGs5DfeU8nn/+ed544w2Ki4sd67788kv27NnDli1b\nGDFiBCNGjCA9PZ2//e1vbc5aflFISAj5+flN1uXn5xMSEnLN238lFFAiIk5g6NCh3H///axbt87x\nVoa33nqL4OBgcnNz2bNnD3v27CE3N5eBAwfy9ttvt/uZd955Jx4eHqxbt47z58+zbt063N3dmTBh\nQmefToeoBiXXnOoazkN91Vx9YCDfNHrGqDM+/0otXryYt956y7G8detWFi5cSL9+/ZrsZ7FY2Lp1\nKz/+8Y+ZPXs2d9xxB88++2yzz+vRowebNm3imWee4Ve/+hW33normzZtwmQyRjRoPigRcVnFxcUM\nGDCgu5vhElr7rduaD0qX+OSaU13DeaivxMgUUCIiYkgKKLnmVNdwHuorMTIFlIiIGJICSq451TWc\nh/pKjEwBJSIihqSAkmtOdQ3nob4SI1NAiYiIISmg5JpTXcN5qK/EyIzxPgu5asVnzlN6tqa7mwFA\n/Y1DOFjc/a/rD/DqyQCfXt3dDHEynf3v0uX8cxkeHk5ZWRkeHh5Aw9Qbe/fu5aabbmrzOH9/f3r3\n7u14Z19sbKzjTehbtmxh/fr1HDt2DG9vbx588EGWLl2Kh4cHNTU1/OIXv2D37t1UVlYyZMgQ/vM/\n/9PxpocjR47w5JNPcvLkSerr6wkJCSEhIYHbb7/9Sn+ONimgrhOlZ2tYvONodzejka+7uwGsmhak\ngGqHalDNdfa/S5fzz6Wbmxtbtmy5ope3ZmVl8W//9m/N1ldXV7N8+XJGjx7N119/zbx583jttdd4\n5plnqKur4+abb2bHjh0EBgby/vvvM3/+fLKyshg0aBADBw4kNTWVwYMHA7B+/Xp+9KMfceTIkctu\nX0cooERErkP19fUtrrdYLI7/P2DAAB588EHHpd7evXuzZMkSx/YpU6YwePBgDh48yKBBg/Dx8XFM\ncFhXV4e7u3u7o7mroRqUiAtTDcr4Wnqfd1vTuF80ffp0RowYwWOPPcapU6da3S8nJ4cRI0a0uK20\ntJRjx441mx9qyJAhDBw4kOTkZF5//fX2T+IKKaBERAzKbrfzyCOPMHToUIYOHcqjjz4KNNSRnn76\n6VaP27FjBwcPHuTjjz+mf//+/PCHP3RMB9/Ypk2bOHjwID/96U+bbautreXxxx9n7ty5BAUFNdl2\n8uRJTp48SWxsLBaLpcUQvRYUUCIuTDUoY3Nzc2PTpk2cOHGCEydO8MYbb3TouNtvvx2TyYSPjw/L\nly/n1KlTFBYWNtlnx44d/PrXvyYtLY2+ffs22VZfX88TTzxBr169WLlyZYvf0bt3b5YtW8axY8co\nKCi4shNsR7s1qKeeegpPT0/c3d3x8PBg+fLlVFVVkZiYSFlZGf369SMuLg4vLy8Atm3bRkZGBu7u\n7lgsFsLDwwE4fvw4KSkp1NbWEhkZ2eQ6qIiIdI6Lo5vGo5ydO3cSFxfH1q1bm12+s9vtPP3001it\nVrZu3eq4g7AlFy5coL6+Hk9Pz05pe4dGUAkJCaxcuZLly5cDkJ6ezqhRo0hKSmLkyJGkp6cDUFRU\nRE5ODmvWrCE+Pp4NGzY4fpT169ezaNEikpOTKSkpIS8vr1NOSEQ6TjWo68+RI0c4dOgQFy5coKqq\nihdffJGBAwcyfPhwAPbs2cNPfvIT3njjDSIjI5sd//Of/5zPP/+czZs306tX07sNd+3a5fjsM2fO\n8OKLLxIUFMSwYcM65Vw6dBffpdcX9+3bR0JCAgCTJk0iISGBefPmkZubS0xMDCaTiYCAAPr3709h\nYSH9+vWjurracR1zwoQJ5ObmEhERcW3PRkTkKgV49WTVtKD2d7yKz79abU3j/vXXX/OLX/yC06dP\n07t3b2677Ta2bNniGAmtXr2aqqoqZs+e7Thm3LhxbN26lVOnTvH73/+eG264ocmNE4mJiTzwwAPY\nbDaef/55Tp8+jZeXFzExMfzv//7vVZ9Pa9oNKDc3N1566SXc3d35/ve/z/e//31sNht+fn4A+Pr6\nYrPZAKioqCA4ONhxrL+/P+Xl5ZhMJsxms2O92WymvLy81e/Mz89vdk3TbDaTlZXluGZ+8S8/LTcs\nX+wD+RebzQYDvIHu7x8tG3P5lltu4VIDfHoZ5vm51q40vfXWW60eM378eD7++ONWt7/77rutbhs0\naBBWq7XV7TNmzGDGjBmtbm+LzWZzTPl+aX+kpaU12Tc0NJSwsDDc7O3cflFRUUHfvn05c+YML730\nEvPnz2flypWkpqY69rFYLKSmprJx40aCg4MZP348AGvXriUiIoKAgAA2b97M0qVLATh8+DDbt29v\ncr99e3bu3ElUVFSH93c1B4u/MdiDut1v1bQgwr8LKJGWFBcXO/6jKZ2rtd96//79jjdVXKrdGtTF\nuzt8fHwYO3YsR48exdfXl8rKSqAhwHx9fYGGUU7j9LVarfj7+zcbMVmt1iYjKhHpHqpBiZG1GVDn\nz5/n22+/BRpej/Hpp58yePBgoqOj2bVrFwC7d+9mzJgxAERHR5OdnU1dXR2lpaWUlJQQFBSEn58f\nnp6eFBYWYrfbyczMdBwjIiLSkjZrUDabjVWrVgEN98XfeeedhIeHc8stt5CYmEhGRobjNnOAwMBA\nxo0bR1xcHB4eHixYsMDxssKFCxeSkpJCTU0NUVFRukFCxAD0HJQYWbs1KKNQDaptqkE1pxqUtEc1\nqK7TKTUoEbl+qQYlRqaAEhERQ1JAibgw1aDEyBRQIiJiSJqwUMSFNX47izQw2pTvX331FQUFBU2e\nHZ04cSKfffYZBw8eJDAwsN3PWbZsGe+88w5nzpzB29ubGTNm8Mtf/hKTqSEC/vKXv/CrX/2KoqIi\nQkNDSUpK4tZbbwXaniIe4N577+WTTz5xfNbAgQP56KOPLus3aY0CSkSkEaNN+T5kyBDefvttfvzj\nHwNQUFBAdXW14xGejnj44YdZvHgxffr0obi4mAceeICgoCAsFgvHjh3j8ccfJy0tjejoaJKTk5k3\nbx579+7F3d29zSniL7Zx5cqVPPzww5f/Y7RDl/hEXJhGT8Y3a9Ystm7d6ljesmULc+bMuaxJAoOD\ng+nTpw/Q8PLvxlO1f/DBB4wbN46xY8fi7u7OM888Q3FxseMOT4vFwm233YbJZHJMEX/pu/40YaGI\niAuKjo7mm2++4fPPP+fChQts27atyZvIAf74xz863oHamt/85jcMHjyY733ve0yZMoWpU6cCDSOg\nxgFTX1+P3W7nyJEjLX5OS1PEv/TSSwQHB3PPPfeQnZ19JafZIgWUiAvTc1DOYfbs2WzdupVdu3YR\nEhLS7IHXBx98kMzMzDY/49lnn+WLL74gIyODP/7xj7z33ntAw/RHOTk5ZGdnU1NTw5o1a6ipqXG8\n5q6xlqaIX7ZsGQcOHKCgoIDHHnuMhx56iJMnT179SaOAEhExNDc3N+bMmUNaWtoVXd671KhRo1iw\nYIFjyo7hw4eTkpLCc889R2hoKBUVFdx6660MHDiwyXGtTRE/evRovLy86NGjBz/84Q8ZO3Ysf/vb\n3664fY3pJgkRF6YalHMIDAxkyJAh/P3vf+fVV1+96s+rra2ld+/ejuX77ruP++67D2h4B+umTZua\nzLbb1hTxnUkjKBERJ5CcnMy7776Lp6fnZR1nt9t5/fXXsdls2O12PvnkEzZu3Mj06dMd++Tl5XHh\nwgXKysp49tlnueeeexwzoLc1RfyZM2fYuXMn1dXV1NXVkZaWxkcffdTqu/Uul0ZQIi5Mz0E1Z9Qp\n34cMGdJkufFt5mlpaSQmJpKTk9PisTt27OCll16irq6OwMBA4uPjuffeex3b4+Pjyc/Px2QyMXPm\nTH796187trU1RXxNTQ3Lly+nsLAQd3d3hg8fzqZNmxg2bNgVneOlFFAiIo04w5TvJpOJsrIyx/Ks\nWbOYNWtWi/u6ubk1m1L9Un/+859b3dbWFPE33ngjf//739v87KuhS3wiLkyjJzEyBZSIiBiSAkrE\nhek5KDEyBZSIiBiSAkrEhakGJUamgBIREUNSQIm4MNWgxMgUUCIiYkgKKBEXphqUGJneJCEi0oiR\npnz39/fnk08+afKaoxUrVnDixAnWrl3b7vFZWVnMmDEDLy8vx7pVq1YxZ84coP2p4D/66CNeeOEF\njh49ir+/P88++yyPPvroZZzt1VFAibgwvYuvOSNN+X4tDBgwgM8++6zFbW1NBX/hwgUeeeQRli5d\nyqOPPsqBAweYMWMGo0ePJiwsrEvarkt8IiIuqq2p4EtLSykvL3e8JDYyMpLhw4fz+eefd1n7FFAi\nLkyjJ+c3dOhQ9u7d2+r2srIyQkJCiIyM5IUXXuDcuXNNtjeeCv7uu+92TAU/YMAAwsLC2Lx5Mxcu\nXGDv3r2cOnWK2267rVPPpzEFlIiIEztx4gRjx45tcdvw4cPZs2cPR44c4d133+XgwYO8+OKLTfZp\nPBV8WlqaYyp4gMTERP7rv/6LAQMGMH36dF588cVmM+12JgWUiAvTc1DG5uHhQW1tbZN1tbW19OjR\no0PHBwQEMHz4cAAGDx5MQkJCkwBq7NKp4E+fPs3cuXP57W9/S2lpKTk5OSQnJ1+z6dw7QgElImJQ\ngYGBfPHFF03W/fOf/2TQoEFX/Jn19fWtbms8FfzevXsZOHAg//7v/w5AUFAQU6ZM6dT5ny6lgBJx\nYapBGdv999/PK6+8wunTp6mvr2fXrl28//773HfffR06Pisri1OnTmG32/nyyy/55S9/ybRp04D2\np4IPCQnh6NGjZGZmYrfbOXHiBH/9618ZOXJkp53vpXSbuYhII0aa8n3x4sUsX76cqVOnUllZybBh\nw/jtb39LSEiIY5/BgweTlpbW4s0Ln376KU888QSVlZX07duXe++9lxdeeMGxva2p4ENCQnjllVd4\n7rnnOH36NN7e3syePZtHHnnkKs7+8rjZ7XZ7ezvV19fz/PPP4+/vz5IlS6iqqiIxMZGysjL69etH\nXFyc40Gwbdu2kZGRgbu7OxaLhfDwcACOHz9OSkoKtbW1REZGYrFYLquhO3fuJCoq6gpO0TUcLP6m\nU5/dcEarpgURPsC7u5thaK7+HFRxcTEDBgzo7ma4hNZ+6/379zN58uQWj+nQJb4///nPBAYGOpbT\n09MZNWoUSUlJjBw5kvT0dACKiorIyclhzZo1xMfHs2HDBi7m3/r161m0aBHJycmUlJSQl5d32Sco\nIiKuo92AslqtHDhwgLvuusuxbt++fUycOBGASZMmkZubC0Bubi4xMTGYTCYCAgLo378/hYWFVFRU\nUF1dTVBQw7B5woQJjmNEpPu48ugJoAMXkOQauZLfut0a1O9//3sefvhhvv32W8c6m82Gn58fAL6+\nvthsNgAqKioIDg527Ofv7095eTkmkwmz2exYbzabKS8vb/U78/PzKSgoaLLObDY3uRxx8fZYLTcs\nX+wD+RebzQbfXeLr7v7RsjGXg4ODOXfunOPONbn27HY7X331FcXFxY5nqC7tj7S0tCbHhIaGEhYW\n1nYN6pNPPiEvL48FCxaQn5/Pn/70J5YsWYLFYiE1NdWx38XljRs3EhwczPjx4wFYu3YtERERBAQE\nsHnzZpYuXQrA4cOH2b59O0uWLOnwSaoG1TbVoJpTDap9rl6DstvtlJaWcuHCBdzc3Lq7OW2y2Wz4\n+vp2dzMuy8V48fHxcbxS6VJt1aDaHEF9/vnn7Nu3j/3791NbW8u3337Lq6++iq+vL5WVlfj5+VFR\nUeH40cxmM1ar1XG81WrF39+/2YjJarU2GVGJiHQHNzc3x7vnjO7YsWNN7t5zBW0G1Ny5c5k7dy4A\nBQUFvPfee/zsZz9j06ZN7Nq1i5kzZ7J7927GjBkDQHR0NElJSUyfPp3y8nJKSkoICgrCzc0NT09P\nCgsLCQoKIjMzk3vuuafzz07EgDp7OofL4X1LOAeLv+nuZlzWFBSuyhVHulf0HNTMmTNJTEwkIyPD\ncZs5NDz1PG7cOOLi4vDw8GDBggWOYfPChQtJSUmhpqaGqKgoIiIirt1ZiDiRzp7OwRl19RQU4hw6\nHFChoaGEhoYC0KdPH0c96VKxsbHExsY2Wz9s2DBWr159hc0UEXFtrlgv1KuORETEkBRQIiJOwNVG\nT6CAEhERg1JAiYg4AVecu0sBJSIihqSAEhFxAqpBiYiIGIQCSkTECagGJSIiYhAKKBERJ6AalIiI\niEEooEREnIBqUCIiIgahgBIRcQKqQYmIiBiEAkpExAmoBiUiImIQCigRESegGpSIiIhBKKBERJyA\nalAiIiIGoYASEXECqkGJiIgYhAJKRMQJqAYlIiJiEAooEREnoBqUiIiIQSigREScgGpQIiIiBqGA\nEhFxAqpBiYiIGISprY01NTUkJCRQW1tLXV0dY8aM4aGHHqKqqorExETKysro168fcXFxeHl5AbBt\n2zYyMjJwd3fHYrEQHh4OwPHjx0lJSaG2tpbIyEgsFkvnn52IyHUiKyvL5UZRbY6gevbsybJly1i1\nahWvvPIK+fn5HDlyhPT0dEaNGkVSUhIjR44kPT0dgKKiInJyclizZg3x8fFs2LABu90OwPr161m0\naBHJycmUlJSQl5fX+WcnIiJOq91LfL169QKgrq6O+vp6vLy82LdvHxMnTgRg0qRJ5ObmApCbm0tM\nTAwmk4mAgAD69+9PYWEhFRUVVFdXExQUBMCECRMcx4iISPtcbfQE7VziA6ivr2fJkiV89dVXTJky\nhUGDBmGz2fDz8wPA19cXm80GQEVFBcHBwY5j/f39KS8vx2QyYTabHevNZjPl5eWtfmd+fj4FBQVN\n1pnN5iZD3Iu3XGq5YfliH8i/2Gw2GOANdH//XLosTdlsNrKOHTRM/2i5a5fT0tJoLDQ0lLCwMNzs\nF6/BtePcuXO8/PLLzJ07l9WrV5OamurYZrFYSE1NZePGjQQHBzN+/HgA1q5dS0REBAEBAWzevJml\nS5cCcPjwYbZv386SJUs68tUA7Ny5k6ioqA7v72oOFn/D4h1Hu7sZhrJqWhDh3wWUkaivmjNqXxnJ\n9VqD2r9/P5MnT25xW4fv4uvduzeRkZEcP34cX19fKisrgYZRk6+vL9AwyrFarY5jrFYr/v7+zUZM\nVqu1yYhKRETkUm0G1JkzZzh79izQcEffoUOHGDp0KNHR0ezatQuA3bt3M2bMGACio6PJzs6mrq6O\n0tJSSkpKCAoKws/PD09PTwoLC7Hb7WRmZjqOERGR9l2Po6f2tFmDqqysJCUlhfr6eux2OxMmTOB7\n3/seQ4cOJTExkYyMDMdt5gCBgYGMGzeOuLg4PDw8WLBgAW5ubgAsXLiQlJQUampqiIqKIiIiovPP\nTkREnFabATV48GBWrFjRbH2fPn0c9aRLxcbGEhsb22z9sGHDWL169RU2U0TEtV2vNai26E0SIiJi\nSAooEREn4GqjJ1BAiYiIQSmgREScgOaDEhERMQgFlIiIE1ANSkRExCAUUCIiTkA1KBEREYNQQImI\nOAHVoERERAxCASUi4gRUgxIRETEIBZSIiBNQDUpERMQgFFAiIk5ANSgRERGDUECJiDgB1aBEREQM\nQgElIuIEVIMSERExCAWUiIgTUA1KRETEIBRQIiJOQDUoERERg1BAiYg4AdWgREREDEIBJSLiBFSD\nEhERMQgFlIiIE1ANSkRExCAUUCIiTsAVa1CmtjaWlZWRkpKCzWbDzc2NyZMnM3XqVKqqqkhMTKSs\nrIx+/foRFxeHl5cXANu2bSMjIwN3d3csFgvh4eEAHD9+nJSUFGpra4mMjMRisXT+2YmIiNNqcwRl\nMpl47LHHWLNmDS+//DJ//etfKSoqIj09nVGjRpGUlMTIkSNJT08HoKioiJycHNasWUN8fDwbNmzA\nbrcDsH79ehYtWkRycjIlJSXk5eV1/tmJiFwnVIO6hJ+fH0OGDAHghhtuIDAwkPLycvbt28fEiRMB\nmDRpErm5uQDk5uYSExODyWQiICCA/v37U1hYSEVFBdXV1QQFBQEwYcIExzEiIiItafMSX2OlpaWc\nOHGC4OBgbDYbfn5+APj6+mKz2QCoqKggODjYcYy/vz/l5eWYTCbMZrNjvdlspry8vNXvys/Pp6Cg\noMk6s9lMVlaW46+Ii9djtdywfLEP5F9sNhsM8Aa6v38uXZambDYbWccOGqZ/jLh86NAhFi1aZJj2\nXMvltLQ0GgsNDSUsLAw3+8VrcG2orq5m2bJlPPDAA4wdOxaLxUJqaqpj+8XljRs3EhwczPjx4wFY\nu3YtERERBAQEsHnzZpYuXQrA4cOH2b59O0uWLGnvqx127txJVFRUh/d3NQeLv2HxjqPd3QxDWTUt\niPDvAspI1FfNGbWvjKTxH+jXk/379zN58uQWt7V7F19dXR2rV69mwoQJjB07FmgYNVVWVgINoyZf\nX1+gYZRjtVodx1qtVvz9/ZuNmKxWa5MRlYiItO16DKf2tBlQdrudtWvXEhgYyLRp0xzro6Oj2bVr\nFwC7d+9mzJgxjvXZ2dnU1dVRWlpKSUkJQUFB+Pn54enpSWFhIXa7nczMTMcxIiIiLWmzBvWPf/yD\nzMxMBg8ezHPPPQfAQw89xMyZM0lMTCQjI8NxmzlAYGAg48aNIy4uDg8PDxYsWICbmxsACxcuJCUl\nhZqaGqKiooiIiOjkUxMRuX5cr5f42tJmQIWEhLB169YWt12sJ10qNjaW2NjYZuuHDRvG6tWrr6CJ\nIiLiivQmCRERJ+BqoydQQImIiEEpoEREnIArvotPASUiIoakgBIRcQKqQYmIiBiEAkpExAmoBiUi\nImIQCigRESegGpSIiIhBKKBERJyAalAiIiIGoYASEXECqkGJiIgYhAJKRMQJqAYlIiJiEAooEREn\noBqUiIiIQSigREScgGpQIiIiBqGAEhFxAqpBiYiIGIQCSkTECagGJSIiYhAKKBERJ6AalIiIiEEo\noEREnIAiYI13AAAHpklEQVRqUCIiIgahgBIRcQKqQYmIiBiEAkpExAmoBiUiImIQprY2/s///A/7\n9+/Hx8eH1atXA1BVVUViYiJlZWX069ePuLg4vLy8ANi2bRsZGRm4u7tjsVgIDw8H4Pjx46SkpFBb\nW0tkZCQWi6WTT0tE5PqiGtQlJk2aRHx8fJN16enpjBo1iqSkJEaOHEl6ejoARUVF5OTksGbNGuLj\n49mwYQN2ux2A9evXs2jRIpKTkykpKSEvL6+TTkdERK4XbY6gRowYQWlpaZN1+/btIyEhAWgIsISE\nBObNm0dubi4xMTGYTCYCAgLo378/hYWF9OvXj+rqaoKCggCYMGECubm5REREtPq9+fn5FBQUNFln\nNpvJyspy/BVx8XqslhuWbTYb0pTNZoMB3kD398+ly9KUzWYj69hBw/SPEZcPHTrEokWLDNOea7mc\nlpZGY6GhoYSFheFmvzjMaUVpaSkrVqxwXOKzWCykpqYCYLfbmT9/PqmpqWzcuJHg4GDGjx8PwNq1\na4mIiCAgIIDNmzezdOlSAA4fPsz27dtZsmRJW1/bzM6dO4mKirqsY1zJweJvWLzjaHc3w1BWTQsi\n/LuAMhL1VXNG7SsjafwH+vVk//79TJ48ucVtV3WThJub29UcLiIiHXQ9hlN7LjugfH19qaysBKCi\nogJfX1+g4RKc1Wp17Ge1WvH398dsNlNeXt5kvdlsvtp2i4jIde6yAyo6Oppdu3YBsHv3bsaMGeNY\nn52dTV1dHaWlpZSUlBAUFISfnx+enp4UFhZit9vJzMx0HCMiIh3jis9BtXmTRFJSEgUFBZw5c4ZF\nixYxe/ZsZs6cSWJiIhkZGY7bzAECAwMZN24ccXFxeHh4sGDBAsclwIULF5KSkkJNTQ1RUVFt3iAh\nIiIC7QTUM8880+L6izc8XCo2NpbY2Nhm64cNG+a4yUJERC6falAiIiIGoYASEXECrliDUkCJiIgh\nKaBERJyAalAiIiIGoYASEXECqkGJiIgYhAJKRMQJqAYlIiJiEAooEREnoBqUiIiIQSigREScgCvW\noNp8WayIiCsrPnOe0rM13d0Mwwnw6skAn16d/j0KKBGRVpSerWHxjqPd3QzDWTUtqEsCSpf4RETE\nkBRQIiJiSAooERExJAWUiIgYkgJKREQMSQElIiKGpIASERFDUkCJiIghKaBERMSQFFAiImJICigR\nETEkBZSIiBiSAkpERAxJASUiIoakgBIREUNSQImIiCEpoERExJC6dEbdvLw8Xn/9derr67nrrruY\nOXNmV369iIg4kS4bQdXX1/O73/2O+Ph41qxZQ3Z2NkVFRV319SIi4mS6LKCOHj1K//79CQgIwGQy\nERMTw759+7rq60VExMm42e12e1d80UcffcTBgwd5/PHHAdizZw9Hjx5l/vz5zfbNz8+noKCgyTqz\n2dwVzRQRkS5WXl7eZDk0NJSwsLCurUF1VFhYGGFhYU3WpaWlMWvWrG5qkVwO9ZXzUF85D1fsqy67\nxGc2mykrK3MsW61WjYpERKRVXRZQt9xyCyUlJZSWllJXV0dOTg7R0dFd9fUiIuJkuuwSn4eHB/Pn\nz+fll1923GYeGBjYVV8vIiJOpktrUJGRkURGRl7RsaGhode4NdJZ1FfOQ33lPFyxr7rsLj4REZHL\noVcdiYiIISmgRETEkBRQIiJiSAooERExJAWUiIgYkqECas6cObz55puO5e3bt5OWltZkn8WLF5OU\nlNTVTZMOmDNnDs8995zjf43fHCLGMWfOHF599VXH8oULF1iwYAErVqzoxlZJa0pLS/n5z3/eZF1a\nWhrvvfdeN7Wo6xjqXXwmk4m9e/cyc+ZMvL29cXNza7K9qKiInj17UlhYyPnz5+nVq1c3tVRa0qtX\nL1auXNndzZB29OrVi6KiImpqaujZsyeffvop/v7+3d0skWYMNYLy8PBg8uTJ7Nixo8Xt2dnZxMTE\nEB4eTm5ubhe3TuT6ERkZyf79+4F//XslYjSGCiiAu+++m8zMTM6dO9ds24cffsi4ceMYN24c2dnZ\n3dA6aUtNTY3j8t7q1au7uznShjvuuIOcnBxqa2v54osvCA4O7u4miTRjqEt8AJ6enkycOJH/+7//\no2fPno71x44dw8fHh759++Lr60tKSgpVVVX06dOnG1srjfXs2VOX+JzE4MGD+frrr8nOziYqKqq7\nmyNtuLTU0d7664nhRlAAU6dO5YMPPuD8+fOOddnZ2Xz55Zc89dRT/OxnP+Pbb7/l448/7sZWiji3\n0aNH8+abbxITE4PeeGZc3t7enD17tsm6qqoqfHx8uqlFXcdwIyiAPn36cMcdd/DBBx9w1113UV9f\nz4cffsjq1avx8/MDGmbdffvtt5k8eXI3t1bEOd1111306dOHQYMGkZ+f393NkVbccMMN9O3bl88+\n+4yRI0dSVVVFXl4e06ZN6+6mdTpDBVTjIev06dP5y1/+AsCRI0fw9/d3hBPAiBEjKCoqorKyssl6\n6T6ucMnhenCxn8xmMz/4wQ+arBNjeuqpp/jd737HG2+8AcCsWbMICAjo5lZ1Pr3NXEREDMmQNSgR\nEREFlIiIGJICSkREDEkBJSIihqSAEhERQ1JAiYiIIf0/MSz1BVruioQAAAAASUVORK5CYII=\n" } ], "prompt_number": 9 }, { "cell_type": "heading", "level": 3, "metadata": {}, "source": [ "Inputs" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We can take a look at how the data is transformed." ] }, { "cell_type": "code", "collapsed": false, "input": [ "ds.inputs" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "pyout", "prompt_number": 10, "text": [ "<class 'pandas.core.frame.DataFrame'>\n", "Int64Index: 9686 entries, 0 to 9685\n", "Data columns:\n", "GiftCnt36 9686 non-null values\n", "GiftCntAll 9686 non-null values\n", "GiftCntCard36 9686 non-null values\n", "GiftCntCardAll 9686 non-null values\n", "GiftAvgLast 9686 non-null values\n", "GiftAvg36 9686 non-null values\n", "GiftAvgAll 9686 non-null values\n", "GiftAvgCard36 7906 non-null values\n", "GiftTimeLast 9686 non-null values\n", "GiftTimeFirst 9686 non-null values\n", "PromCnt12 9686 non-null values\n", "PromCnt36 9686 non-null values\n", "PromCntAll 9686 non-null values\n", "PromCntCard12 9686 non-null values\n", "PromCntCard36 9686 non-null values\n", "PromCntCardAll 9686 non-null values\n", "StatusCat96NK [A] 9686 non-null values\n", "StatusCat96NK [E] 9686 non-null values\n", "StatusCat96NK [F] 9686 non-null values\n", "StatusCat96NK [L] 9686 non-null values\n", "StatusCat96NK [N] 9686 non-null values\n", "StatusCat96NK [S] 9686 non-null values\n", "StatusCatStarAll 9686 non-null values\n", "DemCluster 9686 non-null values\n", "DemAge 7279 non-null values\n", "DemGender [F] 9686 non-null values\n", "DemGender [M] 9686 non-null values\n", "DemGender [U] 9686 non-null values\n", "DemHomeOwner [H] 9686 non-null values\n", "DemHomeOwner [U] 9686 non-null values\n", "DemMedHomeValue 9686 non-null values\n", "DemPctVeterans 9686 non-null values\n", "DemMedIncome 9686 non-null values\n", "dtypes: float64(7), int64(26)" ] } ], "prompt_number": 10 }, { "cell_type": "markdown", "metadata": {}, "source": [ "`inputs` is a pandas DataFrame. We can see that each categorical variables are divided into more columns that are filled with one's and zero's for doing machine learning possible also money columns are converted to be numbers only.\n", "\n", "See that the dtypes are float and int so is possible to enter that on scikit-learn by calling `inputs.values` to get a numpy array." ] }, { "cell_type": "heading", "level": 3, "metadata": {}, "source": [ "Conclusion" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Thats it for now, the next week I hope to get the integration with scikit-learn to make comparison of models as easy (and why not easier) than with SAS.\n", "\n", "The code is on github: [copper](https://github.com/danielfrg/copper \"Copper\")" ] } ], "metadata": {} } ] }
apache-2.0
beangoben/lerningMachin
Pandas/Untitled0.ipynb
1
181
{ "metadata": { "name": "", "signature": "sha256:9051b8d655f3cfe28dfa6b9f8224ff3d591acb3afaab4e8109a5797062773e2a" }, "nbformat": 3, "nbformat_minor": 0, "worksheets": [] }
gpl-3.0
wcmckee/signinlca
pggNumAdd.ipynb
1
7566
{ "cells": [ { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[2, 17, 27, 31, 41, 53, 66, 73, 88, 96]\n" ] } ], "source": [ "# IPython log file\n", "\n", "#get_ipython().magic(u'logstart')\n", "import random\n", "ranumlis = []\n", "ranlow = 0\n", "ranhigh = 9\n", "\n", "for ranez in range(10):\n", " randmun = random.randint(ranlow, ranhigh)\n", " ranumlis.append(randmun)\n", "\n", " ranlow = (ranlow + 10)\n", " ranhigh = (ranhigh + 10)\n", "\n", "print ranumlis\n", "\n", "savlis = open('/home/wcmckee/pgg/roll.json', 'w') \n", "savlis.write(str(ranumlis))\n", "savlis.close()\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Testing of playing pyguessgame. \n", "Generates random numbers and plays a game.\n", "\n", "Create two random lists of numbers 0/9,10/19,20/29 etc to 100.\n", "Compare the two lists. If win mark, if lose mark.\n", "\n", "Debian" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [], "source": [ "#for ronum in ranumlis:\n", "# print ronum" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": true }, "outputs": [], "source": [ "randict = dict()" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": true }, "outputs": [], "source": [ "othgues = []\n", "othlow = 0\n", "othhigh = 9\n" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [], "source": [ "for ranez in range(10):\n", " randxz = random.randint(othlow, othhigh)\n", " othgues.append(randxz)\n", "\n", " othlow = (othlow + 10)\n", " othhigh = (othhigh + 10)\n", "\n", "#print othgues" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": true }, "outputs": [], "source": [ "tenlis = ['zero', 'ten', 'twenty', 'thirty', 'fourty',\n", " 'fifty', 'sixty', 'seventy', 'eighty', \n", " 'ninety']" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false }, "outputs": [], "source": [ "#for telis in tenlis:\n", "# for diez in dieci:\n", "# print telis" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false }, "outputs": [], "source": [ "#randict" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Makes dict with keys pointing to the 10s numbers. \n", "The value needs the list of random numbers updated.\n", "\n", "Currently it just adds the number one.\n", "How to add the random number list?" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "2 You Lose!\n", "17 You Win!\n", "27 You Lose!\n", "31 You Lose!\n", "41 You Lose!\n", "53 You Lose!\n", "66 You Lose!\n", "73 You Lose!\n", "88 You Lose!\n", "96 You Lose!\n" ] } ], "source": [ "for ronum in ranumlis:\n", " #print ronum\n", " if ronum in othgues:\n", " print (str(ronum) + ' You Win!')\n", " else:\n", " print (str(ronum) + ' You Lose!')\n", " " ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": true }, "outputs": [], "source": [ "#dieci = dict()" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": false }, "outputs": [], "source": [ "#for ranz in range(10):\n", " #print str(ranz) + str(1)#\n", "# dieci.update({str(ranz) + str(1): str(ranz)})\n", "# for numz in range(10):\n", " #print str(ranz) + str(numz)\n", "# print numz\n", "#print zetoo" ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "collapsed": false }, "outputs": [], "source": [ "#for diez in dieci:\n", "# print diez" ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "collapsed": false }, "outputs": [], "source": [ "#for sinum in ranumlis:\n", "# print str(sinum) + (str('\\n'))\n", " #if str(sinum) in othhigh:\n", " # print 'Win'\n", " " ] }, { "cell_type": "code", "execution_count": 14, "metadata": { "collapsed": true }, "outputs": [], "source": [ "#import os" ] }, { "cell_type": "code", "execution_count": 15, "metadata": { "collapsed": false }, "outputs": [], "source": [ "#os.system('sudo adduser joemanz --disabled-login --quiet -D')" ] }, { "cell_type": "code", "execution_count": 16, "metadata": { "collapsed": false }, "outputs": [], "source": [ "#uslis = os.listdir('/home/wcmckee/signinlca/usernames/')\n", "#print ('User List: ')\n", "#for usl in uslis:\n", "# print usl\n", "# os.system('sudo adduser ' + usl + ' ' + '--disabled-login --quiet')\n", " \n", "# os.system('sudo mv /home/wcmckee/signinlca/usernames/' + usl + ' ' + '/home/' + usl + ' ') \n" ] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": true }, "outputs": [], "source": [ "#print dieci" ] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.9" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
harishkrao/DSE200x
Week-7-MachineLearning/Weather Data Classification using Decision Trees.ipynb
1
12784
{ "cells": [ { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "<p style=\"font-family: Arial; font-size:2.75em;color:purple; font-style:bold\">\n", "\n", "Classification of Weather Data <br><br>\n", "using scikit-learn\n", "<br><br>\n", "</p>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<p style=\"font-family: Arial; font-size:1.75em;color:purple; font-style:bold\"><br>\n", "Daily Weather Data Analysis</p>\n", "\n", "In this notebook, we will use scikit-learn to perform a decision tree based classification of weather data." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<p style=\"font-family: Arial; font-size:1.75em;color:purple; font-style:bold\"><br>\n", "\n", "Importing the Necessary Libraries<br></p>" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import pandas as pd\n", "from sklearn.metrics import accuracy_score\n", "from sklearn.model_selection import train_test_split\n", "from sklearn.tree import DecisionTreeClassifier" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<p style=\"font-family: Arial; font-size:1.75em;color:purple; font-style:bold\"><br>\n", "\n", "Creating a Pandas DataFrame from a CSV file<br></p>\n" ] }, { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [], "source": [ "data = pd.read_csv('./weather/daily_weather.csv')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<p style=\"font-family: Arial; font-size:1.75em;color:purple; font-style:bold\">Daily Weather Data Description</p>\n", "<br>\n", "The file **daily_weather.csv** is a comma-separated file that contains weather data. This data comes from a weather station located in San Diego, California. The weather station is equipped with sensors that capture weather-related measurements such as air temperature, air pressure, and relative humidity. Data was collected for a period of three years, from September 2011 to September 2014, to ensure that sufficient data for different seasons and weather conditions is captured.<br><br>\n", "Let's now check all the columns in the data." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "data.columns" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<br>Each row in daily_weather.csv captures weather data for a separate day. <br><br>\n", "Sensor measurements from the weather station were captured at one-minute intervals. These measurements were then processed to generate values to describe daily weather. Since this dataset was created to classify low-humidity days vs. non-low-humidity days (that is, days with normal or high humidity), the variables included are weather measurements in the morning, with one measurement, namely relatively humidity, in the afternoon. The idea is to use the morning weather values to predict whether the day will be low-humidity or not based on the afternoon measurement of relative humidity.\n", "\n", "Each row, or sample, consists of the following variables:\n", "\n", "* **number:** unique number for each row\n", "* **air_pressure_9am:** air pressure averaged over a period from 8:55am to 9:04am (*Unit: hectopascals*)\n", "* **air_temp_9am:** air temperature averaged over a period from 8:55am to 9:04am (*Unit: degrees Fahrenheit*)\n", "* **air_wind_direction_9am:** wind direction averaged over a period from 8:55am to 9:04am (*Unit: degrees, with 0 means coming from the North, and increasing clockwise*)\n", "* **air_wind_speed_9am:** wind speed averaged over a period from 8:55am to 9:04am (*Unit: miles per hour*)\n", "* ** max_wind_direction_9am:** wind gust direction averaged over a period from 8:55am to 9:10am (*Unit: degrees, with 0 being North and increasing clockwise*)\n", "* **max_wind_speed_9am:** wind gust speed averaged over a period from 8:55am to 9:04am (*Unit: miles per hour*)\n", "* **rain_accumulation_9am:** amount of rain accumulated in the 24 hours prior to 9am (*Unit: millimeters*)\n", "* **rain_duration_9am:** amount of time rain was recorded in the 24 hours prior to 9am (*Unit: seconds*)\n", "* **relative_humidity_9am:** relative humidity averaged over a period from 8:55am to 9:04am (*Unit: percent*)\n", "* **relative_humidity_3pm:** relative humidity averaged over a period from 2:55pm to 3:04pm (*Unit: percent *)\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "data" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "data[data.isnull().any(axis=1)]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<p style=\"font-family: Arial; font-size:1.75em;color:purple; font-style:bold\"><br>\n", "\n", "Data Cleaning Steps<br><br></p>\n", "\n", "We will not need to number for each row so we can clean it." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "del data['number']" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Now let's drop null values using the *pandas dropna* function." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "before_rows = data.shape[0]\n", "print(before_rows)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "data = data.dropna()" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "after_rows = data.shape[0]\n", "print(after_rows)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<p style=\"font-family: Arial; font-size:1.75em;color:purple; font-style:bold\"><br>\n", "\n", "How many rows dropped due to cleaning?<br><br></p>\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "before_rows - after_rows" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<p style=\"font-family: Arial; font-size:1.75em;color:purple; font-style:bold\">\n", "Convert to a Classification Task <br><br></p>\n", "Binarize the relative_humidity_3pm to 0 or 1.<br>\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "clean_data = data.copy()\n", "clean_data['high_humidity_label'] = (clean_data['relative_humidity_3pm'] > 24.99)*1\n", "print(clean_data['high_humidity_label'])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<p style=\"font-family: Arial; font-size:1.75em;color:purple; font-style:bold\"><br>\n", "\n", "Target is stored in 'y'.\n", "<br><br></p>\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "y=clean_data[['high_humidity_label']].copy()\n", "#y" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "clean_data['relative_humidity_3pm'].head()" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "y.head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<p style=\"font-family: Arial; font-size:1.75em;color:purple; font-style:bold\"><br>\n", "\n", "Use 9am Sensor Signals as Features to Predict Humidity at 3pm\n", "<br><br></p>\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "morning_features = ['air_pressure_9am','air_temp_9am','avg_wind_direction_9am','avg_wind_speed_9am',\n", " 'max_wind_direction_9am','max_wind_speed_9am','rain_accumulation_9am',\n", " 'rain_duration_9am']" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "X = clean_data[morning_features].copy()" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "X.columns" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "y.columns" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<p style=\"font-family: Arial; font-size:1.75em;color:purple; font-style:bold\"><br>\n", "\n", "Perform Test and Train split\n", "\n", "<br><br></p>\n", "\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## REMINDER: Training Phase\n", "\n", "In the **training phase**, the learning algorithm uses the training data to adjust the model’s parameters to minimize errors. At the end of the training phase, you get the trained model.\n", "\n", "<img src=\"TrainingVSTesting.jpg\" align=\"middle\" style=\"width:550px;height:360px;\"/>\n", "\n", "<BR>\n", "In the **testing phase**, the trained model is applied to test data. Test data is separate from the training data, and is previously unseen by the model. The model is then evaluated on how it performs on the test data. The goal in building a classifier model is to have the model perform well on training as well as test data.\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "X_train, X_test, y_train, y_test = train_test_split(X, y, test_size=0.33, random_state=324)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "#type(X_train)\n", "#type(X_test)\n", "#type(y_train)\n", "#type(y_test)\n", "#X_train.head()\n", "#y_train.describe()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<p style=\"font-family: Arial; font-size:1.75em;color:purple; font-style:bold\"><br>\n", "\n", "Fit on Train Set\n", "<br><br></p>\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "humidity_classifier = DecisionTreeClassifier(max_leaf_nodes=10, random_state=0)\n", "humidity_classifier.fit(X_train, y_train)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "type(humidity_classifier)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<p style=\"font-family: Arial; font-size:1.75em;color:purple; font-style:bold\"><br>\n", "\n", "Predict on Test Set \n", "\n", "<br><br></p>\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "predictions = humidity_classifier.predict(X_test)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "predictions[:10]" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "y_test['high_humidity_label'][:10]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<p style=\"font-family: Arial; font-size:1.75em;color:purple; font-style:bold\"><br>\n", "\n", "Measure Accuracy of the Classifier\n", "<br><br></p>\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "accuracy_score(y_true = y_test, y_pred = predictions)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.0" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
nkmk/python-snippets
notebook/string_line_break.ipynb
1
11834
{ "cells": [ { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Line1\n", "Line2\n", "Line3\n" ] } ], "source": [ "s = 'Line1\\nLine2\\nLine3'\n", "print(s)" ] }, { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Line1\r\n", "Line2\r\n", "Line3\n" ] } ], "source": [ "s = 'Line1\\r\\nLine2\\r\\nLine3'\n", "print(s)" ] }, { "cell_type": "code", "execution_count": 3, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Line1\n", "Line2\n", "Line3\n" ] } ], "source": [ "s = '''Line1\n", "Line2\n", "Line3'''\n", "print(s)" ] }, { "cell_type": "code", "execution_count": 4, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "\n", " Line1\n", " Line2\n", " Line3\n", " \n" ] } ], "source": [ "s = '''\n", " Line1\n", " Line2\n", " Line3\n", " '''\n", "print(s)" ] }, { "cell_type": "code", "execution_count": 5, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Line1\n", "Line2\n", "Line3\n" ] } ], "source": [ "s = 'Line1\\n'\\\n", " 'Line2\\n'\\\n", " 'Line3'\n", "print(s)" ] }, { "cell_type": "code", "execution_count": 6, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Line1\n", " Line2\n", " Line3\n" ] } ], "source": [ "s = 'Line1\\n'\\\n", " ' Line2\\n'\\\n", " ' Line3'\n", "print(s)" ] }, { "cell_type": "code", "execution_count": 7, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Line1\n", "Line2\n", "Line3\n" ] } ], "source": [ "s = ('Line1\\n'\n", " 'Line2\\n'\n", " 'Line3')\n", "print(s)" ] }, { "cell_type": "code", "execution_count": 8, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Line1\n", " Line2\n", " Line3\n" ] } ], "source": [ "s = ('Line1\\n'\n", " ' Line2\\n'\n", " ' Line3')\n", "print(s)" ] }, { "cell_type": "code", "execution_count": 9, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Line1\n", "Line2\n", "Line3\n" ] } ], "source": [ "s = '''\\\n", "Line1\n", "Line2\n", "Line3'''\n", "print(s)" ] }, { "cell_type": "code", "execution_count": 10, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Line1\n", " Line2\n", " Line3\n" ] } ], "source": [ "s = '''\\\n", "Line1\n", " Line2\n", " Line3'''\n", "print(s)" ] }, { "cell_type": "code", "execution_count": 11, "metadata": {}, "outputs": [], "source": [ "l = ['Line1', 'Line2', 'Line3']" ] }, { "cell_type": "code", "execution_count": 12, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Line1\n", "Line2\n", "Line3\n" ] } ], "source": [ "s_n = '\\n'.join(l)\n", "print(s_n)" ] }, { "cell_type": "code", "execution_count": 13, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "'Line1\\nLine2\\nLine3'\n" ] } ], "source": [ "print(repr(s_n))" ] }, { "cell_type": "code", "execution_count": 14, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Line1\r\n", "Line2\r\n", "Line3\n" ] } ], "source": [ "s_rn = '\\r\\n'.join(l)\n", "print(s_rn)" ] }, { "cell_type": "code", "execution_count": 15, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "'Line1\\r\\nLine2\\r\\nLine3'\n" ] } ], "source": [ "print(repr(s_rn))" ] }, { "cell_type": "code", "execution_count": 16, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "['Line1', 'Line2', 'Line3']\n" ] } ], "source": [ "s = 'Line1\\nLine2\\r\\nLine3'\n", "print(s.splitlines())" ] }, { "cell_type": "code", "execution_count": 17, "metadata": {}, "outputs": [], "source": [ "s = 'Line1\\nLine2\\r\\nLine3'" ] }, { "cell_type": "code", "execution_count": 18, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Line1Line2Line3\n" ] } ], "source": [ "print(''.join(s.splitlines()))" ] }, { "cell_type": "code", "execution_count": 19, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Line1 Line2 Line3\n" ] } ], "source": [ "print(' '.join(s.splitlines()))" ] }, { "cell_type": "code", "execution_count": 20, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Line1,Line2,Line3\n" ] } ], "source": [ "print(','.join(s.splitlines()))" ] }, { "cell_type": "code", "execution_count": 21, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Line1\n", "Line2\n", "Line3\n" ] } ], "source": [ "s_n = '\\n'.join(s.splitlines())\n", "print(s_n)" ] }, { "cell_type": "code", "execution_count": 22, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "'Line1\\nLine2\\nLine3'\n" ] } ], "source": [ "print(repr(s_n))" ] }, { "cell_type": "code", "execution_count": 23, "metadata": {}, "outputs": [], "source": [ "s = 'Line1\\nLine2\\nLine3'" ] }, { "cell_type": "code", "execution_count": 24, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Line1Line2Line3\n" ] } ], "source": [ "print(s.replace('\\n', ''))" ] }, { "cell_type": "code", "execution_count": 25, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Line1,Line2,Line3\n" ] } ], "source": [ "print(s.replace('\\n', ','))" ] }, { "cell_type": "code", "execution_count": 26, "metadata": {}, "outputs": [], "source": [ "s = 'Line1\\nLine2\\r\\nLine3'" ] }, { "cell_type": "code", "execution_count": 27, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Line1,Line2\r", ",Line3\n" ] } ], "source": [ "s_error = s.replace('\\n', ',')\n", "print(s_error)" ] }, { "cell_type": "code", "execution_count": 28, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "'Line1,Line2\\r,Line3'\n" ] } ], "source": [ "print(repr(s_error))" ] }, { "cell_type": "code", "execution_count": 29, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Line1\n", "Line2,Line3\n" ] } ], "source": [ "s_error = s.replace('\\r\\n', ',')\n", "print(s_error)" ] }, { "cell_type": "code", "execution_count": 30, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "'Line1\\nLine2,Line3'\n" ] } ], "source": [ "print(repr(s_error))" ] }, { "cell_type": "code", "execution_count": 31, "metadata": {}, "outputs": [], "source": [ "s = 'Line1\\nLine2\\r\\nLine3'" ] }, { "cell_type": "code", "execution_count": 32, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Line1,Line2,Line3\n" ] } ], "source": [ "print(s.replace('\\r\\n', ',').replace('\\n', ','))" ] }, { "cell_type": "code", "execution_count": 33, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Line1,Line2\r", ",Line3\n" ] } ], "source": [ "s_error = s.replace('\\n', ',').replace('\\r\\n', ',')\n", "print(s_error)" ] }, { "cell_type": "code", "execution_count": 34, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "'Line1,Line2\\r,Line3'\n" ] } ], "source": [ "print(repr(s_error))" ] }, { "cell_type": "code", "execution_count": 35, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Line1,Line2,Line3\n" ] } ], "source": [ "print(','.join(s.splitlines()))" ] }, { "cell_type": "code", "execution_count": 36, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "aaa\n", "bbb\n" ] } ], "source": [ "s = 'aaa\\n'\n", "print(s + 'bbb')" ] }, { "cell_type": "code", "execution_count": 37, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "aaabbb\n" ] } ], "source": [ "print(s.rstrip() + 'bbb')" ] }, { "cell_type": "code", "execution_count": 38, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "a\n", "b\n", "c\n" ] } ], "source": [ "print('a')\n", "print('b')\n", "print('c')" ] }, { "cell_type": "code", "execution_count": 39, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "abc" ] } ], "source": [ "print('a', end='')\n", "print('b', end='')\n", "print('c', end='')" ] }, { "cell_type": "code", "execution_count": 40, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "a-b-c\n" ] } ], "source": [ "print('a', end='-')\n", "print('b', end='-')\n", "print('c')" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.7.4" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
gaufung/Data_Analytics_Learning_Note
python-statatics-tutorial/advance-theme/Singleton.ipynb
1
3829
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "Python 单例模式" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "# 1 \\__new\\__ 方法\n", "+ \\__new\\__(cls, \\*args, \\*\\*kwargs) 创建对象时调用,返回当前对象的一个实例;注意:这里的第一个参数是cls即class本身 \n", "+ \\__init\\__(self, \\*args, \\*\\*kwargs) 创建完对象后调用,对当前对象的实例的一些初始化,无返回值,即在调用\\__new\\__之后,根据返回的实例初始化;注意,这里的第一个参数是self即对象本身" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": true }, "outputs": [], "source": [ "class Singleton(object): \n", " def __new__(cls, *args, **kwargs): \n", " if not hasattr(cls, '_instance'): \n", " cls._instance = super(Singleton, cls).__new__(cls, *args, **kwargs) \n", " return cls._instance\n", "class MyClass(object):\n", " pass" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "True\n", "False\n" ] } ], "source": [ "single1 = Singleton()\n", "single2 = Singleton()\n", "myclass1 = MyClass()\n", "myclass2 = MyClass()\n", "print id(single1) == id(single2)\n", "print id(myclass1) == id(myclass2)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# 2 使用类(class)装饰器" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": true }, "outputs": [], "source": [ "from functools import wraps\n", "def singleton(cls): \n", " instances = {} \n", " @wraps(cls) \n", " def wrapper(*args, **kwargs): \n", " if cls not in instances: \n", " instances[cls] = cls(*args, **kwargs) \n", " return instances[cls] \n", " return wrapper\n", "@singleton \n", "class MyClass(object): \n", " pass" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "True\n" ] } ], "source": [ "myclass1 = MyClass()\n", "myclass2 = MyClass()\n", "print id(myclass1) == id(myclass2)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# 3 使用GetInstance方法,非线程安全" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "True\n" ] } ], "source": [ "class MySingleton(object): \n", " @classmethod\n", " def getInstance(cls): \n", " if not hasattr(cls, '_instance'): \n", " cls._instance = cls() \n", " return cls._instance\n", "mysingleton1 = MySingleton.getInstance()\n", "mysingleton2 = MySingleton.getInstance()\n", "print id(mysingleton1) == id(mysingleton2)" ] } ], "metadata": { "anaconda-cloud": {}, "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.0" } }, "nbformat": 4, "nbformat_minor": 1 }
mit
tsavo-sevenoaks/garth
ipython_101_notebook-Copy1.ipynb
1
127418
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# iPython 101 - using numpy, scipy, matplotlib, sqlite3 and Bokeh.\n", " it takes a long time to load - be patient!" ] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "hello world - BRUCE\n", "Date & Ttime : 2016-08-20 15:36:25\n" ] } ], "source": [ "a = \"hello world - BRUCE\"\n", "print(a)\n", "import time\n", "\n", "#print(\"date and time when this Notebook was run on http://localhost:8888/notebooks/ipython_101_notebook-Copy1.ipynb\")\n", "print(\"Date & Time : \",time.strftime(\"%Y-%m-%d %H:%M:%S\"))" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "\n", " <div class=\"bk-banner\">\n", " <a href=\"http://bokeh.pydata.org\" target=\"_blank\" class=\"bk-logo bk-logo-small bk-logo-notebook\"></a>\n", " <span id=\"50ff9d33-7aa8-4d3c-8ff5-8e69d1743de9\">Loading BokehJS ...</span>\n", " </div>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "application/javascript": [ "\n", "(function(global) {\n", " function now() {\n", " return new Date();\n", " }\n", "\n", " if (typeof (window._bokeh_onload_callbacks) === \"undefined\") {\n", " window._bokeh_onload_callbacks = [];\n", " }\n", "\n", " function run_callbacks() {\n", " window._bokeh_onload_callbacks.forEach(function(callback) { callback() });\n", " delete window._bokeh_onload_callbacks\n", " console.info(\"Bokeh: all callbacks have finished\");\n", " }\n", "\n", " function load_libs(js_urls, callback) {\n", " window._bokeh_onload_callbacks.push(callback);\n", " if (window._bokeh_is_loading > 0) {\n", " console.log(\"Bokeh: BokehJS is being loaded, scheduling callback at\", now());\n", " return null;\n", " }\n", " if (js_urls == null || js_urls.length === 0) {\n", " run_callbacks();\n", " return null;\n", " }\n", " console.log(\"Bokeh: BokehJS not loaded, scheduling load and callback at\", now());\n", " window._bokeh_is_loading = js_urls.length;\n", " for (var i = 0; i < js_urls.length; i++) {\n", " var url = js_urls[i];\n", " var s = document.createElement('script');\n", " s.src = url;\n", " s.async = false;\n", " s.onreadystatechange = s.onload = function() {\n", " window._bokeh_is_loading--;\n", " if (window._bokeh_is_loading === 0) {\n", " console.log(\"Bokeh: all BokehJS libraries loaded\");\n", " run_callbacks()\n", " }\n", " };\n", " s.onerror = function() {\n", " console.warn(\"failed to load library \" + url);\n", " };\n", " console.log(\"Bokeh: injecting script tag for BokehJS library: \", url);\n", " document.getElementsByTagName(\"head\")[0].appendChild(s);\n", " }\n", " };\n", "\n", " var js_urls = ['https://cdn.pydata.org/bokeh/release/bokeh-0.11.1.min.js', 'https://cdn.pydata.org/bokeh/release/bokeh-widgets-0.11.1.min.js', 'https://cdn.pydata.org/bokeh/release/bokeh-compiler-0.11.1.min.js'];\n", "\n", " var inline_js = [\n", " function(Bokeh) {\n", " Bokeh.set_log_level(\"info\");\n", " },\n", " \n", " function(Bokeh) {\n", " Bokeh.$(\"#50ff9d33-7aa8-4d3c-8ff5-8e69d1743de9\").text(\"BokehJS successfully loaded\");\n", " },\n", " function(Bokeh) {\n", " console.log(\"Bokeh: injecting CSS: https://cdn.pydata.org/bokeh/release/bokeh-0.11.1.min.css\");\n", " Bokeh.embed.inject_css(\"https://cdn.pydata.org/bokeh/release/bokeh-0.11.1.min.css\");\n", " console.log(\"Bokeh: injecting CSS: https://cdn.pydata.org/bokeh/release/bokeh-widgets-0.11.1.min.css\");\n", " Bokeh.embed.inject_css(\"https://cdn.pydata.org/bokeh/release/bokeh-widgets-0.11.1.min.css\");\n", " }\n", " ];\n", "\n", " function run_inline_js() {\n", " for (var i = 0; i < inline_js.length; i++) {\n", " inline_js[i](window.Bokeh);\n", " }\n", " }\n", "\n", " if (window._bokeh_is_loading === 0) {\n", " console.log(\"Bokeh: BokehJS loaded, going straight to plotting\");\n", " run_inline_js();\n", " } else {\n", " load_libs(js_urls, function() {\n", " console.log(\"Bokeh: BokehJS plotting callback run at\", now());\n", " run_inline_js();\n", " });\n", " }\n", "}(this));" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/html": [ "\n", "\n", " <div class=\"plotdiv\" id=\"05f65b59-d5ea-494b-92ca-4129a0e0cebe\"></div>\n", "<script type=\"text/javascript\">\n", " \n", " (function(global) {\n", " function now() {\n", " return new Date();\n", " }\n", " \n", " if (typeof (window._bokeh_onload_callbacks) === \"undefined\") {\n", " window._bokeh_onload_callbacks = [];\n", " }\n", " \n", " function run_callbacks() {\n", " window._bokeh_onload_callbacks.forEach(function(callback) { callback() });\n", " delete window._bokeh_onload_callbacks\n", " console.info(\"Bokeh: all callbacks have finished\");\n", " }\n", " \n", " function load_libs(js_urls, callback) {\n", " window._bokeh_onload_callbacks.push(callback);\n", " if (window._bokeh_is_loading > 0) {\n", " console.log(\"Bokeh: BokehJS is being loaded, scheduling callback at\", now());\n", " return null;\n", " }\n", " if (js_urls == null || js_urls.length === 0) {\n", " run_callbacks();\n", " return null;\n", " }\n", " console.log(\"Bokeh: BokehJS not loaded, scheduling load and callback at\", now());\n", " window._bokeh_is_loading = js_urls.length;\n", " for (var i = 0; i < js_urls.length; i++) {\n", " var url = js_urls[i];\n", " var s = document.createElement('script');\n", " s.src = url;\n", " s.async = false;\n", " s.onreadystatechange = s.onload = function() {\n", " window._bokeh_is_loading--;\n", " if (window._bokeh_is_loading === 0) {\n", " console.log(\"Bokeh: all BokehJS libraries loaded\");\n", " run_callbacks()\n", " }\n", " };\n", " s.onerror = function() {\n", " console.warn(\"failed to load library \" + url);\n", " };\n", " console.log(\"Bokeh: injecting script tag for BokehJS library: \", url);\n", " document.getElementsByTagName(\"head\")[0].appendChild(s);\n", " }\n", " };var element = document.getElementById(\"05f65b59-d5ea-494b-92ca-4129a0e0cebe\");\n", " if (element == null) {\n", " console.log(\"Bokeh: ERROR: autoload.js configured with elementid '05f65b59-d5ea-494b-92ca-4129a0e0cebe' but no matching script tag was found. \")\n", " return false;\n", " }\n", " \n", " var js_urls = [];\n", " \n", " var inline_js = [\n", " function(Bokeh) {\n", " Bokeh.$(function() {\n", " var docs_json = {\"20724ed3-f7f9-4fbe-b130-2374acfd2a9f\":{\"roots\":{\"references\":[{\"attributes\":{\"plot\":{\"id\":\"f10fc77a-5f66-49c4-8f3c-4529c3643117\",\"subtype\":\"Figure\",\"type\":\"Plot\"}},\"id\":\"9d46c78a-b963-4964-887e-ef374990d7e5\",\"type\":\"WheelZoomTool\"},{\"attributes\":{\"bottom_units\":\"screen\",\"fill_alpha\":{\"value\":0.5},\"fill_color\":{\"value\":\"lightgrey\"},\"left_units\":\"screen\",\"level\":\"overlay\",\"line_alpha\":{\"value\":1.0},\"line_color\":{\"value\":\"black\"},\"line_dash\":[4,4],\"line_width\":{\"value\":2},\"plot\":null,\"render_mode\":\"css\",\"right_units\":\"screen\",\"top_units\":\"screen\"},\"id\":\"b8082638-06be-406d-929d-997d3de3113b\",\"type\":\"BoxAnnotation\"},{\"attributes\":{\"callback\":null},\"id\":\"242769bd-26cc-4b75-9cfe-84bcec4095ea\",\"type\":\"DataRange1d\"},{\"attributes\":{},\"id\":\"a47257fc-74a4-41ce-ba6e-8f08a060319b\",\"type\":\"BasicTicker\"},{\"attributes\":{\"callback\":null},\"id\":\"024979b3-0bee-4ee7-96f2-a3cf9d74ce2b\",\"type\":\"DataRange1d\"},{\"attributes\":{\"line_color\":{\"value\":\"#1f77b4\"},\"line_width\":{\"value\":2},\"x\":{\"field\":\"x\"},\"y\":{\"field\":\"y\"}},\"id\":\"e4b01e3a-41d6-4ff5-ad75-6996799b2196\",\"type\":\"Line\"},{\"attributes\":{\"plot\":{\"id\":\"f10fc77a-5f66-49c4-8f3c-4529c3643117\",\"subtype\":\"Figure\",\"type\":\"Plot\"},\"ticker\":{\"id\":\"0a51c2fb-96a6-4891-97e5-f1f53e9730e3\",\"type\":\"BasicTicker\"}},\"id\":\"c2b5d8ba-154e-40cd-9019-20c0fba12ac1\",\"type\":\"Grid\"},{\"attributes\":{\"data_source\":{\"id\":\"d3bed14a-5399-49df-980c-87bc303da71e\",\"type\":\"ColumnDataSource\"},\"glyph\":{\"id\":\"e4b01e3a-41d6-4ff5-ad75-6996799b2196\",\"type\":\"Line\"},\"hover_glyph\":null,\"nonselection_glyph\":{\"id\":\"38f12402-f8a6-4cf5-a25d-ff723aea5887\",\"type\":\"Line\"},\"selection_glyph\":null},\"id\":\"f04ef251-bc5d-47e6-82ca-3a447d81c5b4\",\"type\":\"GlyphRenderer\"},{\"attributes\":{\"plot\":{\"id\":\"f10fc77a-5f66-49c4-8f3c-4529c3643117\",\"subtype\":\"Figure\",\"type\":\"Plot\"}},\"id\":\"580326f1-c851-4ba3-97ed-b045f5846f95\",\"type\":\"ResetTool\"},{\"attributes\":{\"plot\":{\"id\":\"f10fc77a-5f66-49c4-8f3c-4529c3643117\",\"subtype\":\"Figure\",\"type\":\"Plot\"}},\"id\":\"164c5b1f-1613-4a42-b513-f31d71144360\",\"type\":\"HelpTool\"},{\"attributes\":{\"dimension\":1,\"plot\":{\"id\":\"f10fc77a-5f66-49c4-8f3c-4529c3643117\",\"subtype\":\"Figure\",\"type\":\"Plot\"},\"ticker\":{\"id\":\"a47257fc-74a4-41ce-ba6e-8f08a060319b\",\"type\":\"BasicTicker\"}},\"id\":\"031cd8d9-d773-4f53-95d9-7fd00cdcd147\",\"type\":\"Grid\"},{\"attributes\":{},\"id\":\"9e7f7c11-c1fa-4006-b781-fdb5f0b68349\",\"type\":\"BasicTickFormatter\"},{\"attributes\":{\"plot\":{\"id\":\"f10fc77a-5f66-49c4-8f3c-4529c3643117\",\"subtype\":\"Figure\",\"type\":\"Plot\"}},\"id\":\"ae9627b2-29be-489a-bff7-9a913893d276\",\"type\":\"PreviewSaveTool\"},{\"attributes\":{\"plot\":{\"id\":\"f10fc77a-5f66-49c4-8f3c-4529c3643117\",\"subtype\":\"Figure\",\"type\":\"Plot\"}},\"id\":\"3473fa08-96f8-4f1c-bab8-6e0d82a895ce\",\"type\":\"PanTool\"},{\"attributes\":{},\"id\":\"8505cf32-def3-4016-8654-74196bbd674d\",\"type\":\"BasicTickFormatter\"},{\"attributes\":{},\"id\":\"0a51c2fb-96a6-4891-97e5-f1f53e9730e3\",\"type\":\"BasicTicker\"},{\"attributes\":{\"formatter\":{\"id\":\"8505cf32-def3-4016-8654-74196bbd674d\",\"type\":\"BasicTickFormatter\"},\"plot\":{\"id\":\"f10fc77a-5f66-49c4-8f3c-4529c3643117\",\"subtype\":\"Figure\",\"type\":\"Plot\"},\"ticker\":{\"id\":\"a47257fc-74a4-41ce-ba6e-8f08a060319b\",\"type\":\"BasicTicker\"}},\"id\":\"99832332-ddf9-4236-b2dd-eed7e3c7a627\",\"type\":\"LinearAxis\"},{\"attributes\":{\"formatter\":{\"id\":\"9e7f7c11-c1fa-4006-b781-fdb5f0b68349\",\"type\":\"BasicTickFormatter\"},\"plot\":{\"id\":\"f10fc77a-5f66-49c4-8f3c-4529c3643117\",\"subtype\":\"Figure\",\"type\":\"Plot\"},\"ticker\":{\"id\":\"0a51c2fb-96a6-4891-97e5-f1f53e9730e3\",\"type\":\"BasicTicker\"}},\"id\":\"7e97ffd7-725b-4915-9401-87178b2807f3\",\"type\":\"LinearAxis\"},{\"attributes\":{\"line_alpha\":{\"value\":0.1},\"line_color\":{\"value\":\"#1f77b4\"},\"line_width\":{\"value\":2},\"x\":{\"field\":\"x\"},\"y\":{\"field\":\"y\"}},\"id\":\"38f12402-f8a6-4cf5-a25d-ff723aea5887\",\"type\":\"Line\"},{\"attributes\":{\"callback\":null,\"column_names\":[\"x\",\"y\"],\"data\":{\"x\":[1,2,3,4,5],\"y\":[6,7,2,4,5]}},\"id\":\"d3bed14a-5399-49df-980c-87bc303da71e\",\"type\":\"ColumnDataSource\"},{\"attributes\":{},\"id\":\"52bf2515-1dd5-45d4-b23c-febcc4dd2aee\",\"type\":\"ToolEvents\"},{\"attributes\":{\"below\":[{\"id\":\"7e97ffd7-725b-4915-9401-87178b2807f3\",\"type\":\"LinearAxis\"}],\"left\":[{\"id\":\"99832332-ddf9-4236-b2dd-eed7e3c7a627\",\"type\":\"LinearAxis\"}],\"plot_height\":400,\"plot_width\":400,\"renderers\":[{\"id\":\"7e97ffd7-725b-4915-9401-87178b2807f3\",\"type\":\"LinearAxis\"},{\"id\":\"c2b5d8ba-154e-40cd-9019-20c0fba12ac1\",\"type\":\"Grid\"},{\"id\":\"99832332-ddf9-4236-b2dd-eed7e3c7a627\",\"type\":\"LinearAxis\"},{\"id\":\"031cd8d9-d773-4f53-95d9-7fd00cdcd147\",\"type\":\"Grid\"},{\"id\":\"b8082638-06be-406d-929d-997d3de3113b\",\"type\":\"BoxAnnotation\"},{\"id\":\"f04ef251-bc5d-47e6-82ca-3a447d81c5b4\",\"type\":\"GlyphRenderer\"}],\"tool_events\":{\"id\":\"52bf2515-1dd5-45d4-b23c-febcc4dd2aee\",\"type\":\"ToolEvents\"},\"tools\":[{\"id\":\"3473fa08-96f8-4f1c-bab8-6e0d82a895ce\",\"type\":\"PanTool\"},{\"id\":\"9d46c78a-b963-4964-887e-ef374990d7e5\",\"type\":\"WheelZoomTool\"},{\"id\":\"1d584ebe-bb55-42a2-81f4-a7bd91e5dbf3\",\"type\":\"BoxZoomTool\"},{\"id\":\"ae9627b2-29be-489a-bff7-9a913893d276\",\"type\":\"PreviewSaveTool\"},{\"id\":\"7ff1c709-0a98-4745-a4eb-3b3b202f5971\",\"type\":\"ResizeTool\"},{\"id\":\"580326f1-c851-4ba3-97ed-b045f5846f95\",\"type\":\"ResetTool\"},{\"id\":\"164c5b1f-1613-4a42-b513-f31d71144360\",\"type\":\"HelpTool\"}],\"x_range\":{\"id\":\"024979b3-0bee-4ee7-96f2-a3cf9d74ce2b\",\"type\":\"DataRange1d\"},\"y_range\":{\"id\":\"242769bd-26cc-4b75-9cfe-84bcec4095ea\",\"type\":\"DataRange1d\"}},\"id\":\"f10fc77a-5f66-49c4-8f3c-4529c3643117\",\"subtype\":\"Figure\",\"type\":\"Plot\"},{\"attributes\":{\"overlay\":{\"id\":\"b8082638-06be-406d-929d-997d3de3113b\",\"type\":\"BoxAnnotation\"},\"plot\":{\"id\":\"f10fc77a-5f66-49c4-8f3c-4529c3643117\",\"subtype\":\"Figure\",\"type\":\"Plot\"}},\"id\":\"1d584ebe-bb55-42a2-81f4-a7bd91e5dbf3\",\"type\":\"BoxZoomTool\"},{\"attributes\":{\"plot\":{\"id\":\"f10fc77a-5f66-49c4-8f3c-4529c3643117\",\"subtype\":\"Figure\",\"type\":\"Plot\"}},\"id\":\"7ff1c709-0a98-4745-a4eb-3b3b202f5971\",\"type\":\"ResizeTool\"}],\"root_ids\":[\"f10fc77a-5f66-49c4-8f3c-4529c3643117\"]},\"title\":\"Bokeh Application\",\"version\":\"0.11.1\"}};\n", " var render_items = [{\"docid\":\"20724ed3-f7f9-4fbe-b130-2374acfd2a9f\",\"elementid\":\"05f65b59-d5ea-494b-92ca-4129a0e0cebe\",\"modelid\":\"f10fc77a-5f66-49c4-8f3c-4529c3643117\",\"notebook_comms_target\":\"f550653e-6340-47b9-92b7-f530cc2be43d\"}];\n", " \n", " Bokeh.embed.embed_items(docs_json, render_items);\n", " });\n", " },\n", " function(Bokeh) {\n", " }\n", " ];\n", " \n", " function run_inline_js() {\n", " for (var i = 0; i < inline_js.length; i++) {\n", " inline_js[i](window.Bokeh);\n", " }\n", " }\n", " \n", " if (window._bokeh_is_loading === 0) {\n", " console.log(\"Bokeh: BokehJS loaded, going straight to plotting\");\n", " run_inline_js();\n", " } else {\n", " load_libs(js_urls, function() {\n", " console.log(\"Bokeh: BokehJS plotting callback run at\", now());\n", " run_inline_js();\n", " });\n", " }\n", " }(this));\n", "</script>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/html": [ "<p><code>&lt;Bokeh Notebook handle for <strong>In[1]</strong>&gt;</code></p>" ], "text/plain": [ "<bokeh.io._CommsHandle at 0x17999369908>" ] }, "execution_count": 1, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# OneNote Python101 Notebook --> Bokeh simple line 1\n", "from bokeh.plotting import figure, show \n", "from bokeh.io import output_notebook \n", "output_notebook() \n", "p = figure(plot_width=400, plot_height=400) \n", "# add a line renderer \n", "p.line([1, 2, 3, 4, 5], [6, 7, 2, 4, 5], line_width=2) \n", "show(p) " ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": 102, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "\n", " <div class=\"bk-banner\">\n", " <a href=\"http://bokeh.pydata.org\" target=\"_blank\" class=\"bk-logo bk-logo-small bk-logo-notebook\"></a>\n", " <span id=\"82da8ae7-cc0f-4dfc-af14-06f6f4438809\">Loading BokehJS ...</span>\n", " </div>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "application/javascript": [ "\n", "(function(global) {\n", " function now() {\n", " return new Date();\n", " }\n", "\n", " if (typeof (window._bokeh_onload_callbacks) === \"undefined\") {\n", " window._bokeh_onload_callbacks = [];\n", " }\n", "\n", " function run_callbacks() {\n", " window._bokeh_onload_callbacks.forEach(function(callback) { callback() });\n", " delete window._bokeh_onload_callbacks\n", " console.info(\"Bokeh: all callbacks have finished\");\n", " }\n", "\n", " function load_libs(js_urls, callback) {\n", " window._bokeh_onload_callbacks.push(callback);\n", " if (window._bokeh_is_loading > 0) {\n", " console.log(\"Bokeh: BokehJS is being loaded, scheduling callback at\", now());\n", " return null;\n", " }\n", " if (js_urls == null || js_urls.length === 0) {\n", " run_callbacks();\n", " return null;\n", " }\n", " console.log(\"Bokeh: BokehJS not loaded, scheduling load and callback at\", now());\n", " window._bokeh_is_loading = js_urls.length;\n", " for (var i = 0; i < js_urls.length; i++) {\n", " var url = js_urls[i];\n", " var s = document.createElement('script');\n", " s.src = url;\n", " s.async = false;\n", " s.onreadystatechange = s.onload = function() {\n", " window._bokeh_is_loading--;\n", " if (window._bokeh_is_loading === 0) {\n", " console.log(\"Bokeh: all BokehJS libraries loaded\");\n", " run_callbacks()\n", " }\n", " };\n", " s.onerror = function() {\n", " console.warn(\"failed to load library \" + url);\n", " };\n", " console.log(\"Bokeh: injecting script tag for BokehJS library: \", url);\n", " document.getElementsByTagName(\"head\")[0].appendChild(s);\n", " }\n", " };\n", "\n", " var js_urls = ['https://cdn.pydata.org/bokeh/release/bokeh-0.11.1.min.js', 'https://cdn.pydata.org/bokeh/release/bokeh-widgets-0.11.1.min.js', 'https://cdn.pydata.org/bokeh/release/bokeh-compiler-0.11.1.min.js'];\n", "\n", " var inline_js = [\n", " function(Bokeh) {\n", " Bokeh.set_log_level(\"info\");\n", " },\n", " \n", " function(Bokeh) {\n", " Bokeh.$(\"#82da8ae7-cc0f-4dfc-af14-06f6f4438809\").text(\"BokehJS successfully loaded\");\n", " },\n", " function(Bokeh) {\n", " console.log(\"Bokeh: injecting CSS: https://cdn.pydata.org/bokeh/release/bokeh-0.11.1.min.css\");\n", " Bokeh.embed.inject_css(\"https://cdn.pydata.org/bokeh/release/bokeh-0.11.1.min.css\");\n", " console.log(\"Bokeh: injecting CSS: https://cdn.pydata.org/bokeh/release/bokeh-widgets-0.11.1.min.css\");\n", " Bokeh.embed.inject_css(\"https://cdn.pydata.org/bokeh/release/bokeh-widgets-0.11.1.min.css\");\n", " }\n", " ];\n", "\n", " function run_inline_js() {\n", " for (var i = 0; i < inline_js.length; i++) {\n", " inline_js[i](window.Bokeh);\n", " }\n", " }\n", "\n", " if (window._bokeh_is_loading === 0) {\n", " console.log(\"Bokeh: BokehJS loaded, going straight to plotting\");\n", " run_inline_js();\n", " } else {\n", " load_libs(js_urls, function() {\n", " console.log(\"Bokeh: BokehJS plotting callback run at\", now());\n", " run_inline_js();\n", " });\n", " }\n", "}(this));" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/html": [ "\n", "\n", " <div class=\"plotdiv\" id=\"2f170050-6f14-4220-ae08-f4e681160051\"></div>\n", "<script type=\"text/javascript\">\n", " \n", " (function(global) {\n", " function now() {\n", " return new Date();\n", " }\n", " \n", " if (typeof (window._bokeh_onload_callbacks) === \"undefined\") {\n", " window._bokeh_onload_callbacks = [];\n", " }\n", " \n", " function run_callbacks() {\n", " window._bokeh_onload_callbacks.forEach(function(callback) { callback() });\n", " delete window._bokeh_onload_callbacks\n", " console.info(\"Bokeh: all callbacks have finished\");\n", " }\n", " \n", " function load_libs(js_urls, callback) {\n", " window._bokeh_onload_callbacks.push(callback);\n", " if (window._bokeh_is_loading > 0) {\n", " console.log(\"Bokeh: BokehJS is being loaded, scheduling callback at\", now());\n", " return null;\n", " }\n", " if (js_urls == null || js_urls.length === 0) {\n", " run_callbacks();\n", " return null;\n", " }\n", " console.log(\"Bokeh: BokehJS not loaded, scheduling load and callback at\", now());\n", " window._bokeh_is_loading = js_urls.length;\n", " for (var i = 0; i < js_urls.length; i++) {\n", " var url = js_urls[i];\n", " var s = document.createElement('script');\n", " s.src = url;\n", " s.async = false;\n", " s.onreadystatechange = s.onload = function() {\n", " window._bokeh_is_loading--;\n", " if (window._bokeh_is_loading === 0) {\n", " console.log(\"Bokeh: all BokehJS libraries loaded\");\n", " run_callbacks()\n", " }\n", " };\n", " s.onerror = function() {\n", " console.warn(\"failed to load library \" + url);\n", " };\n", " console.log(\"Bokeh: injecting script tag for BokehJS library: \", url);\n", " document.getElementsByTagName(\"head\")[0].appendChild(s);\n", " }\n", " };var element = document.getElementById(\"2f170050-6f14-4220-ae08-f4e681160051\");\n", " if (element == null) {\n", " console.log(\"Bokeh: ERROR: autoload.js configured with elementid '2f170050-6f14-4220-ae08-f4e681160051' but no matching script tag was found. \")\n", " return false;\n", " }\n", " \n", " var js_urls = [];\n", " \n", " var inline_js = [\n", " function(Bokeh) {\n", " Bokeh.$(function() {\n", " var docs_json = {\"8a9926b5-9a8a-43e8-a3a4-8a385b91ffe1\":{\"roots\":{\"references\":[{\"attributes\":{\"dimension\":1,\"plot\":{\"id\":\"c7a2dfef-092e-4278-bbd8-95650ba1dd31\",\"subtype\":\"Figure\",\"type\":\"Plot\"},\"ticker\":{\"id\":\"878a0c51-a6a5-4b5c-8752-80b269e66d6d\",\"type\":\"BasicTicker\"}},\"id\":\"2d21d301-66cc-40c2-8355-443d78582801\",\"type\":\"Grid\"},{\"attributes\":{\"fill_color\":{\"value\":null},\"line_color\":{\"value\":\"green\"},\"x\":{\"field\":\"x\"},\"y\":{\"field\":\"y\"}},\"id\":\"c3ace56c-5da6-405e-8ea2-f60c145156b4\",\"type\":\"Square\"},{\"attributes\":{\"data_source\":{\"id\":\"2ad03f77-41d0-41a8-a104-ba724b9a2b47\",\"type\":\"ColumnDataSource\"},\"glyph\":{\"id\":\"69e01c78-aa60-4bcf-ac93-fa42022968a8\",\"type\":\"Line\"},\"hover_glyph\":null,\"nonselection_glyph\":{\"id\":\"62b03809-e146-4492-a608-0bb31cf6149a\",\"type\":\"Line\"},\"selection_glyph\":null},\"id\":\"8c04d33a-7586-4bd4-8847-36af40d45c05\",\"type\":\"GlyphRenderer\"},{\"attributes\":{\"fill_alpha\":{\"value\":0.1},\"fill_color\":{\"value\":\"#1f77b4\"},\"line_alpha\":{\"value\":0.1},\"line_color\":{\"value\":\"#1f77b4\"},\"x\":{\"field\":\"x\"},\"y\":{\"field\":\"y\"}},\"id\":\"6039f862-745d-452d-bb76-d95a5ed8001c\",\"type\":\"Circle\"},{\"attributes\":{\"callback\":null},\"id\":\"024979b3-0bee-4ee7-96f2-a3cf9d74ce2b\",\"type\":\"DataRange1d\"},{\"attributes\":{\"plot\":{\"id\":\"c7a2dfef-092e-4278-bbd8-95650ba1dd31\",\"subtype\":\"Figure\",\"type\":\"Plot\"}},\"id\":\"c854b3a2-d418-48e6-b108-bfb77d964b52\",\"type\":\"ResetTool\"},{\"attributes\":{\"line_alpha\":{\"value\":0.1},\"line_color\":{\"value\":\"#1f77b4\"},\"x\":{\"field\":\"x\"},\"y\":{\"field\":\"y\"}},\"id\":\"848f424e-5c05-4a85-8836-6375eb56bc22\",\"type\":\"Line\"},{\"attributes\":{\"callback\":null},\"id\":\"d57e9737-109c-4b3a-8c36-87048a4e1583\",\"type\":\"DataRange1d\"},{\"attributes\":{\"plot\":{\"id\":\"c7a2dfef-092e-4278-bbd8-95650ba1dd31\",\"subtype\":\"Figure\",\"type\":\"Plot\"}},\"id\":\"63a8eadc-c127-4f84-9fa6-17f4d297af6e\",\"type\":\"WheelZoomTool\"},{\"attributes\":{\"fill_color\":{\"value\":null},\"line_color\":{\"value\":\"green\"},\"x\":{\"field\":\"x\"},\"y\":{\"field\":\"y\"}},\"id\":\"ba01a194-4d70-4263-b761-9bd077c58700\",\"type\":\"Square\"},{\"attributes\":{\"line_color\":{\"value\":\"orange\"},\"line_dash\":[4,4],\"line_width\":{\"value\":2},\"x\":{\"field\":\"x\"},\"y\":{\"field\":\"y\"}},\"id\":\"e55a97d2-bd69-4894-b49e-5ac43d674251\",\"type\":\"Line\"},{\"attributes\":{\"callback\":null,\"column_names\":[\"x\",\"y\"],\"data\":{\"x\":[0.0,0.12693303650867852,0.25386607301735703,0.3807991095260356,0.5077321460347141,0.6346651825433925,0.7615982190520711,0.8885312555607496,1.0154642920694281,1.1423973285781066,1.269330365086785,1.3962634015954636,1.5231964381041423,1.6501294746128208,1.7770625111214993,1.9039955476301778,2.0309285841388562,2.1578616206475347,2.284794657156213,2.4117276936648917,2.53866073017357,2.6655937666822487,2.792526803190927,2.9194598396996057,3.0463928762082846,3.173325912716963,3.3002589492256416,3.42719198573432,3.5541250222429985,3.681058058751677,3.8079910952603555,3.934924131769034,4.0618571682777125,4.188790204786391,4.3157232412950695,4.442656277803748,4.569589314312426,4.696522350821105,4.823455387329783,4.950388423838462,5.07732146034714,5.204254496855819,5.331187533364497,5.458120569873176,5.585053606381854,5.711986642890533,5.838919679399211,5.96585271590789,6.092785752416569,6.219718788925247,6.346651825433926,6.473584861942604,6.600517898451283,6.727450934959961,6.85438397146864,6.981317007977318,7.108250044485997,7.235183080994675,7.362116117503354,7.489049154012032,7.615982190520711,7.742915227029389,7.869848263538068,7.996781300046746,8.123714336555425,8.250647373064103,8.377580409572783,8.50451344608146,8.631446482590139,8.758379519098817,8.885312555607497,9.012245592116175,9.139178628624853,9.266111665133531,9.39304470164221,9.519977738150889,9.646910774659567,9.773843811168245,9.900776847676925,10.027709884185603,10.15464292069428,10.28157595720296,10.408508993711639,10.535442030220317,10.662375066728995,10.789308103237675,10.916241139746353,11.04317417625503,11.170107212763709,11.297040249272388,11.423973285781067,11.550906322289745,11.677839358798423,11.804772395307102,11.93170543181578,12.058638468324459,12.185571504833138,12.312504541341816,12.439437577850494,12.566370614359172],\"y\":[0.0,0.12659245357374926,0.2511479871810792,0.3716624556603276,0.4861967361004687,0.5929079290546404,0.690079011482112,0.7761464642917568,0.8497254299495144,0.9096319953545183,0.9549022414440739,0.984807753012208,0.998867339183008,0.9968547759519424,0.9788024462147787,0.9450008187146685,0.8959937742913359,0.8325698546347714,0.7557495743542583,0.6667690005162916,0.5670598638627709,0.4582265217274105,0.3420201433256689,0.2203105327865408,0.09505604330418244,-0.03172793349806786,-0.15800139597335008,-0.28173255684142984,-0.4009305354066138,-0.5136773915734064,-0.6181589862206053,-0.7126941713788629,-0.7957618405308321,-0.8660254037844388,-0.9223542941045814,-0.9638421585599422,-0.9898214418809327,-0.9998741276738751,-0.9938384644612541,-0.9718115683235417,-0.9341478602651068,-0.881453363447582,-0.8145759520503358,-0.7345917086575331,-0.6427876096865396,-0.5406408174555974,-0.4297949120891719,-0.31203344569848707,-0.18925124436040974,-0.06342391965656452,0.06342391965656492,0.18925124436041013,0.31203344569848745,0.42979491208917153,0.5406408174555978,0.6427876096865393,0.7345917086575334,0.8145759520503356,0.8814533634475821,0.9341478602651067,0.9718115683235418,0.9938384644612541,0.9998741276738751,0.9898214418809328,0.963842158559942,0.9223542941045816,0.8660254037844383,0.7957618405308319,0.7126941713788629,0.6181589862206056,0.5136773915734058,0.40093053540661344,0.2817325568414299,0.15800139597335056,0.03172793349806701,-0.09505604330418282,-0.22031053278654034,-0.342020143325668,-0.45822652172741085,-0.5670598638627707,-0.6667690005162913,-0.7557495743542588,-0.8325698546347716,-0.8959937742913359,-0.9450008187146683,-0.9788024462147789,-0.9968547759519424,-0.998867339183008,-0.9848077530122081,-0.9549022414440737,-0.9096319953545183,-0.8497254299495145,-0.7761464642917573,-0.6900790114821116,-0.5929079290546404,-0.486196736100469,-0.3716624556603267,-0.2511479871810788,-0.1265924535737493,-4.898587196589413e-16]}},\"id\":\"e14c11bb-68d3-4e2c-9305-55d5d55c9042\",\"type\":\"ColumnDataSource\"},{\"attributes\":{\"overlay\":{\"id\":\"2b61b6ff-0e84-4fb6-a3bb-47af7997f2ac\",\"type\":\"BoxAnnotation\"},\"plot\":{\"id\":\"c7a2dfef-092e-4278-bbd8-95650ba1dd31\",\"subtype\":\"Figure\",\"type\":\"Plot\"}},\"id\":\"170b95cb-72ed-4cb1-bf3c-788905d75e9d\",\"type\":\"BoxZoomTool\"},{\"attributes\":{},\"id\":\"9e7f7c11-c1fa-4006-b781-fdb5f0b68349\",\"type\":\"BasicTickFormatter\"},{\"attributes\":{\"formatter\":{\"id\":\"9e7f7c11-c1fa-4006-b781-fdb5f0b68349\",\"type\":\"BasicTickFormatter\"},\"plot\":{\"id\":\"f10fc77a-5f66-49c4-8f3c-4529c3643117\",\"subtype\":\"Figure\",\"type\":\"Plot\"},\"ticker\":{\"id\":\"0a51c2fb-96a6-4891-97e5-f1f53e9730e3\",\"type\":\"BasicTicker\"}},\"id\":\"7e97ffd7-725b-4915-9401-87178b2807f3\",\"type\":\"LinearAxis\"},{\"attributes\":{\"fill_color\":{\"value\":\"#1f77b4\"},\"line_color\":{\"value\":\"#1f77b4\"},\"x\":{\"field\":\"x\"},\"y\":{\"field\":\"y\"}},\"id\":\"1e5867cb-3c12-4f38-b5fd-2abdb4ea67e9\",\"type\":\"Circle\"},{\"attributes\":{\"line_alpha\":{\"value\":0.1},\"line_color\":{\"value\":\"#1f77b4\"},\"line_width\":{\"value\":2},\"x\":{\"field\":\"x\"},\"y\":{\"field\":\"y\"}},\"id\":\"38f12402-f8a6-4cf5-a25d-ff723aea5887\",\"type\":\"Line\"},{\"attributes\":{\"fill_alpha\":{\"value\":0.1},\"fill_color\":{\"value\":\"#1f77b4\"},\"line_alpha\":{\"value\":0.1},\"line_color\":{\"value\":\"#1f77b4\"},\"x\":{\"field\":\"x\"},\"y\":{\"field\":\"y\"}},\"id\":\"cdc2eb6d-f365-4f3a-a7b5-a794405e59ea\",\"type\":\"Circle\"},{\"attributes\":{\"callback\":null,\"column_names\":[\"x\",\"y\"],\"data\":{\"x\":[0.0,0.12693303650867852,0.25386607301735703,0.3807991095260356,0.5077321460347141,0.6346651825433925,0.7615982190520711,0.8885312555607496,1.0154642920694281,1.1423973285781066,1.269330365086785,1.3962634015954636,1.5231964381041423,1.6501294746128208,1.7770625111214993,1.9039955476301778,2.0309285841388562,2.1578616206475347,2.284794657156213,2.4117276936648917,2.53866073017357,2.6655937666822487,2.792526803190927,2.9194598396996057,3.0463928762082846,3.173325912716963,3.3002589492256416,3.42719198573432,3.5541250222429985,3.681058058751677,3.8079910952603555,3.934924131769034,4.0618571682777125,4.188790204786391,4.3157232412950695,4.442656277803748,4.569589314312426,4.696522350821105,4.823455387329783,4.950388423838462,5.07732146034714,5.204254496855819,5.331187533364497,5.458120569873176,5.585053606381854,5.711986642890533,5.838919679399211,5.96585271590789,6.092785752416569,6.219718788925247,6.346651825433926,6.473584861942604,6.600517898451283,6.727450934959961,6.85438397146864,6.981317007977318,7.108250044485997,7.235183080994675,7.362116117503354,7.489049154012032,7.615982190520711,7.742915227029389,7.869848263538068,7.996781300046746,8.123714336555425,8.250647373064103,8.377580409572783,8.50451344608146,8.631446482590139,8.758379519098817,8.885312555607497,9.012245592116175,9.139178628624853,9.266111665133531,9.39304470164221,9.519977738150889,9.646910774659567,9.773843811168245,9.900776847676925,10.027709884185603,10.15464292069428,10.28157595720296,10.408508993711639,10.535442030220317,10.662375066728995,10.789308103237675,10.916241139746353,11.04317417625503,11.170107212763709,11.297040249272388,11.423973285781067,11.550906322289745,11.677839358798423,11.804772395307102,11.93170543181578,12.058638468324459,12.185571504833138,12.312504541341816,12.439437577850494,12.566370614359172],\"y\":[0.0,0.3797773607212478,0.7534439615432377,1.1149873669809827,1.4585902083014062,1.7787237871639212,2.070237034446336,2.3284393928752705,2.549176289848543,2.728895986063555,2.8647067243322217,2.954423259036624,2.9966020175490238,2.990564327855827,2.936407338644336,2.8350024561440055,2.6879813228740077,2.4977095639043143,2.267248723062775,2.000307001548875,1.7011795915883126,1.3746795651822317,1.0260604299770066,0.6609315983596225,0.2851681299125473,-0.09518380049420358,-0.4740041879200503,-0.8451976705242895,-1.2027916062198414,-1.5410321747202191,-1.8544769586618157,-2.1380825141365887,-2.387285521592496,-2.5980762113533165,-2.767062882313744,-2.8915264756798265,-2.969464325642798,-2.999622383021625,-2.9815153933837624,-2.915434704970625,-2.8024435807953205,-2.644360090342746,-2.4437278561510074,-2.2037751259725993,-1.9283628290596186,-1.6219224523667923,-1.2893847362675157,-0.9361003370954613,-0.5677537330812292,-0.19027175896969356,0.19027175896969478,0.5677537330812303,0.9361003370954624,1.2893847362675146,1.6219224523667934,1.9283628290596178,2.2037751259726,2.443727856151007,2.6443600903427464,2.80244358079532,2.9154347049706253,2.9815153933837624,2.999622383021625,2.9694643256427984,2.891526475679826,2.767062882313745,2.5980762113533147,2.3872855215924957,2.1380825141365887,1.8544769586618168,1.5410321747202174,1.2027916062198403,0.8451976705242896,0.47400418792005167,0.09518380049420103,-0.2851681299125485,-0.660931598359621,-1.026060429977004,-1.3746795651822326,-1.7011795915883123,-2.0003070015488738,-2.2672487230627763,-2.4977095639043148,-2.6879813228740077,-2.835002456144005,-2.9364073386443366,-2.990564327855827,-2.9966020175490238,-2.9544232590366244,-2.864706724332221,-2.728895986063555,-2.5491762898485435,-2.328439392875272,-2.070237034446335,-1.7787237871639212,-1.4585902083014068,-1.11498736698098,-0.7534439615432363,-0.3797773607212479,-1.4695761589768238e-15]}},\"id\":\"885b2418-a6e4-4a55-80a2-a78673c51f40\",\"type\":\"ColumnDataSource\"},{\"attributes\":{\"callback\":null,\"column_names\":[\"x\",\"y\"],\"data\":{\"x\":[0.0,0.12693303650867852,0.25386607301735703,0.3807991095260356,0.5077321460347141,0.6346651825433925,0.7615982190520711,0.8885312555607496,1.0154642920694281,1.1423973285781066,1.269330365086785,1.3962634015954636,1.5231964381041423,1.6501294746128208,1.7770625111214993,1.9039955476301778,2.0309285841388562,2.1578616206475347,2.284794657156213,2.4117276936648917,2.53866073017357,2.6655937666822487,2.792526803190927,2.9194598396996057,3.0463928762082846,3.173325912716963,3.3002589492256416,3.42719198573432,3.5541250222429985,3.681058058751677,3.8079910952603555,3.934924131769034,4.0618571682777125,4.188790204786391,4.3157232412950695,4.442656277803748,4.569589314312426,4.696522350821105,4.823455387329783,4.950388423838462,5.07732146034714,5.204254496855819,5.331187533364497,5.458120569873176,5.585053606381854,5.711986642890533,5.838919679399211,5.96585271590789,6.092785752416569,6.219718788925247,6.346651825433926,6.473584861942604,6.600517898451283,6.727450934959961,6.85438397146864,6.981317007977318,7.108250044485997,7.235183080994675,7.362116117503354,7.489049154012032,7.615982190520711,7.742915227029389,7.869848263538068,7.996781300046746,8.123714336555425,8.250647373064103,8.377580409572783,8.50451344608146,8.631446482590139,8.758379519098817,8.885312555607497,9.012245592116175,9.139178628624853,9.266111665133531,9.39304470164221,9.519977738150889,9.646910774659567,9.773843811168245,9.900776847676925,10.027709884185603,10.15464292069428,10.28157595720296,10.408508993711639,10.535442030220317,10.662375066728995,10.789308103237675,10.916241139746353,11.04317417625503,11.170107212763709,11.297040249272388,11.423973285781067,11.550906322289745,11.677839358798423,11.804772395307102,11.93170543181578,12.058638468324459,12.185571504833138,12.312504541341816,12.439437577850494,12.566370614359172],\"y\":[0.0,0.12659245357374926,0.2511479871810792,0.3716624556603276,0.4861967361004687,0.5929079290546404,0.690079011482112,0.7761464642917568,0.8497254299495144,0.9096319953545183,0.9549022414440739,0.984807753012208,0.998867339183008,0.9968547759519424,0.9788024462147787,0.9450008187146685,0.8959937742913359,0.8325698546347714,0.7557495743542583,0.6667690005162916,0.5670598638627709,0.4582265217274105,0.3420201433256689,0.2203105327865408,0.09505604330418244,-0.03172793349806786,-0.15800139597335008,-0.28173255684142984,-0.4009305354066138,-0.5136773915734064,-0.6181589862206053,-0.7126941713788629,-0.7957618405308321,-0.8660254037844388,-0.9223542941045814,-0.9638421585599422,-0.9898214418809327,-0.9998741276738751,-0.9938384644612541,-0.9718115683235417,-0.9341478602651068,-0.881453363447582,-0.8145759520503358,-0.7345917086575331,-0.6427876096865396,-0.5406408174555974,-0.4297949120891719,-0.31203344569848707,-0.18925124436040974,-0.06342391965656452,0.06342391965656492,0.18925124436041013,0.31203344569848745,0.42979491208917153,0.5406408174555978,0.6427876096865393,0.7345917086575334,0.8145759520503356,0.8814533634475821,0.9341478602651067,0.9718115683235418,0.9938384644612541,0.9998741276738751,0.9898214418809328,0.963842158559942,0.9223542941045816,0.8660254037844383,0.7957618405308319,0.7126941713788629,0.6181589862206056,0.5136773915734058,0.40093053540661344,0.2817325568414299,0.15800139597335056,0.03172793349806701,-0.09505604330418282,-0.22031053278654034,-0.342020143325668,-0.45822652172741085,-0.5670598638627707,-0.6667690005162913,-0.7557495743542588,-0.8325698546347716,-0.8959937742913359,-0.9450008187146683,-0.9788024462147789,-0.9968547759519424,-0.998867339183008,-0.9848077530122081,-0.9549022414440737,-0.9096319953545183,-0.8497254299495145,-0.7761464642917573,-0.6900790114821116,-0.5929079290546404,-0.486196736100469,-0.3716624556603267,-0.2511479871810788,-0.1265924535737493,-4.898587196589413e-16]}},\"id\":\"364bd37a-be07-4b75-8531-da4b1d450e0d\",\"type\":\"ColumnDataSource\"},{\"attributes\":{\"below\":[{\"id\":\"7e97ffd7-725b-4915-9401-87178b2807f3\",\"type\":\"LinearAxis\"}],\"left\":[{\"id\":\"99832332-ddf9-4236-b2dd-eed7e3c7a627\",\"type\":\"LinearAxis\"}],\"plot_height\":400,\"plot_width\":400,\"renderers\":[{\"id\":\"7e97ffd7-725b-4915-9401-87178b2807f3\",\"type\":\"LinearAxis\"},{\"id\":\"c2b5d8ba-154e-40cd-9019-20c0fba12ac1\",\"type\":\"Grid\"},{\"id\":\"99832332-ddf9-4236-b2dd-eed7e3c7a627\",\"type\":\"LinearAxis\"},{\"id\":\"031cd8d9-d773-4f53-95d9-7fd00cdcd147\",\"type\":\"Grid\"},{\"id\":\"b8082638-06be-406d-929d-997d3de3113b\",\"type\":\"BoxAnnotation\"},{\"id\":\"f04ef251-bc5d-47e6-82ca-3a447d81c5b4\",\"type\":\"GlyphRenderer\"}],\"tool_events\":{\"id\":\"52bf2515-1dd5-45d4-b23c-febcc4dd2aee\",\"type\":\"ToolEvents\"},\"tools\":[{\"id\":\"3473fa08-96f8-4f1c-bab8-6e0d82a895ce\",\"type\":\"PanTool\"},{\"id\":\"9d46c78a-b963-4964-887e-ef374990d7e5\",\"type\":\"WheelZoomTool\"},{\"id\":\"1d584ebe-bb55-42a2-81f4-a7bd91e5dbf3\",\"type\":\"BoxZoomTool\"},{\"id\":\"ae9627b2-29be-489a-bff7-9a913893d276\",\"type\":\"PreviewSaveTool\"},{\"id\":\"7ff1c709-0a98-4745-a4eb-3b3b202f5971\",\"type\":\"ResizeTool\"},{\"id\":\"580326f1-c851-4ba3-97ed-b045f5846f95\",\"type\":\"ResetTool\"},{\"id\":\"164c5b1f-1613-4a42-b513-f31d71144360\",\"type\":\"HelpTool\"}],\"x_range\":{\"id\":\"024979b3-0bee-4ee7-96f2-a3cf9d74ce2b\",\"type\":\"DataRange1d\"},\"y_range\":{\"id\":\"242769bd-26cc-4b75-9cfe-84bcec4095ea\",\"type\":\"DataRange1d\"}},\"id\":\"f10fc77a-5f66-49c4-8f3c-4529c3643117\",\"subtype\":\"Figure\",\"type\":\"Plot\"},{\"attributes\":{\"callback\":null,\"column_names\":[\"x\",\"y\"],\"data\":{\"x\":[0.0,0.12693303650867852,0.25386607301735703,0.3807991095260356,0.5077321460347141,0.6346651825433925,0.7615982190520711,0.8885312555607496,1.0154642920694281,1.1423973285781066,1.269330365086785,1.3962634015954636,1.5231964381041423,1.6501294746128208,1.7770625111214993,1.9039955476301778,2.0309285841388562,2.1578616206475347,2.284794657156213,2.4117276936648917,2.53866073017357,2.6655937666822487,2.792526803190927,2.9194598396996057,3.0463928762082846,3.173325912716963,3.3002589492256416,3.42719198573432,3.5541250222429985,3.681058058751677,3.8079910952603555,3.934924131769034,4.0618571682777125,4.188790204786391,4.3157232412950695,4.442656277803748,4.569589314312426,4.696522350821105,4.823455387329783,4.950388423838462,5.07732146034714,5.204254496855819,5.331187533364497,5.458120569873176,5.585053606381854,5.711986642890533,5.838919679399211,5.96585271590789,6.092785752416569,6.219718788925247,6.346651825433926,6.473584861942604,6.600517898451283,6.727450934959961,6.85438397146864,6.981317007977318,7.108250044485997,7.235183080994675,7.362116117503354,7.489049154012032,7.615982190520711,7.742915227029389,7.869848263538068,7.996781300046746,8.123714336555425,8.250647373064103,8.377580409572783,8.50451344608146,8.631446482590139,8.758379519098817,8.885312555607497,9.012245592116175,9.139178628624853,9.266111665133531,9.39304470164221,9.519977738150889,9.646910774659567,9.773843811168245,9.900776847676925,10.027709884185603,10.15464292069428,10.28157595720296,10.408508993711639,10.535442030220317,10.662375066728995,10.789308103237675,10.916241139746353,11.04317417625503,11.170107212763709,11.297040249272388,11.423973285781067,11.550906322289745,11.677839358798423,11.804772395307102,11.93170543181578,12.058638468324459,12.185571504833138,12.312504541341816,12.439437577850494,12.566370614359172],\"y\":[0.0,0.12659245357374926,0.2511479871810792,0.3716624556603276,0.4861967361004687,0.5929079290546404,0.690079011482112,0.7761464642917568,0.8497254299495144,0.9096319953545183,0.9549022414440739,0.984807753012208,0.998867339183008,0.9968547759519424,0.9788024462147787,0.9450008187146685,0.8959937742913359,0.8325698546347714,0.7557495743542583,0.6667690005162916,0.5670598638627709,0.4582265217274105,0.3420201433256689,0.2203105327865408,0.09505604330418244,-0.03172793349806786,-0.15800139597335008,-0.28173255684142984,-0.4009305354066138,-0.5136773915734064,-0.6181589862206053,-0.7126941713788629,-0.7957618405308321,-0.8660254037844388,-0.9223542941045814,-0.9638421585599422,-0.9898214418809327,-0.9998741276738751,-0.9938384644612541,-0.9718115683235417,-0.9341478602651068,-0.881453363447582,-0.8145759520503358,-0.7345917086575331,-0.6427876096865396,-0.5406408174555974,-0.4297949120891719,-0.31203344569848707,-0.18925124436040974,-0.06342391965656452,0.06342391965656492,0.18925124436041013,0.31203344569848745,0.42979491208917153,0.5406408174555978,0.6427876096865393,0.7345917086575334,0.8145759520503356,0.8814533634475821,0.9341478602651067,0.9718115683235418,0.9938384644612541,0.9998741276738751,0.9898214418809328,0.963842158559942,0.9223542941045816,0.8660254037844383,0.7957618405308319,0.7126941713788629,0.6181589862206056,0.5136773915734058,0.40093053540661344,0.2817325568414299,0.15800139597335056,0.03172793349806701,-0.09505604330418282,-0.22031053278654034,-0.342020143325668,-0.45822652172741085,-0.5670598638627707,-0.6667690005162913,-0.7557495743542588,-0.8325698546347716,-0.8959937742913359,-0.9450008187146683,-0.9788024462147789,-0.9968547759519424,-0.998867339183008,-0.9848077530122081,-0.9549022414440737,-0.9096319953545183,-0.8497254299495145,-0.7761464642917573,-0.6900790114821116,-0.5929079290546404,-0.486196736100469,-0.3716624556603267,-0.2511479871810788,-0.1265924535737493,-4.898587196589413e-16]}},\"id\":\"2ad03f77-41d0-41a8-a104-ba724b9a2b47\",\"type\":\"ColumnDataSource\"},{\"attributes\":{\"line_color\":{\"value\":\"green\"},\"x\":{\"field\":\"x\"},\"y\":{\"field\":\"y\"}},\"id\":\"db076a50-a032-4387-995e-0742455645e6\",\"type\":\"Line\"},{\"attributes\":{\"line_alpha\":{\"value\":0.1},\"line_color\":{\"value\":\"#1f77b4\"},\"x\":{\"field\":\"x\"},\"y\":{\"field\":\"y\"}},\"id\":\"98847ec1-2872-4092-bb9d-e4206493bd8d\",\"type\":\"Line\"},{\"attributes\":{\"formatter\":{\"id\":\"28f41e44-f9bf-4a7f-bbc3-7ca65344966d\",\"type\":\"BasicTickFormatter\"},\"plot\":{\"id\":\"c7a2dfef-092e-4278-bbd8-95650ba1dd31\",\"subtype\":\"Figure\",\"type\":\"Plot\"},\"ticker\":{\"id\":\"42fea283-ac93-461a-b464-3e2ac1633228\",\"type\":\"BasicTicker\"}},\"id\":\"5edddb8d-5398-4191-aa22-6a26974207c3\",\"type\":\"LinearAxis\"},{\"attributes\":{\"callback\":null},\"id\":\"8930b827-3ec3-4cfd-88d8-8aa6188336d4\",\"type\":\"DataRange1d\"},{\"attributes\":{\"below\":[{\"id\":\"eeed6917-cd24-4112-89de-aa8e97c8f158\",\"type\":\"LinearAxis\"}],\"left\":[{\"id\":\"e8a2533b-87c9-4c0b-80e0-969d3c7a728e\",\"type\":\"LinearAxis\"}],\"renderers\":[{\"id\":\"eeed6917-cd24-4112-89de-aa8e97c8f158\",\"type\":\"LinearAxis\"},{\"id\":\"1ad32df3-bc8e-4bd0-b52b-6fee86a0c337\",\"type\":\"Grid\"},{\"id\":\"e8a2533b-87c9-4c0b-80e0-969d3c7a728e\",\"type\":\"LinearAxis\"},{\"id\":\"dccaab1e-8c0d-49ad-b0cd-361285b86214\",\"type\":\"Grid\"},{\"id\":\"5a94c59a-feab-4b03-a784-04fb01a4b2fd\",\"type\":\"BoxAnnotation\"},{\"id\":\"7fc8485d-756a-484c-92fa-1474fdbd10d6\",\"type\":\"Legend\"},{\"id\":\"0ca96d16-1de7-4aa9-8a0a-550e0c189e7c\",\"type\":\"GlyphRenderer\"},{\"id\":\"15c05fb7-3105-4faf-99b6-11c0c591213f\",\"type\":\"GlyphRenderer\"},{\"id\":\"a4a98c62-9542-4aee-a396-4b879ff230d3\",\"type\":\"GlyphRenderer\"},{\"id\":\"b6067d78-ce89-4d7e-9dd6-1e75a5945ae5\",\"type\":\"GlyphRenderer\"},{\"id\":\"549a6b31-5ad6-4f88-9df3-c4db2c2376f7\",\"type\":\"GlyphRenderer\"}],\"tool_events\":{\"id\":\"ebd1042e-0576-4067-94d7-503f1a49f62d\",\"type\":\"ToolEvents\"},\"tools\":[{\"id\":\"f6f7a4e1-7edc-4574-9f9a-77b989319cb0\",\"type\":\"PanTool\"},{\"id\":\"1c8c5dfe-589a-4eac-ae55-b1ed5f836950\",\"type\":\"WheelZoomTool\"},{\"id\":\"6f2cae43-b5cf-45a8-8448-1b88a32aecbf\",\"type\":\"BoxZoomTool\"},{\"id\":\"0b3c08b9-80bd-4247-97de-104416ae9743\",\"type\":\"PreviewSaveTool\"},{\"id\":\"cef26f57-26bd-48f9-a96e-859180fd7c7a\",\"type\":\"ResizeTool\"},{\"id\":\"138d347d-a189-4c1a-befa-c8785177ff1e\",\"type\":\"ResetTool\"},{\"id\":\"f1bebb52-f373-4d8a-99cb-31ca717a07d6\",\"type\":\"HelpTool\"}],\"x_range\":{\"id\":\"8930b827-3ec3-4cfd-88d8-8aa6188336d4\",\"type\":\"DataRange1d\"},\"y_range\":{\"id\":\"92b1d71e-6d91-487c-9c34-948f945eb541\",\"type\":\"DataRange1d\"}},\"id\":\"e2483f7f-be98-46bb-a4ea-6f8037f7c7ff\",\"subtype\":\"Figure\",\"type\":\"Plot\"},{\"attributes\":{\"plot\":{\"id\":\"f10fc77a-5f66-49c4-8f3c-4529c3643117\",\"subtype\":\"Figure\",\"type\":\"Plot\"}},\"id\":\"9d46c78a-b963-4964-887e-ef374990d7e5\",\"type\":\"WheelZoomTool\"},{\"attributes\":{\"formatter\":{\"id\":\"030f4e5d-1534-4c53-abf9-7dbd169c8fcd\",\"type\":\"BasicTickFormatter\"},\"plot\":{\"id\":\"e2483f7f-be98-46bb-a4ea-6f8037f7c7ff\",\"subtype\":\"Figure\",\"type\":\"Plot\"},\"ticker\":{\"id\":\"902dd9fc-195d-4844-8942-1e1f19fc0a68\",\"type\":\"BasicTicker\"}},\"id\":\"eeed6917-cd24-4112-89de-aa8e97c8f158\",\"type\":\"LinearAxis\"},{\"attributes\":{\"plot\":{\"id\":\"c7a2dfef-092e-4278-bbd8-95650ba1dd31\",\"subtype\":\"Figure\",\"type\":\"Plot\"}},\"id\":\"c85af13c-93eb-4958-8cfd-2096cbd80319\",\"type\":\"HelpTool\"},{\"attributes\":{},\"id\":\"ebd1042e-0576-4067-94d7-503f1a49f62d\",\"type\":\"ToolEvents\"},{\"attributes\":{\"line_color\":{\"value\":\"orange\"},\"line_dash\":[4,4],\"line_width\":{\"value\":2},\"x\":{\"field\":\"x\"},\"y\":{\"field\":\"y\"}},\"id\":\"e5caf8cc-092e-4572-a963-7db228bd0c80\",\"type\":\"Line\"},{\"attributes\":{\"bottom_units\":\"screen\",\"fill_alpha\":{\"value\":0.5},\"fill_color\":{\"value\":\"lightgrey\"},\"left_units\":\"screen\",\"level\":\"overlay\",\"line_alpha\":{\"value\":1.0},\"line_color\":{\"value\":\"black\"},\"line_dash\":[4,4],\"line_width\":{\"value\":2},\"plot\":null,\"render_mode\":\"css\",\"right_units\":\"screen\",\"top_units\":\"screen\"},\"id\":\"5a94c59a-feab-4b03-a784-04fb01a4b2fd\",\"type\":\"BoxAnnotation\"},{\"attributes\":{\"line_color\":{\"value\":\"#1f77b4\"},\"x\":{\"field\":\"x\"},\"y\":{\"field\":\"y\"}},\"id\":\"5f1a71c8-1cef-4c9e-82c4-5a30f2c0b9b7\",\"type\":\"Line\"},{\"attributes\":{\"data_source\":{\"id\":\"d3bed14a-5399-49df-980c-87bc303da71e\",\"type\":\"ColumnDataSource\"},\"glyph\":{\"id\":\"e4b01e3a-41d6-4ff5-ad75-6996799b2196\",\"type\":\"Line\"},\"hover_glyph\":null,\"nonselection_glyph\":{\"id\":\"38f12402-f8a6-4cf5-a25d-ff723aea5887\",\"type\":\"Line\"},\"selection_glyph\":null},\"id\":\"f04ef251-bc5d-47e6-82ca-3a447d81c5b4\",\"type\":\"GlyphRenderer\"},{\"attributes\":{\"plot\":{\"id\":\"c7a2dfef-092e-4278-bbd8-95650ba1dd31\",\"subtype\":\"Figure\",\"type\":\"Plot\"},\"ticker\":{\"id\":\"42fea283-ac93-461a-b464-3e2ac1633228\",\"type\":\"BasicTicker\"}},\"id\":\"912623df-37a4-4b62-a53a-5bd57ebde1ea\",\"type\":\"Grid\"},{\"attributes\":{\"line_alpha\":{\"value\":0.1},\"line_color\":{\"value\":\"#1f77b4\"},\"x\":{\"field\":\"x\"},\"y\":{\"field\":\"y\"}},\"id\":\"62b03809-e146-4492-a608-0bb31cf6149a\",\"type\":\"Line\"},{\"attributes\":{\"callback\":null,\"column_names\":[\"y\",\"x\"],\"data\":{\"x\":[0.0,0.12693303650867852,0.25386607301735703,0.3807991095260356,0.5077321460347141,0.6346651825433925,0.7615982190520711,0.8885312555607496,1.0154642920694281,1.1423973285781066,1.269330365086785,1.3962634015954636,1.5231964381041423,1.6501294746128208,1.7770625111214993,1.9039955476301778,2.0309285841388562,2.1578616206475347,2.284794657156213,2.4117276936648917,2.53866073017357,2.6655937666822487,2.792526803190927,2.9194598396996057,3.0463928762082846,3.173325912716963,3.3002589492256416,3.42719198573432,3.5541250222429985,3.681058058751677,3.8079910952603555,3.934924131769034,4.0618571682777125,4.188790204786391,4.3157232412950695,4.442656277803748,4.569589314312426,4.696522350821105,4.823455387329783,4.950388423838462,5.07732146034714,5.204254496855819,5.331187533364497,5.458120569873176,5.585053606381854,5.711986642890533,5.838919679399211,5.96585271590789,6.092785752416569,6.219718788925247,6.346651825433926,6.473584861942604,6.600517898451283,6.727450934959961,6.85438397146864,6.981317007977318,7.108250044485997,7.235183080994675,7.362116117503354,7.489049154012032,7.615982190520711,7.742915227029389,7.869848263538068,7.996781300046746,8.123714336555425,8.250647373064103,8.377580409572783,8.50451344608146,8.631446482590139,8.758379519098817,8.885312555607497,9.012245592116175,9.139178628624853,9.266111665133531,9.39304470164221,9.519977738150889,9.646910774659567,9.773843811168245,9.900776847676925,10.027709884185603,10.15464292069428,10.28157595720296,10.408508993711639,10.535442030220317,10.662375066728995,10.789308103237675,10.916241139746353,11.04317417625503,11.170107212763709,11.297040249272388,11.423973285781067,11.550906322289745,11.677839358798423,11.804772395307102,11.93170543181578,12.058638468324459,12.185571504833138,12.312504541341816,12.439437577850494,12.566370614359172],\"y\":[0.0,0.3797773607212478,0.7534439615432377,1.1149873669809827,1.4585902083014062,1.7787237871639212,2.070237034446336,2.3284393928752705,2.549176289848543,2.728895986063555,2.8647067243322217,2.954423259036624,2.9966020175490238,2.990564327855827,2.936407338644336,2.8350024561440055,2.6879813228740077,2.4977095639043143,2.267248723062775,2.000307001548875,1.7011795915883126,1.3746795651822317,1.0260604299770066,0.6609315983596225,0.2851681299125473,-0.09518380049420358,-0.4740041879200503,-0.8451976705242895,-1.2027916062198414,-1.5410321747202191,-1.8544769586618157,-2.1380825141365887,-2.387285521592496,-2.5980762113533165,-2.767062882313744,-2.8915264756798265,-2.969464325642798,-2.999622383021625,-2.9815153933837624,-2.915434704970625,-2.8024435807953205,-2.644360090342746,-2.4437278561510074,-2.2037751259725993,-1.9283628290596186,-1.6219224523667923,-1.2893847362675157,-0.9361003370954613,-0.5677537330812292,-0.19027175896969356,0.19027175896969478,0.5677537330812303,0.9361003370954624,1.2893847362675146,1.6219224523667934,1.9283628290596178,2.2037751259726,2.443727856151007,2.6443600903427464,2.80244358079532,2.9154347049706253,2.9815153933837624,2.999622383021625,2.9694643256427984,2.891526475679826,2.767062882313745,2.5980762113533147,2.3872855215924957,2.1380825141365887,1.8544769586618168,1.5410321747202174,1.2027916062198403,0.8451976705242896,0.47400418792005167,0.09518380049420103,-0.2851681299125485,-0.660931598359621,-1.026060429977004,-1.3746795651822326,-1.7011795915883123,-2.0003070015488738,-2.2672487230627763,-2.4977095639043148,-2.6879813228740077,-2.835002456144005,-2.9364073386443366,-2.990564327855827,-2.9966020175490238,-2.9544232590366244,-2.864706724332221,-2.728895986063555,-2.5491762898485435,-2.328439392875272,-2.070237034446335,-1.7787237871639212,-1.4585902083014068,-1.11498736698098,-0.7534439615432363,-0.3797773607212479,-1.4695761589768238e-15]}},\"id\":\"bd1dd4fa-ffea-4efa-8dce-bfa853ecdd1b\",\"type\":\"ColumnDataSource\"},{\"attributes\":{\"plot\":{\"id\":\"f10fc77a-5f66-49c4-8f3c-4529c3643117\",\"subtype\":\"Figure\",\"type\":\"Plot\"}},\"id\":\"164c5b1f-1613-4a42-b513-f31d71144360\",\"type\":\"HelpTool\"},{\"attributes\":{\"fill_alpha\":{\"value\":0.1},\"fill_color\":{\"value\":\"#1f77b4\"},\"line_alpha\":{\"value\":0.1},\"line_color\":{\"value\":\"#1f77b4\"},\"x\":{\"field\":\"x\"},\"y\":{\"field\":\"y\"}},\"id\":\"5ab6682f-d81c-4a3c-86f2-702ee1eb1e90\",\"type\":\"Square\"},{\"attributes\":{\"legends\":[[\"sin(x)\",[{\"id\":\"afb69943-8cec-40b2-9e6a-c81a604e1972\",\"type\":\"GlyphRenderer\"},{\"id\":\"8c04d33a-7586-4bd4-8847-36af40d45c05\",\"type\":\"GlyphRenderer\"}]],[\"2*sin(x)\",[{\"id\":\"92dd111b-3ada-4d72-ac6e-023b6bbeba78\",\"type\":\"GlyphRenderer\"}]],[\"3*sin(x)\",[{\"id\":\"59b3c317-653e-4910-94b4-6b966f63e74d\",\"type\":\"GlyphRenderer\"},{\"id\":\"805e217d-139a-4b23-ad59-3b26b03e4cda\",\"type\":\"GlyphRenderer\"}]]],\"plot\":{\"id\":\"c7a2dfef-092e-4278-bbd8-95650ba1dd31\",\"subtype\":\"Figure\",\"type\":\"Plot\"}},\"id\":\"23fae641-4738-4d9a-bdb8-af1e7d722c8f\",\"type\":\"Legend\"},{\"attributes\":{\"dimension\":1,\"plot\":{\"id\":\"f10fc77a-5f66-49c4-8f3c-4529c3643117\",\"subtype\":\"Figure\",\"type\":\"Plot\"},\"ticker\":{\"id\":\"a47257fc-74a4-41ce-ba6e-8f08a060319b\",\"type\":\"BasicTicker\"}},\"id\":\"031cd8d9-d773-4f53-95d9-7fd00cdcd147\",\"type\":\"Grid\"},{\"attributes\":{\"plot\":{\"id\":\"f10fc77a-5f66-49c4-8f3c-4529c3643117\",\"subtype\":\"Figure\",\"type\":\"Plot\"}},\"id\":\"ae9627b2-29be-489a-bff7-9a913893d276\",\"type\":\"PreviewSaveTool\"},{\"attributes\":{\"data_source\":{\"id\":\"ca3d2c67-4851-458e-9eb7-2cd5db9557dc\",\"type\":\"ColumnDataSource\"},\"glyph\":{\"id\":\"5f66ca49-45ca-4096-9075-fe9f7e05b63e\",\"type\":\"Line\"},\"hover_glyph\":null,\"nonselection_glyph\":{\"id\":\"848f424e-5c05-4a85-8836-6375eb56bc22\",\"type\":\"Line\"},\"selection_glyph\":null},\"id\":\"805e217d-139a-4b23-ad59-3b26b03e4cda\",\"type\":\"GlyphRenderer\"},{\"attributes\":{\"formatter\":{\"id\":\"8505cf32-def3-4016-8654-74196bbd674d\",\"type\":\"BasicTickFormatter\"},\"plot\":{\"id\":\"f10fc77a-5f66-49c4-8f3c-4529c3643117\",\"subtype\":\"Figure\",\"type\":\"Plot\"},\"ticker\":{\"id\":\"a47257fc-74a4-41ce-ba6e-8f08a060319b\",\"type\":\"BasicTicker\"}},\"id\":\"99832332-ddf9-4236-b2dd-eed7e3c7a627\",\"type\":\"LinearAxis\"},{\"attributes\":{\"line_color\":{\"value\":\"#1f77b4\"},\"x\":{\"field\":\"x\"},\"y\":{\"field\":\"y\"}},\"id\":\"69e01c78-aa60-4bcf-ac93-fa42022968a8\",\"type\":\"Line\"},{\"attributes\":{\"line_alpha\":{\"value\":0.1},\"line_color\":{\"value\":\"#1f77b4\"},\"line_dash\":[4,4],\"line_width\":{\"value\":2},\"x\":{\"field\":\"x\"},\"y\":{\"field\":\"y\"}},\"id\":\"1493b3a8-a052-492d-affe-6ecdcb151005\",\"type\":\"Line\"},{\"attributes\":{\"plot\":{\"id\":\"f10fc77a-5f66-49c4-8f3c-4529c3643117\",\"subtype\":\"Figure\",\"type\":\"Plot\"}},\"id\":\"7ff1c709-0a98-4745-a4eb-3b3b202f5971\",\"type\":\"ResizeTool\"},{\"attributes\":{\"plot\":{\"id\":\"e2483f7f-be98-46bb-a4ea-6f8037f7c7ff\",\"subtype\":\"Figure\",\"type\":\"Plot\"}},\"id\":\"0b3c08b9-80bd-4247-97de-104416ae9743\",\"type\":\"PreviewSaveTool\"},{\"attributes\":{\"data_source\":{\"id\":\"ae893f98-96ee-4ada-8fb8-01b12d0e6c82\",\"type\":\"ColumnDataSource\"},\"glyph\":{\"id\":\"e55a97d2-bd69-4894-b49e-5ac43d674251\",\"type\":\"Line\"},\"hover_glyph\":null,\"nonselection_glyph\":{\"id\":\"1493b3a8-a052-492d-affe-6ecdcb151005\",\"type\":\"Line\"},\"selection_glyph\":null},\"id\":\"a4a98c62-9542-4aee-a396-4b879ff230d3\",\"type\":\"GlyphRenderer\"},{\"attributes\":{\"plot\":{\"id\":\"e2483f7f-be98-46bb-a4ea-6f8037f7c7ff\",\"subtype\":\"Figure\",\"type\":\"Plot\"}},\"id\":\"f6f7a4e1-7edc-4574-9f9a-77b989319cb0\",\"type\":\"PanTool\"},{\"attributes\":{\"callback\":null,\"column_names\":[\"y\",\"x\"],\"data\":{\"x\":[0.0,0.12693303650867852,0.25386607301735703,0.3807991095260356,0.5077321460347141,0.6346651825433925,0.7615982190520711,0.8885312555607496,1.0154642920694281,1.1423973285781066,1.269330365086785,1.3962634015954636,1.5231964381041423,1.6501294746128208,1.7770625111214993,1.9039955476301778,2.0309285841388562,2.1578616206475347,2.284794657156213,2.4117276936648917,2.53866073017357,2.6655937666822487,2.792526803190927,2.9194598396996057,3.0463928762082846,3.173325912716963,3.3002589492256416,3.42719198573432,3.5541250222429985,3.681058058751677,3.8079910952603555,3.934924131769034,4.0618571682777125,4.188790204786391,4.3157232412950695,4.442656277803748,4.569589314312426,4.696522350821105,4.823455387329783,4.950388423838462,5.07732146034714,5.204254496855819,5.331187533364497,5.458120569873176,5.585053606381854,5.711986642890533,5.838919679399211,5.96585271590789,6.092785752416569,6.219718788925247,6.346651825433926,6.473584861942604,6.600517898451283,6.727450934959961,6.85438397146864,6.981317007977318,7.108250044485997,7.235183080994675,7.362116117503354,7.489049154012032,7.615982190520711,7.742915227029389,7.869848263538068,7.996781300046746,8.123714336555425,8.250647373064103,8.377580409572783,8.50451344608146,8.631446482590139,8.758379519098817,8.885312555607497,9.012245592116175,9.139178628624853,9.266111665133531,9.39304470164221,9.519977738150889,9.646910774659567,9.773843811168245,9.900776847676925,10.027709884185603,10.15464292069428,10.28157595720296,10.408508993711639,10.535442030220317,10.662375066728995,10.789308103237675,10.916241139746353,11.04317417625503,11.170107212763709,11.297040249272388,11.423973285781067,11.550906322289745,11.677839358798423,11.804772395307102,11.93170543181578,12.058638468324459,12.185571504833138,12.312504541341816,12.439437577850494,12.566370614359172],\"y\":[0.0,0.3797773607212478,0.7534439615432377,1.1149873669809827,1.4585902083014062,1.7787237871639212,2.070237034446336,2.3284393928752705,2.549176289848543,2.728895986063555,2.8647067243322217,2.954423259036624,2.9966020175490238,2.990564327855827,2.936407338644336,2.8350024561440055,2.6879813228740077,2.4977095639043143,2.267248723062775,2.000307001548875,1.7011795915883126,1.3746795651822317,1.0260604299770066,0.6609315983596225,0.2851681299125473,-0.09518380049420358,-0.4740041879200503,-0.8451976705242895,-1.2027916062198414,-1.5410321747202191,-1.8544769586618157,-2.1380825141365887,-2.387285521592496,-2.5980762113533165,-2.767062882313744,-2.8915264756798265,-2.969464325642798,-2.999622383021625,-2.9815153933837624,-2.915434704970625,-2.8024435807953205,-2.644360090342746,-2.4437278561510074,-2.2037751259725993,-1.9283628290596186,-1.6219224523667923,-1.2893847362675157,-0.9361003370954613,-0.5677537330812292,-0.19027175896969356,0.19027175896969478,0.5677537330812303,0.9361003370954624,1.2893847362675146,1.6219224523667934,1.9283628290596178,2.2037751259726,2.443727856151007,2.6443600903427464,2.80244358079532,2.9154347049706253,2.9815153933837624,2.999622383021625,2.9694643256427984,2.891526475679826,2.767062882313745,2.5980762113533147,2.3872855215924957,2.1380825141365887,1.8544769586618168,1.5410321747202174,1.2027916062198403,0.8451976705242896,0.47400418792005167,0.09518380049420103,-0.2851681299125485,-0.660931598359621,-1.026060429977004,-1.3746795651822326,-1.7011795915883123,-2.0003070015488738,-2.2672487230627763,-2.4977095639043148,-2.6879813228740077,-2.835002456144005,-2.9364073386443366,-2.990564327855827,-2.9966020175490238,-2.9544232590366244,-2.864706724332221,-2.728895986063555,-2.5491762898485435,-2.328439392875272,-2.070237034446335,-1.7787237871639212,-1.4585902083014068,-1.11498736698098,-0.7534439615432363,-0.3797773607212479,-1.4695761589768238e-15]}},\"id\":\"5310a582-8d36-4fab-a912-e78f26159ebf\",\"type\":\"ColumnDataSource\"},{\"attributes\":{},\"id\":\"0a51c2fb-96a6-4891-97e5-f1f53e9730e3\",\"type\":\"BasicTicker\"},{\"attributes\":{\"bottom_units\":\"screen\",\"fill_alpha\":{\"value\":0.5},\"fill_color\":{\"value\":\"lightgrey\"},\"left_units\":\"screen\",\"level\":\"overlay\",\"line_alpha\":{\"value\":1.0},\"line_color\":{\"value\":\"black\"},\"line_dash\":[4,4],\"line_width\":{\"value\":2},\"plot\":null,\"render_mode\":\"css\",\"right_units\":\"screen\",\"top_units\":\"screen\"},\"id\":\"2b61b6ff-0e84-4fb6-a3bb-47af7997f2ac\",\"type\":\"BoxAnnotation\"},{\"attributes\":{},\"id\":\"95c4f7d5-68cb-4c8f-aec5-c3ee560a9841\",\"type\":\"BasicTicker\"},{\"attributes\":{\"data_source\":{\"id\":\"0fe5759e-1133-4165-bf8d-e31812aee92b\",\"type\":\"ColumnDataSource\"},\"glyph\":{\"id\":\"e5caf8cc-092e-4572-a963-7db228bd0c80\",\"type\":\"Line\"},\"hover_glyph\":null,\"nonselection_glyph\":{\"id\":\"0d3939fb-1edb-4360-8dc0-9b30505f974a\",\"type\":\"Line\"},\"selection_glyph\":null},\"id\":\"92dd111b-3ada-4d72-ac6e-023b6bbeba78\",\"type\":\"GlyphRenderer\"},{\"attributes\":{\"data_source\":{\"id\":\"5310a582-8d36-4fab-a912-e78f26159ebf\",\"type\":\"ColumnDataSource\"},\"glyph\":{\"id\":\"c3ace56c-5da6-405e-8ea2-f60c145156b4\",\"type\":\"Square\"},\"hover_glyph\":null,\"nonselection_glyph\":{\"id\":\"5ab6682f-d81c-4a3c-86f2-702ee1eb1e90\",\"type\":\"Square\"},\"selection_glyph\":null},\"id\":\"59b3c317-653e-4910-94b4-6b966f63e74d\",\"type\":\"GlyphRenderer\"},{\"attributes\":{\"plot\":{\"id\":\"c7a2dfef-092e-4278-bbd8-95650ba1dd31\",\"subtype\":\"Figure\",\"type\":\"Plot\"}},\"id\":\"ad7935a0-2819-4e46-9cff-2c32c59a944b\",\"type\":\"PreviewSaveTool\"},{\"attributes\":{\"callback\":null},\"id\":\"242769bd-26cc-4b75-9cfe-84bcec4095ea\",\"type\":\"DataRange1d\"},{\"attributes\":{\"fill_color\":{\"value\":\"#1f77b4\"},\"line_color\":{\"value\":\"#1f77b4\"},\"x\":{\"field\":\"x\"},\"y\":{\"field\":\"y\"}},\"id\":\"571a7a99-2af0-4d3e-9994-0777b6ac525f\",\"type\":\"Circle\"},{\"attributes\":{\"data_source\":{\"id\":\"364bd37a-be07-4b75-8531-da4b1d450e0d\",\"type\":\"ColumnDataSource\"},\"glyph\":{\"id\":\"1e5867cb-3c12-4f38-b5fd-2abdb4ea67e9\",\"type\":\"Circle\"},\"hover_glyph\":null,\"nonselection_glyph\":{\"id\":\"cdc2eb6d-f365-4f3a-a7b5-a794405e59ea\",\"type\":\"Circle\"},\"selection_glyph\":null},\"id\":\"0ca96d16-1de7-4aa9-8a0a-550e0c189e7c\",\"type\":\"GlyphRenderer\"},{\"attributes\":{\"callback\":null,\"column_names\":[\"x\",\"y\"],\"data\":{\"x\":[0.0,0.12693303650867852,0.25386607301735703,0.3807991095260356,0.5077321460347141,0.6346651825433925,0.7615982190520711,0.8885312555607496,1.0154642920694281,1.1423973285781066,1.269330365086785,1.3962634015954636,1.5231964381041423,1.6501294746128208,1.7770625111214993,1.9039955476301778,2.0309285841388562,2.1578616206475347,2.284794657156213,2.4117276936648917,2.53866073017357,2.6655937666822487,2.792526803190927,2.9194598396996057,3.0463928762082846,3.173325912716963,3.3002589492256416,3.42719198573432,3.5541250222429985,3.681058058751677,3.8079910952603555,3.934924131769034,4.0618571682777125,4.188790204786391,4.3157232412950695,4.442656277803748,4.569589314312426,4.696522350821105,4.823455387329783,4.950388423838462,5.07732146034714,5.204254496855819,5.331187533364497,5.458120569873176,5.585053606381854,5.711986642890533,5.838919679399211,5.96585271590789,6.092785752416569,6.219718788925247,6.346651825433926,6.473584861942604,6.600517898451283,6.727450934959961,6.85438397146864,6.981317007977318,7.108250044485997,7.235183080994675,7.362116117503354,7.489049154012032,7.615982190520711,7.742915227029389,7.869848263538068,7.996781300046746,8.123714336555425,8.250647373064103,8.377580409572783,8.50451344608146,8.631446482590139,8.758379519098817,8.885312555607497,9.012245592116175,9.139178628624853,9.266111665133531,9.39304470164221,9.519977738150889,9.646910774659567,9.773843811168245,9.900776847676925,10.027709884185603,10.15464292069428,10.28157595720296,10.408508993711639,10.535442030220317,10.662375066728995,10.789308103237675,10.916241139746353,11.04317417625503,11.170107212763709,11.297040249272388,11.423973285781067,11.550906322289745,11.677839358798423,11.804772395307102,11.93170543181578,12.058638468324459,12.185571504833138,12.312504541341816,12.439437577850494,12.566370614359172],\"y\":[0.0,0.25318490714749853,0.5022959743621584,0.7433249113206551,0.9723934722009374,1.1858158581092808,1.380158022964224,1.5522929285835136,1.6994508598990288,1.8192639907090367,1.9098044828881477,1.969615506024416,1.997734678366016,1.9937095519038848,1.9576048924295575,1.890001637429337,1.7919875485826717,1.6651397092695428,1.5114991487085165,1.3335380010325832,1.1341197277255417,0.916453043454821,0.6840402866513378,0.4406210655730816,0.19011208660836487,-0.06345586699613572,-0.31600279194670017,-0.5634651136828597,-0.8018610708132275,-1.0273547831468128,-1.2363179724412106,-1.4253883427577259,-1.5915236810616642,-1.7320508075688776,-1.8447085882091627,-1.9276843171198843,-1.9796428837618654,-1.9997482553477501,-1.9876769289225082,-1.9436231366470833,-1.8682957205302135,-1.762906726895164,-1.6291519041006717,-1.4691834173150662,-1.2855752193730792,-1.0812816349111949,-0.8595898241783438,-0.6240668913969741,-0.3785024887208195,-0.12684783931312904,0.12684783931312985,0.37850248872082026,0.6240668913969749,0.8595898241783431,1.0812816349111956,1.2855752193730785,1.4691834173150669,1.6291519041006712,1.7629067268951641,1.8682957205302133,1.9436231366470835,1.9876769289225082,1.9997482553477501,1.9796428837618656,1.927684317119884,1.8447085882091632,1.7320508075688765,1.5915236810616638,1.4253883427577259,1.2363179724412112,1.0273547831468115,0.8018610708132269,0.5634651136828598,0.3160027919467011,0.06345586699613402,-0.19011208660836565,-0.4406210655730807,-0.684040286651336,-0.9164530434548217,-1.1341197277255415,-1.3335380010325826,-1.5114991487085176,-1.6651397092695432,-1.7919875485826717,-1.8900016374293367,-1.9576048924295577,-1.9937095519038848,-1.997734678366016,-1.9696155060244163,-1.9098044828881473,-1.8192639907090367,-1.699450859899029,-1.5522929285835145,-1.3801580229642232,-1.1858158581092808,-0.972393472200938,-0.7433249113206534,-0.5022959743621576,-0.2531849071474986,-9.797174393178826e-16]}},\"id\":\"0fe5759e-1133-4165-bf8d-e31812aee92b\",\"type\":\"ColumnDataSource\"},{\"attributes\":{\"callback\":null},\"id\":\"11692697-280a-402c-b21a-555ff50e4de2\",\"type\":\"DataRange1d\"},{\"attributes\":{\"formatter\":{\"id\":\"00f2b1ce-a68d-49e2-801e-69f642eb5ce2\",\"type\":\"BasicTickFormatter\"},\"plot\":{\"id\":\"e2483f7f-be98-46bb-a4ea-6f8037f7c7ff\",\"subtype\":\"Figure\",\"type\":\"Plot\"},\"ticker\":{\"id\":\"95c4f7d5-68cb-4c8f-aec5-c3ee560a9841\",\"type\":\"BasicTicker\"}},\"id\":\"e8a2533b-87c9-4c0b-80e0-969d3c7a728e\",\"type\":\"LinearAxis\"},{\"attributes\":{\"plot\":{\"id\":\"e2483f7f-be98-46bb-a4ea-6f8037f7c7ff\",\"subtype\":\"Figure\",\"type\":\"Plot\"}},\"id\":\"cef26f57-26bd-48f9-a96e-859180fd7c7a\",\"type\":\"ResizeTool\"},{\"attributes\":{\"fill_alpha\":{\"value\":0.1},\"fill_color\":{\"value\":\"#1f77b4\"},\"line_alpha\":{\"value\":0.1},\"line_color\":{\"value\":\"#1f77b4\"},\"x\":{\"field\":\"x\"},\"y\":{\"field\":\"y\"}},\"id\":\"8a105379-aca7-4ce2-b266-5373ecad137a\",\"type\":\"Square\"},{\"attributes\":{\"line_color\":{\"value\":\"green\"},\"x\":{\"field\":\"x\"},\"y\":{\"field\":\"y\"}},\"id\":\"5f66ca49-45ca-4096-9075-fe9f7e05b63e\",\"type\":\"Line\"},{\"attributes\":{\"data_source\":{\"id\":\"885b2418-a6e4-4a55-80a2-a78673c51f40\",\"type\":\"ColumnDataSource\"},\"glyph\":{\"id\":\"db076a50-a032-4387-995e-0742455645e6\",\"type\":\"Line\"},\"hover_glyph\":null,\"nonselection_glyph\":{\"id\":\"19b9149b-bca5-458c-841f-9025593b32c0\",\"type\":\"Line\"},\"selection_glyph\":null},\"id\":\"549a6b31-5ad6-4f88-9df3-c4db2c2376f7\",\"type\":\"GlyphRenderer\"},{\"attributes\":{\"below\":[{\"id\":\"5edddb8d-5398-4191-aa22-6a26974207c3\",\"type\":\"LinearAxis\"}],\"left\":[{\"id\":\"33add707-980a-4f6b-8ac1-349cbf281678\",\"type\":\"LinearAxis\"}],\"renderers\":[{\"id\":\"5edddb8d-5398-4191-aa22-6a26974207c3\",\"type\":\"LinearAxis\"},{\"id\":\"912623df-37a4-4b62-a53a-5bd57ebde1ea\",\"type\":\"Grid\"},{\"id\":\"33add707-980a-4f6b-8ac1-349cbf281678\",\"type\":\"LinearAxis\"},{\"id\":\"2d21d301-66cc-40c2-8355-443d78582801\",\"type\":\"Grid\"},{\"id\":\"2b61b6ff-0e84-4fb6-a3bb-47af7997f2ac\",\"type\":\"BoxAnnotation\"},{\"id\":\"23fae641-4738-4d9a-bdb8-af1e7d722c8f\",\"type\":\"Legend\"},{\"id\":\"afb69943-8cec-40b2-9e6a-c81a604e1972\",\"type\":\"GlyphRenderer\"},{\"id\":\"8c04d33a-7586-4bd4-8847-36af40d45c05\",\"type\":\"GlyphRenderer\"},{\"id\":\"92dd111b-3ada-4d72-ac6e-023b6bbeba78\",\"type\":\"GlyphRenderer\"},{\"id\":\"59b3c317-653e-4910-94b4-6b966f63e74d\",\"type\":\"GlyphRenderer\"},{\"id\":\"805e217d-139a-4b23-ad59-3b26b03e4cda\",\"type\":\"GlyphRenderer\"}],\"tool_events\":{\"id\":\"46885b8b-6d75-4b7f-a0b7-9e7e53aa9fc9\",\"type\":\"ToolEvents\"},\"tools\":[{\"id\":\"03bb7721-3765-4ddd-b7fc-88209d65da1f\",\"type\":\"PanTool\"},{\"id\":\"63a8eadc-c127-4f84-9fa6-17f4d297af6e\",\"type\":\"WheelZoomTool\"},{\"id\":\"170b95cb-72ed-4cb1-bf3c-788905d75e9d\",\"type\":\"BoxZoomTool\"},{\"id\":\"ad7935a0-2819-4e46-9cff-2c32c59a944b\",\"type\":\"PreviewSaveTool\"},{\"id\":\"e9f2f303-5579-45af-b9fd-28cbba3de674\",\"type\":\"ResizeTool\"},{\"id\":\"c854b3a2-d418-48e6-b108-bfb77d964b52\",\"type\":\"ResetTool\"},{\"id\":\"c85af13c-93eb-4958-8cfd-2096cbd80319\",\"type\":\"HelpTool\"}],\"x_range\":{\"id\":\"d57e9737-109c-4b3a-8c36-87048a4e1583\",\"type\":\"DataRange1d\"},\"y_range\":{\"id\":\"11692697-280a-402c-b21a-555ff50e4de2\",\"type\":\"DataRange1d\"}},\"id\":\"c7a2dfef-092e-4278-bbd8-95650ba1dd31\",\"subtype\":\"Figure\",\"type\":\"Plot\"},{\"attributes\":{\"plot\":{\"id\":\"c7a2dfef-092e-4278-bbd8-95650ba1dd31\",\"subtype\":\"Figure\",\"type\":\"Plot\"}},\"id\":\"03bb7721-3765-4ddd-b7fc-88209d65da1f\",\"type\":\"PanTool\"},{\"attributes\":{\"callback\":null,\"column_names\":[\"x\",\"y\"],\"data\":{\"x\":[0.0,0.12693303650867852,0.25386607301735703,0.3807991095260356,0.5077321460347141,0.6346651825433925,0.7615982190520711,0.8885312555607496,1.0154642920694281,1.1423973285781066,1.269330365086785,1.3962634015954636,1.5231964381041423,1.6501294746128208,1.7770625111214993,1.9039955476301778,2.0309285841388562,2.1578616206475347,2.284794657156213,2.4117276936648917,2.53866073017357,2.6655937666822487,2.792526803190927,2.9194598396996057,3.0463928762082846,3.173325912716963,3.3002589492256416,3.42719198573432,3.5541250222429985,3.681058058751677,3.8079910952603555,3.934924131769034,4.0618571682777125,4.188790204786391,4.3157232412950695,4.442656277803748,4.569589314312426,4.696522350821105,4.823455387329783,4.950388423838462,5.07732146034714,5.204254496855819,5.331187533364497,5.458120569873176,5.585053606381854,5.711986642890533,5.838919679399211,5.96585271590789,6.092785752416569,6.219718788925247,6.346651825433926,6.473584861942604,6.600517898451283,6.727450934959961,6.85438397146864,6.981317007977318,7.108250044485997,7.235183080994675,7.362116117503354,7.489049154012032,7.615982190520711,7.742915227029389,7.869848263538068,7.996781300046746,8.123714336555425,8.250647373064103,8.377580409572783,8.50451344608146,8.631446482590139,8.758379519098817,8.885312555607497,9.012245592116175,9.139178628624853,9.266111665133531,9.39304470164221,9.519977738150889,9.646910774659567,9.773843811168245,9.900776847676925,10.027709884185603,10.15464292069428,10.28157595720296,10.408508993711639,10.535442030220317,10.662375066728995,10.789308103237675,10.916241139746353,11.04317417625503,11.170107212763709,11.297040249272388,11.423973285781067,11.550906322289745,11.677839358798423,11.804772395307102,11.93170543181578,12.058638468324459,12.185571504833138,12.312504541341816,12.439437577850494,12.566370614359172],\"y\":[0.0,0.3797773607212478,0.7534439615432377,1.1149873669809827,1.4585902083014062,1.7787237871639212,2.070237034446336,2.3284393928752705,2.549176289848543,2.728895986063555,2.8647067243322217,2.954423259036624,2.9966020175490238,2.990564327855827,2.936407338644336,2.8350024561440055,2.6879813228740077,2.4977095639043143,2.267248723062775,2.000307001548875,1.7011795915883126,1.3746795651822317,1.0260604299770066,0.6609315983596225,0.2851681299125473,-0.09518380049420358,-0.4740041879200503,-0.8451976705242895,-1.2027916062198414,-1.5410321747202191,-1.8544769586618157,-2.1380825141365887,-2.387285521592496,-2.5980762113533165,-2.767062882313744,-2.8915264756798265,-2.969464325642798,-2.999622383021625,-2.9815153933837624,-2.915434704970625,-2.8024435807953205,-2.644360090342746,-2.4437278561510074,-2.2037751259725993,-1.9283628290596186,-1.6219224523667923,-1.2893847362675157,-0.9361003370954613,-0.5677537330812292,-0.19027175896969356,0.19027175896969478,0.5677537330812303,0.9361003370954624,1.2893847362675146,1.6219224523667934,1.9283628290596178,2.2037751259726,2.443727856151007,2.6443600903427464,2.80244358079532,2.9154347049706253,2.9815153933837624,2.999622383021625,2.9694643256427984,2.891526475679826,2.767062882313745,2.5980762113533147,2.3872855215924957,2.1380825141365887,1.8544769586618168,1.5410321747202174,1.2027916062198403,0.8451976705242896,0.47400418792005167,0.09518380049420103,-0.2851681299125485,-0.660931598359621,-1.026060429977004,-1.3746795651822326,-1.7011795915883123,-2.0003070015488738,-2.2672487230627763,-2.4977095639043148,-2.6879813228740077,-2.835002456144005,-2.9364073386443366,-2.990564327855827,-2.9966020175490238,-2.9544232590366244,-2.864706724332221,-2.728895986063555,-2.5491762898485435,-2.328439392875272,-2.070237034446335,-1.7787237871639212,-1.4585902083014068,-1.11498736698098,-0.7534439615432363,-0.3797773607212479,-1.4695761589768238e-15]}},\"id\":\"ca3d2c67-4851-458e-9eb7-2cd5db9557dc\",\"type\":\"ColumnDataSource\"},{\"attributes\":{},\"id\":\"8505cf32-def3-4016-8654-74196bbd674d\",\"type\":\"BasicTickFormatter\"},{\"attributes\":{\"formatter\":{\"id\":\"5e4d1ae2-136e-463f-91a2-da40bda09af3\",\"type\":\"BasicTickFormatter\"},\"plot\":{\"id\":\"c7a2dfef-092e-4278-bbd8-95650ba1dd31\",\"subtype\":\"Figure\",\"type\":\"Plot\"},\"ticker\":{\"id\":\"878a0c51-a6a5-4b5c-8752-80b269e66d6d\",\"type\":\"BasicTicker\"}},\"id\":\"33add707-980a-4f6b-8ac1-349cbf281678\",\"type\":\"LinearAxis\"},{\"attributes\":{\"legends\":[[\"sin(x)\",[{\"id\":\"0ca96d16-1de7-4aa9-8a0a-550e0c189e7c\",\"type\":\"GlyphRenderer\"},{\"id\":\"15c05fb7-3105-4faf-99b6-11c0c591213f\",\"type\":\"GlyphRenderer\"}]],[\"2*sin(x)\",[{\"id\":\"a4a98c62-9542-4aee-a396-4b879ff230d3\",\"type\":\"GlyphRenderer\"}]],[\"3*sin(x)\",[{\"id\":\"b6067d78-ce89-4d7e-9dd6-1e75a5945ae5\",\"type\":\"GlyphRenderer\"},{\"id\":\"549a6b31-5ad6-4f88-9df3-c4db2c2376f7\",\"type\":\"GlyphRenderer\"}]]],\"plot\":{\"id\":\"e2483f7f-be98-46bb-a4ea-6f8037f7c7ff\",\"subtype\":\"Figure\",\"type\":\"Plot\"}},\"id\":\"7fc8485d-756a-484c-92fa-1474fdbd10d6\",\"type\":\"Legend\"},{\"attributes\":{\"callback\":null,\"column_names\":[\"x\",\"y\"],\"data\":{\"x\":[0.0,0.12693303650867852,0.25386607301735703,0.3807991095260356,0.5077321460347141,0.6346651825433925,0.7615982190520711,0.8885312555607496,1.0154642920694281,1.1423973285781066,1.269330365086785,1.3962634015954636,1.5231964381041423,1.6501294746128208,1.7770625111214993,1.9039955476301778,2.0309285841388562,2.1578616206475347,2.284794657156213,2.4117276936648917,2.53866073017357,2.6655937666822487,2.792526803190927,2.9194598396996057,3.0463928762082846,3.173325912716963,3.3002589492256416,3.42719198573432,3.5541250222429985,3.681058058751677,3.8079910952603555,3.934924131769034,4.0618571682777125,4.188790204786391,4.3157232412950695,4.442656277803748,4.569589314312426,4.696522350821105,4.823455387329783,4.950388423838462,5.07732146034714,5.204254496855819,5.331187533364497,5.458120569873176,5.585053606381854,5.711986642890533,5.838919679399211,5.96585271590789,6.092785752416569,6.219718788925247,6.346651825433926,6.473584861942604,6.600517898451283,6.727450934959961,6.85438397146864,6.981317007977318,7.108250044485997,7.235183080994675,7.362116117503354,7.489049154012032,7.615982190520711,7.742915227029389,7.869848263538068,7.996781300046746,8.123714336555425,8.250647373064103,8.377580409572783,8.50451344608146,8.631446482590139,8.758379519098817,8.885312555607497,9.012245592116175,9.139178628624853,9.266111665133531,9.39304470164221,9.519977738150889,9.646910774659567,9.773843811168245,9.900776847676925,10.027709884185603,10.15464292069428,10.28157595720296,10.408508993711639,10.535442030220317,10.662375066728995,10.789308103237675,10.916241139746353,11.04317417625503,11.170107212763709,11.297040249272388,11.423973285781067,11.550906322289745,11.677839358798423,11.804772395307102,11.93170543181578,12.058638468324459,12.185571504833138,12.312504541341816,12.439437577850494,12.566370614359172],\"y\":[0.0,0.12659245357374926,0.2511479871810792,0.3716624556603276,0.4861967361004687,0.5929079290546404,0.690079011482112,0.7761464642917568,0.8497254299495144,0.9096319953545183,0.9549022414440739,0.984807753012208,0.998867339183008,0.9968547759519424,0.9788024462147787,0.9450008187146685,0.8959937742913359,0.8325698546347714,0.7557495743542583,0.6667690005162916,0.5670598638627709,0.4582265217274105,0.3420201433256689,0.2203105327865408,0.09505604330418244,-0.03172793349806786,-0.15800139597335008,-0.28173255684142984,-0.4009305354066138,-0.5136773915734064,-0.6181589862206053,-0.7126941713788629,-0.7957618405308321,-0.8660254037844388,-0.9223542941045814,-0.9638421585599422,-0.9898214418809327,-0.9998741276738751,-0.9938384644612541,-0.9718115683235417,-0.9341478602651068,-0.881453363447582,-0.8145759520503358,-0.7345917086575331,-0.6427876096865396,-0.5406408174555974,-0.4297949120891719,-0.31203344569848707,-0.18925124436040974,-0.06342391965656452,0.06342391965656492,0.18925124436041013,0.31203344569848745,0.42979491208917153,0.5406408174555978,0.6427876096865393,0.7345917086575334,0.8145759520503356,0.8814533634475821,0.9341478602651067,0.9718115683235418,0.9938384644612541,0.9998741276738751,0.9898214418809328,0.963842158559942,0.9223542941045816,0.8660254037844383,0.7957618405308319,0.7126941713788629,0.6181589862206056,0.5136773915734058,0.40093053540661344,0.2817325568414299,0.15800139597335056,0.03172793349806701,-0.09505604330418282,-0.22031053278654034,-0.342020143325668,-0.45822652172741085,-0.5670598638627707,-0.6667690005162913,-0.7557495743542588,-0.8325698546347716,-0.8959937742913359,-0.9450008187146683,-0.9788024462147789,-0.9968547759519424,-0.998867339183008,-0.9848077530122081,-0.9549022414440737,-0.9096319953545183,-0.8497254299495145,-0.7761464642917573,-0.6900790114821116,-0.5929079290546404,-0.486196736100469,-0.3716624556603267,-0.2511479871810788,-0.1265924535737493,-4.898587196589413e-16]}},\"id\":\"806200fb-a90a-4a4c-8908-d409056d260f\",\"type\":\"ColumnDataSource\"},{\"attributes\":{},\"id\":\"52bf2515-1dd5-45d4-b23c-febcc4dd2aee\",\"type\":\"ToolEvents\"},{\"attributes\":{\"line_color\":{\"value\":\"#1f77b4\"},\"line_width\":{\"value\":2},\"x\":{\"field\":\"x\"},\"y\":{\"field\":\"y\"}},\"id\":\"e4b01e3a-41d6-4ff5-ad75-6996799b2196\",\"type\":\"Line\"},{\"attributes\":{\"plot\":{\"id\":\"e2483f7f-be98-46bb-a4ea-6f8037f7c7ff\",\"subtype\":\"Figure\",\"type\":\"Plot\"}},\"id\":\"f1bebb52-f373-4d8a-99cb-31ca717a07d6\",\"type\":\"HelpTool\"},{\"attributes\":{\"dimension\":1,\"plot\":{\"id\":\"e2483f7f-be98-46bb-a4ea-6f8037f7c7ff\",\"subtype\":\"Figure\",\"type\":\"Plot\"},\"ticker\":{\"id\":\"95c4f7d5-68cb-4c8f-aec5-c3ee560a9841\",\"type\":\"BasicTicker\"}},\"id\":\"dccaab1e-8c0d-49ad-b0cd-361285b86214\",\"type\":\"Grid\"},{\"attributes\":{\"line_alpha\":{\"value\":0.1},\"line_color\":{\"value\":\"#1f77b4\"},\"line_dash\":[4,4],\"line_width\":{\"value\":2},\"x\":{\"field\":\"x\"},\"y\":{\"field\":\"y\"}},\"id\":\"0d3939fb-1edb-4360-8dc0-9b30505f974a\",\"type\":\"Line\"},{\"attributes\":{\"plot\":{\"id\":\"c7a2dfef-092e-4278-bbd8-95650ba1dd31\",\"subtype\":\"Figure\",\"type\":\"Plot\"}},\"id\":\"e9f2f303-5579-45af-b9fd-28cbba3de674\",\"type\":\"ResizeTool\"},{\"attributes\":{\"plot\":{\"id\":\"e2483f7f-be98-46bb-a4ea-6f8037f7c7ff\",\"subtype\":\"Figure\",\"type\":\"Plot\"}},\"id\":\"1c8c5dfe-589a-4eac-ae55-b1ed5f836950\",\"type\":\"WheelZoomTool\"},{\"attributes\":{\"bottom_units\":\"screen\",\"fill_alpha\":{\"value\":0.5},\"fill_color\":{\"value\":\"lightgrey\"},\"left_units\":\"screen\",\"level\":\"overlay\",\"line_alpha\":{\"value\":1.0},\"line_color\":{\"value\":\"black\"},\"line_dash\":[4,4],\"line_width\":{\"value\":2},\"plot\":null,\"render_mode\":\"css\",\"right_units\":\"screen\",\"top_units\":\"screen\"},\"id\":\"b8082638-06be-406d-929d-997d3de3113b\",\"type\":\"BoxAnnotation\"},{\"attributes\":{},\"id\":\"28f41e44-f9bf-4a7f-bbc3-7ca65344966d\",\"type\":\"BasicTickFormatter\"},{\"attributes\":{},\"id\":\"a47257fc-74a4-41ce-ba6e-8f08a060319b\",\"type\":\"BasicTicker\"},{\"attributes\":{\"plot\":{\"id\":\"f10fc77a-5f66-49c4-8f3c-4529c3643117\",\"subtype\":\"Figure\",\"type\":\"Plot\"},\"ticker\":{\"id\":\"0a51c2fb-96a6-4891-97e5-f1f53e9730e3\",\"type\":\"BasicTicker\"}},\"id\":\"c2b5d8ba-154e-40cd-9019-20c0fba12ac1\",\"type\":\"Grid\"},{\"attributes\":{\"overlay\":{\"id\":\"5a94c59a-feab-4b03-a784-04fb01a4b2fd\",\"type\":\"BoxAnnotation\"},\"plot\":{\"id\":\"e2483f7f-be98-46bb-a4ea-6f8037f7c7ff\",\"subtype\":\"Figure\",\"type\":\"Plot\"}},\"id\":\"6f2cae43-b5cf-45a8-8448-1b88a32aecbf\",\"type\":\"BoxZoomTool\"},{\"attributes\":{\"data_source\":{\"id\":\"806200fb-a90a-4a4c-8908-d409056d260f\",\"type\":\"ColumnDataSource\"},\"glyph\":{\"id\":\"5f1a71c8-1cef-4c9e-82c4-5a30f2c0b9b7\",\"type\":\"Line\"},\"hover_glyph\":null,\"nonselection_glyph\":{\"id\":\"98847ec1-2872-4092-bb9d-e4206493bd8d\",\"type\":\"Line\"},\"selection_glyph\":null},\"id\":\"15c05fb7-3105-4faf-99b6-11c0c591213f\",\"type\":\"GlyphRenderer\"},{\"attributes\":{},\"id\":\"42fea283-ac93-461a-b464-3e2ac1633228\",\"type\":\"BasicTicker\"},{\"attributes\":{},\"id\":\"5e4d1ae2-136e-463f-91a2-da40bda09af3\",\"type\":\"BasicTickFormatter\"},{\"attributes\":{\"line_alpha\":{\"value\":0.1},\"line_color\":{\"value\":\"#1f77b4\"},\"x\":{\"field\":\"x\"},\"y\":{\"field\":\"y\"}},\"id\":\"19b9149b-bca5-458c-841f-9025593b32c0\",\"type\":\"Line\"},{\"attributes\":{\"plot\":{\"id\":\"e2483f7f-be98-46bb-a4ea-6f8037f7c7ff\",\"subtype\":\"Figure\",\"type\":\"Plot\"}},\"id\":\"138d347d-a189-4c1a-befa-c8785177ff1e\",\"type\":\"ResetTool\"},{\"attributes\":{},\"id\":\"030f4e5d-1534-4c53-abf9-7dbd169c8fcd\",\"type\":\"BasicTickFormatter\"},{\"attributes\":{\"data_source\":{\"id\":\"e14c11bb-68d3-4e2c-9305-55d5d55c9042\",\"type\":\"ColumnDataSource\"},\"glyph\":{\"id\":\"571a7a99-2af0-4d3e-9994-0777b6ac525f\",\"type\":\"Circle\"},\"hover_glyph\":null,\"nonselection_glyph\":{\"id\":\"6039f862-745d-452d-bb76-d95a5ed8001c\",\"type\":\"Circle\"},\"selection_glyph\":null},\"id\":\"afb69943-8cec-40b2-9e6a-c81a604e1972\",\"type\":\"GlyphRenderer\"},{\"attributes\":{\"plot\":{\"id\":\"f10fc77a-5f66-49c4-8f3c-4529c3643117\",\"subtype\":\"Figure\",\"type\":\"Plot\"}},\"id\":\"3473fa08-96f8-4f1c-bab8-6e0d82a895ce\",\"type\":\"PanTool\"},{\"attributes\":{\"plot\":{\"id\":\"e2483f7f-be98-46bb-a4ea-6f8037f7c7ff\",\"subtype\":\"Figure\",\"type\":\"Plot\"},\"ticker\":{\"id\":\"902dd9fc-195d-4844-8942-1e1f19fc0a68\",\"type\":\"BasicTicker\"}},\"id\":\"1ad32df3-bc8e-4bd0-b52b-6fee86a0c337\",\"type\":\"Grid\"},{\"attributes\":{\"data_source\":{\"id\":\"bd1dd4fa-ffea-4efa-8dce-bfa853ecdd1b\",\"type\":\"ColumnDataSource\"},\"glyph\":{\"id\":\"ba01a194-4d70-4263-b761-9bd077c58700\",\"type\":\"Square\"},\"hover_glyph\":null,\"nonselection_glyph\":{\"id\":\"8a105379-aca7-4ce2-b266-5373ecad137a\",\"type\":\"Square\"},\"selection_glyph\":null},\"id\":\"b6067d78-ce89-4d7e-9dd6-1e75a5945ae5\",\"type\":\"GlyphRenderer\"},{\"attributes\":{\"callback\":null,\"column_names\":[\"x\",\"y\"],\"data\":{\"x\":[1,2,3,4,5],\"y\":[6,7,2,4,5]}},\"id\":\"d3bed14a-5399-49df-980c-87bc303da71e\",\"type\":\"ColumnDataSource\"},{\"attributes\":{},\"id\":\"00f2b1ce-a68d-49e2-801e-69f642eb5ce2\",\"type\":\"BasicTickFormatter\"},{\"attributes\":{},\"id\":\"46885b8b-6d75-4b7f-a0b7-9e7e53aa9fc9\",\"type\":\"ToolEvents\"},{\"attributes\":{\"callback\":null,\"column_names\":[\"x\",\"y\"],\"data\":{\"x\":[0.0,0.12693303650867852,0.25386607301735703,0.3807991095260356,0.5077321460347141,0.6346651825433925,0.7615982190520711,0.8885312555607496,1.0154642920694281,1.1423973285781066,1.269330365086785,1.3962634015954636,1.5231964381041423,1.6501294746128208,1.7770625111214993,1.9039955476301778,2.0309285841388562,2.1578616206475347,2.284794657156213,2.4117276936648917,2.53866073017357,2.6655937666822487,2.792526803190927,2.9194598396996057,3.0463928762082846,3.173325912716963,3.3002589492256416,3.42719198573432,3.5541250222429985,3.681058058751677,3.8079910952603555,3.934924131769034,4.0618571682777125,4.188790204786391,4.3157232412950695,4.442656277803748,4.569589314312426,4.696522350821105,4.823455387329783,4.950388423838462,5.07732146034714,5.204254496855819,5.331187533364497,5.458120569873176,5.585053606381854,5.711986642890533,5.838919679399211,5.96585271590789,6.092785752416569,6.219718788925247,6.346651825433926,6.473584861942604,6.600517898451283,6.727450934959961,6.85438397146864,6.981317007977318,7.108250044485997,7.235183080994675,7.362116117503354,7.489049154012032,7.615982190520711,7.742915227029389,7.869848263538068,7.996781300046746,8.123714336555425,8.250647373064103,8.377580409572783,8.50451344608146,8.631446482590139,8.758379519098817,8.885312555607497,9.012245592116175,9.139178628624853,9.266111665133531,9.39304470164221,9.519977738150889,9.646910774659567,9.773843811168245,9.900776847676925,10.027709884185603,10.15464292069428,10.28157595720296,10.408508993711639,10.535442030220317,10.662375066728995,10.789308103237675,10.916241139746353,11.04317417625503,11.170107212763709,11.297040249272388,11.423973285781067,11.550906322289745,11.677839358798423,11.804772395307102,11.93170543181578,12.058638468324459,12.185571504833138,12.312504541341816,12.439437577850494,12.566370614359172],\"y\":[0.0,0.25318490714749853,0.5022959743621584,0.7433249113206551,0.9723934722009374,1.1858158581092808,1.380158022964224,1.5522929285835136,1.6994508598990288,1.8192639907090367,1.9098044828881477,1.969615506024416,1.997734678366016,1.9937095519038848,1.9576048924295575,1.890001637429337,1.7919875485826717,1.6651397092695428,1.5114991487085165,1.3335380010325832,1.1341197277255417,0.916453043454821,0.6840402866513378,0.4406210655730816,0.19011208660836487,-0.06345586699613572,-0.31600279194670017,-0.5634651136828597,-0.8018610708132275,-1.0273547831468128,-1.2363179724412106,-1.4253883427577259,-1.5915236810616642,-1.7320508075688776,-1.8447085882091627,-1.9276843171198843,-1.9796428837618654,-1.9997482553477501,-1.9876769289225082,-1.9436231366470833,-1.8682957205302135,-1.762906726895164,-1.6291519041006717,-1.4691834173150662,-1.2855752193730792,-1.0812816349111949,-0.8595898241783438,-0.6240668913969741,-0.3785024887208195,-0.12684783931312904,0.12684783931312985,0.37850248872082026,0.6240668913969749,0.8595898241783431,1.0812816349111956,1.2855752193730785,1.4691834173150669,1.6291519041006712,1.7629067268951641,1.8682957205302133,1.9436231366470835,1.9876769289225082,1.9997482553477501,1.9796428837618656,1.927684317119884,1.8447085882091632,1.7320508075688765,1.5915236810616638,1.4253883427577259,1.2363179724412112,1.0273547831468115,0.8018610708132269,0.5634651136828598,0.3160027919467011,0.06345586699613402,-0.19011208660836565,-0.4406210655730807,-0.684040286651336,-0.9164530434548217,-1.1341197277255415,-1.3335380010325826,-1.5114991487085176,-1.6651397092695432,-1.7919875485826717,-1.8900016374293367,-1.9576048924295577,-1.9937095519038848,-1.997734678366016,-1.9696155060244163,-1.9098044828881473,-1.8192639907090367,-1.699450859899029,-1.5522929285835145,-1.3801580229642232,-1.1858158581092808,-0.972393472200938,-0.7433249113206534,-0.5022959743621576,-0.2531849071474986,-9.797174393178826e-16]}},\"id\":\"ae893f98-96ee-4ada-8fb8-01b12d0e6c82\",\"type\":\"ColumnDataSource\"},{\"attributes\":{},\"id\":\"902dd9fc-195d-4844-8942-1e1f19fc0a68\",\"type\":\"BasicTicker\"},{\"attributes\":{\"overlay\":{\"id\":\"b8082638-06be-406d-929d-997d3de3113b\",\"type\":\"BoxAnnotation\"},\"plot\":{\"id\":\"f10fc77a-5f66-49c4-8f3c-4529c3643117\",\"subtype\":\"Figure\",\"type\":\"Plot\"}},\"id\":\"1d584ebe-bb55-42a2-81f4-a7bd91e5dbf3\",\"type\":\"BoxZoomTool\"},{\"attributes\":{\"callback\":null},\"id\":\"92b1d71e-6d91-487c-9c34-948f945eb541\",\"type\":\"DataRange1d\"},{\"attributes\":{},\"id\":\"878a0c51-a6a5-4b5c-8752-80b269e66d6d\",\"type\":\"BasicTicker\"},{\"attributes\":{\"plot\":{\"id\":\"f10fc77a-5f66-49c4-8f3c-4529c3643117\",\"subtype\":\"Figure\",\"type\":\"Plot\"}},\"id\":\"580326f1-c851-4ba3-97ed-b045f5846f95\",\"type\":\"ResetTool\"}],\"root_ids\":[\"f10fc77a-5f66-49c4-8f3c-4529c3643117\",\"e2483f7f-be98-46bb-a4ea-6f8037f7c7ff\",\"c7a2dfef-092e-4278-bbd8-95650ba1dd31\"]},\"title\":\"Bokeh Application\",\"version\":\"0.11.1\"}};\n", " var render_items = [{\"docid\":\"8a9926b5-9a8a-43e8-a3a4-8a385b91ffe1\",\"elementid\":\"2f170050-6f14-4220-ae08-f4e681160051\",\"modelid\":\"c7a2dfef-092e-4278-bbd8-95650ba1dd31\",\"notebook_comms_target\":\"787718f7-7675-4101-b0a5-95877de72173\"}];\n", " \n", " Bokeh.embed.embed_items(docs_json, render_items);\n", " });\n", " },\n", " function(Bokeh) {\n", " }\n", " ];\n", " \n", " function run_inline_js() {\n", " for (var i = 0; i < inline_js.length; i++) {\n", " inline_js[i](window.Bokeh);\n", " }\n", " }\n", " \n", " if (window._bokeh_is_loading === 0) {\n", " console.log(\"Bokeh: BokehJS loaded, going straight to plotting\");\n", " run_inline_js();\n", " } else {\n", " load_libs(js_urls, function() {\n", " console.log(\"Bokeh: BokehJS plotting callback run at\", now());\n", " run_inline_js();\n", " });\n", " }\n", " }(this));\n", "</script>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/html": [ "<p><code>&lt;Bokeh Notebook handle for <strong>In[102]</strong>&gt;</code></p>" ], "text/plain": [ "<bokeh.io._CommsHandle at 0x1799a316dd8>" ] }, "execution_count": 102, "metadata": {}, "output_type": "execute_result" } ], "source": [ "#from numpy import pi,linspace,sin\n", "#from matplotlib.pyplot import plot\n", "#x = linspace(0,3*pi,500)\n", "#print(x)\n", "#plot(x,sin(x**2))\n", "#title('A simple chirp');\n", "# OneNote Python 101 - Worked Exampes - bokeh multi line, multi axis \n", "\n", "\n", "import numpy as np \n", "from bokeh.plotting import show, figure \n", "from bokeh.io import output_notebook\n", "\n", "x = np.linspace(0, 4*np.pi, 100) \n", "#print(x)\n", "y = np.sin(x) \n", "\n", "output_notebook() \n", "\n", "p = figure() \n", "p.circle(x, y, legend=\"sin(x)\") \n", "p.line(x, y, legend=\"sin(x)\") \n", "p.line(x, 2*y, legend=\"2*sin(x)\", \n", " line_dash=[4, 4], line_color=\"orange\", line_width=2) \n", "p.square(x, 3*y, legend=\"3*sin(x)\", fill_color=None, line_color=\"green\") \n", "p.line(x, 3*y, legend=\"3*sin(x)\", line_color=\"green\") \n", "\n", "show(p) \n", "\n", "\n", "\n", "\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "#from bokeh.plotting import figure, output_file, show\n", "\n", "# prepare some data\n", "#x = [1, 2, 3, 4, 5]\n", "#y = [6, 7, 2, 4, 5]\n", "\n", "# output to static HTML file\n", "#output_file(\"lines.html\", title=\"line plot example\")\n", "\n", "# create a new plot with a title and axis labels\n", "#p = figure(title=\"simple line example\", x_axis_label='x', y_axis_label='y')\n", "\n", "# add a line renderer with legend and line thickness\n", "#p.line(x, y, legend=\"Temp.\", line_width=2)\n", "\n", "# show the results\n", "#show(p)\n" ] }, { "cell_type": "code", "execution_count": 116, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "<class 'pandas.core.frame.DataFrame'>\n", "Airport_ID int64\n", "Name object\n", "City object\n", "Country object\n", "IATA_FAA object\n", "ICAO object\n", "Latitude float64\n", "Longitude float64\n", "Altitude int64\n", "Timezone float64\n", "DST object\n", "Tz database_time_zone object\n", "dtype: object\n", " Airport_ID Latitude Longitude Altitude Timezone\n", "count 8107.000000 8107.000000 8107.000000 8107.000000 8107.000000\n", "mean 4766.361046 26.817720 -3.921969 933.449365 0.169236\n", "std 2943.205193 27.866953 85.900873 1624.740899 5.737326\n", "min 1.000000 -89.999997 -179.877000 -1266.000000 -12.000000\n", "25% 2091.500000 8.824928 -79.022498 38.000000 -5.000000\n", "50% 4257.000000 34.987800 5.292028 272.000000 1.000000\n", "75% 7508.500000 47.957599 49.785821 1020.000000 4.000000\n", "max 9541.000000 82.517778 179.951000 14472.000000 13.000000\n", "\n", "\n", "display.max_columns = 20\n", "Using Method 1\n", " Airport_ID Name City Country IATA_FAA ICAO Latitude \\\n", "7875 9310 Yading Daocheng Daocheng China DCY ZUDC 29.3231 \n", "\n", " Longitude Altitude Timezone DST Tz database_time_zone \n", "7875 100.0533 14472 8.0 N Asia/Chongqing \n", "\n", "\n", "Using Method 2\n", "\n", "\n", " Airport_ID Name City Country IATA_FAA ICAO Latitude \\\n", "7875 9310 Yading Daocheng Daocheng China DCY ZUDC 29.3231 \n", "\n", " Longitude Altitude Timezone DST Tz database_time_zone \n", "7875 100.0533 14472 8.0 N Asia/Chongqing \n", "\n", "\n", "14472\n", "\n", "\n", "type(BAPL) <class 'pandas.core.frame.DataFrame'>\n", "\n", "\n", " City Country Latitude Longitude\n", "172 London Canada 43.033056 -81.151111\n", "488 London United Kingdom 51.874722 -0.368333\n", "498 London United Kingdom 51.148056 -0.190278\n", "499 London United Kingdom 51.505278 0.055278\n", "503 London United Kingdom 51.477500 -0.461389\n", "543 London United Kingdom 51.885000 0.235000\n", "5968 London United Kingdom 51.528400 -0.133100\n", "6213 London United Kingdom 51.515833 -0.176111\n", "6241 London United Kingdom 51.494999 -0.144643\n", "6270 London United Kingdom 51.530000 -0.125000\n", "6296 London United Kingdom 51.470000 -0.177833\n", "6597 London United Kingdom 51.532519 -0.126300\n", "6981 London United States 37.086889 -84.077389\n", "7158 London United Kingdom 51.508056 -0.127778\n", "7300 London United Kingdom 51.532600 0.123300\n", "7478 London United Kingdom 51.528400 -0.133100\n", "7543 London United Kingdom 51.496400 -0.143910\n", "7906 London United Kingdom 51.503100 -0.114700\n", "7908 London Canada 42.981900 -81.246400\n", "7921 London United Kingdom 51.528400 -0.133100\n", "7922 London United Kingdom 51.503100 -0.114700\n", "\n", "\n", "type(CAPL) <class 'pandas.core.frame.DataFrame'>\n", "\n", "\n", " Name City Country Latitude \\\n", "488 Luton London United Kingdom 51.874722 \n", "498 Gatwick London United Kingdom 51.148056 \n", "499 City London United Kingdom 51.505278 \n", "503 Heathrow London United Kingdom 51.477500 \n", "543 Stansted London United Kingdom 51.885000 \n", "5968 London Euston Railway Station London United Kingdom 51.528400 \n", "6213 Paddington Station London United Kingdom 51.515833 \n", "6241 London Victoria Bus Station London United Kingdom 51.494999 \n", "6270 London St Pancras London United Kingdom 51.530000 \n", "6296 London Heliport London United Kingdom 51.470000 \n", "6597 St Pancras Railway Station London United Kingdom 51.532519 \n", "7158 All Airports London United Kingdom 51.508056 \n", "7300 London - Kings Cross London United Kingdom 51.532600 \n", "7478 Euston Station London United Kingdom 51.528400 \n", "7543 Victoria Station London United Kingdom 51.496400 \n", "7906 Waterloo International London United Kingdom 51.503100 \n", "7921 Euston Station London United Kingdom 51.528400 \n", "7922 Waterloo International London United Kingdom 51.503100 \n", "\n", " Longitude \n", "488 -0.368333 \n", "498 -0.190278 \n", "499 0.055278 \n", "503 -0.461389 \n", "543 0.235000 \n", "5968 -0.133100 \n", "6213 -0.176111 \n", "6241 -0.144643 \n", "6270 -0.125000 \n", "6296 -0.177833 \n", "6597 -0.126300 \n", "7158 -0.127778 \n", "7300 0.123300 \n", "7478 -0.133100 \n", "7543 -0.143910 \n", "7906 -0.114700 \n", "7921 -0.133100 \n", "7922 -0.114700 \n", "\n", "\n" ] }, { "data": { "text/html": [ "\n", "\n", " <div class=\"plotdiv\" id=\"506e123f-dd77-404f-8974-7b4aaa5a3873\"></div>\n", "<script type=\"text/javascript\">\n", " \n", " (function(global) {\n", " function now() {\n", " return new Date();\n", " }\n", " \n", " if (typeof (window._bokeh_onload_callbacks) === \"undefined\") {\n", " window._bokeh_onload_callbacks = [];\n", " }\n", " \n", " function run_callbacks() {\n", " window._bokeh_onload_callbacks.forEach(function(callback) { callback() });\n", " delete window._bokeh_onload_callbacks\n", " console.info(\"Bokeh: all callbacks have finished\");\n", " }\n", " \n", " function load_libs(js_urls, callback) {\n", " window._bokeh_onload_callbacks.push(callback);\n", " if (window._bokeh_is_loading > 0) {\n", " console.log(\"Bokeh: BokehJS is being loaded, scheduling callback at\", now());\n", " return null;\n", " }\n", " if (js_urls == null || js_urls.length === 0) {\n", " run_callbacks();\n", " return null;\n", " }\n", " console.log(\"Bokeh: BokehJS not loaded, scheduling load and callback at\", now());\n", " window._bokeh_is_loading = js_urls.length;\n", " for (var i = 0; i < js_urls.length; i++) {\n", " var url = js_urls[i];\n", " var s = document.createElement('script');\n", " s.src = url;\n", " s.async = false;\n", " s.onreadystatechange = s.onload = function() {\n", " window._bokeh_is_loading--;\n", " if (window._bokeh_is_loading === 0) {\n", " console.log(\"Bokeh: all BokehJS libraries loaded\");\n", " run_callbacks()\n", " }\n", " };\n", " s.onerror = function() {\n", " console.warn(\"failed to load library \" + url);\n", " };\n", " console.log(\"Bokeh: injecting script tag for BokehJS library: \", url);\n", " document.getElementsByTagName(\"head\")[0].appendChild(s);\n", " }\n", " };var element = document.getElementById(\"506e123f-dd77-404f-8974-7b4aaa5a3873\");\n", " if (element == null) {\n", " console.log(\"Bokeh: ERROR: autoload.js configured with elementid '506e123f-dd77-404f-8974-7b4aaa5a3873' but no matching script tag was found. \")\n", " return false;\n", " }\n", " \n", " var js_urls = [];\n", " \n", " var inline_js = [\n", " function(Bokeh) {\n", " Bokeh.$(function() {\n", " var docs_json = {\"e2cafd4f-7ff9-4a46-993b-5c54843374cb\":{\"roots\":{\"references\":[{\"attributes\":{\"map_options\":{\"lat\":51.1,\"lng\":-0.4,\"map_type\":\"roadmap\",\"zoom\":9},\"renderers\":[{\"id\":\"e5115e8b-6b94-42b2-b5a4-30da7c8b8965\",\"type\":\"GlyphRenderer\"},{\"id\":\"f30a25d4-d412-4b4b-88f7-92618891c2b5\",\"type\":\"BoxAnnotation\"}],\"title\":\"London\",\"tool_events\":{\"id\":\"3e64f1a2-4e6b-4d64-915b-ac2c20ece5d1\",\"type\":\"ToolEvents\"},\"tools\":[{\"id\":\"7c2e7023-d45b-4e9e-ba81-5114aa4b42db\",\"type\":\"PanTool\"},{\"id\":\"6d53bba9-a607-42f9-b498-646d5b6d43af\",\"type\":\"WheelZoomTool\"},{\"id\":\"b0bc5386-d1ee-49ab-9fa0-bc4706b6a21e\",\"type\":\"BoxSelectTool\"}],\"x_range\":{\"id\":\"9a48e580-f2b4-4413-b9c3-4e1f48429efa\",\"type\":\"DataRange1d\"},\"y_range\":{\"id\":\"18dcc9b3-e45a-4e7d-8d3a-2c2cb946f63f\",\"type\":\"DataRange1d\"}},\"id\":\"b29fc6a7-9b3d-43ee-a922-0ffb515a53e5\",\"type\":\"GMapPlot\"},{\"attributes\":{\"plot\":{\"id\":\"b29fc6a7-9b3d-43ee-a922-0ffb515a53e5\",\"type\":\"GMapPlot\"}},\"id\":\"6d53bba9-a607-42f9-b498-646d5b6d43af\",\"type\":\"WheelZoomTool\"},{\"attributes\":{\"bottom_units\":\"screen\",\"fill_alpha\":{\"value\":0.5},\"fill_color\":{\"value\":\"lightgrey\"},\"left_units\":\"screen\",\"level\":\"overlay\",\"line_alpha\":{\"value\":1.0},\"line_color\":{\"value\":\"black\"},\"line_dash\":[4,4],\"line_width\":{\"value\":2},\"plot\":null,\"render_mode\":\"css\",\"right_units\":\"screen\",\"top_units\":\"screen\"},\"id\":\"f30a25d4-d412-4b4b-88f7-92618891c2b5\",\"type\":\"BoxAnnotation\"},{\"attributes\":{\"callback\":null},\"id\":\"18dcc9b3-e45a-4e7d-8d3a-2c2cb946f63f\",\"type\":\"DataRange1d\"},{\"attributes\":{\"callback\":null,\"column_names\":[\"lon\",\"lat\"],\"data\":{\"lat\":[51.4775,51.148056],\"lon\":[-0.461389,-0.190278]}},\"id\":\"d1b6165c-f4a0-4ecd-8888-ddd2f35031c6\",\"type\":\"ColumnDataSource\"},{\"attributes\":{\"callback\":null},\"id\":\"9a48e580-f2b4-4413-b9c3-4e1f48429efa\",\"type\":\"DataRange1d\"},{\"attributes\":{},\"id\":\"3e64f1a2-4e6b-4d64-915b-ac2c20ece5d1\",\"type\":\"ToolEvents\"},{\"attributes\":{\"callback\":null,\"overlay\":{\"id\":\"f30a25d4-d412-4b4b-88f7-92618891c2b5\",\"type\":\"BoxAnnotation\"},\"plot\":{\"id\":\"b29fc6a7-9b3d-43ee-a922-0ffb515a53e5\",\"type\":\"GMapPlot\"}},\"id\":\"b0bc5386-d1ee-49ab-9fa0-bc4706b6a21e\",\"type\":\"BoxSelectTool\"},{\"attributes\":{\"data_source\":{\"id\":\"d1b6165c-f4a0-4ecd-8888-ddd2f35031c6\",\"type\":\"ColumnDataSource\"},\"glyph\":{\"id\":\"00efd660-2c5f-4e98-a95e-62fc8153d534\",\"type\":\"Circle\"},\"hover_glyph\":null,\"nonselection_glyph\":null,\"selection_glyph\":null},\"id\":\"e5115e8b-6b94-42b2-b5a4-30da7c8b8965\",\"type\":\"GlyphRenderer\"},{\"attributes\":{\"plot\":{\"id\":\"b29fc6a7-9b3d-43ee-a922-0ffb515a53e5\",\"type\":\"GMapPlot\"}},\"id\":\"7c2e7023-d45b-4e9e-ba81-5114aa4b42db\",\"type\":\"PanTool\"},{\"attributes\":{\"fill_alpha\":{\"value\":0.8},\"fill_color\":{\"value\":\"blue\"},\"line_color\":{\"value\":null},\"size\":{\"units\":\"screen\",\"value\":15},\"x\":{\"field\":\"lon\"},\"y\":{\"field\":\"lat\"}},\"id\":\"00efd660-2c5f-4e98-a95e-62fc8153d534\",\"type\":\"Circle\"}],\"root_ids\":[\"b29fc6a7-9b3d-43ee-a922-0ffb515a53e5\"]},\"title\":\"Bokeh Application\",\"version\":\"0.11.1\"}};\n", " var render_items = [{\"docid\":\"e2cafd4f-7ff9-4a46-993b-5c54843374cb\",\"elementid\":\"506e123f-dd77-404f-8974-7b4aaa5a3873\",\"modelid\":\"b29fc6a7-9b3d-43ee-a922-0ffb515a53e5\",\"notebook_comms_target\":\"2e6c5720-3de2-42cd-a1e5-e392120a4c22\"}];\n", " \n", " Bokeh.embed.embed_items(docs_json, render_items);\n", " });\n", " },\n", " function(Bokeh) {\n", " }\n", " ];\n", " \n", " function run_inline_js() {\n", " for (var i = 0; i < inline_js.length; i++) {\n", " inline_js[i](window.Bokeh);\n", " }\n", " }\n", " \n", " if (window._bokeh_is_loading === 0) {\n", " console.log(\"Bokeh: BokehJS loaded, going straight to plotting\");\n", " run_inline_js();\n", " } else {\n", " load_libs(js_urls, function() {\n", " console.log(\"Bokeh: BokehJS plotting callback run at\", now());\n", " run_inline_js();\n", " });\n", " }\n", " }(this));\n", "</script>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/html": [ "<p><code>&lt;Bokeh Notebook handle for <strong>In[116]</strong>&gt;</code></p>" ], "text/plain": [ "<bokeh.io._CommsHandle at 0x1799c62ee48>" ] }, "execution_count": 116, "metadata": {}, "output_type": "execute_result" } ], "source": [ "\n", "import pandas as pd \n", "\n", "from bokeh.plotting import figure \n", "from bokeh.io import output_file, show\n", "from bokeh.models import (\n", " GMapPlot, GMapOptions, ColumnDataSource, Circle, DataRange1d, PanTool, WheelZoomTool, BoxSelectTool\n", ")\n", "\n", "#Location = r'C:\\Users\\bruce\\OneDrive\\Udacity_Intro_to_Data_Analysis\\airports.dat'\n", "#AAPL = pd.read_csv(Location,\n", "\n", "AAPL = pd.read_csv( \n", " \"https://raw.githubusercontent.com/jpatokal/openflights/master/data/airports.dat\",\n", "header=None,\n", "sep=',', \n", "names = ['Airport_ID', 'Name', 'City', 'Country', 'IATA_FAA', 'ICAO', 'Latitude', 'Longitude', 'Altitude', 'Timezone','DST','Tz database_time_zone'],\n", "\n", ")\n", "\n", "#1,\"Goroka\",\"Goroka\",\"Papua New Guinea\",\"GKA\",\"AYGA\",-6.081689,145.391881,5282,10,\"U\",\"Pacific/Port_Moresby\"\n", "#507,\"Heathrow\",\"London\",\"United Kingdom\",\"LHR\",\"EGLL\",51.4775,-0.461389,83,0,\"E\",\"Europe/London\"\n", "#503,\"City\",\"London\",\"United Kingdom\",\"LCY\",\"EGLC\",51.505278,0.055278,19,0,\"E\",\"Europe/London\"\n", "print(type(AAPL))\n", "print(AAPL.dtypes)\n", "print(AAPL.describe())\n", "\n", "print(\"\\n\")\n", "print(\"display.max_columns = \", pd.get_option(\"display.max_columns\"))\n", "\n", "#print(AAPL.head(10))\n", "\n", "# Method 1:\n", "print(\"Using Method 1\") \n", "print(AAPL[AAPL['Altitude'] == AAPL['Altitude'].max()])\n", "print(\"\\n\")\n", "\n", "\n", "# Method 2:\n", "print(\"Using Method 2\") \n", "print(\"\\n\")\n", "Sorted = AAPL.sort_values(['Altitude'], ascending=False)\n", "print(Sorted.head(1))\n", "print(\"\\n\")\n", "print(AAPL['Altitude'].max())\n", "print(\"\\n\")\n", "\n", " \n", "#print(AAPL[AAPL['City'] == \"Leicester\"]) \n", "#print(AAPL[AAPL['City'] == \"London\"])\n", "BAPL=AAPL[AAPL['City'] == \"London\"]\n", "print(\"type(BAPL) \", type(BAPL))\n", "print(\"\\n\")\n", "print(BAPL[['City','Country','Latitude','Longitude']])\n", "print(\"\\n\")\n", "\n", "CAPL=BAPL[BAPL['Country'] == \"United Kingdom\"]\n", "print(\"type(CAPL) \", type(CAPL))\n", "print(\"\\n\")\n", "print(CAPL[['Name','City','Country','Latitude','Longitude']])\n", "print(\"\\n\")\n", "\n", "\n", "\n", "\n", "#map_options = GMapOptions(lat=30.29, lng=-97.73, map_type=\"roadmap\", zoom=11)\n", "map_options = GMapOptions(lat=51.1, lng=-0.40,map_type=\"roadmap\", zoom=9)\n", "\n", "plot = GMapPlot(\n", " x_range=DataRange1d(), y_range=DataRange1d(), map_options=map_options, title=\"London\"\n", ")\n", "\n", "\n", "source = ColumnDataSource(\n", " data=dict(\n", "# lat=CAPL['Latitude'],\n", "# lon=CAPL['Latitude'],\n", " lat=[51.477500, 51.148056],\n", " lon=[-0.461389, -0.190278],\n", "# lat=[30.29, 30.20, 30.29],\n", "# lon=[-97.70, -97.74, -97.78],\n", " )\n", ")\n", "# London Heathrow \n", "#lat=51.477500\n", "#lon=-0.461389\n", "\n", "#London Gatwick\n", "#Lat=51.148056\n", "#Lon=-0.190278\n", "\n", "\n", "#circle = Circle(x=\"lon\", y=\"lat\", size=5, fill_color=\"blue\", fill_alpha=0.8, line_color=None)\n", "plot.add_glyph(source, circle)\n", "\n", "plot.add_tools(PanTool(), WheelZoomTool(), BoxSelectTool())\n", "output_file(\"gmap_plot.html\")\n", "show(plot)\n" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Tracks:\n", "('Thunderstruck', 20)\n", "('My Way', 15)\n", "('Lean On (by Major Lazer & DJ Snake)', 100)\n", "\n", "\n", "Ankur's SQL Learnings executed on date and time: 2016-08-20 16:25:15\n" ] } ], "source": [ "__author__ = 'Bruce.Woodley'\n", "# version 3\n", "#http://sqlite.org/\n", "#https://addons.mozilla.org/en-us/firefox/addon/sqlite-manager/\n", "#For Ankur Pandey's \"sql learnings\"\n", "def main():\n", " import sqlite3\n", " import time\n", "\n", " conn = sqlite3.connect('music.sqlite3')\n", " cur = conn.cursor()\n", " cur.execute('DROP TABLE IF EXISTS Tracks')\n", " cur.execute('CREATE TABLE Tracks (title TEXT , plays INTEGER)')\n", " conn.close()\n", "\n", " conn = sqlite3.connect('music.sqlite3')\n", " cur = conn.cursor()\n", " cur.execute('INSERT INTO Tracks (title, plays) VALUES (?, ?)', ('Thunderstruck',20) )\n", " cur.execute('INSERT INTO Tracks (title, plays) VALUES (?, ?)',('My Way', 15) )\n", " cur.execute('INSERT INTO Tracks (title, plays) VALUES (?, ?)',('Lean On (by Major Lazer & DJ Snake)', 100) )\n", " conn.commit()\n", "\n", " print(\"Tracks:\")\n", " cur.execute('SELECT title, plays FROM Tracks')\n", " for row in cur :\n", " print(row)\n", " cur.execute('DELETE FROM Tracks WHERE plays < 100')\n", " conn.commit()\n", " cur.close()\n", " \n", " print(\"\\n\")\n", " print(\"Ankur's SQL Learnings executed on date and time: \",time.strftime(\"%Y-%m-%d %H:%M:%S\"))\n", " return\n", "\n", "main()\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import pandas as pd \n", "from bokeh.plotting import figure \n", "\n", "AAPL = pd.read_csv( \n", " \"http://ichart.yahoo.com/table.csv?s=AAPL&a=0&b=1&c=2000&d=0&e=1&f=2015\", \n", " parse_dates=['Date']) \n", "#print(AAPL)\n", "\n", "MSFT = pd.read_csv( \n", " \"http://ichart.yahoo.com/table.csv?s=MSFT&a=0&b=1&c=2000&d=0&e=1&f=2015\", \n", " parse_dates=['Date']) \n", "\n", "IBM = pd.read_csv( \n", " \"http://ichart.yahoo.com/table.csv?s=IBM&a=0&b=1&c=2000&d=0&e=1&f=2015\", \n", " parse_dates=['Date']) \n", "\n", "def make_figure(): \n", " p = figure(x_axis_type=\"datetime\", width=700, height=300) \n", " p.line(AAPL['Date'], AAPL['Adj Close'], color='#A6CEE3', legend='AAPL') \n", " p.line(IBM['Date'], IBM['Adj Close'], color='#33A02C', legend='IBM') \n", " p.line(MSFT['Date'], MSFT['Adj Close'], color='#FB9A99', legend='MSFT') \n", " p.title = \"Stock Closing Prices\" \n", " p.grid.grid_line_alpha=0.3 \n", " p.xaxis.axis_label = 'Date' \n", " p.yaxis.axis_label = 'Price' \n", " p.legend.orientation = \"top_left\" \n", " return p \n", "\n", "from bokeh.io import output_notebook, show \n", "\n", "output_notebook() \n", "\n", "p = make_figure() \n", "\n", "show(p) \n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import numpy as np \n", "from bokeh.plotting import show, figure \n", "from bokeh.io import output_notebook\n", "\n", "x = np.linspace(-1.0*np.pi, 2*np.pi, 400) \n", "#print(x)\n", "y1 = np.sin(x) \n", "#y2 =np.exp(x)\n", "\n", "output_notebook() \n", "\n", "p = figure() \n", "p.circle(x, y1, legend=\"sin(x)\",line_color=\"orange\") \n", "#p.circle(x, y2, legend=\"exp(x)\",line_color=\"orange\") \n", "\n", "\n", "\n", "\n", "show(p) \n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "\n", "\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "\n", "\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "from bokeh.sampledata.autompg import autompg as df \n", "#from bokeh.charts import Scatter, output_file, show \n", "from bokeh.charts import Scatter \n", "from bokeh.io import output_notebook, show \n", "\n", "\n", "\n", "scatter = Scatter(df, x='mpg', y='hp', color='cyl', marker='origin', \n", " title=\"mpg\", xlabel=\"Miles Per Gallon\", ylabel=\"Horsepower\") \n", "\n", "#output_file('scatter.html') \n", "output_notebook() \n", "\n", "\n", "show(scatter) \n", "\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "#from bokeh.sampledata.autompg import autompg as df\n", "from bokeh.sampledata.autompg import autompg\n", "from bokeh.sampledata.iris import flowers\n", "#from bokeh.sampledata.stocks import AAPL\n", "\n", "#print(type(df))\n", "print(str(flowers))\n", "#print(df.index)\n", "#print(df.info)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "a=0xfe\n", "print(hex(a), int(a), oct(a), bin(a))\n", "\n", "print(int('010', 2) ) \n", "print(int('010', 8) ) \n", "print(int('010', 10) ) \n", "print(int('010', 16) ) \n", "\n", "print(int('ff', 16) )\n", "\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import matplotlib.pyplot as plt\n", "x = [1, 2, 3]\n", "y = [1, 2, 6]\n", "fig = plt.figure()\n", "ax = plt.axes()\n", "\n", "plt.plot(x, y)\n", "plt.show()\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ " '''\n", " Example of selecting a transformation from two equally probable\n", " transformations\n", " '''\n", " import matplotlib.pyplot as plt\n", " import random\n", "\n", " def transformation_1(p):\n", " x = p[0]\n", " y = p[1]\n", " return x + 1, y - 1\n", "\n", " def transformation_2(p):\n", " x = p[0]\n", " y = p[1]\n", " return x + 1, y + 1\n", "\n", " def transform(p):\n", " # List of transformation functions\n", " transformations = [transformation_1, transformation_2]\n", " # Pick a random transformation function and call it\n", " t = random.choice(transformations)\n", " x, y = t(p)\n", " return x, y\n", "\n", " def build_trajectory(p, n):\n", " x = [p[0]]\n", " y = [p[1]]\n", " for i in range(n):\n", " p = transform(p)\n", " x.append(p[0])\n", " y.append(p[1])\n", " return x, y\n", " if __name__ == '__main__':\n", " # Initial point\n", " p = (1, 1)\n", " n = int(input('Enter the number of iterations: '))\n", " x, y = build_trajectory(p, n)\n", " # Plot\n", " plt.plot(x, y)\n", " plt.xlabel('X')\n", " plt.ylabel('Y')\n", " plt.show()\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Enter the number of points in the Fern: 34\n" ] } ], "source": [ "'''\n", "Draw a Barnsley Fern\n", "'''\n", "import random\n", "import matplotlib.pyplot as plt\n", "\n", "def transformation_1(p):\n", " x = p[0]\n", " y = p[1]\n", " x1 = 0.85*x + 0.04*y\n", " y1 = -0.04*x + 0.85*y + 1.6\n", " return x1, y1\n", "\n", "def transformation_2(p):\n", " x = p[0]\n", " y = p[1]\n", " x1 = 0.2*x - 0.26*y\n", " y1 = 0.23*x + 0.22*y + 1.6\n", " return x1, y1\n", "\n", "def transformation_3(p):\n", " x = p[0]\n", " y = p[1]\n", " x1 = -0.15*x + 0.28*y\n", " y1 = 0.26*x + 0.24*y + 0.44\n", " return x1, y1\n", "\n", "def transformation_4(p):\n", " x = p[0]\n", " y = p[1]\n", " x1 = 0\n", " y1 = 0.16*y\n", " return x1, y1\n", "\n", "def get_index(probability):\n", " r = random.random()\n", " c_probability = 0\n", " sum_probability = []\n", " for p in probability:\n", " c_probability += p\n", " sum_probability.append(c_probability)\n", " for item, sp in enumerate(sum_probability):\n", " if r <= sp:\n", " return item\n", " return len(probability)-1\n", "\n", "def transform(p):\n", " # List of transformation functions\n", " transformations = [transformation_1, transformation_2,\n", " transformation_3, transformation_4]\n", " probability = [0.85, 0.07, 0.07, 0.01]\n", " # Pick a random transformation function and call it\n", " tindex = get_index(probability)\n", " t = transformations[tindex]\n", " x, y = t(p)\n", " return x, y\n", "\n", "def draw_fern(n):\n", " # We start with (0, 0)\n", " x = [0]\n", " y = [0]\n", "\n", " x1, y1 = 0, 0\n", " for i in range(n):\n", " x1, y1 = transform((x1, y1))\n", " x.append(x1)\n", " y.append(y1)\n", " return x, y\n", "\n", "if __name__ == '__main__':\n", " n = int(input('Enter the number of points in the Fern: '))\n", " x, y = draw_fern(n)\n", " # Plot the points\n", " plt.plot(x, y, 'o')\n", " plt.title('Fern with {0} points'.format(n))\n", " plt.show()\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import math\n", "math.sin(math.pi/2)\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import inspect \n", "import sympy\n", "print(sympy.sin(math.pi/2))\n", "\n", "\n", "\n", "#print(dir(sympy))\n", "#print(inspect.getclasstree(sympy))\n", "#print(inspect.getmembers(sympy.tan,isclass))\n", "\n", "\n", "from sympy import sin, sine_transform, solve\n", "print(sin(math.pi/2))\n", "\n", "\n", "print(\"\\n\")\n", "print (\"inspect.ismodule(sympy.sin):\", inspect.ismodule(sympy.sin))\n", "print (\"inspect.ismethod(sympy.sin):\", inspect.ismethod(sympy.sin))\n", "print (\"inspect.isclass(sympy.sin):\", inspect.isclass(sympy.sin))\n", "print (\"inspect.isfunction(sympy.sin)\", inspect.isfunction(sympy.sin))\n", "#print(dir(solve))\n", "\n", "from sympy import Symbol\n", "theta = Symbol('theta')\n", "print( sympy.sin(theta) + sympy.sin(theta) ) \n", "\n", "from sympy import sin, solve, Symbol\n", "u = Symbol('u')\n", "t = Symbol('t')\n", "g = Symbol('g')\n", "theta1 = Symbol('theta1')\n", "solve(u*sin(theta1)-g*t, t)\n", "\n", "\n", "\n", "x = Symbol('x', positive=True)\n", "if (x+5) > 0:\n", " print('Do Something where positive=True')\n", "else:\n", " print('Do Something else where not(positive=True)')\n", "\n", "\n", "from sympy import Limit, Symbol, S\n", "x = Symbol('x')\n", "y = Limit(1/x, x, S.Infinity)\n", "print(type(y), y) \n", " \n", " " ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import inspect \n", "import re\n", "\n", "\n", "\n", "\n", "print(dir(re))\n", "print(\"\\n\")\n", "print (\"inspect.ismodule(re.findall):\", inspect.ismodule(re.findall))\n", "print (\"inspect.ismethod(re.findall):\", inspect.ismethod(re.findall))\n", "print (\"inspect.isclass(re.findall):\", inspect.isclass(re.findall))\n", "print (\"inspect.isfunction(re.findall)\", inspect.isfunction(re.findall))\n", "\n", "\n", "#print(inspect.getclasstree(re))\n", "print(inspect.getmembers(re))\n", "\n", "\n", "\n", "\n", "#print (\"inspect.ismethod(findall):\", inspect.ismethod(finall))\n", "#print(dir(solve))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "#from numpy import pi,linspace,sin\n", "#from matplotlib.pyplot import plot\n", "#x = linspace(0,3*pi,500)\n", "#print(x)\n", "#plot(x,sin(x**2))\n", "#title('A simple chirp');\n", "# OneNote Python 101 - Worked Exampes - bokeh multi line, multi axis \n", "\n", "\n", "import numpy as np \n", "from bokeh.plotting import show, figure \n", "from bokeh.io import output_notebook\n", "\n", "x = np.linspace(0, 2*np.pi, 100) \n", "#print(x)\n", "y = np.sin(1/x) \n", "\n", "output_notebook() \n", "\n", "p = figure() \n", " \n", "p.line(x, y, legend=\"sin(1/x)\") \n", "\n", "\n", "\n", "show(p) \n", "\n", "\n", "\n", "\n" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.1" } }, "nbformat": 4, "nbformat_minor": 0 }
gpl-3.0
JackDi/phys202-2015-work
assignments/assignment09/IntegrationEx02.ipynb
1
12713
{ "cells": [ { "cell_type": "markdown", "metadata": { "nbgrader": {} }, "source": [ "# Integration Exercise 2" ] }, { "cell_type": "markdown", "metadata": { "nbgrader": {} }, "source": [ "## Imports" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true, "nbgrader": {} }, "outputs": [], "source": [ "%matplotlib inline\n", "import matplotlib.pyplot as plt\n", "import numpy as np\n", "import seaborn as sns\n", "from scipy import integrate" ] }, { "cell_type": "markdown", "metadata": { "nbgrader": {} }, "source": [ "## Indefinite integrals" ] }, { "cell_type": "markdown", "metadata": { "nbgrader": {} }, "source": [ "Here is a [table of definite integrals](http://en.wikipedia.org/wiki/List_of_definite_integrals). Many of these integrals has a number of parameters $a$, $b$, etc.\n", "\n", "Find five of these integrals and perform the following steps:\n", "\n", "1. Typeset the integral using LateX in a Markdown cell.\n", "1. Define an `integrand` function that computes the value of the integrand.\n", "2. Define an `integral_approx` funciton that uses `scipy.integrate.quad` to peform the integral.\n", "3. Define an `integral_exact` function that computes the exact value of the integral.\n", "4. Call and print the return value of `integral_approx` and `integral_exact` for one set of parameters.\n", "\n", "Here is an example to show what your solutions should look like:" ] }, { "cell_type": "markdown", "metadata": { "nbgrader": {} }, "source": [ "### Example" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true, "nbgrader": {} }, "source": [ "Here is the integral I am performing:\n", "\n", "$$ I_1 = \\int_0^\\infty \\frac{dx}{x^2 + a^2} = \\frac{\\pi}{2a} $$" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false, "nbgrader": {} }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Numerical: 1.5707963267948966\n", "Exact : 1.5707963267948966\n" ] } ], "source": [ "def integrand(x, a):\n", " return 1.0/(x**2 + a**2)\n", "\n", "def integral_approx(a):\n", " # Use the args keyword argument to feed extra arguments to your integrand\n", " I, e = integrate.quad(integrand, 0, np.inf, args=(a,))\n", " return I\n", "\n", "def integral_exact(a):\n", " return 0.5*np.pi/a\n", "\n", "print(\"Numerical: \", integral_approx(1.0))\n", "print(\"Exact : \", integral_exact(1.0))\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "nbgrader": {} }, "outputs": [], "source": [ "assert True # leave this cell to grade the above integral" ] }, { "cell_type": "markdown", "metadata": { "nbgrader": {} }, "source": [ "### Integral 1" ] }, { "cell_type": "markdown", "metadata": { "deletable": false, "nbgrader": { "checksum": "e034fc7ac9c38bbb9c7c87db4b6c8e4e", "grade": true, "grade_id": "integrationex03a", "points": 1, "solution": true } }, "source": [ "\\begin{equation*}\n", "\\int_{0}^{a}{\\sqrt{a^2 - x^2}} dx=\\frac{\\pi a^2}{4}\n", "\\end{equation*}\n", "\n" ] }, { "cell_type": "code", "execution_count": 30, "metadata": { "collapsed": false, "deletable": false, "nbgrader": { "checksum": "6cff4e8e53b15273846c3aecaea84a3d", "solution": true } }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Numerical: 0.7853981633974481\n", "Exact : 0.7853981633974483\n" ] } ], "source": [ "# YOUR CODE HERE\n", "def integrand(x, a):\n", " return (np.sqrt(a**2 - x**2))\n", "\n", "def integral_approx(a):\n", " # Use the args keyword argument to feed extra arguments to your integrand\n", " I, e = integrate.quad(integrand, 0, a, args=(a,))\n", " return I\n", "\n", "def integral_exact(a):\n", " return (0.25*np.pi*a**2)\n", "\n", "print(\"Numerical: \", integral_approx(1.0))\n", "print(\"Exact : \", integral_exact(1.0))\n" ] }, { "cell_type": "code", "execution_count": 24, "metadata": { "collapsed": true, "deletable": false, "nbgrader": { "checksum": "b998cb1faa45ae86f0728d51dfa0e45c", "grade": true, "grade_id": "integrationex03b", "points": 1 } }, "outputs": [], "source": [ "assert True # leave this cell to grade the above integral" ] }, { "cell_type": "markdown", "metadata": { "nbgrader": {} }, "source": [ "### Integral 2" ] }, { "cell_type": "markdown", "metadata": { "deletable": false, "nbgrader": { "checksum": "c3191d99083f6d7cf804f95876e8a624", "grade": true, "grade_id": "integrationex03c", "points": 1, "solution": true } }, "source": [ "\\begin{equation*}\n", "\\int_{0}^{\\infty} e^{-ax^2} dx =\\frac{1}{2}\\sqrt{\\frac{\\pi}{a}}\n", "\\end{equation*}" ] }, { "cell_type": "code", "execution_count": 34, "metadata": { "collapsed": false, "deletable": false, "nbgrader": { "checksum": "6cff4e8e53b15273846c3aecaea84a3d", "solution": true } }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Numerical: 0.8862269254527579\n", "Exact : 0.886226925453\n" ] } ], "source": [ "# YOUR CODE HERE\n", "def integrand(x, a):\n", " return np.exp(-a*x**2)\n", "\n", "def integral_approx(a):\n", " # Use the args keyword argument to feed extra arguments to your integrand\n", " I, e = integrate.quad(integrand, 0, np.inf, args=(a,))\n", " return I\n", "\n", "def integral_exact(a):\n", " return 0.5*np.sqrt(np.pi/a)\n", "\n", "print(\"Numerical: \", integral_approx(1.0))\n", "print(\"Exact : \", integral_exact(1.0))" ] }, { "cell_type": "code", "execution_count": 32, "metadata": { "collapsed": true, "deletable": false, "nbgrader": { "checksum": "4e20de120f0c45ba666f10ba9a6c82d8", "grade": true, "grade_id": "integrationex03d", "points": 1 } }, "outputs": [], "source": [ "assert True # leave this cell to grade the above integral" ] }, { "cell_type": "markdown", "metadata": { "nbgrader": {} }, "source": [ "### Integral 3" ] }, { "cell_type": "markdown", "metadata": { "deletable": false, "nbgrader": { "checksum": "c65f5242f7fa5525523b89899f6ca251", "grade": true, "grade_id": "integrationex03e", "points": 1, "solution": true } }, "source": [ "\\begin{equation*}\n", "\\int_{0}^{\\infty} \\frac{x}{e^x-1} dx =\\frac{\\pi^2}{6}\n", "\\end{equation*}" ] }, { "cell_type": "code", "execution_count": 36, "metadata": { "collapsed": false, "deletable": false, "nbgrader": { "checksum": "6cff4e8e53b15273846c3aecaea84a3d", "solution": true } }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Numerical: 1.6449340668482264\n", "Exact : 1.6449340668482262\n" ] } ], "source": [ "# YOUR CODE HERE\n", "def integrand(x, a):\n", " return x/(np.exp(x)-1)\n", "\n", "def integral_approx(a):\n", " # Use the args keyword argument to feed extra arguments to your integrand\n", " I, e = integrate.quad(integrand, 0, np.inf, args=(a,))\n", " return I\n", "\n", "def integral_exact(a):\n", " return (1/6.0)*np.pi**2\n", "\n", "print(\"Numerical: \", integral_approx(1.0))\n", "print(\"Exact : \", integral_exact(1.0))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "deletable": false, "nbgrader": { "checksum": "8c60d256fe8559e423cf8946ae70ba8d", "grade": true, "grade_id": "integrationex03f", "points": 1 } }, "outputs": [], "source": [ "assert True # leave this cell to grade the above integral" ] }, { "cell_type": "markdown", "metadata": { "nbgrader": {} }, "source": [ "### Integral 4" ] }, { "cell_type": "markdown", "metadata": { "deletable": false, "nbgrader": { "checksum": "3a5d3b2070c78b64152c96681e8e6585", "grade": true, "grade_id": "integrationex03g", "points": 1, "solution": true } }, "source": [ "\\begin{equation*}\n", "\\int_{0}^{\\infty} \\frac{x}{e^x+1} dx =\\frac{\\pi^2}{12}\n", "\\end{equation*}" ] }, { "cell_type": "code", "execution_count": 37, "metadata": { "collapsed": false, "deletable": false, "nbgrader": { "checksum": "6cff4e8e53b15273846c3aecaea84a3d", "solution": true } }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Numerical: 0.822467033424113\n", "Exact : 0.8224670334241131\n" ] } ], "source": [ "# YOUR CODE HERE\n", "def integrand(x, a):\n", " return x/(np.exp(x)+1)\n", "\n", "def integral_approx(a):\n", " # Use the args keyword argument to feed extra arguments to your integrand\n", " I, e = integrate.quad(integrand, 0, np.inf, args=(a,))\n", " return I\n", "\n", "def integral_exact(a):\n", " return (1/12.0)*np.pi**2\n", "\n", "print(\"Numerical: \", integral_approx(1.0))\n", "print(\"Exact : \", integral_exact(1.0))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "deletable": false, "nbgrader": { "checksum": "88acfb75979c6551c8b3af758cd86acc", "grade": true, "grade_id": "integrationex03h", "points": 1 } }, "outputs": [], "source": [ "assert True # leave this cell to grade the above integral" ] }, { "cell_type": "markdown", "metadata": { "nbgrader": {} }, "source": [ "### Integral 5" ] }, { "cell_type": "markdown", "metadata": { "deletable": false, "nbgrader": { "checksum": "9dbb9f1159b3c089e60dd167d973cc59", "grade": true, "grade_id": "integrationex03i", "points": 1, "solution": true } }, "source": [ "\\begin{equation*}\n", "\\int_{0}^{1} \\frac{ln x}{1-x} dx =-\\frac{\\pi^2}{6}\n", "\\end{equation*}" ] }, { "cell_type": "code", "execution_count": 43, "metadata": { "collapsed": false, "deletable": false, "nbgrader": { "checksum": "6cff4e8e53b15273846c3aecaea84a3d", "solution": true } }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Numerical: -1.6449340668482242\n", "Exact : -1.6449340668482262\n" ] } ], "source": [ "# YOUR CODE HERE\n", "def integrand(x, a):\n", " return np.log(x)/(1-x)\n", "\n", "def integral_approx(a):\n", " # Use the args keyword argument to feed extra arguments to your integrand\n", " I, e = integrate.quad(integrand, 0, 1, args=(a,))\n", " return I\n", "\n", "def integral_exact(a):\n", " return (-1.0/6.0)*np.pi**2\n", "\n", "print(\"Numerical: \", integral_approx(1.0))\n", "print(\"Exact : \", integral_exact(1.0))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "deletable": false, "nbgrader": { "checksum": "34f6cf778698f4b90fdadc09c2a0f120", "grade": true, "grade_id": "integrationex03j", "points": 1 } }, "outputs": [], "source": [ "assert True # leave this cell to grade the above integral" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.4.0" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
serpilliere/miasm
doc/ir/lift.ipynb
3
111112
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "Prerequisite: the reader is encouraged to read the documentation of `expression` and `locationdb` before this part.\n", "\n", "# Miasm Intermediate representation\n", "The intermediate representation of Miasm allows to represent the `side effects` of instructions in a control flow graph. To summarise, here is the correspondence between native world and its intermediate representation:\n", "- an assembly control flow graph (`AsmCFG`) is represented in intermediate representation by an \"Intermediate representation control flow graph\": `IRCfg`\n", "- an AsmCFG in composed of basic blocks. In intermediate representation, the `IRCfg` is composed of Intermediate representation blocks: `IRBlock`s\n", "- a native basic block is a sequence of instructions. In intermediate representation, the `IRBlock` if a sequence of `AssignBlock`s\n", "- an `AssignBlock` is composed of parallel assignments of expressions. \"Parallel\" mean that those assignments are executed exactly the same time (different from successive)\n", "\n", "Note this does not imply that an instruction translates to an `AssignBlock`. The translation of a native instruction can generate multiple `AssignBlock`s and even multiple `IRBlock`s. " ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Examples\n", "Let's take some examples of translated instructions. First of all, we will create an helper to generate intermediate representation from assembly code. Skip this code, it's not important for the rest of the documentation" ] }, { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [], "source": [ "from miasm.analysis.machine import Machine\n", "from miasm.arch.x86.arch import mn_x86\n", "from miasm.core import parse_asm, asmblock\n", "from miasm.arch.x86.lifter_model_call import LifterModelCall_x86_32\n", "from miasm.core.locationdb import LocationDB\n", "from miasm.loader.strpatchwork import StrPatchwork\n", "from miasm.analysis.binary import Container\n", "from miasm.ir.ir import IRCFG, AssignBlock\n", "from miasm.expression.expression import *\n", "import logging\n", "\n", "# Quiet warnings\n", "asmblock.log_asmblock.setLevel(logging.ERROR)\n", "\n", "\n", "def gen_x86_asmcfg(asm):\n", " # First, asm code\n", " machine = Machine(\"x86_32\")\n", "\n", " # Add dummy label \"end\" at code's end\n", " code = asm + \"\\nend:\\n\"\n", " loc_db = LocationDB()\n", " # The main will be at address 0\n", " loc_db.set_location_offset(loc_db.get_or_create_name_location(\"main\"), 0x0)\n", "\n", " asmcfg = parse_asm.parse_txt(\n", " mn_x86, 32, code,\n", " loc_db\n", " )\n", " virt = StrPatchwork()\n", " # Assemble shellcode\n", " patches = asmblock.asm_resolve_final(\n", " machine.mn,\n", " asmcfg,\n", " )\n", " # Put shelcode in a string\n", " for offset, raw in patches.items():\n", " virt[offset] = raw\n", " data = bytes(virt)\n", " cont = Container.fallback_container(\n", " data,\n", " vm=None, addr=0,\n", " loc_db=loc_db,\n", " )\n", " dis_engine = machine.dis_engine\n", " # Disassemble back the shellcode\n", " # Now, basic blocks are at known position, determined by\n", " # the assembled version\n", " mdis = dis_engine(cont.bin_stream, loc_db=cont.loc_db)\n", " asmcfg = mdis.dis_multiblock(0)\n", " return asmcfg\n", "\n", "def lift_x86_asm(asm, model_call=False, lifter_custom=None):\n", " asmcfg = gen_x86_asmcfg(asm)\n", " machine = Machine(\"x86_32\")\n", " # Get a lifter\n", " if model_call and lifter_custom is None:\n", " lifter = LifterModelCall_x86_32(asmcfg.loc_db)\n", " elif lifter_custom is not None:\n", " lifter = lifter_custom(asmcfg.loc_db)\n", " else:\n", " lifter = machine.lifter(asmcfg.loc_db)\n", "\n", " # Translate to IR\n", " ircfg = lifter.new_ircfg_from_asmcfg(asmcfg)\n", " return ircfg\n", "\n", "def graph_ir_x86(asm, model_call=False, lifter_custom=None):\n", " ircfg = lift_x86_asm(asm, model_call, lifter_custom)\n", " return ircfg.graphviz()\n" ] }, { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [ { "data": { "image/svg+xml": [ "<?xml version=\"1.0\" encoding=\"UTF-8\" standalone=\"no\"?>\n", "<!DOCTYPE svg PUBLIC \"-//W3C//DTD SVG 1.1//EN\"\n", " \"http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd\">\n", "<!-- Generated by graphviz version 2.44.1 (0)\n", " -->\n", "<!-- Title: html_table Pages: 1 -->\n", "<svg width=\"193pt\" height=\"158pt\"\n", " viewBox=\"0.00 0.00 193.00 158.00\" xmlns=\"http://www.w3.org/2000/svg\" xmlns:xlink=\"http://www.w3.org/1999/xlink\">\n", "<g id=\"graph0\" class=\"graph\" transform=\"scale(1 1) rotate(0) translate(4 154)\">\n", "<title>html_table</title>\n", "<polygon fill=\"white\" stroke=\"transparent\" points=\"-4,4 -4,-154 189,-154 189,4 -4,4\"/>\n", "<!-- 0 -->\n", "<g id=\"node1\" class=\"node\">\n", "<title>0</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M12,-93.5C12,-93.5 173,-93.5 173,-93.5 179,-93.5 185,-99.5 185,-105.5 185,-105.5 185,-137.5 185,-137.5 185,-143.5 179,-149.5 173,-149.5 173,-149.5 12,-149.5 12,-149.5 6,-149.5 0,-143.5 0,-137.5 0,-137.5 0,-105.5 0,-105.5 0,-99.5 6,-93.5 12,-93.5\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"10.5,-122.5 10.5,-143.5 175.5,-143.5 175.5,-122.5 10.5,-122.5\"/>\n", "<text text-anchor=\"start\" x=\"76\" y=\"-129.3\" font-family=\"Courier New\" font-size=\"14.00\">main</text>\n", "<text text-anchor=\"start\" x=\"13.5\" y=\"-106.3\" font-family=\"Courier New\" font-size=\"14.00\">MOV &#160;&#160;&#160;&#160;&#160;&#160;&#160;EAX, EBX</text>\n", "</g>\n", "<!-- 1 -->\n", "<g id=\"node2\" class=\"node\">\n", "<title>1</title>\n", "<path fill=\"red\" stroke=\"black\" d=\"M61.5,-0.5C61.5,-0.5 123.5,-0.5 123.5,-0.5 129.5,-0.5 135.5,-6.5 135.5,-12.5 135.5,-12.5 135.5,-44.5 135.5,-44.5 135.5,-50.5 129.5,-56.5 123.5,-56.5 123.5,-56.5 61.5,-56.5 61.5,-56.5 55.5,-56.5 49.5,-50.5 49.5,-44.5 49.5,-44.5 49.5,-12.5 49.5,-12.5 49.5,-6.5 55.5,-0.5 61.5,-0.5\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"59.5,-29.5 59.5,-50.5 125.5,-50.5 125.5,-29.5 59.5,-29.5\"/>\n", "<text text-anchor=\"start\" x=\"80\" y=\"-36.3\" font-family=\"Courier New\" font-size=\"14.00\">end</text>\n", "<text text-anchor=\"start\" x=\"62.5\" y=\"-13.3\" font-family=\"Courier New\" font-size=\"14.00\">IOError</text>\n", "</g>\n", "<!-- 0&#45;&gt;1 -->\n", "<g id=\"edge1\" class=\"edge\">\n", "<title>0&#45;&gt;1</title>\n", "<path fill=\"none\" stroke=\"blue\" d=\"M92.5,-93.2C92.5,-84.92 92.5,-75.68 92.5,-66.86\"/>\n", "<polygon fill=\"blue\" stroke=\"blue\" points=\"96,-66.78 92.5,-56.78 89,-66.78 96,-66.78\"/>\n", "</g>\n", "</g>\n", "</svg>\n" ], "text/plain": [ "<graphviz.dot.Digraph at 0x7f62910602b0>" ] }, "execution_count": 2, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# Let's generate the AsmCFG\n", "asmcfg = gen_x86_asmcfg(\"\"\"\n", "main:\n", " MOV EAX, EBX\n", "\"\"\")\n", "asmcfg.graphviz()" ] }, { "cell_type": "code", "execution_count": 3, "metadata": {}, "outputs": [ { "data": { "image/svg+xml": [ "<?xml version=\"1.0\" encoding=\"UTF-8\" standalone=\"no\"?>\n", "<!DOCTYPE svg PUBLIC \"-//W3C//DTD SVG 1.1//EN\"\n", " \"http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd\">\n", "<!-- Generated by graphviz version 2.44.1 (0)\n", " -->\n", "<!-- Title: html_table Pages: 1 -->\n", "<svg width=\"152pt\" height=\"197pt\"\n", " viewBox=\"0.00 0.00 152.00 197.00\" xmlns=\"http://www.w3.org/2000/svg\" xmlns:xlink=\"http://www.w3.org/1999/xlink\">\n", "<g id=\"graph0\" class=\"graph\" transform=\"scale(1 1) rotate(0) translate(4 193)\">\n", "<title>html_table</title>\n", "<polygon fill=\"white\" stroke=\"transparent\" points=\"-4,4 -4,-193 148,-193 148,4 -4,4\"/>\n", "<!-- 0 -->\n", "<g id=\"node1\" class=\"node\">\n", "<title>0</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M12,-93.5C12,-93.5 132,-93.5 132,-93.5 138,-93.5 144,-99.5 144,-105.5 144,-105.5 144,-176.5 144,-176.5 144,-182.5 138,-188.5 132,-188.5 132,-188.5 12,-188.5 12,-188.5 6,-188.5 0,-182.5 0,-176.5 0,-176.5 0,-105.5 0,-105.5 0,-99.5 6,-93.5 12,-93.5\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"10,-161 10,-182 134,-182 134,-161 10,-161\"/>\n", "<text text-anchor=\"start\" x=\"55\" y=\"-167.8\" font-family=\"Courier New\" font-size=\"14.00\">main</text>\n", "<text text-anchor=\"start\" x=\"13\" y=\"-144.8\" font-family=\"Courier New\" font-size=\"14.00\">EAX = EBX</text>\n", "<text text-anchor=\"start\" x=\"13\" y=\"-113.8\" font-family=\"Courier New\" font-size=\"14.00\">IRDst = b&#39;end&#39;</text>\n", "</g>\n", "<!-- 1 -->\n", "<g id=\"node2\" class=\"node\">\n", "<title>1</title>\n", "<path fill=\"red\" stroke=\"black\" d=\"M24.5,-0.5C24.5,-0.5 119.5,-0.5 119.5,-0.5 125.5,-0.5 131.5,-6.5 131.5,-12.5 131.5,-12.5 131.5,-44.5 131.5,-44.5 131.5,-50.5 125.5,-56.5 119.5,-56.5 119.5,-56.5 24.5,-56.5 24.5,-56.5 18.5,-56.5 12.5,-50.5 12.5,-44.5 12.5,-44.5 12.5,-12.5 12.5,-12.5 12.5,-6.5 18.5,-0.5 24.5,-0.5\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"23,-29.5 23,-50.5 122,-50.5 122,-29.5 23,-29.5\"/>\n", "<text text-anchor=\"start\" x=\"60\" y=\"-36.3\" font-family=\"Courier New\" font-size=\"14.00\">end</text>\n", "<polygon fill=\"red\" stroke=\"transparent\" points=\"23,-6.5 23,-27.5 120,-27.5 120,-6.5 23,-6.5\"/>\n", "<text text-anchor=\"start\" x=\"26\" y=\"-13.3\" font-family=\"Courier New\" font-size=\"14.00\">NOT PRESENT</text>\n", "</g>\n", "<!-- 0&#45;&gt;1 -->\n", "<g id=\"edge1\" class=\"edge\">\n", "<title>0&#45;&gt;1</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M72,-93.46C72,-84.57 72,-75.37 72,-66.82\"/>\n", "<polygon fill=\"black\" stroke=\"black\" points=\"75.5,-66.76 72,-56.76 68.5,-66.76 75.5,-66.76\"/>\n", "</g>\n", "</g>\n", "</svg>\n" ], "text/plain": [ "<graphviz.dot.Digraph at 0x7f6291002a30>" ] }, "execution_count": 3, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# And graph the corresponding IRCFG\n", "graph_ir_x86(\"\"\"\n", "main:\n", " MOV EAX, EBX\n", "\"\"\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Lets analyze this graph:\n", "- the first ir basic block has the name set to `main`\n", "- it is composed of 2 `AssignBlock`s\n", "- the first `AssignBlock` contains only one assignment, `EAX = EBX`\n", "- the second one is `IRDst = loc_key_1`\n", "\n", "The `IRDst` is a special register which represent a kind of *program counter* in intermediate representation. Each `IRBlock` has one and only one assignment to `IRDst`. The position of the `IRDst` assignment is not always in the last `AssignBlock` of the `IRBlock`. In our case, the shellcode stops after the `MOV EAX, EBX`, so the next location to execution is unknown: `end`. This label has been artificially added by the script.\n", "\n", "\n", "Let's take another instruction." ] }, { "cell_type": "code", "execution_count": 4, "metadata": {}, "outputs": [ { "data": { "image/svg+xml": [ "<?xml version=\"1.0\" encoding=\"UTF-8\" standalone=\"no\"?>\n", "<!DOCTYPE svg PUBLIC \"-//W3C//DTD SVG 1.1//EN\"\n", " \"http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd\">\n", "<!-- Generated by graphviz version 2.44.1 (0)\n", " -->\n", "<!-- Title: html_table Pages: 1 -->\n", "<svg width=\"342pt\" height=\"335pt\"\n", " viewBox=\"0.00 0.00 342.00 335.00\" xmlns=\"http://www.w3.org/2000/svg\" xmlns:xlink=\"http://www.w3.org/1999/xlink\">\n", "<g id=\"graph0\" class=\"graph\" transform=\"scale(1 1) rotate(0) translate(4 331)\">\n", "<title>html_table</title>\n", "<polygon fill=\"white\" stroke=\"transparent\" points=\"-4,4 -4,-331 338,-331 338,4 -4,4\"/>\n", "<!-- 0 -->\n", "<g id=\"node1\" class=\"node\">\n", "<title>0</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M12,-93.5C12,-93.5 322,-93.5 322,-93.5 328,-93.5 334,-99.5 334,-105.5 334,-105.5 334,-314.5 334,-314.5 334,-320.5 328,-326.5 322,-326.5 322,-326.5 12,-326.5 12,-326.5 6,-326.5 0,-320.5 0,-314.5 0,-314.5 0,-105.5 0,-105.5 0,-99.5 6,-93.5 12,-93.5\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"10,-299 10,-320 324,-320 324,-299 10,-299\"/>\n", "<text text-anchor=\"start\" x=\"150\" y=\"-305.8\" font-family=\"Courier New\" font-size=\"14.00\">main</text>\n", "<text text-anchor=\"start\" x=\"13\" y=\"-282.8\" font-family=\"Courier New\" font-size=\"14.00\">zf = FLAG_EQ_CMP(EAX, &#45;0x3)</text>\n", "<text text-anchor=\"start\" x=\"13\" y=\"-259.8\" font-family=\"Courier New\" font-size=\"14.00\">nf = FLAG_SIGN_SUB(EAX, &#45;0x3)</text>\n", "<text text-anchor=\"start\" x=\"13\" y=\"-236.8\" font-family=\"Courier New\" font-size=\"14.00\">pf = parity((EAX + 0x3) &amp; 0xFF)</text>\n", "<text text-anchor=\"start\" x=\"13\" y=\"-213.8\" font-family=\"Courier New\" font-size=\"14.00\">cf = FLAG_ADD_CF(EAX, 0x3)</text>\n", "<text text-anchor=\"start\" x=\"13\" y=\"-190.8\" font-family=\"Courier New\" font-size=\"14.00\">of = FLAG_ADD_OF(EAX, 0x3)</text>\n", "<text text-anchor=\"start\" x=\"13\" y=\"-167.8\" font-family=\"Courier New\" font-size=\"14.00\">af = ((EAX ^ 0x3) ^ (EAX + 0x3))[4:5]</text>\n", "<text text-anchor=\"start\" x=\"13\" y=\"-144.8\" font-family=\"Courier New\" font-size=\"14.00\">EAX = EAX + 0x3</text>\n", "<text text-anchor=\"start\" x=\"13\" y=\"-113.8\" font-family=\"Courier New\" font-size=\"14.00\">IRDst = b&#39;end&#39;</text>\n", "</g>\n", "<!-- 1 -->\n", "<g id=\"node2\" class=\"node\">\n", "<title>1</title>\n", "<path fill=\"red\" stroke=\"black\" d=\"M119.5,-0.5C119.5,-0.5 214.5,-0.5 214.5,-0.5 220.5,-0.5 226.5,-6.5 226.5,-12.5 226.5,-12.5 226.5,-44.5 226.5,-44.5 226.5,-50.5 220.5,-56.5 214.5,-56.5 214.5,-56.5 119.5,-56.5 119.5,-56.5 113.5,-56.5 107.5,-50.5 107.5,-44.5 107.5,-44.5 107.5,-12.5 107.5,-12.5 107.5,-6.5 113.5,-0.5 119.5,-0.5\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"118,-29.5 118,-50.5 217,-50.5 217,-29.5 118,-29.5\"/>\n", "<text text-anchor=\"start\" x=\"155\" y=\"-36.3\" font-family=\"Courier New\" font-size=\"14.00\">end</text>\n", "<polygon fill=\"red\" stroke=\"transparent\" points=\"118,-6.5 118,-27.5 215,-27.5 215,-6.5 118,-6.5\"/>\n", "<text text-anchor=\"start\" x=\"121\" y=\"-13.3\" font-family=\"Courier New\" font-size=\"14.00\">NOT PRESENT</text>\n", "</g>\n", "<!-- 0&#45;&gt;1 -->\n", "<g id=\"edge1\" class=\"edge\">\n", "<title>0&#45;&gt;1</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M167,-93.29C167,-83.86 167,-74.84 167,-66.71\"/>\n", "<polygon fill=\"black\" stroke=\"black\" points=\"170.5,-66.58 167,-56.58 163.5,-66.58 170.5,-66.58\"/>\n", "</g>\n", "</g>\n", "</svg>\n" ], "text/plain": [ "<graphviz.dot.Digraph at 0x7f62a0172970>" ] }, "execution_count": 4, "metadata": {}, "output_type": "execute_result" } ], "source": [ "graph_ir_x86(\"\"\"\n", "main:\n", " ADD EAX, 3\n", "\"\"\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "In this graph, we can note that each instruction side effect is represented.\n", "Note that in the equation:\n", "```\n", "zf = FLAG_EQ_CMP(EAX, -0x3)\n", "```\n", "The detailed version of the expression:\n", "```\n", "ExprId('zf', 1) = ExprOp('FLAG_EQ_CMP', ExprId('EAX', 32), ExprInt(-0x3, 32))\n", "```\n", "The operator `FLAG_EQ_CMP` is a kind of *high level* representation. But you can customize the lifter in order to get the real equation of the `zf`. This will be presented in a documentation dedicated to modification of the intermediate representation control flow graph.\n", "```\n", "ExprId('zf', 1) = ExprCond(ExprId('EAX', 32) - ExprInt(-0x3, 32), ExprInt(0, 1), ExprInt(1, 1))\n", "```\n", "which is, in a simplified form:\n", "```\n", "zf = (EAX - 3) ? (0, 1)\n", "```" ] }, { "cell_type": "code", "execution_count": 5, "metadata": {}, "outputs": [ { "data": { "image/svg+xml": [ "<?xml version=\"1.0\" encoding=\"UTF-8\" standalone=\"no\"?>\n", "<!DOCTYPE svg PUBLIC \"-//W3C//DTD SVG 1.1//EN\"\n", " \"http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd\">\n", "<!-- Generated by graphviz version 2.44.1 (0)\n", " -->\n", "<!-- Title: html_table Pages: 1 -->\n", "<svg width=\"152pt\" height=\"220pt\"\n", " viewBox=\"0.00 0.00 152.00 220.00\" xmlns=\"http://www.w3.org/2000/svg\" xmlns:xlink=\"http://www.w3.org/1999/xlink\">\n", "<g id=\"graph0\" class=\"graph\" transform=\"scale(1 1) rotate(0) translate(4 216)\">\n", "<title>html_table</title>\n", "<polygon fill=\"white\" stroke=\"transparent\" points=\"-4,4 -4,-216 148,-216 148,4 -4,4\"/>\n", "<!-- 0 -->\n", "<g id=\"node1\" class=\"node\">\n", "<title>0</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M12,-93.5C12,-93.5 132,-93.5 132,-93.5 138,-93.5 144,-99.5 144,-105.5 144,-105.5 144,-199.5 144,-199.5 144,-205.5 138,-211.5 132,-211.5 132,-211.5 12,-211.5 12,-211.5 6,-211.5 0,-205.5 0,-199.5 0,-199.5 0,-105.5 0,-105.5 0,-99.5 6,-93.5 12,-93.5\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"10,-184.5 10,-205.5 134,-205.5 134,-184.5 10,-184.5\"/>\n", "<text text-anchor=\"start\" x=\"55\" y=\"-191.3\" font-family=\"Courier New\" font-size=\"14.00\">main</text>\n", "<text text-anchor=\"start\" x=\"13\" y=\"-168.3\" font-family=\"Courier New\" font-size=\"14.00\">EAX = EBX</text>\n", "<text text-anchor=\"start\" x=\"13\" y=\"-145.3\" font-family=\"Courier New\" font-size=\"14.00\">EBX = EAX</text>\n", "<text text-anchor=\"start\" x=\"13\" y=\"-114.3\" font-family=\"Courier New\" font-size=\"14.00\">IRDst = b&#39;end&#39;</text>\n", "</g>\n", "<!-- 1 -->\n", "<g id=\"node2\" class=\"node\">\n", "<title>1</title>\n", "<path fill=\"red\" stroke=\"black\" d=\"M24.5,-0.5C24.5,-0.5 119.5,-0.5 119.5,-0.5 125.5,-0.5 131.5,-6.5 131.5,-12.5 131.5,-12.5 131.5,-44.5 131.5,-44.5 131.5,-50.5 125.5,-56.5 119.5,-56.5 119.5,-56.5 24.5,-56.5 24.5,-56.5 18.5,-56.5 12.5,-50.5 12.5,-44.5 12.5,-44.5 12.5,-12.5 12.5,-12.5 12.5,-6.5 18.5,-0.5 24.5,-0.5\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"23,-29.5 23,-50.5 122,-50.5 122,-29.5 23,-29.5\"/>\n", "<text text-anchor=\"start\" x=\"60\" y=\"-36.3\" font-family=\"Courier New\" font-size=\"14.00\">end</text>\n", "<polygon fill=\"red\" stroke=\"transparent\" points=\"23,-6.5 23,-27.5 120,-27.5 120,-6.5 23,-6.5\"/>\n", "<text text-anchor=\"start\" x=\"26\" y=\"-13.3\" font-family=\"Courier New\" font-size=\"14.00\">NOT PRESENT</text>\n", "</g>\n", "<!-- 0&#45;&gt;1 -->\n", "<g id=\"edge1\" class=\"edge\">\n", "<title>0&#45;&gt;1</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M72,-93.36C72,-84.38 72,-75.32 72,-66.96\"/>\n", "<polygon fill=\"black\" stroke=\"black\" points=\"75.5,-66.8 72,-56.8 68.5,-66.8 75.5,-66.8\"/>\n", "</g>\n", "</g>\n", "</svg>\n" ], "text/plain": [ "<graphviz.dot.Digraph at 0x7f6290fc1910>" ] }, "execution_count": 5, "metadata": {}, "output_type": "execute_result" } ], "source": [ "graph_ir_x86(\"\"\"\n", "main:\n", " XCHG EAX, EBX\n", "\"\"\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "This one is interesting, as it demonstrate perfectly the parallel execution of multiple assignments. In you are puzzled by this notation, imagine this describes equations, which expresses destination variables of an output state depending on an input state. The equations can be rewritten:\n", "```\n", "EAX_out = EBX_in\n", "EBX_out = EAX_in\n", "```\n", "\n", "And this matches the `xchg` semantic. After the execution, those variables are committed, which means that `EAX` takes the value of `EAX_out`, and `EBX` takes the value of `EBX_out`" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Some arbitrary choices have been done in order to try to match as best as possible. For example lets take the instruction:\n", "```\n", "CMOVZ EAX, EBX\n", "```\n", "This conditional move is done if the zero flag is activated. So we may want to translate it as:\n", "```\n", "EAX = zf ? EBX : EAX\n", "```\n", "Which can be read: if `zf` is 1, `EAX` is set to `EBX` else `EAX` is set to `EAX`, which is equivalent to no modifications.\n", "\n", "This representation seems good at first, as the semantic of the conditional move seems ok. But let's question the system on the equation `EAX = zf ? EBX, EAX`:\n", "- which register is written ? `EAX` is *always* written\n", "- which register is read ? `zf`, `EBX`, `EAX` are read\n", "\n", "IF we ask the same question on the instruction `CMOVZ EAX, EBX`, the answers are a bit different:\n", "- which register is written ? `EAX` is written only if the `zf` is 1\n", "- which register is read ? `zf` is *always* read, `EBX` may be read is `zf` is 1\n", "\n", "The conclusion is the representation we gave doesn't represent properly the instruction. Here is what Miasm will gave as intermediate representation for it:" ] }, { "cell_type": "code", "execution_count": 6, "metadata": {}, "outputs": [ { "data": { "image/svg+xml": [ "<?xml version=\"1.0\" encoding=\"UTF-8\" standalone=\"no\"?>\n", "<!DOCTYPE svg PUBLIC \"-//W3C//DTD SVG 1.1//EN\"\n", " \"http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd\">\n", "<!-- Generated by graphviz version 2.44.1 (0)\n", " -->\n", "<!-- Title: html_table Pages: 1 -->\n", "<svg width=\"210pt\" height=\"220pt\"\n", " viewBox=\"0.00 0.00 210.00 220.00\" xmlns=\"http://www.w3.org/2000/svg\" xmlns:xlink=\"http://www.w3.org/1999/xlink\">\n", "<g id=\"graph0\" class=\"graph\" transform=\"scale(1 1) rotate(0) translate(4 216)\">\n", "<title>html_table</title>\n", "<polygon fill=\"white\" stroke=\"transparent\" points=\"-4,4 -4,-216 206,-216 206,4 -4,4\"/>\n", "<!-- 0 -->\n", "<g id=\"node1\" class=\"node\">\n", "<title>0</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M12,-93.5C12,-93.5 190,-93.5 190,-93.5 196,-93.5 202,-99.5 202,-105.5 202,-105.5 202,-199.5 202,-199.5 202,-205.5 196,-211.5 190,-211.5 190,-211.5 12,-211.5 12,-211.5 6,-211.5 0,-205.5 0,-199.5 0,-199.5 0,-105.5 0,-105.5 0,-99.5 6,-93.5 12,-93.5\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"10,-184.5 10,-205.5 192,-205.5 192,-184.5 10,-184.5\"/>\n", "<text text-anchor=\"start\" x=\"84\" y=\"-191.3\" font-family=\"Courier New\" font-size=\"14.00\">main</text>\n", "<text text-anchor=\"start\" x=\"13\" y=\"-168.3\" font-family=\"Courier New\" font-size=\"14.00\">ESP = ESP + &#45;0x4</text>\n", "<text text-anchor=\"start\" x=\"13\" y=\"-145.3\" font-family=\"Courier New\" font-size=\"14.00\">@32[ESP + &#45;0x4] = EAX</text>\n", "<text text-anchor=\"start\" x=\"13\" y=\"-114.3\" font-family=\"Courier New\" font-size=\"14.00\">IRDst = b&#39;end&#39;</text>\n", "</g>\n", "<!-- 1 -->\n", "<g id=\"node2\" class=\"node\">\n", "<title>1</title>\n", "<path fill=\"red\" stroke=\"black\" d=\"M53.5,-0.5C53.5,-0.5 148.5,-0.5 148.5,-0.5 154.5,-0.5 160.5,-6.5 160.5,-12.5 160.5,-12.5 160.5,-44.5 160.5,-44.5 160.5,-50.5 154.5,-56.5 148.5,-56.5 148.5,-56.5 53.5,-56.5 53.5,-56.5 47.5,-56.5 41.5,-50.5 41.5,-44.5 41.5,-44.5 41.5,-12.5 41.5,-12.5 41.5,-6.5 47.5,-0.5 53.5,-0.5\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"52,-29.5 52,-50.5 151,-50.5 151,-29.5 52,-29.5\"/>\n", "<text text-anchor=\"start\" x=\"89\" y=\"-36.3\" font-family=\"Courier New\" font-size=\"14.00\">end</text>\n", "<polygon fill=\"red\" stroke=\"transparent\" points=\"52,-6.5 52,-27.5 149,-27.5 149,-6.5 52,-6.5\"/>\n", "<text text-anchor=\"start\" x=\"55\" y=\"-13.3\" font-family=\"Courier New\" font-size=\"14.00\">NOT PRESENT</text>\n", "</g>\n", "<!-- 0&#45;&gt;1 -->\n", "<g id=\"edge1\" class=\"edge\">\n", "<title>0&#45;&gt;1</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M101,-93.36C101,-84.38 101,-75.32 101,-66.96\"/>\n", "<polygon fill=\"black\" stroke=\"black\" points=\"104.5,-66.8 101,-56.8 97.5,-66.8 104.5,-66.8\"/>\n", "</g>\n", "</g>\n", "</svg>\n" ], "text/plain": [ "<graphviz.dot.Digraph at 0x7f6290fc16d0>" ] }, "execution_count": 6, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# Here is a push\n", "graph_ir_x86(\"\"\"\n", "main:\n", " PUSH EAX\n", "\"\"\")" ] }, { "cell_type": "code", "execution_count": 7, "metadata": {}, "outputs": [ { "data": { "image/svg+xml": [ "<?xml version=\"1.0\" encoding=\"UTF-8\" standalone=\"no\"?>\n", "<!DOCTYPE svg PUBLIC \"-//W3C//DTD SVG 1.1//EN\"\n", " \"http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd\">\n", "<!-- Generated by graphviz version 2.44.1 (0)\n", " -->\n", "<!-- Title: html_table Pages: 1 -->\n", "<svg width=\"334pt\" height=\"290pt\"\n", " viewBox=\"0.00 0.00 334.00 290.00\" xmlns=\"http://www.w3.org/2000/svg\" xmlns:xlink=\"http://www.w3.org/1999/xlink\">\n", "<g id=\"graph0\" class=\"graph\" transform=\"scale(1 1) rotate(0) translate(4 286)\">\n", "<title>html_table</title>\n", "<polygon fill=\"white\" stroke=\"transparent\" points=\"-4,4 -4,-286 330,-286 330,4 -4,4\"/>\n", "<!-- 0 -->\n", "<g id=\"node1\" class=\"node\">\n", "<title>0</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M12,-217.5C12,-217.5 314,-217.5 314,-217.5 320,-217.5 326,-223.5 326,-229.5 326,-229.5 326,-269.5 326,-269.5 326,-275.5 320,-281.5 314,-281.5 314,-281.5 12,-281.5 12,-281.5 6,-281.5 0,-275.5 0,-269.5 0,-269.5 0,-229.5 0,-229.5 0,-223.5 6,-217.5 12,-217.5\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"10,-254.5 10,-275.5 316,-275.5 316,-254.5 10,-254.5\"/>\n", "<text text-anchor=\"start\" x=\"146\" y=\"-261.3\" font-family=\"Courier New\" font-size=\"14.00\">main</text>\n", "<text text-anchor=\"start\" x=\"13\" y=\"-238.3\" font-family=\"Courier New\" font-size=\"14.00\">IRDst = CC_EQ(zf)?(loc_key_2,b&#39;end&#39;)</text>\n", "</g>\n", "<!-- 1 -->\n", "<g id=\"node2\" class=\"node\">\n", "<title>1</title>\n", "<path fill=\"red\" stroke=\"black\" d=\"M115.5,-0.5C115.5,-0.5 210.5,-0.5 210.5,-0.5 216.5,-0.5 222.5,-6.5 222.5,-12.5 222.5,-12.5 222.5,-44.5 222.5,-44.5 222.5,-50.5 216.5,-56.5 210.5,-56.5 210.5,-56.5 115.5,-56.5 115.5,-56.5 109.5,-56.5 103.5,-50.5 103.5,-44.5 103.5,-44.5 103.5,-12.5 103.5,-12.5 103.5,-6.5 109.5,-0.5 115.5,-0.5\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"114,-29.5 114,-50.5 213,-50.5 213,-29.5 114,-29.5\"/>\n", "<text text-anchor=\"start\" x=\"151\" y=\"-36.3\" font-family=\"Courier New\" font-size=\"14.00\">end</text>\n", "<polygon fill=\"red\" stroke=\"transparent\" points=\"114,-6.5 114,-27.5 211,-27.5 211,-6.5 114,-6.5\"/>\n", "<text text-anchor=\"start\" x=\"117\" y=\"-13.3\" font-family=\"Courier New\" font-size=\"14.00\">NOT PRESENT</text>\n", "</g>\n", "<!-- 0&#45;&gt;1 -->\n", "<g id=\"edge2\" class=\"edge\">\n", "<title>0&#45;&gt;1</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M145.24,-217.21C139.88,-206.13 134.7,-193.35 132,-181 123.65,-142.79 123.19,-131.11 132,-93 134.13,-83.78 137.79,-74.36 141.86,-65.69\"/>\n", "<polygon fill=\"black\" stroke=\"black\" points=\"145.06,-67.13 146.39,-56.62 138.79,-64 145.06,-67.13\"/>\n", "</g>\n", "<!-- 2 -->\n", "<g id=\"node3\" class=\"node\">\n", "<title>2</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M153,-93.5C153,-93.5 273,-93.5 273,-93.5 279,-93.5 285,-99.5 285,-105.5 285,-105.5 285,-168.5 285,-168.5 285,-174.5 279,-180.5 273,-180.5 273,-180.5 153,-180.5 153,-180.5 147,-180.5 141,-174.5 141,-168.5 141,-168.5 141,-105.5 141,-105.5 141,-99.5 147,-93.5 153,-93.5\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"151,-153 151,-174 275,-174 275,-153 151,-153\"/>\n", "<text text-anchor=\"start\" x=\"175.5\" y=\"-159.8\" font-family=\"Courier New\" font-size=\"14.00\">loc_key_2</text>\n", "<text text-anchor=\"start\" x=\"154\" y=\"-136.8\" font-family=\"Courier New\" font-size=\"14.00\">EAX = EBX</text>\n", "<text text-anchor=\"start\" x=\"154\" y=\"-113.8\" font-family=\"Courier New\" font-size=\"14.00\">IRDst = b&#39;end&#39;</text>\n", "</g>\n", "<!-- 0&#45;&gt;2 -->\n", "<g id=\"edge3\" class=\"edge\">\n", "<title>0&#45;&gt;2</title>\n", "<path fill=\"none\" stroke=\"limegreen\" d=\"M177.06,-217.43C180.92,-208.9 185.21,-199.43 189.44,-190.07\"/>\n", "<polygon fill=\"limegreen\" stroke=\"limegreen\" points=\"192.7,-191.35 193.64,-180.8 186.32,-188.46 192.7,-191.35\"/>\n", "</g>\n", "<!-- 2&#45;&gt;1 -->\n", "<g id=\"edge1\" class=\"edge\">\n", "<title>2&#45;&gt;1</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M192.95,-93.3C188.68,-84.2 184.21,-74.68 180.07,-65.85\"/>\n", "<polygon fill=\"black\" stroke=\"black\" points=\"183.14,-64.16 175.72,-56.59 176.8,-67.13 183.14,-64.16\"/>\n", "</g>\n", "</g>\n", "</svg>\n" ], "text/plain": [ "<graphviz.dot.Digraph at 0x7f629102b250>" ] }, "execution_count": 7, "metadata": {}, "output_type": "execute_result" } ], "source": [ "graph_ir_x86(\"\"\"\n", "main:\n", " CMOVZ EAX, EBX\n", "\"\"\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Here are some remarks we can do on this version:\n", "- *one* x86 instruction has generated multiple `IRBlocks`\n", "- the first `IRBlock` only reads the `zf` (we don't take the locations into account here)\n", "- `EAX` is assigned only in the case of `zf` equals to 1\n", "- `EBX` is read only in the case of `zf` equals to 1\n", "\n", "We can dispute on the fact that in this form, it's harder to get what is read and what is written. But one argument is: If `cmovz` doesn't exist (for example in older cpus) what may be the code to do this ?" ] }, { "cell_type": "code", "execution_count": 8, "metadata": {}, "outputs": [ { "data": { "image/svg+xml": [ "<?xml version=\"1.0\" encoding=\"UTF-8\" standalone=\"no\"?>\n", "<!DOCTYPE svg PUBLIC \"-//W3C//DTD SVG 1.1//EN\"\n", " \"http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd\">\n", "<!-- Generated by graphviz version 2.44.1 (0)\n", " -->\n", "<!-- Title: html_table Pages: 1 -->\n", "<svg width=\"301pt\" height=\"321pt\"\n", " viewBox=\"0.00 0.00 301.00 321.00\" xmlns=\"http://www.w3.org/2000/svg\" xmlns:xlink=\"http://www.w3.org/1999/xlink\">\n", "<g id=\"graph0\" class=\"graph\" transform=\"scale(1 1) rotate(0) translate(4 317)\">\n", "<title>html_table</title>\n", "<polygon fill=\"white\" stroke=\"transparent\" points=\"-4,4 -4,-317 297,-317 297,4 -4,4\"/>\n", "<!-- 0 -->\n", "<g id=\"node1\" class=\"node\">\n", "<title>0</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M12,-225.5C12,-225.5 281,-225.5 281,-225.5 287,-225.5 293,-231.5 293,-237.5 293,-237.5 293,-300.5 293,-300.5 293,-306.5 287,-312.5 281,-312.5 281,-312.5 12,-312.5 12,-312.5 6,-312.5 0,-306.5 0,-300.5 0,-300.5 0,-237.5 0,-237.5 0,-231.5 6,-225.5 12,-225.5\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"10.5,-285 10.5,-306 283.5,-306 283.5,-285 10.5,-285\"/>\n", "<text text-anchor=\"start\" x=\"130\" y=\"-291.8\" font-family=\"Courier New\" font-size=\"14.00\">main</text>\n", "<text text-anchor=\"start\" x=\"13.5\" y=\"-268.8\" font-family=\"Courier New\" font-size=\"14.00\">EIP = CC_EQ(zf)?(b&#39;end&#39;,loc_2)</text>\n", "<text text-anchor=\"start\" x=\"13.5\" y=\"-245.8\" font-family=\"Courier New\" font-size=\"14.00\">IRDst = CC_EQ(zf)?(b&#39;end&#39;,loc_2)</text>\n", "</g>\n", "<!-- 1 -->\n", "<g id=\"node2\" class=\"node\">\n", "<title>1</title>\n", "<path fill=\"red\" stroke=\"black\" d=\"M99,-0.5C99,-0.5 194,-0.5 194,-0.5 200,-0.5 206,-6.5 206,-12.5 206,-12.5 206,-44.5 206,-44.5 206,-50.5 200,-56.5 194,-56.5 194,-56.5 99,-56.5 99,-56.5 93,-56.5 87,-50.5 87,-44.5 87,-44.5 87,-12.5 87,-12.5 87,-6.5 93,-0.5 99,-0.5\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"97.5,-29.5 97.5,-50.5 196.5,-50.5 196.5,-29.5 97.5,-29.5\"/>\n", "<text text-anchor=\"start\" x=\"134.5\" y=\"-36.3\" font-family=\"Courier New\" font-size=\"14.00\">end</text>\n", "<polygon fill=\"red\" stroke=\"transparent\" points=\"97.5,-6.5 97.5,-27.5 194.5,-27.5 194.5,-6.5 97.5,-6.5\"/>\n", "<text text-anchor=\"start\" x=\"100.5\" y=\"-13.3\" font-family=\"Courier New\" font-size=\"14.00\">NOT PRESENT</text>\n", "</g>\n", "<!-- 0&#45;&gt;1 -->\n", "<g id=\"edge1\" class=\"edge\">\n", "<title>0&#45;&gt;1</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M126.44,-225.4C121.93,-213.85 117.8,-201.16 115.5,-189 107.57,-147.08 105.89,-134.57 115.5,-93 117.63,-83.78 121.29,-74.36 125.36,-65.69\"/>\n", "<polygon fill=\"black\" stroke=\"black\" points=\"128.56,-67.13 129.89,-56.62 122.29,-64 128.56,-67.13\"/>\n", "</g>\n", "<!-- 2 -->\n", "<g id=\"node3\" class=\"node\">\n", "<title>2</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M136.5,-93.5C136.5,-93.5 256.5,-93.5 256.5,-93.5 262.5,-93.5 268.5,-99.5 268.5,-105.5 268.5,-105.5 268.5,-176.5 268.5,-176.5 268.5,-182.5 262.5,-188.5 256.5,-188.5 256.5,-188.5 136.5,-188.5 136.5,-188.5 130.5,-188.5 124.5,-182.5 124.5,-176.5 124.5,-176.5 124.5,-105.5 124.5,-105.5 124.5,-99.5 130.5,-93.5 136.5,-93.5\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"134.5,-161 134.5,-182 258.5,-182 258.5,-161 134.5,-161\"/>\n", "<text text-anchor=\"start\" x=\"175.5\" y=\"-167.8\" font-family=\"Courier New\" font-size=\"14.00\">loc_2</text>\n", "<text text-anchor=\"start\" x=\"137.5\" y=\"-144.8\" font-family=\"Courier New\" font-size=\"14.00\">EAX = EBX</text>\n", "<text text-anchor=\"start\" x=\"137.5\" y=\"-113.8\" font-family=\"Courier New\" font-size=\"14.00\">IRDst = b&#39;end&#39;</text>\n", "</g>\n", "<!-- 0&#45;&gt;2 -->\n", "<g id=\"edge2\" class=\"edge\">\n", "<title>0&#45;&gt;2</title>\n", "<path fill=\"none\" stroke=\"red\" d=\"M163.43,-225.34C166.92,-216.55 170.64,-207.16 174.29,-197.97\"/>\n", "<polygon fill=\"red\" stroke=\"red\" points=\"177.61,-199.09 178.05,-188.5 171.1,-196.51 177.61,-199.09\"/>\n", "</g>\n", "<!-- 2&#45;&gt;1 -->\n", "<g id=\"edge3\" class=\"edge\">\n", "<title>2&#45;&gt;1</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M175.44,-93.46C171.29,-84.28 166.98,-74.76 163,-65.97\"/>\n", "<polygon fill=\"black\" stroke=\"black\" points=\"166.15,-64.43 158.84,-56.76 159.77,-67.32 166.15,-64.43\"/>\n", "</g>\n", "</g>\n", "</svg>\n" ], "text/plain": [ "<graphviz.dot.Digraph at 0x7f6290fd6100>" ] }, "execution_count": 8, "metadata": {}, "output_type": "execute_result" } ], "source": [ "graph_ir_x86(\"\"\"\n", "main:\n", " JZ end\n", " MOV EAX, EBX\n", "end:\n", "\"\"\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The conclusion is that in intermediate representation, the `cmovz` is exactly as difficult as analyzing the code using `jz/mov`" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "So an important point is that in intermediate representation, one instruction can generate *multiple* `IRBlock`s. Here are some interesting examples:" ] }, { "cell_type": "code", "execution_count": 9, "metadata": {}, "outputs": [ { "data": { "image/svg+xml": [ "<?xml version=\"1.0\" encoding=\"UTF-8\" standalone=\"no\"?>\n", "<!DOCTYPE svg PUBLIC \"-//W3C//DTD SVG 1.1//EN\"\n", " \"http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd\">\n", "<!-- Generated by graphviz version 2.44.1 (0)\n", " -->\n", "<!-- Title: html_table Pages: 1 -->\n", "<svg width=\"438pt\" height=\"336pt\"\n", " viewBox=\"0.00 0.00 438.00 336.00\" xmlns=\"http://www.w3.org/2000/svg\" xmlns:xlink=\"http://www.w3.org/1999/xlink\">\n", "<g id=\"graph0\" class=\"graph\" transform=\"scale(1 1) rotate(0) translate(4 332)\">\n", "<title>html_table</title>\n", "<polygon fill=\"white\" stroke=\"transparent\" points=\"-4,4 -4,-332 434,-332 434,4 -4,4\"/>\n", "<!-- 0 -->\n", "<g id=\"node1\" class=\"node\">\n", "<title>0</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M78.5,-240.5C78.5,-240.5 347.5,-240.5 347.5,-240.5 353.5,-240.5 359.5,-246.5 359.5,-252.5 359.5,-252.5 359.5,-315.5 359.5,-315.5 359.5,-321.5 353.5,-327.5 347.5,-327.5 347.5,-327.5 78.5,-327.5 78.5,-327.5 72.5,-327.5 66.5,-321.5 66.5,-315.5 66.5,-315.5 66.5,-252.5 66.5,-252.5 66.5,-246.5 72.5,-240.5 78.5,-240.5\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"77,-300 77,-321 350,-321 350,-300 77,-300\"/>\n", "<text text-anchor=\"start\" x=\"196.5\" y=\"-306.8\" font-family=\"Courier New\" font-size=\"14.00\">main</text>\n", "<text text-anchor=\"start\" x=\"80\" y=\"-283.8\" font-family=\"Courier New\" font-size=\"14.00\">@8[EDI[0:32]] = @8[ESI[0:32]]</text>\n", "<text text-anchor=\"start\" x=\"80\" y=\"-260.8\" font-family=\"Courier New\" font-size=\"14.00\">IRDst = df?(loc_key_3,loc_key_2)</text>\n", "</g>\n", "<!-- 2 -->\n", "<g id=\"node3\" class=\"node\">\n", "<title>2</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M12,-93.5C12,-93.5 190,-93.5 190,-93.5 196,-93.5 202,-99.5 202,-105.5 202,-105.5 202,-191.5 202,-191.5 202,-197.5 196,-203.5 190,-203.5 190,-203.5 12,-203.5 12,-203.5 6,-203.5 0,-197.5 0,-191.5 0,-191.5 0,-105.5 0,-105.5 0,-99.5 6,-93.5 12,-93.5\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"10,-176.5 10,-197.5 192,-197.5 192,-176.5 10,-176.5\"/>\n", "<text text-anchor=\"start\" x=\"63.5\" y=\"-183.3\" font-family=\"Courier New\" font-size=\"14.00\">loc_key_2</text>\n", "<text text-anchor=\"start\" x=\"13\" y=\"-160.3\" font-family=\"Courier New\" font-size=\"14.00\">ESI = ESI[0:32] + 0x1</text>\n", "<text text-anchor=\"start\" x=\"13\" y=\"-137.3\" font-family=\"Courier New\" font-size=\"14.00\">EDI = EDI[0:32] + 0x1</text>\n", "<text text-anchor=\"start\" x=\"13\" y=\"-114.3\" font-family=\"Courier New\" font-size=\"14.00\">IRDst = b&#39;end&#39;</text>\n", "</g>\n", "<!-- 0&#45;&gt;2 -->\n", "<g id=\"edge3\" class=\"edge\">\n", "<title>0&#45;&gt;2</title>\n", "<path fill=\"none\" stroke=\"red\" d=\"M177.25,-240.39C169.58,-231.24 161.31,-221.39 153.15,-211.67\"/>\n", "<polygon fill=\"red\" stroke=\"red\" points=\"155.76,-209.33 146.65,-203.91 150.4,-213.82 155.76,-209.33\"/>\n", "</g>\n", "<!-- 3 -->\n", "<g id=\"node4\" class=\"node\">\n", "<title>3</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M232,-93.5C232,-93.5 418,-93.5 418,-93.5 424,-93.5 430,-99.5 430,-105.5 430,-105.5 430,-191.5 430,-191.5 430,-197.5 424,-203.5 418,-203.5 418,-203.5 232,-203.5 232,-203.5 226,-203.5 220,-197.5 220,-191.5 220,-191.5 220,-105.5 220,-105.5 220,-99.5 226,-93.5 232,-93.5\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"230,-176.5 230,-197.5 420,-197.5 420,-176.5 230,-176.5\"/>\n", "<text text-anchor=\"start\" x=\"287.5\" y=\"-183.3\" font-family=\"Courier New\" font-size=\"14.00\">loc_key_3</text>\n", "<text text-anchor=\"start\" x=\"233\" y=\"-160.3\" font-family=\"Courier New\" font-size=\"14.00\">ESI = ESI[0:32] + &#45;0x1</text>\n", "<text text-anchor=\"start\" x=\"233\" y=\"-137.3\" font-family=\"Courier New\" font-size=\"14.00\">EDI = EDI[0:32] + &#45;0x1</text>\n", "<text text-anchor=\"start\" x=\"233\" y=\"-114.3\" font-family=\"Courier New\" font-size=\"14.00\">IRDst = b&#39;end&#39;</text>\n", "</g>\n", "<!-- 0&#45;&gt;3 -->\n", "<g id=\"edge4\" class=\"edge\">\n", "<title>0&#45;&gt;3</title>\n", "<path fill=\"none\" stroke=\"limegreen\" d=\"M248.75,-240.39C256.42,-231.24 264.69,-221.39 272.85,-211.67\"/>\n", "<polygon fill=\"limegreen\" stroke=\"limegreen\" points=\"275.6,-213.82 279.35,-203.91 270.24,-209.33 275.6,-213.82\"/>\n", "</g>\n", "<!-- 1 -->\n", "<g id=\"node2\" class=\"node\">\n", "<title>1</title>\n", "<path fill=\"red\" stroke=\"black\" d=\"M165.5,-0.5C165.5,-0.5 260.5,-0.5 260.5,-0.5 266.5,-0.5 272.5,-6.5 272.5,-12.5 272.5,-12.5 272.5,-44.5 272.5,-44.5 272.5,-50.5 266.5,-56.5 260.5,-56.5 260.5,-56.5 165.5,-56.5 165.5,-56.5 159.5,-56.5 153.5,-50.5 153.5,-44.5 153.5,-44.5 153.5,-12.5 153.5,-12.5 153.5,-6.5 159.5,-0.5 165.5,-0.5\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"164,-29.5 164,-50.5 263,-50.5 263,-29.5 164,-29.5\"/>\n", "<text text-anchor=\"start\" x=\"201\" y=\"-36.3\" font-family=\"Courier New\" font-size=\"14.00\">end</text>\n", "<polygon fill=\"red\" stroke=\"transparent\" points=\"164,-6.5 164,-27.5 261,-27.5 261,-6.5 164,-6.5\"/>\n", "<text text-anchor=\"start\" x=\"167\" y=\"-13.3\" font-family=\"Courier New\" font-size=\"14.00\">NOT PRESENT</text>\n", "</g>\n", "<!-- 2&#45;&gt;1 -->\n", "<g id=\"edge1\" class=\"edge\">\n", "<title>2&#45;&gt;1</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M152.41,-93.34C161.85,-83.4 171.45,-73.27 180.16,-64.1\"/>\n", "<polygon fill=\"black\" stroke=\"black\" points=\"182.89,-66.31 187.23,-56.65 177.81,-61.49 182.89,-66.31\"/>\n", "</g>\n", "<!-- 3&#45;&gt;1 -->\n", "<g id=\"edge2\" class=\"edge\">\n", "<title>3&#45;&gt;1</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M273.59,-93.34C264.15,-83.4 254.55,-73.27 245.84,-64.1\"/>\n", "<polygon fill=\"black\" stroke=\"black\" points=\"248.19,-61.49 238.77,-56.65 243.11,-66.31 248.19,-61.49\"/>\n", "</g>\n", "</g>\n", "</svg>\n" ], "text/plain": [ "<graphviz.dot.Digraph at 0x7f629103a1f0>" ] }, "execution_count": 9, "metadata": {}, "output_type": "execute_result" } ], "source": [ "graph_ir_x86(\"\"\"\n", "main:\n", " MOVSB\n", "\"\"\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "And now, the version using a repeat prefix:" ] }, { "cell_type": "code", "execution_count": 10, "metadata": {}, "outputs": [ { "data": { "image/svg+xml": [ "<?xml version=\"1.0\" encoding=\"UTF-8\" standalone=\"no\"?>\n", "<!DOCTYPE svg PUBLIC \"-//W3C//DTD SVG 1.1//EN\"\n", " \"http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd\">\n", "<!-- Generated by graphviz version 2.44.1 (0)\n", " -->\n", "<!-- Title: html_table Pages: 1 -->\n", "<svg width=\"649pt\" height=\"561pt\"\n", " viewBox=\"0.00 0.00 648.50 561.00\" xmlns=\"http://www.w3.org/2000/svg\" xmlns:xlink=\"http://www.w3.org/1999/xlink\">\n", "<g id=\"graph0\" class=\"graph\" transform=\"scale(1 1) rotate(0) translate(4 557)\">\n", "<title>html_table</title>\n", "<polygon fill=\"white\" stroke=\"transparent\" points=\"-4,4 -4,-557 644.5,-557 644.5,4 -4,4\"/>\n", "<!-- 0 -->\n", "<g id=\"node1\" class=\"node\">\n", "<title>0</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M12,-488.5C12,-488.5 314,-488.5 314,-488.5 320,-488.5 326,-494.5 326,-500.5 326,-500.5 326,-540.5 326,-540.5 326,-546.5 320,-552.5 314,-552.5 314,-552.5 12,-552.5 12,-552.5 6,-552.5 0,-546.5 0,-540.5 0,-540.5 0,-500.5 0,-500.5 0,-494.5 6,-488.5 12,-488.5\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"10,-525.5 10,-546.5 316,-546.5 316,-525.5 10,-525.5\"/>\n", "<text text-anchor=\"start\" x=\"146\" y=\"-532.3\" font-family=\"Courier New\" font-size=\"14.00\">main</text>\n", "<text text-anchor=\"start\" x=\"13\" y=\"-509.3\" font-family=\"Courier New\" font-size=\"14.00\">IRDst = ECX[0:32]?(loc_key_4,b&#39;end&#39;)</text>\n", "</g>\n", "<!-- 1 -->\n", "<g id=\"node2\" class=\"node\">\n", "<title>1</title>\n", "<path fill=\"red\" stroke=\"black\" d=\"M167.5,-0.5C167.5,-0.5 262.5,-0.5 262.5,-0.5 268.5,-0.5 274.5,-6.5 274.5,-12.5 274.5,-12.5 274.5,-44.5 274.5,-44.5 274.5,-50.5 268.5,-56.5 262.5,-56.5 262.5,-56.5 167.5,-56.5 167.5,-56.5 161.5,-56.5 155.5,-50.5 155.5,-44.5 155.5,-44.5 155.5,-12.5 155.5,-12.5 155.5,-6.5 161.5,-0.5 167.5,-0.5\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"166,-29.5 166,-50.5 265,-50.5 265,-29.5 166,-29.5\"/>\n", "<text text-anchor=\"start\" x=\"203\" y=\"-36.3\" font-family=\"Courier New\" font-size=\"14.00\">end</text>\n", "<polygon fill=\"red\" stroke=\"transparent\" points=\"166,-6.5 166,-27.5 263,-27.5 263,-6.5 166,-6.5\"/>\n", "<text text-anchor=\"start\" x=\"169\" y=\"-13.3\" font-family=\"Courier New\" font-size=\"14.00\">NOT PRESENT</text>\n", "</g>\n", "<!-- 0&#45;&gt;1 -->\n", "<g id=\"edge7\" class=\"edge\">\n", "<title>0&#45;&gt;1</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M148.14,-488.21C131.36,-451.01 105.09,-386.58 95,-328 86.62,-279.38 87.87,-265.82 95,-217 103.31,-160.09 99.34,-140.34 132,-93 139.72,-81.81 150.02,-71.74 160.74,-63.08\"/>\n", "<polygon fill=\"black\" stroke=\"black\" points=\"163.19,-65.61 168.99,-56.74 158.93,-60.06 163.19,-65.61\"/>\n", "</g>\n", "<!-- 4 -->\n", "<g id=\"node5\" class=\"node\">\n", "<title>4</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M205.5,-364.5C205.5,-364.5 474.5,-364.5 474.5,-364.5 480.5,-364.5 486.5,-370.5 486.5,-376.5 486.5,-376.5 486.5,-439.5 486.5,-439.5 486.5,-445.5 480.5,-451.5 474.5,-451.5 474.5,-451.5 205.5,-451.5 205.5,-451.5 199.5,-451.5 193.5,-445.5 193.5,-439.5 193.5,-439.5 193.5,-376.5 193.5,-376.5 193.5,-370.5 199.5,-364.5 205.5,-364.5\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"204,-424 204,-445 477,-445 477,-424 204,-424\"/>\n", "<text text-anchor=\"start\" x=\"303\" y=\"-430.8\" font-family=\"Courier New\" font-size=\"14.00\">loc_key_4</text>\n", "<text text-anchor=\"start\" x=\"207\" y=\"-407.8\" font-family=\"Courier New\" font-size=\"14.00\">@8[EDI[0:32]] = @8[ESI[0:32]]</text>\n", "<text text-anchor=\"start\" x=\"207\" y=\"-384.8\" font-family=\"Courier New\" font-size=\"14.00\">IRDst = df?(loc_key_3,loc_key_2)</text>\n", "</g>\n", "<!-- 0&#45;&gt;4 -->\n", "<g id=\"edge8\" class=\"edge\">\n", "<title>0&#45;&gt;4</title>\n", "<path fill=\"none\" stroke=\"limegreen\" d=\"M212.78,-488.43C228.36,-478.7 245.94,-467.72 262.95,-457.1\"/>\n", "<polygon fill=\"limegreen\" stroke=\"limegreen\" points=\"265.15,-459.85 271.78,-451.59 261.44,-453.92 265.15,-459.85\"/>\n", "</g>\n", "<!-- 2 -->\n", "<g id=\"node3\" class=\"node\">\n", "<title>2</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M116,-217.5C116,-217.5 294,-217.5 294,-217.5 300,-217.5 306,-223.5 306,-229.5 306,-229.5 306,-315.5 306,-315.5 306,-321.5 300,-327.5 294,-327.5 294,-327.5 116,-327.5 116,-327.5 110,-327.5 104,-321.5 104,-315.5 104,-315.5 104,-229.5 104,-229.5 104,-223.5 110,-217.5 116,-217.5\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"114,-300.5 114,-321.5 296,-321.5 296,-300.5 114,-300.5\"/>\n", "<text text-anchor=\"start\" x=\"167.5\" y=\"-307.3\" font-family=\"Courier New\" font-size=\"14.00\">loc_key_2</text>\n", "<text text-anchor=\"start\" x=\"117\" y=\"-284.3\" font-family=\"Courier New\" font-size=\"14.00\">ESI = ESI[0:32] + 0x1</text>\n", "<text text-anchor=\"start\" x=\"117\" y=\"-261.3\" font-family=\"Courier New\" font-size=\"14.00\">EDI = EDI[0:32] + 0x1</text>\n", "<text text-anchor=\"start\" x=\"117\" y=\"-238.3\" font-family=\"Courier New\" font-size=\"14.00\">IRDst = loc_key_5</text>\n", "</g>\n", "<!-- 5 -->\n", "<g id=\"node6\" class=\"node\">\n", "<title>5</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M153.5,-93.5C153.5,-93.5 628.5,-93.5 628.5,-93.5 634.5,-93.5 640.5,-99.5 640.5,-105.5 640.5,-105.5 640.5,-168.5 640.5,-168.5 640.5,-174.5 634.5,-180.5 628.5,-180.5 628.5,-180.5 153.5,-180.5 153.5,-180.5 147.5,-180.5 141.5,-174.5 141.5,-168.5 141.5,-168.5 141.5,-105.5 141.5,-105.5 141.5,-99.5 147.5,-93.5 153.5,-93.5\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"152,-153 152,-174 631,-174 631,-153 152,-153\"/>\n", "<text text-anchor=\"start\" x=\"354\" y=\"-159.8\" font-family=\"Courier New\" font-size=\"14.00\">loc_key_5</text>\n", "<text text-anchor=\"start\" x=\"155\" y=\"-136.8\" font-family=\"Courier New\" font-size=\"14.00\">ECX = ECX[0:32] + &#45;0x1</text>\n", "<text text-anchor=\"start\" x=\"155\" y=\"-113.8\" font-family=\"Courier New\" font-size=\"14.00\">IRDst = ((ECX[0:32] + &#45;0x1)?(0x0,0x1))?(b&#39;end&#39;,loc_key_4)</text>\n", "</g>\n", "<!-- 2&#45;&gt;5 -->\n", "<g id=\"edge5\" class=\"edge\">\n", "<title>2&#45;&gt;5</title>\n", "<path fill=\"none\" stroke=\"blue\" d=\"M280.39,-217.39C294.7,-207.12 309.58,-196.44 323.63,-186.36\"/>\n", "<polygon fill=\"blue\" stroke=\"blue\" points=\"325.7,-189.17 331.79,-180.5 321.62,-183.49 325.7,-189.17\"/>\n", "</g>\n", "<!-- 3 -->\n", "<g id=\"node4\" class=\"node\">\n", "<title>3</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M336,-217.5C336,-217.5 522,-217.5 522,-217.5 528,-217.5 534,-223.5 534,-229.5 534,-229.5 534,-315.5 534,-315.5 534,-321.5 528,-327.5 522,-327.5 522,-327.5 336,-327.5 336,-327.5 330,-327.5 324,-321.5 324,-315.5 324,-315.5 324,-229.5 324,-229.5 324,-223.5 330,-217.5 336,-217.5\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"334,-300.5 334,-321.5 524,-321.5 524,-300.5 334,-300.5\"/>\n", "<text text-anchor=\"start\" x=\"391.5\" y=\"-307.3\" font-family=\"Courier New\" font-size=\"14.00\">loc_key_3</text>\n", "<text text-anchor=\"start\" x=\"337\" y=\"-284.3\" font-family=\"Courier New\" font-size=\"14.00\">ESI = ESI[0:32] + &#45;0x1</text>\n", "<text text-anchor=\"start\" x=\"337\" y=\"-261.3\" font-family=\"Courier New\" font-size=\"14.00\">EDI = EDI[0:32] + &#45;0x1</text>\n", "<text text-anchor=\"start\" x=\"337\" y=\"-238.3\" font-family=\"Courier New\" font-size=\"14.00\">IRDst = loc_key_5</text>\n", "</g>\n", "<!-- 3&#45;&gt;5 -->\n", "<g id=\"edge6\" class=\"edge\">\n", "<title>3&#45;&gt;5</title>\n", "<path fill=\"none\" stroke=\"blue\" d=\"M413.54,-217.2C411.04,-208.39 408.44,-199.28 405.95,-190.51\"/>\n", "<polygon fill=\"blue\" stroke=\"blue\" points=\"409.28,-189.45 403.18,-180.79 402.55,-191.37 409.28,-189.45\"/>\n", "</g>\n", "<!-- 4&#45;&gt;2 -->\n", "<g id=\"edge3\" class=\"edge\">\n", "<title>4&#45;&gt;2</title>\n", "<path fill=\"none\" stroke=\"red\" d=\"M296.91,-364.39C287.48,-355.06 277.3,-345 267.28,-335.09\"/>\n", "<polygon fill=\"red\" stroke=\"red\" points=\"269.6,-332.46 260.03,-327.91 264.67,-337.43 269.6,-332.46\"/>\n", "</g>\n", "<!-- 4&#45;&gt;3 -->\n", "<g id=\"edge4\" class=\"edge\">\n", "<title>4&#45;&gt;3</title>\n", "<path fill=\"none\" stroke=\"limegreen\" d=\"M368.4,-364.39C374.39,-355.42 380.81,-345.78 387.17,-336.24\"/>\n", "<polygon fill=\"limegreen\" stroke=\"limegreen\" points=\"390.09,-338.18 392.72,-327.91 384.26,-334.29 390.09,-338.18\"/>\n", "</g>\n", "<!-- 5&#45;&gt;1 -->\n", "<g id=\"edge1\" class=\"edge\">\n", "<title>5&#45;&gt;1</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M320.68,-93.45C303.31,-82.94 284.98,-71.85 268.56,-61.91\"/>\n", "<polygon fill=\"black\" stroke=\"black\" points=\"270.01,-58.7 259.65,-56.52 266.39,-64.69 270.01,-58.7\"/>\n", "</g>\n", "<!-- 5&#45;&gt;4 -->\n", "<g id=\"edge2\" class=\"edge\">\n", "<title>5&#45;&gt;4</title>\n", "<path fill=\"none\" stroke=\"red\" d=\"M507.8,-180.73C521.78,-190.56 534.2,-202.51 543,-217 568.61,-259.17 570.85,-287.28 543,-328 530.93,-345.65 514.29,-359.36 495.68,-370\"/>\n", "<polygon fill=\"red\" stroke=\"red\" points=\"493.85,-367.01 486.7,-374.83 497.17,-373.18 493.85,-367.01\"/>\n", "</g>\n", "</g>\n", "</svg>\n" ], "text/plain": [ "<graphviz.dot.Digraph at 0x7f6290fe18b0>" ] }, "execution_count": 10, "metadata": {}, "output_type": "execute_result" } ], "source": [ "graph_ir_x86(\"\"\"\n", "main:\n", " REP MOVSB\n", "\"\"\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "In the very same way as `cmovz`, if the `rep movsb` instruction didn't exist, we would use a more complex code." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The translation of some instructions are tricky:" ] }, { "cell_type": "code", "execution_count": 11, "metadata": {}, "outputs": [ { "data": { "image/svg+xml": [ "<?xml version=\"1.0\" encoding=\"UTF-8\" standalone=\"no\"?>\n", "<!DOCTYPE svg PUBLIC \"-//W3C//DTD SVG 1.1//EN\"\n", " \"http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd\">\n", "<!-- Generated by graphviz version 2.44.1 (0)\n", " -->\n", "<!-- Title: html_table Pages: 1 -->\n", "<svg width=\"325pt\" height=\"312pt\"\n", " viewBox=\"0.00 0.00 325.00 312.00\" xmlns=\"http://www.w3.org/2000/svg\" xmlns:xlink=\"http://www.w3.org/1999/xlink\">\n", "<g id=\"graph0\" class=\"graph\" transform=\"scale(1 1) rotate(0) translate(4 308)\">\n", "<title>html_table</title>\n", "<polygon fill=\"white\" stroke=\"transparent\" points=\"-4,4 -4,-308 321,-308 321,4 -4,4\"/>\n", "<!-- 0 -->\n", "<g id=\"node1\" class=\"node\">\n", "<title>0</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M12,-93.5C12,-93.5 305,-93.5 305,-93.5 311,-93.5 317,-99.5 317,-105.5 317,-105.5 317,-291.5 317,-291.5 317,-297.5 311,-303.5 305,-303.5 305,-303.5 12,-303.5 12,-303.5 6,-303.5 0,-297.5 0,-291.5 0,-291.5 0,-105.5 0,-105.5 0,-99.5 6,-93.5 12,-93.5\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"10.5,-276.5 10.5,-297.5 307.5,-297.5 307.5,-276.5 10.5,-276.5\"/>\n", "<text text-anchor=\"start\" x=\"142\" y=\"-283.3\" font-family=\"Courier New\" font-size=\"14.00\">main</text>\n", "<text text-anchor=\"start\" x=\"13.5\" y=\"-260.3\" font-family=\"Courier New\" font-size=\"14.00\">cf = (EAX &gt;&gt; (0x1 + &#45;0x1))[0:1]</text>\n", "<text text-anchor=\"start\" x=\"13.5\" y=\"-237.3\" font-family=\"Courier New\" font-size=\"14.00\">of = (0x1 + &#45;0x1)?(0x0,EAX[31:32])</text>\n", "<text text-anchor=\"start\" x=\"13.5\" y=\"-214.3\" font-family=\"Courier New\" font-size=\"14.00\">EAX = EAX &gt;&gt; 0x1</text>\n", "<text text-anchor=\"start\" x=\"13.5\" y=\"-191.3\" font-family=\"Courier New\" font-size=\"14.00\">zf = (EAX &gt;&gt; 0x1)?(0x0,0x1)</text>\n", "<text text-anchor=\"start\" x=\"13.5\" y=\"-168.3\" font-family=\"Courier New\" font-size=\"14.00\">nf = FLAG_SIGN_SUB(EAX &gt;&gt; 0x1, 0x0)</text>\n", "<text text-anchor=\"start\" x=\"13.5\" y=\"-145.3\" font-family=\"Courier New\" font-size=\"14.00\">pf = parity((EAX &gt;&gt; 0x1) &amp; 0xFF)</text>\n", "<text text-anchor=\"start\" x=\"13.5\" y=\"-114.3\" font-family=\"Courier New\" font-size=\"14.00\">IRDst = b&#39;end&#39;</text>\n", "</g>\n", "<!-- 1 -->\n", "<g id=\"node2\" class=\"node\">\n", "<title>1</title>\n", "<path fill=\"red\" stroke=\"black\" d=\"M111,-0.5C111,-0.5 206,-0.5 206,-0.5 212,-0.5 218,-6.5 218,-12.5 218,-12.5 218,-44.5 218,-44.5 218,-50.5 212,-56.5 206,-56.5 206,-56.5 111,-56.5 111,-56.5 105,-56.5 99,-50.5 99,-44.5 99,-44.5 99,-12.5 99,-12.5 99,-6.5 105,-0.5 111,-0.5\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"109.5,-29.5 109.5,-50.5 208.5,-50.5 208.5,-29.5 109.5,-29.5\"/>\n", "<text text-anchor=\"start\" x=\"146.5\" y=\"-36.3\" font-family=\"Courier New\" font-size=\"14.00\">end</text>\n", "<polygon fill=\"red\" stroke=\"transparent\" points=\"109.5,-6.5 109.5,-27.5 206.5,-27.5 206.5,-6.5 109.5,-6.5\"/>\n", "<text text-anchor=\"start\" x=\"112.5\" y=\"-13.3\" font-family=\"Courier New\" font-size=\"14.00\">NOT PRESENT</text>\n", "</g>\n", "<!-- 0&#45;&gt;1 -->\n", "<g id=\"edge1\" class=\"edge\">\n", "<title>0&#45;&gt;1</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M158.5,-93.49C158.5,-84.06 158.5,-74.99 158.5,-66.79\"/>\n", "<polygon fill=\"black\" stroke=\"black\" points=\"162,-66.56 158.5,-56.56 155,-66.56 162,-66.56\"/>\n", "</g>\n", "</g>\n", "</svg>\n" ], "text/plain": [ "<graphviz.dot.Digraph at 0x7f6290fd6df0>" ] }, "execution_count": 11, "metadata": {}, "output_type": "execute_result" } ], "source": [ "graph_ir_x86(\"\"\"\n", "main:\n", " SHR EAX, 1\n", "\"\"\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "For the moment, nothing special. `EAX` is updated correctly, and the flags are updated according to the result (note those side effects are in parallel here). But look at the next one:" ] }, { "cell_type": "code", "execution_count": 12, "metadata": {}, "outputs": [ { "data": { "image/svg+xml": [ "<?xml version=\"1.0\" encoding=\"UTF-8\" standalone=\"no\"?>\n", "<!DOCTYPE svg PUBLIC \"-//W3C//DTD SVG 1.1//EN\"\n", " \"http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd\">\n", "<!-- Generated by graphviz version 2.44.1 (0)\n", " -->\n", "<!-- Title: html_table Pages: 1 -->\n", "<svg width=\"667pt\" height=\"405pt\"\n", " viewBox=\"0.00 0.00 666.50 405.00\" xmlns=\"http://www.w3.org/2000/svg\" xmlns:xlink=\"http://www.w3.org/1999/xlink\">\n", "<g id=\"graph0\" class=\"graph\" transform=\"scale(1 1) rotate(0) translate(4 401)\">\n", "<title>html_table</title>\n", "<polygon fill=\"white\" stroke=\"transparent\" points=\"-4,4 -4,-401 662.5,-401 662.5,4 -4,4\"/>\n", "<!-- 0 -->\n", "<g id=\"node1\" class=\"node\">\n", "<title>0</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M12,-332.5C12,-332.5 479,-332.5 479,-332.5 485,-332.5 491,-338.5 491,-344.5 491,-344.5 491,-384.5 491,-384.5 491,-390.5 485,-396.5 479,-396.5 479,-396.5 12,-396.5 12,-396.5 6,-396.5 0,-390.5 0,-384.5 0,-384.5 0,-344.5 0,-344.5 0,-338.5 6,-332.5 12,-332.5\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"10.5,-369.5 10.5,-390.5 481.5,-390.5 481.5,-369.5 10.5,-369.5\"/>\n", "<text text-anchor=\"start\" x=\"229\" y=\"-376.3\" font-family=\"Courier New\" font-size=\"14.00\">main</text>\n", "<text text-anchor=\"start\" x=\"13.5\" y=\"-353.3\" font-family=\"Courier New\" font-size=\"14.00\">IRDst = (zeroExt_32(ECX[0:8]) &amp; 0x1F)?(loc_key_2,b&#39;end&#39;)</text>\n", "</g>\n", "<!-- 1 -->\n", "<g id=\"node2\" class=\"node\">\n", "<title>1</title>\n", "<path fill=\"red\" stroke=\"black\" d=\"M198,-0.5C198,-0.5 293,-0.5 293,-0.5 299,-0.5 305,-6.5 305,-12.5 305,-12.5 305,-44.5 305,-44.5 305,-50.5 299,-56.5 293,-56.5 293,-56.5 198,-56.5 198,-56.5 192,-56.5 186,-50.5 186,-44.5 186,-44.5 186,-12.5 186,-12.5 186,-6.5 192,-0.5 198,-0.5\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"196.5,-29.5 196.5,-50.5 295.5,-50.5 295.5,-29.5 196.5,-29.5\"/>\n", "<text text-anchor=\"start\" x=\"233.5\" y=\"-36.3\" font-family=\"Courier New\" font-size=\"14.00\">end</text>\n", "<polygon fill=\"red\" stroke=\"transparent\" points=\"196.5,-6.5 196.5,-27.5 293.5,-27.5 293.5,-6.5 196.5,-6.5\"/>\n", "<text text-anchor=\"start\" x=\"199.5\" y=\"-13.3\" font-family=\"Courier New\" font-size=\"14.00\">NOT PRESENT</text>\n", "</g>\n", "<!-- 0&#45;&gt;1 -->\n", "<g id=\"edge2\" class=\"edge\">\n", "<title>0&#45;&gt;1</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M155.69,-332.43C140.46,-323.1 126.65,-311.17 117.5,-296 70.9,-218.74 69.95,-169.67 117.5,-93 130.77,-71.6 153.65,-57.19 176.42,-47.58\"/>\n", "<polygon fill=\"black\" stroke=\"black\" points=\"177.78,-50.81 185.8,-43.88 175.21,-44.3 177.78,-50.81\"/>\n", "</g>\n", "<!-- 2 -->\n", "<g id=\"node3\" class=\"node\">\n", "<title>2</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M138.5,-93.5C138.5,-93.5 646.5,-93.5 646.5,-93.5 652.5,-93.5 658.5,-99.5 658.5,-105.5 658.5,-105.5 658.5,-283.5 658.5,-283.5 658.5,-289.5 652.5,-295.5 646.5,-295.5 646.5,-295.5 138.5,-295.5 138.5,-295.5 132.5,-295.5 126.5,-289.5 126.5,-283.5 126.5,-283.5 126.5,-105.5 126.5,-105.5 126.5,-99.5 132.5,-93.5 138.5,-93.5\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"136.5,-268.5 136.5,-289.5 648.5,-289.5 648.5,-268.5 136.5,-268.5\"/>\n", "<text text-anchor=\"start\" x=\"355\" y=\"-275.3\" font-family=\"Courier New\" font-size=\"14.00\">loc_key_2</text>\n", "<text text-anchor=\"start\" x=\"139.5\" y=\"-252.3\" font-family=\"Courier New\" font-size=\"14.00\">cf = (EAX &gt;&gt; ((zeroExt_32(ECX[0:8]) &amp; 0x1F) + &#45;0x1))[0:1]</text>\n", "<text text-anchor=\"start\" x=\"139.5\" y=\"-229.3\" font-family=\"Courier New\" font-size=\"14.00\">of = ((zeroExt_32(ECX[0:8]) &amp; 0x1F) + &#45;0x1)?(0x0,EAX[31:32])</text>\n", "<text text-anchor=\"start\" x=\"139.5\" y=\"-206.3\" font-family=\"Courier New\" font-size=\"14.00\">EAX = EAX &gt;&gt; (zeroExt_32(ECX[0:8]) &amp; 0x1F)</text>\n", "<text text-anchor=\"start\" x=\"139.5\" y=\"-183.3\" font-family=\"Courier New\" font-size=\"14.00\">zf = (EAX &gt;&gt; (zeroExt_32(ECX[0:8]) &amp; 0x1F))?(0x0,0x1)</text>\n", "<text text-anchor=\"start\" x=\"139.5\" y=\"-160.3\" font-family=\"Courier New\" font-size=\"14.00\">nf = FLAG_SIGN_SUB(EAX &gt;&gt; (zeroExt_32(ECX[0:8]) &amp; 0x1F), 0x0)</text>\n", "<text text-anchor=\"start\" x=\"139.5\" y=\"-137.3\" font-family=\"Courier New\" font-size=\"14.00\">pf = parity((EAX &gt;&gt; (zeroExt_32(ECX[0:8]) &amp; 0x1F)) &amp; 0xFF)</text>\n", "<text text-anchor=\"start\" x=\"139.5\" y=\"-114.3\" font-family=\"Courier New\" font-size=\"14.00\">IRDst = b&#39;end&#39;</text>\n", "</g>\n", "<!-- 0&#45;&gt;2 -->\n", "<g id=\"edge3\" class=\"edge\">\n", "<title>0&#45;&gt;2</title>\n", "<path fill=\"none\" stroke=\"limegreen\" d=\"M272.81,-332.29C280.35,-323.67 289,-313.79 298.11,-303.38\"/>\n", "<polygon fill=\"limegreen\" stroke=\"limegreen\" points=\"300.87,-305.54 304.82,-295.71 295.6,-300.93 300.87,-305.54\"/>\n", "</g>\n", "<!-- 2&#45;&gt;1 -->\n", "<g id=\"edge1\" class=\"edge\">\n", "<title>2&#45;&gt;1</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M302.75,-93.38C293.42,-82.96 284.45,-72.95 276.49,-64.07\"/>\n", "<polygon fill=\"black\" stroke=\"black\" points=\"279.05,-61.69 269.77,-56.58 273.84,-66.36 279.05,-61.69\"/>\n", "</g>\n", "</g>\n", "</svg>\n" ], "text/plain": [ "<graphviz.dot.Digraph at 0x7f62a01729d0>" ] }, "execution_count": 12, "metadata": {}, "output_type": "execute_result" } ], "source": [ "graph_ir_x86(\"\"\"\n", "main:\n", " SHR EAX, CL\n", "\"\"\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "In this case, if `CL` is zero, the destination is shifted by a zero amount. The instruction behaves (in 32 bit mode) as a `nop`, and the flags are not assigned. We could have done the same trick as in the `cmovz`, but this representation matches more accurately the instruction semantic." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Here is another one:" ] }, { "cell_type": "code", "execution_count": 13, "metadata": {}, "outputs": [ { "data": { "image/svg+xml": [ "<?xml version=\"1.0\" encoding=\"UTF-8\" standalone=\"no\"?>\n", "<!DOCTYPE svg PUBLIC \"-//W3C//DTD SVG 1.1//EN\"\n", " \"http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd\">\n", "<!-- Generated by graphviz version 2.44.1 (0)\n", " -->\n", "<!-- Title: html_table Pages: 1 -->\n", "<svg width=\"768pt\" height=\"313pt\"\n", " viewBox=\"0.00 0.00 768.00 313.00\" xmlns=\"http://www.w3.org/2000/svg\" xmlns:xlink=\"http://www.w3.org/1999/xlink\">\n", "<g id=\"graph0\" class=\"graph\" transform=\"scale(1 1) rotate(0) translate(4 309)\">\n", "<title>html_table</title>\n", "<polygon fill=\"white\" stroke=\"transparent\" points=\"-4,4 -4,-309 764,-309 764,4 -4,4\"/>\n", "<!-- 0 -->\n", "<g id=\"node1\" class=\"node\">\n", "<title>0</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M301,-240.5C301,-240.5 578,-240.5 578,-240.5 584,-240.5 590,-246.5 590,-252.5 590,-252.5 590,-292.5 590,-292.5 590,-298.5 584,-304.5 578,-304.5 578,-304.5 301,-304.5 301,-304.5 295,-304.5 289,-298.5 289,-292.5 289,-292.5 289,-252.5 289,-252.5 289,-246.5 295,-240.5 301,-240.5\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"299.5,-277.5 299.5,-298.5 580.5,-298.5 580.5,-277.5 299.5,-277.5\"/>\n", "<text text-anchor=\"start\" x=\"423\" y=\"-284.3\" font-family=\"Courier New\" font-size=\"14.00\">main</text>\n", "<text text-anchor=\"start\" x=\"302.5\" y=\"-261.3\" font-family=\"Courier New\" font-size=\"14.00\">IRDst = ECX?(loc_key_2,loc_key_3)</text>\n", "</g>\n", "<!-- 2 -->\n", "<g id=\"node3\" class=\"node\">\n", "<title>2</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M12,-93.5C12,-93.5 479,-93.5 479,-93.5 485,-93.5 491,-99.5 491,-105.5 491,-105.5 491,-191.5 491,-191.5 491,-197.5 485,-203.5 479,-203.5 479,-203.5 12,-203.5 12,-203.5 6,-203.5 0,-197.5 0,-191.5 0,-191.5 0,-105.5 0,-105.5 0,-99.5 6,-93.5 12,-93.5\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"10.5,-176.5 10.5,-197.5 481.5,-197.5 481.5,-176.5 10.5,-176.5\"/>\n", "<text text-anchor=\"start\" x=\"208.5\" y=\"-183.3\" font-family=\"Courier New\" font-size=\"14.00\">loc_key_2</text>\n", "<text text-anchor=\"start\" x=\"13.5\" y=\"-160.3\" font-family=\"Courier New\" font-size=\"14.00\">EDX = umod({EAX 0 32, EDX 32 64}, zeroExt_64(ECX))[0:32]</text>\n", "<text text-anchor=\"start\" x=\"13.5\" y=\"-137.3\" font-family=\"Courier New\" font-size=\"14.00\">EAX = udiv({EAX 0 32, EDX 32 64}, zeroExt_64(ECX))[0:32]</text>\n", "<text text-anchor=\"start\" x=\"13.5\" y=\"-114.3\" font-family=\"Courier New\" font-size=\"14.00\">IRDst = b&#39;end&#39;</text>\n", "</g>\n", "<!-- 0&#45;&gt;2 -->\n", "<g id=\"edge3\" class=\"edge\">\n", "<title>0&#45;&gt;2</title>\n", "<path fill=\"none\" stroke=\"limegreen\" d=\"M390.04,-240.4C374.7,-230.75 357.26,-219.78 339.92,-208.88\"/>\n", "<polygon fill=\"limegreen\" stroke=\"limegreen\" points=\"341.76,-205.9 331.43,-203.54 338.03,-211.82 341.76,-205.9\"/>\n", "</g>\n", "<!-- 3 -->\n", "<g id=\"node4\" class=\"node\">\n", "<title>3</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M521,-105C521,-105 748,-105 748,-105 754,-105 760,-111 760,-117 760,-117 760,-180 760,-180 760,-186 754,-192 748,-192 748,-192 521,-192 521,-192 515,-192 509,-186 509,-180 509,-180 509,-117 509,-117 509,-111 515,-105 521,-105\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"519.5,-164.5 519.5,-185.5 750.5,-185.5 750.5,-164.5 519.5,-164.5\"/>\n", "<text text-anchor=\"start\" x=\"597.5\" y=\"-171.3\" font-family=\"Courier New\" font-size=\"14.00\">loc_key_3</text>\n", "<text text-anchor=\"start\" x=\"522.5\" y=\"-148.3\" font-family=\"Courier New\" font-size=\"14.00\">exception_flags = 0x2010000</text>\n", "<text text-anchor=\"start\" x=\"522.5\" y=\"-125.3\" font-family=\"Courier New\" font-size=\"14.00\">IRDst = b&#39;end&#39;</text>\n", "</g>\n", "<!-- 0&#45;&gt;3 -->\n", "<g id=\"edge4\" class=\"edge\">\n", "<title>0&#45;&gt;3</title>\n", "<path fill=\"none\" stroke=\"red\" d=\"M489.21,-240.4C510.02,-227.38 534.69,-211.94 557.74,-197.52\"/>\n", "<polygon fill=\"red\" stroke=\"red\" points=\"559.87,-200.32 566.49,-192.05 556.15,-194.39 559.87,-200.32\"/>\n", "</g>\n", "<!-- 1 -->\n", "<g id=\"node2\" class=\"node\">\n", "<title>1</title>\n", "<path fill=\"red\" stroke=\"black\" d=\"M392,-0.5C392,-0.5 487,-0.5 487,-0.5 493,-0.5 499,-6.5 499,-12.5 499,-12.5 499,-44.5 499,-44.5 499,-50.5 493,-56.5 487,-56.5 487,-56.5 392,-56.5 392,-56.5 386,-56.5 380,-50.5 380,-44.5 380,-44.5 380,-12.5 380,-12.5 380,-6.5 386,-0.5 392,-0.5\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"390.5,-29.5 390.5,-50.5 489.5,-50.5 489.5,-29.5 390.5,-29.5\"/>\n", "<text text-anchor=\"start\" x=\"427.5\" y=\"-36.3\" font-family=\"Courier New\" font-size=\"14.00\">end</text>\n", "<polygon fill=\"red\" stroke=\"transparent\" points=\"390.5,-6.5 390.5,-27.5 487.5,-27.5 487.5,-6.5 390.5,-6.5\"/>\n", "<text text-anchor=\"start\" x=\"393.5\" y=\"-13.3\" font-family=\"Courier New\" font-size=\"14.00\">NOT PRESENT</text>\n", "</g>\n", "<!-- 2&#45;&gt;1 -->\n", "<g id=\"edge1\" class=\"edge\">\n", "<title>2&#45;&gt;1</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M334.55,-93.34C352.2,-82.6 370.2,-71.65 386.2,-61.92\"/>\n", "<polygon fill=\"black\" stroke=\"black\" points=\"388.14,-64.83 394.87,-56.65 384.5,-58.85 388.14,-64.83\"/>\n", "</g>\n", "<!-- 3&#45;&gt;1 -->\n", "<g id=\"edge2\" class=\"edge\">\n", "<title>3&#45;&gt;1</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M564.1,-104.9C540.71,-90.74 515.05,-75.22 493.13,-61.95\"/>\n", "<polygon fill=\"black\" stroke=\"black\" points=\"494.67,-58.79 484.3,-56.61 491.04,-64.78 494.67,-58.79\"/>\n", "</g>\n", "</g>\n", "</svg>\n" ], "text/plain": [ "<graphviz.dot.Digraph at 0x7f6290fc7d90>" ] }, "execution_count": 13, "metadata": {}, "output_type": "execute_result" } ], "source": [ "graph_ir_x86(\"\"\"\n", "main:\n", " DIV ECX\n", "\"\"\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "This instruction may generate an exception in case of the divisor is zero. The intermediate representation generates a test in which it evaluate the divisor value and assigns a special register `exception_flags` to a constant. This constant represents the division by zero.\n", "\n", "Note this is arbitrary. We could have done the choice to not explicit the possible division by zero, and keep in mind that the `umod` and `udiv` operator may generate exceptions. This may change in a future version of Miasm. Indeed, each memory access may generate a exception, and Miasm doesn't explicit them in the intermediate representation: this may be misleading and very hard to analyze in a post pass. This is why we may accept to implicitly raise exception in both those operators rather than generating such a code.\n", "\n", "The same choice has been done in other instructions:" ] }, { "cell_type": "code", "execution_count": 14, "metadata": {}, "outputs": [ { "data": { "image/svg+xml": [ "<?xml version=\"1.0\" encoding=\"UTF-8\" standalone=\"no\"?>\n", "<!DOCTYPE svg PUBLIC \"-//W3C//DTD SVG 1.1//EN\"\n", " \"http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd\">\n", "<!-- Generated by graphviz version 2.44.1 (0)\n", " -->\n", "<!-- Title: html_table Pages: 1 -->\n", "<svg width=\"210pt\" height=\"220pt\"\n", " viewBox=\"0.00 0.00 210.00 220.00\" xmlns=\"http://www.w3.org/2000/svg\" xmlns:xlink=\"http://www.w3.org/1999/xlink\">\n", "<g id=\"graph0\" class=\"graph\" transform=\"scale(1 1) rotate(0) translate(4 216)\">\n", "<title>html_table</title>\n", "<polygon fill=\"white\" stroke=\"transparent\" points=\"-4,4 -4,-216 206,-216 206,4 -4,4\"/>\n", "<!-- 0 -->\n", "<g id=\"node1\" class=\"node\">\n", "<title>0</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M12,-93.5C12,-93.5 190,-93.5 190,-93.5 196,-93.5 202,-99.5 202,-105.5 202,-105.5 202,-199.5 202,-199.5 202,-205.5 196,-211.5 190,-211.5 190,-211.5 12,-211.5 12,-211.5 6,-211.5 0,-205.5 0,-199.5 0,-199.5 0,-105.5 0,-105.5 0,-99.5 6,-93.5 12,-93.5\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"10,-184.5 10,-205.5 192,-205.5 192,-184.5 10,-184.5\"/>\n", "<text text-anchor=\"start\" x=\"84\" y=\"-191.3\" font-family=\"Courier New\" font-size=\"14.00\">main</text>\n", "<text text-anchor=\"start\" x=\"13\" y=\"-168.3\" font-family=\"Courier New\" font-size=\"14.00\">exception_flags = 0x2</text>\n", "<text text-anchor=\"start\" x=\"13\" y=\"-145.3\" font-family=\"Courier New\" font-size=\"14.00\">interrupt_num = 0x3</text>\n", "<text text-anchor=\"start\" x=\"13\" y=\"-114.3\" font-family=\"Courier New\" font-size=\"14.00\">IRDst = b&#39;end&#39;</text>\n", "</g>\n", "<!-- 1 -->\n", "<g id=\"node2\" class=\"node\">\n", "<title>1</title>\n", "<path fill=\"red\" stroke=\"black\" d=\"M53.5,-0.5C53.5,-0.5 148.5,-0.5 148.5,-0.5 154.5,-0.5 160.5,-6.5 160.5,-12.5 160.5,-12.5 160.5,-44.5 160.5,-44.5 160.5,-50.5 154.5,-56.5 148.5,-56.5 148.5,-56.5 53.5,-56.5 53.5,-56.5 47.5,-56.5 41.5,-50.5 41.5,-44.5 41.5,-44.5 41.5,-12.5 41.5,-12.5 41.5,-6.5 47.5,-0.5 53.5,-0.5\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"52,-29.5 52,-50.5 151,-50.5 151,-29.5 52,-29.5\"/>\n", "<text text-anchor=\"start\" x=\"89\" y=\"-36.3\" font-family=\"Courier New\" font-size=\"14.00\">end</text>\n", "<polygon fill=\"red\" stroke=\"transparent\" points=\"52,-6.5 52,-27.5 149,-27.5 149,-6.5 52,-6.5\"/>\n", "<text text-anchor=\"start\" x=\"55\" y=\"-13.3\" font-family=\"Courier New\" font-size=\"14.00\">NOT PRESENT</text>\n", "</g>\n", "<!-- 0&#45;&gt;1 -->\n", "<g id=\"edge1\" class=\"edge\">\n", "<title>0&#45;&gt;1</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M101,-93.36C101,-84.38 101,-75.32 101,-66.96\"/>\n", "<polygon fill=\"black\" stroke=\"black\" points=\"104.5,-66.8 101,-56.8 97.5,-66.8 104.5,-66.8\"/>\n", "</g>\n", "</g>\n", "</svg>\n" ], "text/plain": [ "<graphviz.dot.Digraph at 0x7f629106a640>" ] }, "execution_count": 14, "metadata": {}, "output_type": "execute_result" } ], "source": [ "graph_ir_x86(\"\"\"\n", "main:\n", " INT 0x3\n", "\"\"\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Memory accesses by default explicit segmentation:" ] }, { "cell_type": "code", "execution_count": 15, "metadata": {}, "outputs": [ { "data": { "image/svg+xml": [ "<?xml version=\"1.0\" encoding=\"UTF-8\" standalone=\"no\"?>\n", "<!DOCTYPE svg PUBLIC \"-//W3C//DTD SVG 1.1//EN\"\n", " \"http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd\">\n", "<!-- Generated by graphviz version 2.44.1 (0)\n", " -->\n", "<!-- Title: html_table Pages: 1 -->\n", "<svg width=\"235pt\" height=\"197pt\"\n", " viewBox=\"0.00 0.00 235.00 197.00\" xmlns=\"http://www.w3.org/2000/svg\" xmlns:xlink=\"http://www.w3.org/1999/xlink\">\n", "<g id=\"graph0\" class=\"graph\" transform=\"scale(1 1) rotate(0) translate(4 193)\">\n", "<title>html_table</title>\n", "<polygon fill=\"white\" stroke=\"transparent\" points=\"-4,4 -4,-193 231,-193 231,4 -4,4\"/>\n", "<!-- 0 -->\n", "<g id=\"node1\" class=\"node\">\n", "<title>0</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M12,-93.5C12,-93.5 215,-93.5 215,-93.5 221,-93.5 227,-99.5 227,-105.5 227,-105.5 227,-176.5 227,-176.5 227,-182.5 221,-188.5 215,-188.5 215,-188.5 12,-188.5 12,-188.5 6,-188.5 0,-182.5 0,-176.5 0,-176.5 0,-105.5 0,-105.5 0,-99.5 6,-93.5 12,-93.5\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"10.5,-161 10.5,-182 217.5,-182 217.5,-161 10.5,-161\"/>\n", "<text text-anchor=\"start\" x=\"97\" y=\"-167.8\" font-family=\"Courier New\" font-size=\"14.00\">main</text>\n", "<text text-anchor=\"start\" x=\"13.5\" y=\"-144.8\" font-family=\"Courier New\" font-size=\"14.00\">EAX = @32[segm(FS, EBX)]</text>\n", "<text text-anchor=\"start\" x=\"13.5\" y=\"-113.8\" font-family=\"Courier New\" font-size=\"14.00\">IRDst = b&#39;end&#39;</text>\n", "</g>\n", "<!-- 1 -->\n", "<g id=\"node2\" class=\"node\">\n", "<title>1</title>\n", "<path fill=\"red\" stroke=\"black\" d=\"M66,-0.5C66,-0.5 161,-0.5 161,-0.5 167,-0.5 173,-6.5 173,-12.5 173,-12.5 173,-44.5 173,-44.5 173,-50.5 167,-56.5 161,-56.5 161,-56.5 66,-56.5 66,-56.5 60,-56.5 54,-50.5 54,-44.5 54,-44.5 54,-12.5 54,-12.5 54,-6.5 60,-0.5 66,-0.5\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"64.5,-29.5 64.5,-50.5 163.5,-50.5 163.5,-29.5 64.5,-29.5\"/>\n", "<text text-anchor=\"start\" x=\"101.5\" y=\"-36.3\" font-family=\"Courier New\" font-size=\"14.00\">end</text>\n", "<polygon fill=\"red\" stroke=\"transparent\" points=\"64.5,-6.5 64.5,-27.5 161.5,-27.5 161.5,-6.5 64.5,-6.5\"/>\n", "<text text-anchor=\"start\" x=\"67.5\" y=\"-13.3\" font-family=\"Courier New\" font-size=\"14.00\">NOT PRESENT</text>\n", "</g>\n", "<!-- 0&#45;&gt;1 -->\n", "<g id=\"edge1\" class=\"edge\">\n", "<title>0&#45;&gt;1</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M113.5,-93.46C113.5,-84.57 113.5,-75.37 113.5,-66.82\"/>\n", "<polygon fill=\"black\" stroke=\"black\" points=\"117,-66.76 113.5,-56.76 110,-66.76 117,-66.76\"/>\n", "</g>\n", "</g>\n", "</svg>\n" ], "text/plain": [ "<graphviz.dot.Digraph at 0x7f629106ab80>" ] }, "execution_count": 15, "metadata": {}, "output_type": "execute_result" } ], "source": [ "graph_ir_x86(\"\"\"\n", "main:\n", " MOV EAX, DWORD PTR FS:[EBX]\n", "\"\"\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The pointer of the memory uses the special operator `segm`, which takes two arguments:\n", "- the value of the segment used the memory access\n", "- the base address\n", "\n", "Note that if you work in a flat segmentation model, you can add a post translation pass which will *simplify* `ExprOp(\"segm\", A, B)` into `B`. This will ease code analysis.\n", "\n", "Note: If you read carefully the documentation on `expression`s, you know that the word `ExprOp` is n-ary and that all of its arguments must have the same size. The operator `segm` is one of the exceptions. The register `FS` has a size of 16 bit (as a segment selector register) and `EBX` has a size of 32. In this case, the size of `ExprOp(\"segm\", FS, EBX)` has the size of `EBX`" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Intermediate representation translation\n", "In this part, we will explain some manipulations which can be done during the native code *lifting*. Let's take the example of a call to a subfunction:" ] }, { "cell_type": "code", "execution_count": 16, "metadata": {}, "outputs": [ { "data": { "image/svg+xml": [ "<?xml version=\"1.0\" encoding=\"UTF-8\" standalone=\"no\"?>\n", "<!DOCTYPE svg PUBLIC \"-//W3C//DTD SVG 1.1//EN\"\n", " \"http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd\">\n", "<!-- Generated by graphviz version 2.44.1 (0)\n", " -->\n", "<!-- Title: html_table Pages: 1 -->\n", "<svg width=\"226pt\" height=\"251pt\"\n", " viewBox=\"0.00 0.00 226.00 251.00\" xmlns=\"http://www.w3.org/2000/svg\" xmlns:xlink=\"http://www.w3.org/1999/xlink\">\n", "<g id=\"graph0\" class=\"graph\" transform=\"scale(1 1) rotate(0) translate(4 247)\">\n", "<title>html_table</title>\n", "<polygon fill=\"white\" stroke=\"transparent\" points=\"-4,4 -4,-247 222,-247 222,4 -4,4\"/>\n", "<!-- 0 -->\n", "<g id=\"node1\" class=\"node\">\n", "<title>0</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M12,-186.5C12,-186.5 206,-186.5 206,-186.5 212,-186.5 218,-192.5 218,-198.5 218,-198.5 218,-230.5 218,-230.5 218,-236.5 212,-242.5 206,-242.5 206,-242.5 12,-242.5 12,-242.5 6,-242.5 0,-236.5 0,-230.5 0,-230.5 0,-198.5 0,-198.5 0,-192.5 6,-186.5 12,-186.5\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"10,-215.5 10,-236.5 208,-236.5 208,-215.5 10,-215.5\"/>\n", "<text text-anchor=\"start\" x=\"92\" y=\"-222.3\" font-family=\"Courier New\" font-size=\"14.00\">main</text>\n", "<text text-anchor=\"start\" x=\"13\" y=\"-199.3\" font-family=\"Courier New\" font-size=\"14.00\">CALL &#160;&#160;&#160;&#160;&#160;&#160;loc_11223344</text>\n", "</g>\n", "<!-- 2 -->\n", "<g id=\"node3\" class=\"node\">\n", "<title>2</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M28.5,-93.5C28.5,-93.5 189.5,-93.5 189.5,-93.5 195.5,-93.5 201.5,-99.5 201.5,-105.5 201.5,-105.5 201.5,-137.5 201.5,-137.5 201.5,-143.5 195.5,-149.5 189.5,-149.5 189.5,-149.5 28.5,-149.5 28.5,-149.5 22.5,-149.5 16.5,-143.5 16.5,-137.5 16.5,-137.5 16.5,-105.5 16.5,-105.5 16.5,-99.5 22.5,-93.5 28.5,-93.5\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"27,-122.5 27,-143.5 192,-143.5 192,-122.5 27,-122.5\"/>\n", "<text text-anchor=\"start\" x=\"88.5\" y=\"-129.3\" font-family=\"Courier New\" font-size=\"14.00\">loc_5</text>\n", "<text text-anchor=\"start\" x=\"30\" y=\"-106.3\" font-family=\"Courier New\" font-size=\"14.00\">MOV &#160;&#160;&#160;&#160;&#160;&#160;&#160;EBX, EAX</text>\n", "</g>\n", "<!-- 0&#45;&gt;2 -->\n", "<g id=\"edge1\" class=\"edge\">\n", "<title>0&#45;&gt;2</title>\n", "<path fill=\"none\" stroke=\"blue\" d=\"M109,-186.2C109,-177.92 109,-168.68 109,-159.86\"/>\n", "<polygon fill=\"blue\" stroke=\"blue\" points=\"112.5,-159.78 109,-149.78 105.5,-159.78 112.5,-159.78\"/>\n", "</g>\n", "<!-- 1 -->\n", "<g id=\"node2\" class=\"node\">\n", "<title>1</title>\n", "<path fill=\"red\" stroke=\"black\" d=\"M78,-0.5C78,-0.5 140,-0.5 140,-0.5 146,-0.5 152,-6.5 152,-12.5 152,-12.5 152,-44.5 152,-44.5 152,-50.5 146,-56.5 140,-56.5 140,-56.5 78,-56.5 78,-56.5 72,-56.5 66,-50.5 66,-44.5 66,-44.5 66,-12.5 66,-12.5 66,-6.5 72,-0.5 78,-0.5\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"76,-29.5 76,-50.5 142,-50.5 142,-29.5 76,-29.5\"/>\n", "<text text-anchor=\"start\" x=\"96.5\" y=\"-36.3\" font-family=\"Courier New\" font-size=\"14.00\">end</text>\n", "<text text-anchor=\"start\" x=\"79\" y=\"-13.3\" font-family=\"Courier New\" font-size=\"14.00\">IOError</text>\n", "</g>\n", "<!-- 2&#45;&gt;1 -->\n", "<g id=\"edge2\" class=\"edge\">\n", "<title>2&#45;&gt;1</title>\n", "<path fill=\"none\" stroke=\"blue\" d=\"M109,-93.2C109,-84.92 109,-75.68 109,-66.86\"/>\n", "<polygon fill=\"blue\" stroke=\"blue\" points=\"112.5,-66.78 109,-56.78 105.5,-66.78 112.5,-66.78\"/>\n", "</g>\n", "</g>\n", "</svg>\n" ], "text/plain": [ "<graphviz.dot.Digraph at 0x7f6291002940>" ] }, "execution_count": 16, "metadata": {}, "output_type": "execute_result" } ], "source": [ "asmcfg = gen_x86_asmcfg(\"\"\"\n", "main:\n", " CALL 0x11223344\n", " MOV EBX, EAX\n", "\"\"\")\n", "asmcfg.graphviz()" ] }, { "cell_type": "code", "execution_count": 17, "metadata": {}, "outputs": [ { "data": { "image/svg+xml": [ "<?xml version=\"1.0\" encoding=\"UTF-8\" standalone=\"no\"?>\n", "<!DOCTYPE svg PUBLIC \"-//W3C//DTD SVG 1.1//EN\"\n", " \"http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd\">\n", "<!-- Generated by graphviz version 2.44.1 (0)\n", " -->\n", "<!-- Title: html_table Pages: 1 -->\n", "<svg width=\"488pt\" height=\"235pt\"\n", " viewBox=\"0.00 0.00 487.50 235.00\" xmlns=\"http://www.w3.org/2000/svg\" xmlns:xlink=\"http://www.w3.org/1999/xlink\">\n", "<g id=\"graph0\" class=\"graph\" transform=\"scale(1 1) rotate(0) translate(4 231)\">\n", "<title>html_table</title>\n", "<polygon fill=\"white\" stroke=\"transparent\" points=\"-4,4 -4,-231 483.5,-231 483.5,4 -4,4\"/>\n", "<!-- 0 -->\n", "<g id=\"node1\" class=\"node\">\n", "<title>0</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M12,-93.5C12,-93.5 305,-93.5 305,-93.5 311,-93.5 317,-99.5 317,-105.5 317,-105.5 317,-214.5 317,-214.5 317,-220.5 311,-226.5 305,-226.5 305,-226.5 12,-226.5 12,-226.5 6,-226.5 0,-220.5 0,-214.5 0,-214.5 0,-105.5 0,-105.5 0,-99.5 6,-93.5 12,-93.5\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"10.5,-199 10.5,-220 307.5,-220 307.5,-199 10.5,-199\"/>\n", "<text text-anchor=\"start\" x=\"142\" y=\"-205.8\" font-family=\"Courier New\" font-size=\"14.00\">main</text>\n", "<text text-anchor=\"start\" x=\"13.5\" y=\"-182.8\" font-family=\"Courier New\" font-size=\"14.00\">ESP = ESP[0:32] + 0xFFFFFFFC</text>\n", "<text text-anchor=\"start\" x=\"13.5\" y=\"-159.8\" font-family=\"Courier New\" font-size=\"14.00\">@32[ESP[0:32] + 0xFFFFFFFC] = loc_5</text>\n", "<text text-anchor=\"start\" x=\"13.5\" y=\"-136.8\" font-family=\"Courier New\" font-size=\"14.00\">EIP = loc_11223344</text>\n", "<text text-anchor=\"start\" x=\"13.5\" y=\"-113.8\" font-family=\"Courier New\" font-size=\"14.00\">IRDst = loc_11223344</text>\n", "</g>\n", "<!-- 3 -->\n", "<g id=\"node4\" class=\"node\">\n", "<title>3</title>\n", "<path fill=\"red\" stroke=\"black\" d=\"M106.5,-0.5C106.5,-0.5 210.5,-0.5 210.5,-0.5 216.5,-0.5 222.5,-6.5 222.5,-12.5 222.5,-12.5 222.5,-44.5 222.5,-44.5 222.5,-50.5 216.5,-56.5 210.5,-56.5 210.5,-56.5 106.5,-56.5 106.5,-56.5 100.5,-56.5 94.5,-50.5 94.5,-44.5 94.5,-44.5 94.5,-12.5 94.5,-12.5 94.5,-6.5 100.5,-0.5 106.5,-0.5\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"104.5,-29.5 104.5,-50.5 212.5,-50.5 212.5,-29.5 104.5,-29.5\"/>\n", "<text text-anchor=\"start\" x=\"108.5\" y=\"-36.3\" font-family=\"Courier New\" font-size=\"14.00\">loc_11223344</text>\n", "<polygon fill=\"red\" stroke=\"transparent\" points=\"104.5,-6.5 104.5,-27.5 205.5,-27.5 205.5,-6.5 104.5,-6.5\"/>\n", "<text text-anchor=\"start\" x=\"107.5\" y=\"-13.3\" font-family=\"Courier New\" font-size=\"14.00\">NOT PRESENT</text>\n", "</g>\n", "<!-- 0&#45;&gt;3 -->\n", "<g id=\"edge1\" class=\"edge\">\n", "<title>0&#45;&gt;3</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M158.5,-93.49C158.5,-84.32 158.5,-75.16 158.5,-66.76\"/>\n", "<polygon fill=\"black\" stroke=\"black\" points=\"162,-66.59 158.5,-56.59 155,-66.59 162,-66.59\"/>\n", "</g>\n", "<!-- 1 -->\n", "<g id=\"node2\" class=\"node\">\n", "<title>1</title>\n", "<path fill=\"red\" stroke=\"black\" d=\"M360,-0.5C360,-0.5 455,-0.5 455,-0.5 461,-0.5 467,-6.5 467,-12.5 467,-12.5 467,-44.5 467,-44.5 467,-50.5 461,-56.5 455,-56.5 455,-56.5 360,-56.5 360,-56.5 354,-56.5 348,-50.5 348,-44.5 348,-44.5 348,-12.5 348,-12.5 348,-6.5 354,-0.5 360,-0.5\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"358.5,-29.5 358.5,-50.5 457.5,-50.5 457.5,-29.5 358.5,-29.5\"/>\n", "<text text-anchor=\"start\" x=\"395.5\" y=\"-36.3\" font-family=\"Courier New\" font-size=\"14.00\">end</text>\n", "<polygon fill=\"red\" stroke=\"transparent\" points=\"358.5,-6.5 358.5,-27.5 455.5,-27.5 455.5,-6.5 358.5,-6.5\"/>\n", "<text text-anchor=\"start\" x=\"361.5\" y=\"-13.3\" font-family=\"Courier New\" font-size=\"14.00\">NOT PRESENT</text>\n", "</g>\n", "<!-- 2 -->\n", "<g id=\"node3\" class=\"node\">\n", "<title>2</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M347.5,-112.5C347.5,-112.5 467.5,-112.5 467.5,-112.5 473.5,-112.5 479.5,-118.5 479.5,-124.5 479.5,-124.5 479.5,-195.5 479.5,-195.5 479.5,-201.5 473.5,-207.5 467.5,-207.5 467.5,-207.5 347.5,-207.5 347.5,-207.5 341.5,-207.5 335.5,-201.5 335.5,-195.5 335.5,-195.5 335.5,-124.5 335.5,-124.5 335.5,-118.5 341.5,-112.5 347.5,-112.5\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"345.5,-180 345.5,-201 469.5,-201 469.5,-180 345.5,-180\"/>\n", "<text text-anchor=\"start\" x=\"386.5\" y=\"-186.8\" font-family=\"Courier New\" font-size=\"14.00\">loc_5</text>\n", "<text text-anchor=\"start\" x=\"348.5\" y=\"-163.8\" font-family=\"Courier New\" font-size=\"14.00\">EBX = EAX</text>\n", "<text text-anchor=\"start\" x=\"348.5\" y=\"-132.8\" font-family=\"Courier New\" font-size=\"14.00\">IRDst = b&#39;end&#39;</text>\n", "</g>\n", "<!-- 2&#45;&gt;1 -->\n", "<g id=\"edge2\" class=\"edge\">\n", "<title>2&#45;&gt;1</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M407.5,-112.25C407.5,-97.35 407.5,-81.08 407.5,-66.93\"/>\n", "<polygon fill=\"black\" stroke=\"black\" points=\"411,-66.59 407.5,-56.59 404,-66.59 411,-66.59\"/>\n", "</g>\n", "</g>\n", "</svg>\n" ], "text/plain": [ "<graphviz.dot.Digraph at 0x7f6290f66df0>" ] }, "execution_count": 17, "metadata": {}, "output_type": "execute_result" } ], "source": [ "graph_ir_x86(\"\"\"\n", "main:\n", " CALL 0x11223344\n", " MOV EBX, EAX\n", "\"\"\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "What did happened here ?\n", "- the `call` instruction has 2 side effects: stacking the return address and jumping to the subfunction address\n", "- here, the subfunction address is 0x1122334455, and the return address is located at offset `0x5`, which is represented here by `loc_5`\n", "\n", "The question is: why are there unlinked nodes in the graph? The answer is that the graph only analyzes destinations of the `IRBlock`s, which means the value of `IRDst`. So in the `main`, Miasm knowns that the next `IRBlock` is located at `loc_11223344`. But as we didn't disassemble code at this address, we don't have its intermediate representation.\n", "\n", "But the disassembler engine knowns (this behavior can be customized) that a `call` returns back to the instruction just next to the call. So the basic block at `end` has been disassembled and translated. If we analyze `IRDst` only, there are no links between them." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "This `raw` way of translating is interesting to see low level moves of stack and return address, but it makes code analysis a bit hard. What we may want is to consider subcalls like an unknown operator, with arguments and side effects. This may *model* the call to a subfunction.\n", "\n", "This is the difference in Miasm between translating using `lifter` (raw translation) and `lifter_model_call` (`ilifter` + call modelization) which models subfunction calls. By default, Miasm uses a basic model which is *wrong* in most cases. But this model can (and must ?) be replaced by the user behavior.\n", "\n", "You can observe the difference in the examples:\n", "```\n", "example/disasm/dis_binary_lift.py\n", "```\n", "and\n", "```\n", "example/disasm/dis_binary_lifter_model_call.py\n", "```\n" ] }, { "cell_type": "code", "execution_count": 18, "metadata": {}, "outputs": [ { "data": { "image/svg+xml": [ "<?xml version=\"1.0\" encoding=\"UTF-8\" standalone=\"no\"?>\n", "<!DOCTYPE svg PUBLIC \"-//W3C//DTD SVG 1.1//EN\"\n", " \"http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd\">\n", "<!-- Generated by graphviz version 2.44.1 (0)\n", " -->\n", "<!-- Title: html_table Pages: 1 -->\n", "<svg width=\"367pt\" height=\"336pt\"\n", " viewBox=\"0.00 0.00 367.00 336.00\" xmlns=\"http://www.w3.org/2000/svg\" xmlns:xlink=\"http://www.w3.org/1999/xlink\">\n", "<g id=\"graph0\" class=\"graph\" transform=\"scale(1 1) rotate(0) translate(4 332)\">\n", "<title>html_table</title>\n", "<polygon fill=\"white\" stroke=\"transparent\" points=\"-4,4 -4,-332 363,-332 363,4 -4,4\"/>\n", "<!-- 0 -->\n", "<g id=\"node1\" class=\"node\">\n", "<title>0</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M12,-178.5C12,-178.5 347,-178.5 347,-178.5 353,-178.5 359,-184.5 359,-190.5 359,-190.5 359,-315.5 359,-315.5 359,-321.5 353,-327.5 347,-327.5 347,-327.5 12,-327.5 12,-327.5 6,-327.5 0,-321.5 0,-315.5 0,-315.5 0,-190.5 0,-190.5 0,-184.5 6,-178.5 12,-178.5\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"10.5,-300 10.5,-321 349.5,-321 349.5,-300 10.5,-300\"/>\n", "<text text-anchor=\"start\" x=\"163\" y=\"-306.8\" font-family=\"Courier New\" font-size=\"14.00\">main</text>\n", "<text text-anchor=\"start\" x=\"13.5\" y=\"-283.8\" font-family=\"Courier New\" font-size=\"14.00\">EBX = 0x1234</text>\n", "<text text-anchor=\"start\" x=\"13.5\" y=\"-252.8\" font-family=\"Courier New\" font-size=\"14.00\">EAX = call_func_ret(loc_11223344, ESP)</text>\n", "<text text-anchor=\"start\" x=\"13.5\" y=\"-229.8\" font-family=\"Courier New\" font-size=\"14.00\">ESP = call_func_stack(loc_11223344, ESP)</text>\n", "<text text-anchor=\"start\" x=\"13.5\" y=\"-198.8\" font-family=\"Courier New\" font-size=\"14.00\">IRDst = loc_a</text>\n", "</g>\n", "<!-- 2 -->\n", "<g id=\"node2\" class=\"node\">\n", "<title>2</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M86.5,-0.5C86.5,-0.5 272.5,-0.5 272.5,-0.5 278.5,-0.5 284.5,-6.5 284.5,-12.5 284.5,-12.5 284.5,-129.5 284.5,-129.5 284.5,-135.5 278.5,-141.5 272.5,-141.5 272.5,-141.5 86.5,-141.5 86.5,-141.5 80.5,-141.5 74.5,-135.5 74.5,-129.5 74.5,-129.5 74.5,-12.5 74.5,-12.5 74.5,-6.5 80.5,-0.5 86.5,-0.5\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"84.5,-114 84.5,-135 274.5,-135 274.5,-114 84.5,-114\"/>\n", "<text text-anchor=\"start\" x=\"158.5\" y=\"-120.8\" font-family=\"Courier New\" font-size=\"14.00\">loc_a</text>\n", "<text text-anchor=\"start\" x=\"87.5\" y=\"-97.8\" font-family=\"Courier New\" font-size=\"14.00\">ECX = EAX</text>\n", "<text text-anchor=\"start\" x=\"87.5\" y=\"-66.8\" font-family=\"Courier New\" font-size=\"14.00\">ESP = ESP[0:32] + 0x4</text>\n", "<text text-anchor=\"start\" x=\"87.5\" y=\"-43.8\" font-family=\"Courier New\" font-size=\"14.00\">EIP = @32[ESP[0:32]]</text>\n", "<text text-anchor=\"start\" x=\"87.5\" y=\"-20.8\" font-family=\"Courier New\" font-size=\"14.00\">IRDst = @32[ESP[0:32]]</text>\n", "</g>\n", "<!-- 0&#45;&gt;2 -->\n", "<g id=\"edge1\" class=\"edge\">\n", "<title>0&#45;&gt;2</title>\n", "<path fill=\"none\" stroke=\"blue\" d=\"M179.5,-178.27C179.5,-169.54 179.5,-160.61 179.5,-151.81\"/>\n", "<polygon fill=\"blue\" stroke=\"blue\" points=\"183,-151.56 179.5,-141.56 176,-151.56 183,-151.56\"/>\n", "</g>\n", "</g>\n", "</svg>\n" ], "text/plain": [ "<graphviz.dot.Digraph at 0x7f6291012670>" ] }, "execution_count": 18, "metadata": {}, "output_type": "execute_result" } ], "source": [ "graph_ir_x86(\"\"\"\n", "main:\n", " MOV EBX, 0x1234\n", " CALL 0x11223344\n", " MOV ECX, EAX\n", " RET\n", "\"\"\", True)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "What happened here?\n", "The translation of the `call` is replaced by two side effects which occur in parallel:\n", "- `EAX` is set to the result of the operator `call_func_ret` which has two arguments: `loc_11223344` and `ESP`\n", "- `ESP` is set to the result of the operator `call_func_stack` which has two arguments: `loc_11223344` and `ESP`\n", "\n", "The first one is there to model the assignment in 'classic' x86 code of the return value. The second one is there to model a possible change of the stack pointer depending on the function called, that the old stack pointer.\n", "Everything here can be subclassed in order to customize the translation behavior." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Subfunction call custom modeling\n", "The code responsible of the modelisation of function calls is located in the `LifterModelCall` class (the lifter with call modeling) in `miasm/ir/analysis.py`:\n", "```python\n", "...\n", " def call_effects(self, addr, instr):\n", " \"\"\"Default modelisation of a function call to @addr. This may be used to:\n", "\n", " * insert dependencies to arguments (stack base, registers, ...)\n", " * add some side effects (stack clean, return value, ...)\n", "\n", " Return a couple:\n", " * list of assignments to add to the current irblock\n", " * list of additional irblocks\n", "\n", " @addr: (Expr) address of the called function\n", " @instr: native instruction which is responsible of the call\n", " \"\"\"\n", "\n", " call_assignblk = AssignBlock(\n", " [\n", " ExprAssign(self.ret_reg, ExprOp('call_func_ret', addr, self.sp)),\n", " ExprAssign(self.sp, ExprOp('call_func_stack', addr, self.sp))\n", " ],\n", " instr\n", " )\n", " return [call_assignblk], []\n", "\n", "```\n", "\n", "Some architectures subclass it to include some architecture dependent stuffs, for example in `miasm/arch/x86/lifter_model_call.py` in which we use a default calling convention linked to arguments passed through registers:\n", "```python\n", "...\n", " def call_effects(self, ad, instr):\n", " call_assignblk = AssignBlock(\n", " [\n", " ExprAssign(\n", " self.ret_reg,\n", " ExprOp(\n", " 'call_func_ret',\n", " ad,\n", " self.sp,\n", " self.arch.regs.RCX,\n", " self.arch.regs.RDX,\n", " self.arch.regs.R8,\n", " self.arch.regs.R9,\n", " )\n", " ),\n", " ExprAssign(self.sp, ExprOp('call_func_stack', ad, self.sp)),\n", " ],\n", " instr\n", " )\n", " return [call_assignblk], []\n", "\n", "```\n", "\n", "This is the generic code used in `x86_64` to model function calls. But you can finely model functions. For example, suppose you are analysing code on `x86_32` with `stdcall` convention. Suppose you know the callee clean its stack arguments. Supppose as well you know for each function how many arguments it has. You can then customize the model to match the callee and compute the correct stack modification, as well as getting the arguments from stack:\n", "\n", "\n", "\n" ] }, { "cell_type": "code", "execution_count": 19, "metadata": {}, "outputs": [ { "data": { "image/svg+xml": [ "<?xml version=\"1.0\" encoding=\"UTF-8\" standalone=\"no\"?>\n", "<!DOCTYPE svg PUBLIC \"-//W3C//DTD SVG 1.1//EN\"\n", " \"http://www.w3.org/Graphics/SVG/1.1/DTD/svg11.dtd\">\n", "<!-- Generated by graphviz version 2.44.1 (0)\n", " -->\n", "<!-- Title: html_table Pages: 1 -->\n", "<svg width=\"705pt\" height=\"498pt\"\n", " viewBox=\"0.00 0.00 705.00 498.00\" xmlns=\"http://www.w3.org/2000/svg\" xmlns:xlink=\"http://www.w3.org/1999/xlink\">\n", "<g id=\"graph0\" class=\"graph\" transform=\"scale(1 1) rotate(0) translate(4 494)\">\n", "<title>html_table</title>\n", "<polygon fill=\"white\" stroke=\"transparent\" points=\"-4,4 -4,-494 701,-494 701,4 -4,4\"/>\n", "<!-- 0 -->\n", "<g id=\"node1\" class=\"node\">\n", "<title>0</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M12,-178.5C12,-178.5 685,-178.5 685,-178.5 691,-178.5 697,-184.5 697,-190.5 697,-190.5 697,-477.5 697,-477.5 697,-483.5 691,-489.5 685,-489.5 685,-489.5 12,-489.5 12,-489.5 6,-489.5 0,-483.5 0,-477.5 0,-477.5 0,-190.5 0,-190.5 0,-184.5 6,-178.5 12,-178.5\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"10.5,-462 10.5,-483 687.5,-483 687.5,-462 10.5,-462\"/>\n", "<text text-anchor=\"start\" x=\"332\" y=\"-468.8\" font-family=\"Courier New\" font-size=\"14.00\">main</text>\n", "<text text-anchor=\"start\" x=\"13.5\" y=\"-445.8\" font-family=\"Courier New\" font-size=\"14.00\">EBX = 0x1234</text>\n", "<text text-anchor=\"start\" x=\"13.5\" y=\"-414.8\" font-family=\"Courier New\" font-size=\"14.00\">ESP = ESP + &#45;0x4</text>\n", "<text text-anchor=\"start\" x=\"13.5\" y=\"-391.8\" font-family=\"Courier New\" font-size=\"14.00\">@32[ESP + &#45;0x4] = 0x3</text>\n", "<text text-anchor=\"start\" x=\"13.5\" y=\"-360.8\" font-family=\"Courier New\" font-size=\"14.00\">ESP = ESP + &#45;0x4</text>\n", "<text text-anchor=\"start\" x=\"13.5\" y=\"-337.8\" font-family=\"Courier New\" font-size=\"14.00\">@32[ESP + &#45;0x4] = 0x2</text>\n", "<text text-anchor=\"start\" x=\"13.5\" y=\"-306.8\" font-family=\"Courier New\" font-size=\"14.00\">ESP = ESP + &#45;0x4</text>\n", "<text text-anchor=\"start\" x=\"13.5\" y=\"-283.8\" font-family=\"Courier New\" font-size=\"14.00\">@32[ESP + &#45;0x4] = 0x1</text>\n", "<text text-anchor=\"start\" x=\"13.5\" y=\"-252.8\" font-family=\"Courier New\" font-size=\"14.00\">EAX = call_func_ret(loc_11223344, @32[ESP + 0x0], @32[ESP + 0x4], @32[ESP + 0x8])</text>\n", "<text text-anchor=\"start\" x=\"13.5\" y=\"-229.8\" font-family=\"Courier New\" font-size=\"14.00\">ESP = ESP + 0xC</text>\n", "<text text-anchor=\"start\" x=\"13.5\" y=\"-198.8\" font-family=\"Courier New\" font-size=\"14.00\">IRDst = loc_10</text>\n", "</g>\n", "<!-- 2 -->\n", "<g id=\"node2\" class=\"node\">\n", "<title>2</title>\n", "<path fill=\"none\" stroke=\"black\" d=\"M255.5,-0.5C255.5,-0.5 441.5,-0.5 441.5,-0.5 447.5,-0.5 453.5,-6.5 453.5,-12.5 453.5,-12.5 453.5,-129.5 453.5,-129.5 453.5,-135.5 447.5,-141.5 441.5,-141.5 441.5,-141.5 255.5,-141.5 255.5,-141.5 249.5,-141.5 243.5,-135.5 243.5,-129.5 243.5,-129.5 243.5,-12.5 243.5,-12.5 243.5,-6.5 249.5,-0.5 255.5,-0.5\"/>\n", "<polygon fill=\"grey\" stroke=\"transparent\" points=\"253.5,-114 253.5,-135 443.5,-135 443.5,-114 253.5,-114\"/>\n", "<text text-anchor=\"start\" x=\"323.5\" y=\"-120.8\" font-family=\"Courier New\" font-size=\"14.00\">loc_10</text>\n", "<text text-anchor=\"start\" x=\"256.5\" y=\"-97.8\" font-family=\"Courier New\" font-size=\"14.00\">ECX = EAX</text>\n", "<text text-anchor=\"start\" x=\"256.5\" y=\"-66.8\" font-family=\"Courier New\" font-size=\"14.00\">ESP = ESP[0:32] + 0x4</text>\n", "<text text-anchor=\"start\" x=\"256.5\" y=\"-43.8\" font-family=\"Courier New\" font-size=\"14.00\">EIP = @32[ESP[0:32]]</text>\n", "<text text-anchor=\"start\" x=\"256.5\" y=\"-20.8\" font-family=\"Courier New\" font-size=\"14.00\">IRDst = @32[ESP[0:32]]</text>\n", "</g>\n", "<!-- 0&#45;&gt;2 -->\n", "<g id=\"edge1\" class=\"edge\">\n", "<title>0&#45;&gt;2</title>\n", "<path fill=\"none\" stroke=\"blue\" d=\"M348.5,-178.16C348.5,-169.18 348.5,-160.37 348.5,-151.89\"/>\n", "<polygon fill=\"blue\" stroke=\"blue\" points=\"352,-151.73 348.5,-141.73 345,-151.73 352,-151.73\"/>\n", "</g>\n", "</g>\n", "</svg>\n" ], "text/plain": [ "<graphviz.dot.Digraph at 0x7f6290e9b940>" ] }, "execution_count": 19, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# Construct a custom lifter\n", "class LifterFixCallStack(LifterModelCall_x86_32):\n", " def call_effects(self, addr, instr):\n", " if addr.is_loc():\n", " if self.loc_db.get_location_offset(addr.loc_key) == 0x11223344:\n", " # Suppose the function at 0x11223344 has 3 arguments\n", " args_count = 3\n", " else:\n", " # It's a function we didn't analyze\n", " raise RuntimeError(\"Unknown function parameters\")\n", " else:\n", " # It's a dynamic call !\n", " raise RuntimeError(\"Dynamic destination ?\")\n", " # Arguments are taken from stack\n", " args = []\n", " for i in range(args_count):\n", " args.append(ExprMem(self.sp + ExprInt(i * 4, 32), 32))\n", " # Generate the model\n", " call_assignblk = AssignBlock(\n", " [\n", " ExprAssign(self.ret_reg, ExprOp('call_func_ret', addr, *args)),\n", " ExprAssign(self.sp, self.sp + ExprInt(args_count * 4, self.sp.size))\n", " ],\n", " instr\n", " )\n", " return [call_assignblk], []\n", "\n", "graph_ir_x86(\"\"\"\n", "main:\n", " MOV EBX, 0x1234\n", " PUSH 3\n", " PUSH 2\n", " PUSH 1\n", " CALL 0x11223344\n", " MOV ECX, EAX\n", " RET\n", "\"\"\", lifter_custom=LifterFixCallStack)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "In the new graph, it's now easy to see that `EAX` depends on a custom operator `call_func_ret` with arguments:\n", "- `loc_11223344`\n", "- @32[ESP + 0x0]\n", "- @32[ESP + 0x4]\n", "- @32[ESP + 0x8]\n", "\n", "The stack pointer is updated: it is increased by 0xC bytes, which corresponds to its arguments size (we didn't model the extra 4 bytes pushed on the stack for the return address, so no need to take them into account using our arbitrary model)\n" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.9.0" } }, "nbformat": 4, "nbformat_minor": 4 }
gpl-2.0
emreyamangil/Convex.jl
examples/max_entropy.ipynb
6
2692
{ "metadata": { "language": "Julia", "name": "", "signature": "sha256:0268061fda1c421f741f0884df8c4b09e9fe4f215aa0f622aaa67f6c166afe1b" }, "nbformat": 3, "nbformat_minor": 0, "worksheets": [ { "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Entropy Maximization\n", "\n", "Here is a constrained entropy maximization problem:\n", "\n", "\\begin{array}{ll}\n", " \\mbox{maximize} & -\\sum_{i=1}^n x_i \\log x_i \\\\\n", " \\mbox{subject to} & \\mathbf{1}' x = 1 \\\\\n", " & Ax \\leq b\n", "\\end{array}\n", "\n", "where $x \\in \\mathbf{R}^n$ is our optimization variable and $A \\in \\mathbf{R}^{m \\times n}, b \\in \\mathbf{R}^{m}$.\n", "\n", "To solve this, we can simply use the `entropy` operation Convex.jl provides." ] }, { "cell_type": "code", "collapsed": false, "input": [ "using Convex, SCS\n", "\n", "n = 25;\n", "m = 15;\n", "A = randn(m, n); \n", "b = rand(m, 1); \n", "\n", "x = Variable(n);\n", "problem = maximize(entropy(x), sum(x) == 1, A * x <= b)\n", "solve!(problem, SCSSolver(verbose=0))\n", "\n", "println(problem.optval)\n", "println(x.value)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "3." ] }, { "output_type": "stream", "stream": "stdout", "text": [ "2188073846026657\n", "[" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "0.039596694193772826\n", " 0.040060435043293534\n", " 0.04019878866073644\n", " 0.03983978331717988\n", " 0.03937174306719803\n", " 0.040288113034394835\n", " 0.04068296295587558\n", " 0.040348699741541545\n", " 0.03977943218842446\n", " 0.03920155057856007\n", " 0.03967456574632815\n", " 0.03965070447384114\n", " 0.04005546388236403\n", " 0.040085272144984585\n", " 0.04063891088176249\n", " 0.040633416079841944\n", " 0.04053676010233853\n", " 0.0401124273142282\n", " 0.04065132469849484\n", " 0.03909258717640152\n", " 0.04005131432373106\n", " 0.03929182732897887\n", " 0.04009644317279356\n", " 0.04046763019265253\n", " 0.03959301166868323]\n" ] } ], "prompt_number": 6 } ], "metadata": {} } ] }
bsd-2-clause
ledeprogram/algorithms
class4/homework/wang_zhizhou_4_3.ipynb
1
178411
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "## Assigment 3\n", "* Using the heights_weights_genders.csv, analyze the difference between the height weight correlation in women and men." ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import pandas as pd\n", "%matplotlib inline\n", "import matplotlib.pyplot as plt\n", "import matplotlib\n", "plt.style.use('ggplot')" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": true }, "outputs": [], "source": [ "df = pd.read_csv(\"data/heights_weights_genders.csv\")" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Gender</th>\n", " <th>Height</th>\n", " <th>Weight</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>Male</td>\n", " <td>73.847017</td>\n", " <td>241.893563</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>Male</td>\n", " <td>68.781904</td>\n", " <td>162.310473</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " Gender Height Weight\n", "0 Male 73.847017 241.893563\n", "1 Male 68.781904 162.310473" ] }, "execution_count": 3, "metadata": {}, "output_type": "execute_result" } ], "source": [ "df.head(2)" ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.legend.Legend at 0x109afc550>" ] }, "execution_count": 13, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAl0AAAF0CAYAAAAZyYxIAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x10975add8>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "fig, ax = plt.subplots(figsize=[10,6])\n", "for category, group in df.groupby('Gender'):\n", " ax.plot(group['Height'], group['Weight'], marker='o', linestyle='', label=category, markeredgewidth=0,alpha=0.2)\n", "ax.legend()" ] }, { "cell_type": "code", "execution_count": 19, "metadata": { "collapsed": false }, "outputs": [], "source": [ "women = df[df['Gender']=='Female']\n", "men = df[df['Gender']=='Male']" ] }, { "cell_type": "code", "execution_count": 21, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Height</th>\n", " <th>Weight</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>Height</th>\n", " <td>1.000000</td>\n", " <td>0.849609</td>\n", " </tr>\n", " <tr>\n", " <th>Weight</th>\n", " <td>0.849609</td>\n", " <td>1.000000</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " Height Weight\n", "Height 1.000000 0.849609\n", "Weight 0.849609 1.000000" ] }, "execution_count": 21, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAZAAAAEWCAYAAABIVsEJAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n5R1A7gAAAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x108cef898>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "women.plot(kind='scatter',x='Height',y='Weight')\n", "women.corr()" ] }, { "cell_type": "code", "execution_count": 22, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Height</th>\n", " <th>Weight</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>Height</th>\n", " <td>1.000000</td>\n", " <td>0.862979</td>\n", " </tr>\n", " <tr>\n", " <th>Weight</th>\n", " <td>0.862979</td>\n", " <td>1.000000</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " Height Weight\n", "Height 1.000000 0.862979\n", "Weight 0.862979 1.000000" ] }, "execution_count": 22, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAZAAAAEWCAYAAABIVsEJAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x109fc9e10>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "men.plot(kind='scatter',x='Height',y='Weight')\n", "men.corr()" ] }, { "cell_type": "code", "execution_count": 23, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Height</th>\n", " <th>Weight</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>Height</th>\n", " <td>1.000000</td>\n", " <td>0.924756</td>\n", " </tr>\n", " <tr>\n", " <th>Weight</th>\n", " <td>0.924756</td>\n", " <td>1.000000</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " Height Weight\n", "Height 1.000000 0.924756\n", "Weight 0.924756 1.000000" ] }, "execution_count": 23, "metadata": {}, "output_type": "execute_result" } ], "source": [ "df.corr()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Conclusion\n", "* For male, the coefficient of correlation between height and weight is 0.86.\n", "* For female, 0.84.\n", "* Male's height and weight has a closer corellation than female's." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.1" } }, "nbformat": 4, "nbformat_minor": 0 }
gpl-3.0
bdestombe/flopy-1
examples/Notebooks/flopy3_ZoneBudget_example.ipynb
1
80553
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# FloPy\n", "\n", "### ZoneBudget Example\n", "\n", "This notebook demonstrates how to use the `ZoneBudget` class to extract budget information from the cell by cell budget file using an array of zones.\n", "\n", "First set the path and import the required packages. The flopy path doesn't have to be set if you install flopy from a binary installer. If you want to run this notebook, you have to set the path to your own flopy path." ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "3.6.0 |Anaconda 4.3.0 (x86_64)| (default, Dec 23 2016, 13:19:00) \n", "[GCC 4.2.1 Compatible Apple LLVM 6.0 (clang-600.0.57)]\n", "numpy version: 1.11.3\n", "matplotlib version: 2.0.0\n", "pandas version: 0.19.2\n", "flopy version: 3.2.6\n" ] } ], "source": [ "%matplotlib inline\n", "from __future__ import print_function\n", "import os\n", "import sys\n", "import platform\n", "import numpy as np\n", "import matplotlib as mpl\n", "import matplotlib.pyplot as plt\n", "import pandas as pd\n", "import flopy\n", "\n", "print(sys.version)\n", "print('numpy version: {}'.format(np.__version__))\n", "print('matplotlib version: {}'.format(mpl.__version__))\n", "print('pandas version: {}'.format(pd.__version__))\n", "print('flopy version: {}'.format(flopy.__version__))" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Set path to example datafiles\n", "loadpth = os.path.join('..', 'data', 'zonbud_examples')\n", "cbc_f = os.path.join(loadpth, 'freyberg_mlt', 'freyberg.gitcbc')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Read File Containing Zones\n", "Using the `read_zbarray` utility, we can import zonebudget-style array files." ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAkIAAAD8CAYAAACb+MssAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nCCHWLf8f3478Bkjp4AQAAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x10b3276d8>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/plain": [ "array([0, 1, 2, 3])" ] }, "execution_count": 3, "metadata": {}, "output_type": "execute_result" } ], "source": [ "from flopy.utils import read_zbarray\n", "\n", "zone_file = os.path.join(loadpth, 'zonef_mlt')\n", "zon = read_zbarray(zone_file)\n", "nlay, nrow, ncol = zon.shape\n", "\n", "fig = plt.figure(figsize=(10, 4))\n", "\n", "for lay in range(nlay):\n", " ax = fig.add_subplot(1, nlay, lay+1)\n", " im = ax.pcolormesh(zon[lay, :, :])\n", " cbar = plt.colorbar(im)\n", " plt.gca().set_aspect('equal')\n", " \n", "plt.show()\n", "np.unique(zon)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Extract Budget Information from ZoneBudget Object\n", "\n", "At the core of the `ZoneBudget` object is a numpy structured array. The class provides some wrapper functions to help us interogate the array and save it to disk." ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "array([(1097.0, 0, 1096, 'STORAGE_IN', 0.0, 0.0, 0.0),\n", " (1097.0, 0, 1096, 'CONSTANT_HEAD_IN', 0.0, 0.0, 86.14904022216797),\n", " (1097.0, 0, 1096, 'WELLS_IN', 0.0, 0.0, 0.0),\n", " (1097.0, 0, 1096, 'DRAINS_IN', 0.0, 0.0, 0.0),\n", " (1097.0, 0, 1096, 'RECHARGE_IN', 1306.9328665733337, 1299.4646787643433, 1277.0601153373718),\n", " (1097.0, 0, 1096, 'ZONE_0_IN', 4802.644836425781, 3535.9047956466675, 3882.708930850029),\n", " (1097.0, 0, 1096, 'ZONE_1_IN', 0.0, 3485.947250366211, 3202.0619506835938),\n", " (1097.0, 0, 1096, 'ZONE_2_IN', 3823.0936737060547, 0.0, 2978.4641098976135),\n", " (1097.0, 0, 1096, 'ZONE_3_IN', 3579.748610496521, 3084.417018890381, 0.0),\n", " (1097.0, 0, 1096, 'TOTAL_IN', 13512.41998720169, 11405.733743667603, 11426.444146990776),\n", " (1097.0, 0, 1096, 'STORAGE_OUT', 0.0, 0.0, 0.0),\n", " (1097.0, 0, 1096, 'CONSTANT_HEAD_OUT', 101.54193305969238, 232.463134765625, 204.33761596679688),\n", " (1097.0, 0, 1096, 'WELLS_OUT', 2656.7999267578125, 0.0, 0.0),\n", " (1097.0, 0, 1096, 'DRAINS_OUT', 0.0, 0.0, 0.0),\n", " (1097.0, 0, 1096, 'RECHARGE_OUT', 0.0, 0.0, 0.0),\n", " (1097.0, 0, 1096, 'ZONE_0_OUT', 4066.0819091796875, 4371.711639404297, 4557.931823730469),\n", " (1097.0, 0, 1096, 'ZONE_1_OUT', 0.0, 3823.0936737060547, 3579.748610496521),\n", " (1097.0, 0, 1096, 'ZONE_2_OUT', 3485.947250366211, 0.0, 3084.417018890381),\n", " (1097.0, 0, 1096, 'ZONE_3_OUT', 3202.0619506835938, 2978.4641098976135, 0.0),\n", " (1097.0, 0, 1096, 'TOTAL_OUT', 13512.432970046997, 11405.73255777359, 11426.435069084167),\n", " (1097.0, 0, 1096, 'IN-OUT', -0.012982845306396484, 0.0011858940124511719, 0.009077906608581543),\n", " (1097.0, 0, 1096, 'PERCENT_DISCREPANCY', -9.608078406150355e-05, 1.0397350146459011e-05, 7.94465023225262e-05)], \n", " dtype=[('totim', '<f4'), ('time_step', '<i4'), ('stress_period', '<i4'), ('name', '<U50'), ('ZONE_1', '<f8'), ('ZONE_2', '<f8'), ('ZONE_3', '<f8')])" ] }, "execution_count": 4, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# Create a ZoneBudget object and get the budget record array\n", "zb = flopy.utils.ZoneBudget(cbc_f, zon, kstpkper=(0, 1096))\n", "zb.get_budget()" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "array(['CONSTANT_HEAD_IN', 'CONSTANT_HEAD_OUT', 'DRAINS_IN', 'DRAINS_OUT',\n", " 'IN-OUT', 'PERCENT_DISCREPANCY', 'RECHARGE_IN', 'RECHARGE_OUT',\n", " 'STORAGE_IN', 'STORAGE_OUT', 'TOTAL_IN', 'TOTAL_OUT', 'WELLS_IN',\n", " 'WELLS_OUT', 'ZONE_0_IN', 'ZONE_0_OUT', 'ZONE_1_IN', 'ZONE_1_OUT',\n", " 'ZONE_2_IN', 'ZONE_2_OUT', 'ZONE_3_IN', 'ZONE_3_OUT'], \n", " dtype='<U50')" ] }, "execution_count": 5, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# Get a list of the unique budget record names\n", "zb.get_record_names()" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "array([ (1097.0, 0, 1096, 'RECHARGE_IN', 1306.9328665733337, 1299.4646787643433, 1277.0601153373718),\n", " (1097.0, 0, 1096, 'ZONE_1_IN', 0.0, 3485.947250366211, 3202.0619506835938),\n", " (1097.0, 0, 1096, 'ZONE_3_IN', 3579.748610496521, 3084.417018890381, 0.0)], \n", " dtype=[('totim', '<f4'), ('time_step', '<i4'), ('stress_period', '<i4'), ('name', '<U50'), ('ZONE_1', '<f8'), ('ZONE_2', '<f8'), ('ZONE_3', '<f8')])" ] }, "execution_count": 6, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# Look at a subset of fluxes\n", "names = ['RECHARGE_IN', 'ZONE_1_IN', 'ZONE_3_IN']\n", "zb.get_budget(names=names)" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "array([(1097.0, 0, 1096, 'RECHARGE_IN', 1299.4646787643433),\n", " (1097.0, 0, 1096, 'ZONE_1_IN', 3485.947250366211),\n", " (1097.0, 0, 1096, 'ZONE_3_IN', 3084.417018890381)], \n", " dtype=[('totim', '<f4'), ('time_step', '<i4'), ('stress_period', '<i4'), ('name', '<U50'), ('ZONE_2', '<f8')])" ] }, "execution_count": 7, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# Look at fluxes in from zone 2\n", "names = ['RECHARGE_IN', 'ZONE_1_IN', 'ZONE_3_IN']\n", "zones = ['ZONE_2']\n", "zb.get_budget(names=names, zones=zones)" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "array([ (1097.0, 0, 1096, 'TOTAL_IN', 13512.41998720169, 11405.733743667603, 11426.444146990776),\n", " (1097.0, 0, 1096, 'TOTAL_OUT', 13512.432970046997, 11405.73255777359, 11426.435069084167),\n", " (1097.0, 0, 1096, 'IN-OUT', -0.012982845306396484, 0.0011858940124511719, 0.009077906608581543),\n", " (1097.0, 0, 1096, 'PERCENT_DISCREPANCY', -9.608078406150355e-05, 1.0397350146459011e-05, 7.94465023225262e-05)], \n", " dtype=[('totim', '<f4'), ('time_step', '<i4'), ('stress_period', '<i4'), ('name', '<U50'), ('ZONE_1', '<f8'), ('ZONE_2', '<f8'), ('ZONE_3', '<f8')])" ] }, "execution_count": 8, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# Look at all of the mass-balance records\n", "names = ['TOTAL_IN', 'TOTAL_OUT', 'IN-OUT', 'PERCENT_DISCREPANCY']\n", "zb.get_budget(names=names)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Convert Units\n", "The `ZoneBudget` class supports the use of mathematical operators and returns a new copy of the object." ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "1,580.5 cubic meters/day\n", "44.8 cubic feet/day\n", "3.1 inches/year\n" ] } ], "source": [ "cmd = flopy.utils.ZoneBudget(cbc_f, zon, kstpkper=(0, 0))\n", "cfd = cmd / 35.3147\n", "inyr = (cfd / (250 * 250)) * 365 * 12\n", "\n", "cmdbud = cmd.get_budget()\n", "cfdbud = cfd.get_budget()\n", "inyrbud = inyr.get_budget()\n", "\n", "names = ['RECHARGE_IN']\n", "rowidx = np.in1d(cmdbud['name'], names)\n", "colidx = 'ZONE_1'\n", "\n", "print('{:,.1f} cubic meters/day'.format(cmdbud[rowidx][colidx][0]))\n", "print('{:,.1f} cubic feet/day'.format(cfdbud[rowidx][colidx][0]))\n", "print('{:,.1f} inches/year'.format(inyrbud[rowidx][colidx][0]))" ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "False" ] }, "execution_count": 10, "metadata": {}, "output_type": "execute_result" } ], "source": [ "cmd is cfd" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Alias Names\n", "A dictionary of {zone: \"alias\"} pairs can be passed to replace the typical \"ZONE_X\" fieldnames of the `ZoneBudget` structured array with more descriptive names." ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "array([(1097.0, 0, 1096, 'STORAGE_IN', 0.0, 0.0, 0.0),\n", " (1097.0, 0, 1096, 'CONSTANT_HEAD_IN', 0.0, 0.0, 86.14904022216797),\n", " (1097.0, 0, 1096, 'WELLS_IN', 0.0, 0.0, 0.0),\n", " (1097.0, 0, 1096, 'DRAINS_IN', 0.0, 0.0, 0.0),\n", " (1097.0, 0, 1096, 'RECHARGE_IN', 1306.9328665733337, 1299.4646787643433, 1277.0601153373718),\n", " (1097.0, 0, 1096, 'ZONE_0_IN', 4802.644836425781, 3535.9047956466675, 3882.708930850029),\n", " (1097.0, 0, 1096, 'SURF_IN', 0.0, 3485.947250366211, 3202.0619506835938),\n", " (1097.0, 0, 1096, 'CONF_IN', 3823.0936737060547, 0.0, 2978.4641098976135),\n", " (1097.0, 0, 1096, 'UFA_IN', 3579.748610496521, 3084.417018890381, 0.0),\n", " (1097.0, 0, 1096, 'TOTAL_IN', 13512.41998720169, 11405.733743667603, 11426.444146990776),\n", " (1097.0, 0, 1096, 'STORAGE_OUT', 0.0, 0.0, 0.0),\n", " (1097.0, 0, 1096, 'CONSTANT_HEAD_OUT', 101.54193305969238, 232.463134765625, 204.33761596679688),\n", " (1097.0, 0, 1096, 'WELLS_OUT', 2656.7999267578125, 0.0, 0.0),\n", " (1097.0, 0, 1096, 'DRAINS_OUT', 0.0, 0.0, 0.0),\n", " (1097.0, 0, 1096, 'RECHARGE_OUT', 0.0, 0.0, 0.0),\n", " (1097.0, 0, 1096, 'ZONE_0_OUT', 4066.0819091796875, 4371.711639404297, 4557.931823730469),\n", " (1097.0, 0, 1096, 'SURF_OUT', 0.0, 3823.0936737060547, 3579.748610496521),\n", " (1097.0, 0, 1096, 'CONF_OUT', 3485.947250366211, 0.0, 3084.417018890381),\n", " (1097.0, 0, 1096, 'UFA_OUT', 3202.0619506835938, 2978.4641098976135, 0.0),\n", " (1097.0, 0, 1096, 'TOTAL_OUT', 13512.432970046997, 11405.73255777359, 11426.435069084167),\n", " (1097.0, 0, 1096, 'IN-OUT', -0.012982845306396484, 0.0011858940124511719, 0.009077906608581543),\n", " (1097.0, 0, 1096, 'PERCENT_DISCREPANCY', -9.608078406150355e-05, 1.0397350146459011e-05, 7.94465023225262e-05)], \n", " dtype=[('totim', '<f4'), ('time_step', '<i4'), ('stress_period', '<i4'), ('name', '<U50'), ('SURF', '<f8'), ('CONF', '<f8'), ('UFA', '<f8')])" ] }, "execution_count": 11, "metadata": {}, "output_type": "execute_result" } ], "source": [ "aliases = {1: 'SURF', 2:'CONF', 3: 'UFA'}\n", "zb = flopy.utils.ZoneBudget(cbc_f, zon, totim=[1097.], aliases=aliases)\n", "zb.get_budget()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Return the Budgets as a Pandas DataFrame\n", "Set `kstpkper` and `totim` keyword args to `None` (or omit) to return all times.\n", "The `get_dataframes()` method will return a DataFrame multi-indexed on `totim` and `name`." ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ " SURF CONF UFA\n", "totim name \n", "1.0 CONF_IN 2218.183105 0.000000 1863.491943\n", " CONF_OUT 3752.850586 0.000000 1096.248657\n", " CONSTANT_HEAD_IN 0.000000 0.000000 0.000000\n", " CONSTANT_HEAD_OUT 911.639771 767.433716 767.249390\n", " DRAINS_IN 0.000000 0.000000 0.000000\n", " SURF CONF UFA\n", "totim name \n", "1097.0 TOTAL_OUT 8328.821304 4869.630325 1725.220764\n", " UFA_IN 0.000000 1487.757812 0.000000\n", " UFA_OUT 0.000000 1639.001221 0.000000\n", " WELLS_IN 0.000000 0.000000 0.000000\n", " WELLS_OUT 4762.799942 0.000000 0.000000\n" ] } ], "source": [ "zon = np.ones((nlay, nrow, ncol), np.int)\n", "zon[1, :, :] = 2\n", "zon[2, :, :] = 3\n", "\n", "aliases = {1: 'SURF', 2:'CONF', 3: 'UFA'}\n", "zb = flopy.utils.ZoneBudget(cbc_f, zon, kstpkper=None, totim=None, aliases=aliases)\n", "df = zb.get_dataframes()\n", "print(df.head())\n", "print(df.tail())" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Slice the multi-index dataframe to retrieve a subset of the budget" ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th></th>\n", " <th>SURF</th>\n", " <th>CONF</th>\n", " </tr>\n", " <tr>\n", " <th>totim</th>\n", " <th>name</th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th rowspan=\"2\" valign=\"top\">1092.0</th>\n", " <th>RECHARGE_IN</th>\n", " <td>6070.894356</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>WELLS_OUT</th>\n", " <td>2829.812140</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"2\" valign=\"top\">1093.0</th>\n", " <th>RECHARGE_IN</th>\n", " <td>4240.286350</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>WELLS_OUT</th>\n", " <td>1930.483119</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"2\" valign=\"top\">1094.0</th>\n", " <th>RECHARGE_IN</th>\n", " <td>4082.749522</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>WELLS_OUT</th>\n", " <td>1279.166363</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"2\" valign=\"top\">1095.0</th>\n", " <th>RECHARGE_IN</th>\n", " <td>5053.779073</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>WELLS_OUT</th>\n", " <td>794.582903</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"2\" valign=\"top\">1096.0</th>\n", " <th>RECHARGE_IN</th>\n", " <td>6168.920364</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>WELLS_OUT</th>\n", " <td>1373.782646</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"2\" valign=\"top\">1097.0</th>\n", " <th>RECHARGE_IN</th>\n", " <td>5190.390527</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>WELLS_OUT</th>\n", " <td>4762.799942</td>\n", " <td>0.0</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " SURF CONF\n", "totim name \n", "1092.0 RECHARGE_IN 6070.894356 0.0\n", " WELLS_OUT 2829.812140 0.0\n", "1093.0 RECHARGE_IN 4240.286350 0.0\n", " WELLS_OUT 1930.483119 0.0\n", "1094.0 RECHARGE_IN 4082.749522 0.0\n", " WELLS_OUT 1279.166363 0.0\n", "1095.0 RECHARGE_IN 5053.779073 0.0\n", " WELLS_OUT 794.582903 0.0\n", "1096.0 RECHARGE_IN 6168.920364 0.0\n", " WELLS_OUT 1373.782646 0.0\n", "1097.0 RECHARGE_IN 5190.390527 0.0\n", " WELLS_OUT 4762.799942 0.0" ] }, "execution_count": 13, "metadata": {}, "output_type": "execute_result" } ], "source": [ "dateidx1 = 1092.\n", "dateidx2 = 1097.\n", "names = ['RECHARGE_IN', 'WELLS_OUT']\n", "zones = ['SURF', 'CONF']\n", "df.loc[(slice(dateidx1, dateidx2), names), :][zones]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Look at pumpage (`WELLS_OUT`) as a percentage of recharge (`RECHARGE_IN`)" ] }, { "cell_type": "code", "execution_count": 14, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>SURF</th>\n", " </tr>\n", " <tr>\n", " <th>totim</th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>1092.0</th>\n", " <td>-46.612772</td>\n", " </tr>\n", " <tr>\n", " <th>1093.0</th>\n", " <td>-45.527188</td>\n", " </tr>\n", " <tr>\n", " <th>1094.0</th>\n", " <td>-31.331003</td>\n", " </tr>\n", " <tr>\n", " <th>1095.0</th>\n", " <td>-15.722549</td>\n", " </tr>\n", " <tr>\n", " <th>1096.0</th>\n", " <td>-22.269418</td>\n", " </tr>\n", " <tr>\n", " <th>1097.0</th>\n", " <td>-91.761880</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " SURF\n", "totim \n", "1092.0 -46.612772\n", "1093.0 -45.527188\n", "1094.0 -31.331003\n", "1095.0 -15.722549\n", "1096.0 -22.269418\n", "1097.0 -91.761880" ] }, "execution_count": 14, "metadata": {}, "output_type": "execute_result" } ], "source": [ "dateidx1 = 1092.\n", "dateidx2 = 1097.\n", "zones = ['SURF']\n", "\n", "# Pull out the individual records of interest\n", "rech = df.loc[(slice(dateidx1, dateidx2), ['RECHARGE_IN']), :][zones]\n", "pump = df.loc[(slice(dateidx1, dateidx2), ['WELLS_OUT']), :][zones]\n", "\n", "# Remove the \"record\" field from the index so we can \n", "# take the difference of the two DataFrames\n", "rech = rech.reset_index()\n", "rech = rech.set_index(['totim'])\n", "rech = rech[zones]\n", "pump = pump.reset_index()\n", "pump = pump.set_index(['totim'])\n", "pump = pump[zones] * -1\n", "\n", "# Compute pumping as a percentage of recharge\n", "pump_as_pct = (pump / rech) * 100.\n", "pump_as_pct" ] }, { "cell_type": "code", "execution_count": 15, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th></th>\n", " <th>SURF</th>\n", " </tr>\n", " <tr>\n", " <th>totim</th>\n", " <th>name</th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th rowspan=\"20\" valign=\"top\">1092.0</th>\n", " <th>CONF_IN</th>\n", " <td>1723.474854</td>\n", " </tr>\n", " <tr>\n", " <th>CONF_OUT</th>\n", " <td>3778.943359</td>\n", " </tr>\n", " <tr>\n", " <th>CONSTANT_HEAD_IN</th>\n", " <td>8.232683</td>\n", " </tr>\n", " <tr>\n", " <th>CONSTANT_HEAD_OUT</th>\n", " <td>717.869995</td>\n", " </tr>\n", " <tr>\n", " <th>DRAINS_IN</th>\n", " <td>0.000000</td>\n", " </tr>\n", " <tr>\n", " <th>DRAINS_OUT</th>\n", " <td>89.872526</td>\n", " </tr>\n", " <tr>\n", " <th>IN-OUT</th>\n", " <td>-0.046964</td>\n", " </tr>\n", " <tr>\n", " <th>PERCENT_DISCREPANCY</th>\n", " <td>-0.000573</td>\n", " </tr>\n", " <tr>\n", " <th>RECHARGE_IN</th>\n", " <td>6070.894356</td>\n", " </tr>\n", " <tr>\n", " <th>RECHARGE_OUT</th>\n", " <td>0.000000</td>\n", " </tr>\n", " <tr>\n", " <th>STORAGE_IN</th>\n", " <td>393.480278</td>\n", " </tr>\n", " <tr>\n", " <th>STORAGE_OUT</th>\n", " <td>779.631114</td>\n", " </tr>\n", " <tr>\n", " <th>SURF_IN</th>\n", " <td>0.000000</td>\n", " </tr>\n", " <tr>\n", " <th>SURF_OUT</th>\n", " <td>0.000000</td>\n", " </tr>\n", " <tr>\n", " <th>TOTAL_IN</th>\n", " <td>8196.082171</td>\n", " </tr>\n", " <tr>\n", " <th>TOTAL_OUT</th>\n", " <td>8196.129134</td>\n", " </tr>\n", " <tr>\n", " <th>UFA_IN</th>\n", " <td>0.000000</td>\n", " </tr>\n", " <tr>\n", " <th>UFA_OUT</th>\n", " <td>0.000000</td>\n", " </tr>\n", " <tr>\n", " <th>WELLS_IN</th>\n", " <td>0.000000</td>\n", " </tr>\n", " <tr>\n", " <th>WELLS_OUT</th>\n", " <td>2829.812140</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"10\" valign=\"top\">1093.0</th>\n", " <th>CONF_IN</th>\n", " <td>1290.680176</td>\n", " </tr>\n", " <tr>\n", " <th>CONF_OUT</th>\n", " <td>2774.201660</td>\n", " </tr>\n", " <tr>\n", " <th>CONSTANT_HEAD_IN</th>\n", " <td>10.557623</td>\n", " </tr>\n", " <tr>\n", " <th>CONSTANT_HEAD_OUT</th>\n", " <td>708.597717</td>\n", " </tr>\n", " <tr>\n", " <th>DRAINS_IN</th>\n", " <td>0.000000</td>\n", " </tr>\n", " <tr>\n", " <th>DRAINS_OUT</th>\n", " <td>115.761371</td>\n", " </tr>\n", " <tr>\n", " <th>IN-OUT</th>\n", " <td>-0.023209</td>\n", " </tr>\n", " <tr>\n", " <th>PERCENT_DISCREPANCY</th>\n", " <td>-0.000377</td>\n", " </tr>\n", " <tr>\n", " <th>RECHARGE_IN</th>\n", " <td>4240.286350</td>\n", " </tr>\n", " <tr>\n", " <th>RECHARGE_OUT</th>\n", " <td>0.000000</td>\n", " </tr>\n", " <tr>\n", " <th>...</th>\n", " <th>...</th>\n", " <td>...</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"10\" valign=\"top\">1096.0</th>\n", " <th>STORAGE_IN</th>\n", " <td>105.632975</td>\n", " </tr>\n", " <tr>\n", " <th>STORAGE_OUT</th>\n", " <td>960.740421</td>\n", " </tr>\n", " <tr>\n", " <th>SURF_IN</th>\n", " <td>0.000000</td>\n", " </tr>\n", " <tr>\n", " <th>SURF_OUT</th>\n", " <td>0.000000</td>\n", " </tr>\n", " <tr>\n", " <th>TOTAL_IN</th>\n", " <td>7439.031176</td>\n", " </tr>\n", " <tr>\n", " <th>TOTAL_OUT</th>\n", " <td>7439.271643</td>\n", " </tr>\n", " <tr>\n", " <th>UFA_IN</th>\n", " <td>0.000000</td>\n", " </tr>\n", " <tr>\n", " <th>UFA_OUT</th>\n", " <td>0.000000</td>\n", " </tr>\n", " <tr>\n", " <th>WELLS_IN</th>\n", " <td>0.000000</td>\n", " </tr>\n", " <tr>\n", " <th>WELLS_OUT</th>\n", " <td>1373.782646</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"20\" valign=\"top\">1097.0</th>\n", " <th>CONF_IN</th>\n", " <td>2993.026611</td>\n", " </tr>\n", " <tr>\n", " <th>CONF_OUT</th>\n", " <td>3295.723145</td>\n", " </tr>\n", " <tr>\n", " <th>CONSTANT_HEAD_IN</th>\n", " <td>145.417542</td>\n", " </tr>\n", " <tr>\n", " <th>CONSTANT_HEAD_OUT</th>\n", " <td>270.298218</td>\n", " </tr>\n", " <tr>\n", " <th>DRAINS_IN</th>\n", " <td>0.000000</td>\n", " </tr>\n", " <tr>\n", " <th>DRAINS_OUT</th>\n", " <td>0.000000</td>\n", " </tr>\n", " <tr>\n", " <th>IN-OUT</th>\n", " <td>0.013376</td>\n", " </tr>\n", " <tr>\n", " <th>PERCENT_DISCREPANCY</th>\n", " <td>0.000161</td>\n", " </tr>\n", " <tr>\n", " <th>RECHARGE_IN</th>\n", " <td>5190.390527</td>\n", " </tr>\n", " <tr>\n", " <th>RECHARGE_OUT</th>\n", " <td>0.000000</td>\n", " </tr>\n", " <tr>\n", " <th>STORAGE_IN</th>\n", " <td>0.000000</td>\n", " </tr>\n", " <tr>\n", " <th>STORAGE_OUT</th>\n", " <td>0.000000</td>\n", " </tr>\n", " <tr>\n", " <th>SURF_IN</th>\n", " <td>0.000000</td>\n", " </tr>\n", " <tr>\n", " <th>SURF_OUT</th>\n", " <td>0.000000</td>\n", " </tr>\n", " <tr>\n", " <th>TOTAL_IN</th>\n", " <td>8328.834680</td>\n", " </tr>\n", " <tr>\n", " <th>TOTAL_OUT</th>\n", " <td>8328.821304</td>\n", " </tr>\n", " <tr>\n", " <th>UFA_IN</th>\n", " <td>0.000000</td>\n", " </tr>\n", " <tr>\n", " <th>UFA_OUT</th>\n", " <td>0.000000</td>\n", " </tr>\n", " <tr>\n", " <th>WELLS_IN</th>\n", " <td>0.000000</td>\n", " </tr>\n", " <tr>\n", " <th>WELLS_OUT</th>\n", " <td>4762.799942</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "<p>120 rows × 1 columns</p>\n", "</div>" ], "text/plain": [ " SURF\n", "totim name \n", "1092.0 CONF_IN 1723.474854\n", " CONF_OUT 3778.943359\n", " CONSTANT_HEAD_IN 8.232683\n", " CONSTANT_HEAD_OUT 717.869995\n", " DRAINS_IN 0.000000\n", " DRAINS_OUT 89.872526\n", " IN-OUT -0.046964\n", " PERCENT_DISCREPANCY -0.000573\n", " RECHARGE_IN 6070.894356\n", " RECHARGE_OUT 0.000000\n", " STORAGE_IN 393.480278\n", " STORAGE_OUT 779.631114\n", " SURF_IN 0.000000\n", " SURF_OUT 0.000000\n", " TOTAL_IN 8196.082171\n", " TOTAL_OUT 8196.129134\n", " UFA_IN 0.000000\n", " UFA_OUT 0.000000\n", " WELLS_IN 0.000000\n", " WELLS_OUT 2829.812140\n", "1093.0 CONF_IN 1290.680176\n", " CONF_OUT 2774.201660\n", " CONSTANT_HEAD_IN 10.557623\n", " CONSTANT_HEAD_OUT 708.597717\n", " DRAINS_IN 0.000000\n", " DRAINS_OUT 115.761371\n", " IN-OUT -0.023209\n", " PERCENT_DISCREPANCY -0.000377\n", " RECHARGE_IN 4240.286350\n", " RECHARGE_OUT 0.000000\n", "... ...\n", "1096.0 STORAGE_IN 105.632975\n", " STORAGE_OUT 960.740421\n", " SURF_IN 0.000000\n", " SURF_OUT 0.000000\n", " TOTAL_IN 7439.031176\n", " TOTAL_OUT 7439.271643\n", " UFA_IN 0.000000\n", " UFA_OUT 0.000000\n", " WELLS_IN 0.000000\n", " WELLS_OUT 1373.782646\n", "1097.0 CONF_IN 2993.026611\n", " CONF_OUT 3295.723145\n", " CONSTANT_HEAD_IN 145.417542\n", " CONSTANT_HEAD_OUT 270.298218\n", " DRAINS_IN 0.000000\n", " DRAINS_OUT 0.000000\n", " IN-OUT 0.013376\n", " PERCENT_DISCREPANCY 0.000161\n", " RECHARGE_IN 5190.390527\n", " RECHARGE_OUT 0.000000\n", " STORAGE_IN 0.000000\n", " STORAGE_OUT 0.000000\n", " SURF_IN 0.000000\n", " SURF_OUT 0.000000\n", " TOTAL_IN 8328.834680\n", " TOTAL_OUT 8328.821304\n", " UFA_IN 0.000000\n", " UFA_OUT 0.000000\n", " WELLS_IN 0.000000\n", " WELLS_OUT 4762.799942\n", "\n", "[120 rows x 1 columns]" ] }, "execution_count": 15, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# Use \"slice(None)\" to return all records\n", "df.loc[(slice(dateidx1, dateidx2), slice(None)), :][zones]" ] }, { "cell_type": "code", "execution_count": 16, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th></th>\n", " <th>SURF</th>\n", " </tr>\n", " <tr>\n", " <th>totim</th>\n", " <th>name</th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th rowspan=\"2\" valign=\"top\">1.0</th>\n", " <th>RECHARGE_IN</th>\n", " <td>6276.861916</td>\n", " </tr>\n", " <tr>\n", " <th>WELLS_OUT</th>\n", " <td>0.000000</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"2\" valign=\"top\">2.0</th>\n", " <th>RECHARGE_IN</th>\n", " <td>6428.198547</td>\n", " </tr>\n", " <tr>\n", " <th>WELLS_OUT</th>\n", " <td>1302.403252</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"2\" valign=\"top\">3.0</th>\n", " <th>RECHARGE_IN</th>\n", " <td>5397.295954</td>\n", " </tr>\n", " <tr>\n", " <th>WELLS_OUT</th>\n", " <td>1618.676394</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"2\" valign=\"top\">4.0</th>\n", " <th>RECHARGE_IN</th>\n", " <td>5931.377501</td>\n", " </tr>\n", " <tr>\n", " <th>WELLS_OUT</th>\n", " <td>1498.694752</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"2\" valign=\"top\">5.0</th>\n", " <th>RECHARGE_IN</th>\n", " <td>8378.571858</td>\n", " </tr>\n", " <tr>\n", " <th>WELLS_OUT</th>\n", " <td>3119.501953</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"2\" valign=\"top\">6.0</th>\n", " <th>RECHARGE_IN</th>\n", " <td>7390.347524</td>\n", " </tr>\n", " <tr>\n", " <th>WELLS_OUT</th>\n", " <td>2243.920387</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"2\" valign=\"top\">7.0</th>\n", " <th>RECHARGE_IN</th>\n", " <td>5168.854048</td>\n", " </tr>\n", " <tr>\n", " <th>WELLS_OUT</th>\n", " <td>2350.731133</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"2\" valign=\"top\">8.0</th>\n", " <th>RECHARGE_IN</th>\n", " <td>6098.494825</td>\n", " </tr>\n", " <tr>\n", " <th>WELLS_OUT</th>\n", " <td>3856.392490</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"2\" valign=\"top\">9.0</th>\n", " <th>RECHARGE_IN</th>\n", " <td>6478.777094</td>\n", " </tr>\n", " <tr>\n", " <th>WELLS_OUT</th>\n", " <td>5265.850121</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"2\" valign=\"top\">10.0</th>\n", " <th>RECHARGE_IN</th>\n", " <td>5944.929848</td>\n", " </tr>\n", " <tr>\n", " <th>WELLS_OUT</th>\n", " <td>4830.996731</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"2\" valign=\"top\">11.0</th>\n", " <th>RECHARGE_IN</th>\n", " <td>5213.968110</td>\n", " </tr>\n", " <tr>\n", " <th>WELLS_OUT</th>\n", " <td>3962.774696</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"2\" valign=\"top\">12.0</th>\n", " <th>RECHARGE_IN</th>\n", " <td>5336.513877</td>\n", " </tr>\n", " <tr>\n", " <th>WELLS_OUT</th>\n", " <td>1361.825874</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"2\" valign=\"top\">13.0</th>\n", " <th>RECHARGE_IN</th>\n", " <td>4734.848230</td>\n", " </tr>\n", " <tr>\n", " <th>WELLS_OUT</th>\n", " <td>4300.694023</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"2\" valign=\"top\">14.0</th>\n", " <th>RECHARGE_IN</th>\n", " <td>5080.193455</td>\n", " </tr>\n", " <tr>\n", " <th>WELLS_OUT</th>\n", " <td>1994.616829</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"2\" valign=\"top\">15.0</th>\n", " <th>RECHARGE_IN</th>\n", " <td>5430.782120</td>\n", " </tr>\n", " <tr>\n", " <th>WELLS_OUT</th>\n", " <td>1721.189125</td>\n", " </tr>\n", " <tr>\n", " <th>...</th>\n", " <th>...</th>\n", " <td>...</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"2\" valign=\"top\">1083.0</th>\n", " <th>RECHARGE_IN</th>\n", " <td>7740.506029</td>\n", " </tr>\n", " <tr>\n", " <th>WELLS_OUT</th>\n", " <td>5042.142624</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"2\" valign=\"top\">1084.0</th>\n", " <th>RECHARGE_IN</th>\n", " <td>8045.819240</td>\n", " </tr>\n", " <tr>\n", " <th>WELLS_OUT</th>\n", " <td>5450.098251</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"2\" valign=\"top\">1085.0</th>\n", " <th>RECHARGE_IN</th>\n", " <td>8445.465980</td>\n", " </tr>\n", " <tr>\n", " <th>WELLS_OUT</th>\n", " <td>6776.316984</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"2\" valign=\"top\">1086.0</th>\n", " <th>RECHARGE_IN</th>\n", " <td>8063.489585</td>\n", " </tr>\n", " <tr>\n", " <th>WELLS_OUT</th>\n", " <td>3623.597162</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"2\" valign=\"top\">1087.0</th>\n", " <th>RECHARGE_IN</th>\n", " <td>6653.122292</td>\n", " </tr>\n", " <tr>\n", " <th>WELLS_OUT</th>\n", " <td>9296.972047</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"2\" valign=\"top\">1088.0</th>\n", " <th>RECHARGE_IN</th>\n", " <td>6050.643716</td>\n", " </tr>\n", " <tr>\n", " <th>WELLS_OUT</th>\n", " <td>3753.738119</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"2\" valign=\"top\">1089.0</th>\n", " <th>RECHARGE_IN</th>\n", " <td>5276.522524</td>\n", " </tr>\n", " <tr>\n", " <th>WELLS_OUT</th>\n", " <td>2676.539921</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"2\" valign=\"top\">1090.0</th>\n", " <th>RECHARGE_IN</th>\n", " <td>5734.640808</td>\n", " </tr>\n", " <tr>\n", " <th>WELLS_OUT</th>\n", " <td>5745.907732</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"2\" valign=\"top\">1091.0</th>\n", " <th>RECHARGE_IN</th>\n", " <td>6955.568213</td>\n", " </tr>\n", " <tr>\n", " <th>WELLS_OUT</th>\n", " <td>2874.090935</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"2\" valign=\"top\">1092.0</th>\n", " <th>RECHARGE_IN</th>\n", " <td>6070.894356</td>\n", " </tr>\n", " <tr>\n", " <th>WELLS_OUT</th>\n", " <td>2829.812140</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"2\" valign=\"top\">1093.0</th>\n", " <th>RECHARGE_IN</th>\n", " <td>4240.286350</td>\n", " </tr>\n", " <tr>\n", " <th>WELLS_OUT</th>\n", " <td>1930.483119</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"2\" valign=\"top\">1094.0</th>\n", " <th>RECHARGE_IN</th>\n", " <td>4082.749522</td>\n", " </tr>\n", " <tr>\n", " <th>WELLS_OUT</th>\n", " <td>1279.166363</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"2\" valign=\"top\">1095.0</th>\n", " <th>RECHARGE_IN</th>\n", " <td>5053.779073</td>\n", " </tr>\n", " <tr>\n", " <th>WELLS_OUT</th>\n", " <td>794.582903</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"2\" valign=\"top\">1096.0</th>\n", " <th>RECHARGE_IN</th>\n", " <td>6168.920364</td>\n", " </tr>\n", " <tr>\n", " <th>WELLS_OUT</th>\n", " <td>1373.782646</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"2\" valign=\"top\">1097.0</th>\n", " <th>RECHARGE_IN</th>\n", " <td>5190.390527</td>\n", " </tr>\n", " <tr>\n", " <th>WELLS_OUT</th>\n", " <td>4762.799942</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "<p>2194 rows × 1 columns</p>\n", "</div>" ], "text/plain": [ " SURF\n", "totim name \n", "1.0 RECHARGE_IN 6276.861916\n", " WELLS_OUT 0.000000\n", "2.0 RECHARGE_IN 6428.198547\n", " WELLS_OUT 1302.403252\n", "3.0 RECHARGE_IN 5397.295954\n", " WELLS_OUT 1618.676394\n", "4.0 RECHARGE_IN 5931.377501\n", " WELLS_OUT 1498.694752\n", "5.0 RECHARGE_IN 8378.571858\n", " WELLS_OUT 3119.501953\n", "6.0 RECHARGE_IN 7390.347524\n", " WELLS_OUT 2243.920387\n", "7.0 RECHARGE_IN 5168.854048\n", " WELLS_OUT 2350.731133\n", "8.0 RECHARGE_IN 6098.494825\n", " WELLS_OUT 3856.392490\n", "9.0 RECHARGE_IN 6478.777094\n", " WELLS_OUT 5265.850121\n", "10.0 RECHARGE_IN 5944.929848\n", " WELLS_OUT 4830.996731\n", "11.0 RECHARGE_IN 5213.968110\n", " WELLS_OUT 3962.774696\n", "12.0 RECHARGE_IN 5336.513877\n", " WELLS_OUT 1361.825874\n", "13.0 RECHARGE_IN 4734.848230\n", " WELLS_OUT 4300.694023\n", "14.0 RECHARGE_IN 5080.193455\n", " WELLS_OUT 1994.616829\n", "15.0 RECHARGE_IN 5430.782120\n", " WELLS_OUT 1721.189125\n", "... ...\n", "1083.0 RECHARGE_IN 7740.506029\n", " WELLS_OUT 5042.142624\n", "1084.0 RECHARGE_IN 8045.819240\n", " WELLS_OUT 5450.098251\n", "1085.0 RECHARGE_IN 8445.465980\n", " WELLS_OUT 6776.316984\n", "1086.0 RECHARGE_IN 8063.489585\n", " WELLS_OUT 3623.597162\n", "1087.0 RECHARGE_IN 6653.122292\n", " WELLS_OUT 9296.972047\n", "1088.0 RECHARGE_IN 6050.643716\n", " WELLS_OUT 3753.738119\n", "1089.0 RECHARGE_IN 5276.522524\n", " WELLS_OUT 2676.539921\n", "1090.0 RECHARGE_IN 5734.640808\n", " WELLS_OUT 5745.907732\n", "1091.0 RECHARGE_IN 6955.568213\n", " WELLS_OUT 2874.090935\n", "1092.0 RECHARGE_IN 6070.894356\n", " WELLS_OUT 2829.812140\n", "1093.0 RECHARGE_IN 4240.286350\n", " WELLS_OUT 1930.483119\n", "1094.0 RECHARGE_IN 4082.749522\n", " WELLS_OUT 1279.166363\n", "1095.0 RECHARGE_IN 5053.779073\n", " WELLS_OUT 794.582903\n", "1096.0 RECHARGE_IN 6168.920364\n", " WELLS_OUT 1373.782646\n", "1097.0 RECHARGE_IN 5190.390527\n", " WELLS_OUT 4762.799942\n", "\n", "[2194 rows x 1 columns]" ] }, "execution_count": 16, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# Or all times\n", "df.loc[(slice(None), names), :][zones]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Pass `start_datetime` and `timeunit` keyword arguments to return a dataframe with a datetime multi-index" ] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th></th>\n", " <th>SURF</th>\n", " <th>CONF</th>\n", " </tr>\n", " <tr>\n", " <th>datetime</th>\n", " <th>name</th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th rowspan=\"2\" valign=\"top\">1972-12-01</th>\n", " <th>RECHARGE_IN</th>\n", " <td>8331.025639</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>WELLS_OUT</th>\n", " <td>5903.374154</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"2\" valign=\"top\">1972-12-02</th>\n", " <th>RECHARGE_IN</th>\n", " <td>7553.003516</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>WELLS_OUT</th>\n", " <td>1705.206116</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"2\" valign=\"top\">1972-12-03</th>\n", " <th>RECHARGE_IN</th>\n", " <td>5630.780802</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>WELLS_OUT</th>\n", " <td>2157.596333</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"2\" valign=\"top\">1972-12-04</th>\n", " <th>RECHARGE_IN</th>\n", " <td>6026.509709</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>WELLS_OUT</th>\n", " <td>5252.826000</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"2\" valign=\"top\">1972-12-05</th>\n", " <th>RECHARGE_IN</th>\n", " <td>7979.104075</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>WELLS_OUT</th>\n", " <td>6158.951546</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"2\" valign=\"top\">1972-12-06</th>\n", " <th>RECHARGE_IN</th>\n", " <td>8254.627576</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>WELLS_OUT</th>\n", " <td>2989.254021</td>\n", " <td>0.0</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " SURF CONF\n", "datetime name \n", "1972-12-01 RECHARGE_IN 8331.025639 0.0\n", " WELLS_OUT 5903.374154 0.0\n", "1972-12-02 RECHARGE_IN 7553.003516 0.0\n", " WELLS_OUT 1705.206116 0.0\n", "1972-12-03 RECHARGE_IN 5630.780802 0.0\n", " WELLS_OUT 2157.596333 0.0\n", "1972-12-04 RECHARGE_IN 6026.509709 0.0\n", " WELLS_OUT 5252.826000 0.0\n", "1972-12-05 RECHARGE_IN 7979.104075 0.0\n", " WELLS_OUT 6158.951546 0.0\n", "1972-12-06 RECHARGE_IN 8254.627576 0.0\n", " WELLS_OUT 2989.254021 0.0" ] }, "execution_count": 17, "metadata": {}, "output_type": "execute_result" } ], "source": [ "df = zb.get_dataframes(start_datetime='1970-01-01', timeunit='D')\n", "dateidx1 = pd.Timestamp('1972-12-01')\n", "dateidx2 = pd.Timestamp('1972-12-06')\n", "names = ['RECHARGE_IN', 'WELLS_OUT']\n", "zones = ['SURF', 'CONF']\n", "df.loc[(slice(dateidx1, dateidx2), names), :][zones]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Pass `index_key` to indicate which fields to use in the multi-index (defualt is \"totim\"; valid keys are \"totim\" and \"kstpkper\")" ] }, { "cell_type": "code", "execution_count": 18, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th>SURF</th>\n", " <th>CONF</th>\n", " <th>UFA</th>\n", " </tr>\n", " <tr>\n", " <th>time_step</th>\n", " <th>stress_period</th>\n", " <th>name</th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th rowspan=\"5\" valign=\"top\">0</th>\n", " <th rowspan=\"5\" valign=\"top\">0</th>\n", " <th>CONF_IN</th>\n", " <td>2218.183105</td>\n", " <td>0.000000</td>\n", " <td>1863.491943</td>\n", " </tr>\n", " <tr>\n", " <th>CONF_OUT</th>\n", " <td>3752.850586</td>\n", " <td>0.000000</td>\n", " <td>1096.248657</td>\n", " </tr>\n", " <tr>\n", " <th>CONSTANT_HEAD_IN</th>\n", " <td>0.000000</td>\n", " <td>0.000000</td>\n", " <td>0.000000</td>\n", " </tr>\n", " <tr>\n", " <th>CONSTANT_HEAD_OUT</th>\n", " <td>911.639771</td>\n", " <td>767.433716</td>\n", " <td>767.249390</td>\n", " </tr>\n", " <tr>\n", " <th>DRAINS_IN</th>\n", " <td>0.000000</td>\n", " <td>0.000000</td>\n", " <td>0.000000</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " SURF CONF \\\n", "time_step stress_period name \n", "0 0 CONF_IN 2218.183105 0.000000 \n", " CONF_OUT 3752.850586 0.000000 \n", " CONSTANT_HEAD_IN 0.000000 0.000000 \n", " CONSTANT_HEAD_OUT 911.639771 767.433716 \n", " DRAINS_IN 0.000000 0.000000 \n", "\n", " UFA \n", "time_step stress_period name \n", "0 0 CONF_IN 1863.491943 \n", " CONF_OUT 1096.248657 \n", " CONSTANT_HEAD_IN 0.000000 \n", " CONSTANT_HEAD_OUT 767.249390 \n", " DRAINS_IN 0.000000 " ] }, "execution_count": 18, "metadata": {}, "output_type": "execute_result" } ], "source": [ "df = zb.get_dataframes(index_key='kstpkper')\n", "df.head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Write Budget Output to CSV\n", "\n", "We can write the resulting recarray to a csv file with the `.to_csv()` method of the `ZoneBudget` object." ] }, { "cell_type": "code", "execution_count": 19, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "totim time_step stress_period name ZONE_1 ZONE_2 ZONE_3\n", " 1.0 0 0 STORAGE_IN 0.000000 0.000000 0.000000\n", " 1.0 0 0 CONSTANT_HEAD_IN 0.000000 0.000000 0.000000\n", " 1.0 0 0 WELLS_IN 0.000000 0.000000 0.000000\n", " 1.0 0 0 DRAINS_IN 0.000000 0.000000 0.000000\n", " 1.0 0 0 RECHARGE_IN 6276.861916 0.000000 0.000000\n", " 1.0 0 0 ZONE_1_IN 0.000000 3752.850586 0.000000\n", " 1.0 0 0 ZONE_2_IN 2218.183105 0.000000 1863.491943\n", " 1.0 0 0 ZONE_3_IN 0.000000 1096.248657 0.000000\n", " 1.0 0 0 TOTAL_IN 8495.045021 4849.099243 1863.491943\n", " 1.0 0 0 STORAGE_OUT 0.000000 0.000000 0.000000\n", " 1.0 0 0 CONSTANT_HEAD_OUT 911.639771 767.433716 767.249390\n", " 1.0 0 0 WELLS_OUT 0.000000 0.000000 0.000000\n", " 1.0 0 0 DRAINS_OUT 3832.150167 0.000000 0.000000\n", " 1.0 0 0 RECHARGE_OUT 0.000000 0.000000 0.000000\n", " 1.0 0 0 ZONE_1_OUT 0.000000 2218.183105 0.000000\n", " 1.0 0 0 ZONE_2_OUT 3752.850586 0.000000 1096.248657\n", " 1.0 0 0 ZONE_3_OUT 0.000000 1863.491943 0.000000\n", " 1.0 0 0 TOTAL_OUT 8496.640524 4849.108765 1863.498047\n", " 1.0 0 0 IN-OUT -1.595503 -0.009521 -0.006104\n", " 1.0 0 0 PERCENT_DISCREPANCY -0.018780 -0.000196 -0.000328\n", "1097.0 0 1096 STORAGE_IN 0.000000 0.000000 0.000000\n", "1097.0 0 1096 CONSTANT_HEAD_IN 145.417542 86.149040 86.217201\n", "1097.0 0 1096 WELLS_IN 0.000000 0.000000 0.000000\n", "1097.0 0 1096 DRAINS_IN 0.000000 0.000000 0.000000\n", "1097.0 0 1096 RECHARGE_IN 5190.390527 0.000000 0.000000\n", "1097.0 0 1096 ZONE_1_IN 0.000000 3295.723145 0.000000\n", "1097.0 0 1096 ZONE_2_IN 2993.026611 0.000000 1639.001221\n", "1097.0 0 1096 ZONE_3_IN 0.000000 1487.757812 0.000000\n", "1097.0 0 1096 TOTAL_IN 8328.834680 4869.629997 1725.218422\n", "1097.0 0 1096 STORAGE_OUT 0.000000 0.000000 0.000000\n", "1097.0 0 1096 CONSTANT_HEAD_OUT 270.298218 237.602493 237.462952\n", "1097.0 0 1096 WELLS_OUT 4762.799942 0.000000 0.000000\n", "1097.0 0 1096 DRAINS_OUT 0.000000 0.000000 0.000000\n", "1097.0 0 1096 RECHARGE_OUT 0.000000 0.000000 0.000000\n", "1097.0 0 1096 ZONE_1_OUT 0.000000 2993.026611 0.000000\n", "1097.0 0 1096 ZONE_2_OUT 3295.723145 0.000000 1487.757812\n", "1097.0 0 1096 ZONE_3_OUT 0.000000 1639.001221 0.000000\n", "1097.0 0 1096 TOTAL_OUT 8328.821304 4869.630325 1725.220764\n", "1097.0 0 1096 IN-OUT 0.013376 -0.000328 -0.002342\n", "1097.0 0 1096 PERCENT_DISCREPANCY 0.000161 -0.000007 -0.000136\n" ] } ], "source": [ "zb = flopy.utils.ZoneBudget(cbc_f, zon, kstpkper=[(0, 0), (0, 1096)])\n", "zb.to_csv(os.path.join(loadpth, 'zonbud.csv'))\n", "\n", "# Read the file in to see the contents\n", "fname = os.path.join(loadpth, 'zonbud.csv')\n", "try:\n", " import pandas as pd\n", " print(pd.read_csv(fname).to_string(index=False))\n", "except:\n", " with open(fname, 'r') as f:\n", " for line in f.readlines():\n", " print('\\t'.join(line.split(',')))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "anaconda-cloud": {}, "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.0" } }, "nbformat": 4, "nbformat_minor": 0 }
bsd-3-clause
CompPhysics/MachineLearning
doc/Programs/JupyterFiles/Examples/Lecture Examples/Morten Lecture Data Examples.ipynb
1
55661
{ "cells": [ { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": true }, "outputs": [], "source": [ "#!pip install numpy scipy matplotlib ipython scikit-learn mglearn sympy pandas pillow" ] }, { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[[ 1. 0. 0. 0.]\n", " [ 0. 1. 0. 0.]\n", " [ 0. 0. 1. 0.]\n", " [ 0. 0. 0. 1.]]\n", " (0, 0)\t1.0\n", " (1, 1)\t1.0\n", " (2, 2)\t1.0\n", " (3, 3)\t1.0\n" ] }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAYYAAAD8CAYAAABzTgP2AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x10bc7a4a8>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/html": [ "<div>\n", "<style>\n", " .dataframe thead tr:only-child th {\n", " text-align: right;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: left;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Age</th>\n", " <th>Location</th>\n", " <th>Name</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>51</td>\n", " <td>Nairobi</td>\n", " <td>John</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>21</td>\n", " <td>Napoli</td>\n", " <td>Anna</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>34</td>\n", " <td>London</td>\n", " <td>Peter</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>45</td>\n", " <td>Buenos Aires</td>\n", " <td>Linda</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " Age Location Name\n", "0 51 Nairobi John\n", "1 21 Napoli Anna\n", "2 34 London Peter\n", "3 45 Buenos Aires Linda" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "import numpy as np\n", "import matplotlib.pyplot as plt\n", "from scipy import sparse\n", "import pandas as pd\n", "from IPython.display import display\n", "eye = np.eye(4)\n", "print(eye)\n", "sparse_mtx = sparse.csr_matrix(eye)\n", "print(sparse_mtx)\n", "x = np.linspace(-10,10,100)\n", "y = np.sin(x)\n", "plt.plot(x,y,marker='x')\n", "plt.show()\n", "data = {'Name': [\"John\", \"Anna\", \"Peter\", \"Linda\"], 'Location': [\"Nairobi\", \"Napoli\", \"London\", \"Buenos Aires\"], 'Age':[51, 21, 34, 45]}\n", "data_pandas = pd.DataFrame(data)\n", "display(data_pandas)" ] }, { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAXYAAAD8CAYAAABjAo9vAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nxv8HDVbzVHZuK9kAAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x110dba2b0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "import numpy as np\n", "import matplotlib.pyplot as plt\n", "from scipy import sparse\n", "import pandas as pd\n", "from IPython.display import display\n", "import mglearn\n", "import sklearn\n", "from sklearn.linear_model import LinearRegression\n", "from sklearn.tree import DecisionTreeRegressor\n", "x, y = mglearn.datasets.make_wave(n_samples=100)\n", "line = np.linspace(-3,3,1000,endpoint=False).reshape(-1,1)\n", "reg = DecisionTreeRegressor(min_samples_split=3).fit(x,y)\n", "plt.plot(line, reg.predict(line), label=\"decision tree\")\n", "regline = LinearRegression().fit(x,y)\n", "plt.plot(line, regline.predict(line), label= \"Linear Rgression\")\n", "plt.show()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.3" } }, "nbformat": 4, "nbformat_minor": 2 }
cc0-1.0
SiggyF/notebooks
seaice.ipynb
1
80613
{ "metadata": { "name": "", "signature": "sha256:68c89f7cdca2467b556c06f4043b293c11399ff05b57f6d2423bdf7004632b09" }, "nbformat": 3, "nbformat_minor": 0, "worksheets": [ { "cells": [ { "cell_type": "code", "collapsed": false, "input": [ "import numpy as np" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 1 }, { "cell_type": "code", "collapsed": false, "input": [ "import io\n", "import datetime" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 37 }, { "cell_type": "code", "collapsed": false, "input": [ "import matplotlib.pyplot as plt" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 38 }, { "cell_type": "code", "collapsed": false, "input": [ "f = open('./ice.1870-2011')\n", "#years = []\n", "for i in range(12*100+10):\n", " header = f.readline()\n", " txt = \"\".join(f.readline() for i in range(6480))\n", " datevec = np.loadtxt(io.BytesIO(header), dtype=\"int\")\n", " year, month, day = datevec\n", " date = datetime.datetime(year=year, month=month, day=day)\n", " arr = np.loadtxt(io.BytesIO(txt))\n", " arr_ma = np.ma.masked_equal(arr, -1).reshape(180,360)\n", "#arrs.append(arr_ma.reshape(180,360)[:50])\n", "#years.append(np.concatenate(arrs, axis=1))\n", "f.close()" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 137 }, { "cell_type": "code", "collapsed": false, "input": [ "fig, ax = plt.subplots(subplot_kw=dict(polar=True), figsize=(20,13))\n", "n = 45\n", "X, Y = np.mgrid[0:2*np.pi:360j,:n]\n", "ax.pcolormesh(X, Y, arr_ma[:n].mask.T, cmap='Greens', vmax=1.5)\n", "ax.pcolormesh(X, Y, arr_ma[:n].T, cmap='Blues_r')\n", "ax.grid(True)\n", "ax.set_theta_zero_location('S')\n" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAz8AAAM2CAYAAADYWj2LAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAIABJREFUeJzs3Xlso+l9J/gv75sSKYm6b6nu6qru6qrutjtZJ/HOxPFM\nkEngdpzezcaIk7S9GcMB4mThWR9BNthxsjYa2MTxGDYCxPbEbi+cjGc2ziaY2AHadh9VJdWlo1Sl\no6TSSVEURfEm3/1DTRZF8XhJvnzfl3y/H4AoFfWSeqpIkc+Xv9/7PDpBEAQQERERERG1OL3SAyAi\nIiIiIpIDww8REREREWkCww8REREREWkCww8REREREWkCww8REREREWkCww8REREREWmCUekBEBFR\na/nSl76EqakpuN1ufOELXwAAPHjwAF/72teQTqdhMBjwm7/5m5iYmAAA/O3f/i1+8IMfQK/X48Mf\n/jAuXboEALh+/Tq+/e1vY3x8HK+88opi/x4iImodrPwQEZGkfuZnfgaf+tSnjl33jW98Ax/84Afx\np3/6p3jppZfwzW9+EwCwtraGH//4x/jiF7+IT33qU/jqV7+K7PZzr7/+Oj7/+c/D4/FgdXVV9n8H\nERG1HoYfIiKS1NmzZ+FwOI5d197ejkgkAgA4PDyEx+MBALz99tt497vfDaPRCJ/Ph56eHiwsLAAA\nMpkMUqkU4vE4jEY2KhARUf0YfoiIqOFefvllfP3rX8dHP/pRfOMb38Cv/dqvAQD29vbQ0dGRO66j\nowOBQAAA8N73vhef+cxnoNfr0dvbq8i4iYiotfCjNCIiargvf/nL+PCHP4xr167hJz/5Cf7yL/8S\nn/70p4seq9PpAABPPfUUnnrqKTmHSURELY6VHyIiargHDx7g2rVrAIDnn38eDx48AAB4vV7s7u7m\njtvd3YXX61VkjERE1PoYfoiIqOF6enowMzMDALh79y76+voAAM8++yx+9KMfIZVKYXt7G5ubm7lV\n4IiIiKSmE7LL6hAREUng1VdfxezsLEKhENrb2/HSSy9haGgIX/va15BMJmE2m/GRj3wEo6OjAIDv\nfve7+MEPfgCDwYDf+I3fwOXLlxX+FxARUati+CEiIiIiIk1g2xsREREREWkCww8REREREWkCww8R\nEREREWkCww8REREREWkCww8REREREWkCww8REREREWkCww8REREREWkCww8REREREWkCww8RERER\nEWkCww8REREREWkCww8REREREWkCww8REREREWkCww8REREREWkCww8REREREWkCww8REREREWkC\nww8REREREWkCww8REREREWkCww8REREREWkCww8REREREWkCww8REREREWkCww8REREREWkCww8R\nEREREWkCww8REREREWkCww8REREREWkCww8REREREWkCww8REREREWkCww8REREREWkCww8RERER\nEWkCww8REREREWkCww8REREREWkCww8REREREWmCUekBEBFRcxMEAel0GqlUCul0Ovd1JpOBIAgQ\nBCF3XPbP7NdZOp2u5J86nQ4GgwEGgwFGozH3tcFgyB1HREQkBsMPEZHGZTIZJBIJxONxxONxJBIJ\npNNpJJPJXJhJJpOIxWLQ6/W5cJNIJKDT6ZDJZHLX22w2GAwGpNNpBINB9PT0AAASiQR2d3fR19cH\nnU6HRCKBQCCA3t5eCIKQ+372+Hg8Dr/fj76+PgiCgHg8jsPDQzgcjtzPFwQBer0eBoMBer0emUwG\ndrs9F4z0ej3MZnMuNBmNRpjNZlgsFlgsFphMJuj1bIAgItISnVD48RsREbWETCaTCzPZYJO9xGIx\nhMNhAEA6nYbBYEA0GkVXVxeMRiPS6TQCgQAGBgZgMBhy95cNN/mhQ6/XK1KBEQQBmUwG6XT6xJ/x\neByhUAhutxvpdBqRSATb29vo7u5GMplEPB5HOp2GyWSC2WzO/Wm323PhyGKxwGw2w2w2MyQREbUI\nhh8ioiaVTqcRjUYRiURweHiIcDicm9jH43GkUqljFY5gMIiBgQGYTKZjF6PRqFj72Ouvv44XX3xR\nkZ8tCAKSyWSuqhUKhWCxWJBKpRAOhxGJRHJ/zwY9p9MJq9UKh8MBu90Ou90Oq9XKcERE1CTY9kZE\npGKCICAWiyESieRCTvbrWCwGm80Gq9UKk8mEzc1NnDp1ShWhphnodLpcZcfhcKCjo6PocYIg5Nr8\nsn+ura0hHA7DbrcjkUjAZDLBYrGgvb0ddrs9F47MZjMfAyIiFWHlh4hIYdkKRDbUZEPO3t4eMplM\nbmK9u7uLkZGRXODhxFodsu2FsVgsV3Xb399HKpWCXq+HzWaDzWaD0+k8VjEyGvn5IxGR3Bh+iIhk\nlD15/+DgAPv7+wiFQgiHw8hkMohGo+js7ITD4YDVas2dd5I954aaTyqVyi3eEIlEYLVaEY/HEY1G\nYTAY0N7eDrfbDbfbDZfLBbPZrPSQiYhaGsMPEVGD5AedUCgEv9+PWCwGAHA4HNjf38fAwADa29th\nMpk0WcVR8pwfJWWfG9lK3/b2dq4Nz+VyweVyoa2tjYGIiEhirLkTEUmgMOiEQiEEg0EAgMvlgs1m\ng9frhdvt1mzQoSd0Oh2sViusViu8Xi8GBgaOBaLl5WWYTCak02kYjUbYbDZ4PB4GIiKiOrHyQ0RU\ng3Q6jf39fezt7SEUCuHg4ADJZBJGoxGdnZ258zqaPej0/eynlR5C3db/+Y+VHkLNsoFod3c3t+BC\nJBKBIAjweDxob2+Hx+OBy+XiinNERCIw/BARiZBOpxEKhRAIBLC3t4eDgwMIggBBEDA2NtY0QacV\nwkyjqT0sZVcAPDw8RDQaza0A6PF44PV6GYaIiMpg+CEiKiKTyWB/f/9Y2DEYDEilUhgfH4fD4VDd\nQgQMNvJQYzhKpVI4ODhAOBxGOBxGNBrNBSGv1wun08kwREQEhh8iIgDHw04wGEQoFILJZEIqlcLI\nyAicTqcqwg4DjvqpIRwlk8lcEAqFQojH4/B6vccqQ2qvUhIRNQLDDxFpUiaTOdbGFgqFYDabcXBw\ngDNnzigedhhyWo+SoSg/DAWDQaRSqROVIYYhItIChh8i0oxUKoXd3V3s7Oxgd3cXJpMJW1tbuHz5\nMlwulyJhhyGHlAhF+WEoEAgAALq7u+Hz+eDxeNgiR0Qti+GHiFpaNBqF3+/Hzs4OQqEQYrEYBgYG\n0NXVJftywQw6JJbcgSgWi2F/fx/7+/s4PDxEe3s7ent70dHRwWW1iailMPwQUUsRBAEHBwfY2dnB\nzs4ODg8PYbVa0dvbC7fbLVt1h0GHpCZXIEomk9jf388t4W42m9Hb2wufzwe73c72OCJqagw/RNT0\n0uk09vb2sLOzg62tLQiCgK6uLrS1tcHhcDR8ssagQ0qQIwxlMhn4/X6Ew2FEIhEYDAZ0dHTA5/Oh\nra2N7XFE1HQYfoioKWU3ftzc3EQwGITT6YTb7UZbWxusVmtDfzbDDqlVIwORIAiIRqOYmZmBw+FA\nMplER0cHurq60NHRAaPR2LCfTUQkFYYfImoaqVQKOzs7ePToEaLRKNxudy7wNHLixbBDzaqRYSiR\nSMDv92N5eRkOhwMejwd9fX3o6OhgRYiIVIvhh4hULZPJIBAIYH19HYFAAHa7HYIgYGJiomETLIYd\nalWNCkOpVArBYBAbGxtIpVLo7e1FT08P2tvbeY4QEakKww8RqY4gCAiFQtjY2MDW1hYikQiGh4fR\n1dXVkAoPww5pUaOCUDwex97eHvb29pBMJtHX14fe3l44nc6G/Dwiomow/BCRahweHmJzcxNra2sA\ngK6uLni9XlgsFsl/FgMP0XFShyFBEBCJRLC1tYXDw0NYLBZ0d3ejt7e3Ib/TRERiMPwQkaLi8Tg2\nNzfx+PFjpFKp3I7zNptN8nYZBh4icRoRhMLhMObn52E0GuF2u3PLZ3OhBCKSE8MPEckunU5jZ2cH\n6+vrCIVCsFgs8Hq96OrqkjTwMOwQSUPKMJTJZLC/v49AIIBgMAifz5fbUJULJRBRozH8EJFswuEw\n1tbWsLm5iXA4jLNnz6KtrU3SjUcZeIgaS8oglEqlcucHxWIx9Pf3Y2BgoOHL1RORdjH8EFFDZTIZ\n7OzsYHFxMbcvSGdnJ8xms2Q/g4GHSBlSBqFgMIjl5WXodDp4PB4MDg7C4/FwtTgikhTDDxE1RCwW\nw+PHj7G+vg6LxQKHw4Genh7J2loYeIjURaoglE6nEQgEsLq6CrPZjOHhYfT29sJkMkly/0SkbQw/\nRCQZQRCwt7eH1dVV+P1+WCwWjI2NwWazSXL/DDxEzUGKICQIAg4PD+H3+7G/v4+Ojg4MDw/D7XZL\nMEIi0iqGHyKqWzKZxPr6OlZWVmA0GtHZ2Qmv1yvJuTwMPETNTYoglEwmMTs7C51OB6vVisHBQfh8\nPknPFyQibWD4IaKaHRwcYHV1Fdvb27Db7fB6vfB6vZL06DP0ELUWqapB+/v72NzcRDQaxeDgIAYG\nBiSrLhNR62P4IaKqZDIZbG1tYXV1FcFgEAMDA+js7JSkH5+Bh0gbpAhCsVgMfr8fgUAATqcTw8PD\n6Ojo4AIJRFQWww8RiZJOp7G2toaVlRXYbDZ0dnaira2t7okGAw+RttUbhDKZDBYWFhCLxWCxWDA6\nOgqfz8c9g4ioKIYfIiormUxidXUVa2trSKfTGBoagtfrrft+GXqIKF+9IUgQBIRCIWxvbyMSiWB8\nfBx9fX08L4iIjmH4IaKi4vE4VlZWsLa2Bq/XC5/PV3dfPQMPEYlRbxDy+/0IBoOIRqMYGhrCwMAA\njEajRKMjombG8ENEx0QiEaysrGB7exvt7e3o7u6GxWKp6z4ZeoioFvWGoGg0iuXlZcRiMQwNDWFo\naEjSDZaJqPkw/BARgKOV25aXl7G1tQWfz4eenp66FjFg4CEiKdUThOLxOLa2thAMBuHz+TAyMsIV\n4og0iuGHSOOCwSAWFxcRDofh8/nQ2dlZV488Qw8RNVI9ISiZTOLevXsAAJ/Ph+HhYTidTqmGRkRN\ngOGHSIMEQcDu7i6Wl5dxcHAAp9OJsbGxulZHYughIjnVE4JSqRR2dnaws7MDp9OJiYkJtLW1STg6\nIlIrhh8iDcmGnrm5Oej1enR3d8Pj8dS8XDUDDxEprZ4QlMlkMDc3h0wmA4fDgfHxcYYgohbH8EOk\nEcFgEAsLC0gkEjAajZicnGToIaKWUmsQyn4wtLa2hvb2dpw6dQoOh0Pi0RGRGjD8ELW4cDiMubk5\nhMNhDAwMwOv1MvQQUUurNQRlMhmsr68jEAigq6sLY2NjsFqtEo+OiJTE8EPUoqLRKBYXF7G7uwuv\n14ve3t6az+lh6CGiZlRrCEqlUtja2sLW1hYGBwcxOjpa1+qXRKQeDD9ELSaRSGBxcRGPHz9GT08P\nuru7a169jaGHiFpBrSEokUhgc3MTwWAwt09QPathEpHyGH6IWkQqlcLKygrW1tbg8Xjq2qeHoYeI\nWlGtISgWi+HBgwdIpVKYnJxEX19fXatjEpFyGH6Imlwmk8Ha2hoePnwIs9mM8fFxWCyWmu6LoYeI\ntKDWEHR4eIj19XWkUimMj4/D5/PVfA4lESmD4YeoSQmCgK2trVzo6evrg91ur+m+GHqISItqDUGh\nUAgzMzPwer2YmJhAR0eHxCMjokZh+CFqQru7u7h//z6CwSDOnz8Pl8tV0/0w9BAR1RaCBEFAMBjE\nxsYGrFYrJicn4Xa7GzA6IpISww9RE4lGo5ifn0c4HEZ/fz/a2tpqarlg6CEiOqnWEDQ/P49IJIK+\nvj5MTExwZTgiFWP4IWoC6XQaKysrWFpagtPpxMTERE0n2zL0EBFVVksISqVS2NjYQDAYxNjYGPr7\n+3k+EJEKMfwQqZzf78f8/DysViv6+/trWsyAoYeIqHq1hKBIJILbt2/D6/Xi7NmzbIUjUhmGHyKV\nikajmJubw87ODk6fPl3TGyhDDxFR/aoNQYIgIBAIYH19HZ2dnZicnGQrHJFKMPwQqUw6ncby8jLW\n1tbg8/ng8/mqbnFj6CEikl61ISiVSuHevXsQBAGTk5NshSNSAYYfIpUQBAF+vx8zMzMQBAFnz56F\n2Wyu6j4YeoiIGqvWVri1tTXodDqcPn0abW1tDRgZEYnB8EOkApFIJLda0MDAAFvciIhUrpZWuN3d\nXSwvL6Ovrw+Tk5NVf8BFRPVj+CFSUDqdxtLSEpaXl9Hf388WNyKiJlNtCEqn09jY2MDe3h5GR0cx\nMDDAVjgiGTH8EClkZ2cH8/PzsNvt6O/vZ4sbEVETqzYERSIRzMzMwOFw4Pz582yFI5IJww+RzBKJ\nBGZnZ+H3+zExMVF1ixtDDxGROtXSCre3t4fHjx+jp6cH4+PjMBgMDRodEQEMP0Sy2t7extzcHNrb\n29Hf388WNyKiFlRtCEomk3j48CGSySSeeuoptLe3N2hkRMTwQySDRCKBmZkZhEIhjI6Owul0VnV7\nhh4iouZTbQja3d3F+vo6q0BEDcTwQ9Rg2WqPxWLBxMREVdUehh4iouZWSxVobW0N4XCYVSCiBmD4\nIWqQ7Lk9BwcHGB4eZrWHiEjDqg1Bq6urCAaDrAIRSYzhh6gBstWeVCqFS5cusdpDREQAqgtB2SpQ\nJBLBxYsXuSIckQQYfogklEgkMDc3h1AoxGoPEREVVW0V6PHjx/D7/ejv78fY2BirQER1YPghksj2\n9jZmZmaQyWRY7SEioopqqQLFYjHuC0RUB4YfojolEgnMz89jf3+/6moPQw8RkbbVci7Qzs4OhoaG\nWAUiqgHDD1EddnZ2cPfuXVitVpw6dYrVHiIiqgmrQETyYPghqkE6ncbCwgL8fj+GhobgcrlE35ah\nh4iIiqm2ChQIBLC4uIjJyUkMDw9Dp9M1aGRErYPhh6hKkUgEN2/ehM1mw9DQEIxGo+jbMvgQEVEl\n1YSgWCyGlZUVWCwWnD9/HmazuYEjI2p+DD9EVdjY2MD9+/fhcDgwNjYm+lM2hh4iIqpGNQFIEASs\nr6/D7/fj0qVL8Hg8DRwZUXNj+CESIZ1OY3Z2Fvv7+xgZGYHdbhd9WwYfIiKqVTUhaGNjAzs7Oxgc\nHMTo6Cjb4IiKYPghqiAcDuP27dsIh8N45plnRK+sw9BDRERSqCYAJRIJrKysQK/X4+LFi7BYLA0c\nGVHzYfghKkEQBDx+/BgPHz5Ef38/Ojo6RN+WwYeItOjSJ7Zx61Wf0sNoWWJDkCAIuHv3LjKZDC5e\nvFjV+xdRq2P4ISoilUrh3r178Pv9OHv2LGw2m+jbMvgQkVZd+sR27muGoMaopgp0cHCAlZUV9PT0\nYHx8vKrtGIhaFcMPUYFQKIQ7d+7A6XRiYGBA9JsFQw8RaVl+8MliAGocsSEomUzi/v370Ov1ePrp\np6v6MI+oFfEjAKJ3CIKAR48e4ebNm+jt7cXQ0BCDDxERqZLY9x2TyYRz586hra0Nb7/9NnZ2dho8\nMiJ1Y+WHCEefjN27dw/BYBATExNwOByibsfQQ0R0pLDyw6qPPKppgzs8PMTS0hI6Oztx+vRptsGR\nJjH8aIzf78df/MVfYH9/HzqdDj/3cz+HX/iFX8DXv/513Lx5E0ajEd3d3fjYxz4Gu92O7e1t/N7v\n/R76+/sBAKdOncJHPvIRAMD169fx7W9/G+Pj43jllVeU/GfVJRQK4fbt22hra0NfXx+rPUREVWLL\nm/KqaYObnp5GW1sbLl26BKvV2uCRNcaXvvQlTE1Nwe124wtf+AIA4Fvf+hZu3LgBAHC5XPjYxz6G\nzs5OTcxlSDyGH40JBoMIBoMYGRlBLBbDH/7hH+KTn/wkAoEALly4AL1ej29+85sAgJdffhnb29v4\n/Oc/n3thyffqq6/i4x//OL7zne/gXe96FwYHB+X+59Rtc3MT9+7dQ09PD/r6+kTfjsGHiOgJVn3U\noZrV4La3t7Gzs4OLFy+ivb29wSOT3uzsLKxWK/78z/88N0eJRqO5c5q+//3vY2VlBa+88krLz2Wo\nOkalB0Dyam9vz73IWa1W9Pf3Y29vD0899VTumMnJSbzxxhsV7yuTySCVSiEej8NobK6nkiAIePjw\nITY2NnDmzBnRm5Yy9BARHVes6kPKyL5HVQpBOp0O3d3dsFqtuH79Os6ePZurijSLs2fPYnv7+HMv\nfzGHWCwGl8tV8X6aeS5DtWGzp4Ztb29jeXkZk5OTx67/53/+ZzzzzDPHjvuDP/gDfO5zn8Pc3Fzu\n+ve+9734zGc+A71ej97eXtnGXa9UKoWbN2/C7/fj9OnTDD5ERBJi1Ud5Yt+v2tracO7cOSwvL2N+\nfh6ZTKbBI2u8v/mbv8FHP/pR/Mu//At+6Zd+KXd9q81lqHZse9OoWCyGz33uc/jlX/5lXLt2LXf9\nd7/7XSwuLuL3f//3ARwFhVgsBqfTicXFRfzZn/0ZvvjFLzbtUpmRSAS3bt1CMpnE+fPneX4PEVGd\n2PKmXmLb4FKpFJaXlwEAly9fhslkauCopFOune3v/u7vsL6+jo997GMtN5eh+rDyo0GpVApf+MIX\n8FM/9VPHgs8Pf/hDTE1N4eMf/3juOqPRCKfTCQAYGxtDT08PNjY2ZB+zFAKBAK5fv46Ojo7c+U2V\n9P3spxl8iIhKKNbydukT27kLKUvs+5fRaMT4+DhCoRDeeusthMPhBo+s8V588UU8fPgQQGvNZah+\nDD8aIwgCvvzlL6O/vx/vf//7c9dPT0/je9/7Hj75yU/CbDbnrg+FQrky+NbWFjY2NtDd3S37uOuR\n3b/nxo0bGB4eRldXF3Q6XcXbMfQQEdWHIUh5Yj/E0+l0eOaZZ9Dd3Z1rDW82+YHm7bffxsjICIDW\nmMuQdNj2pjFzc3P47Gc/i6GhoVwA+NCHPoS/+qu/QiqVyn0ykl0G8o033sB3vvMdGAwG6HQ6fPCD\nHzx2PpDaZTIZzM3NIRgMYmxsDBaLRdTtGHyISIvyg0ql9rVqQg1b4dRBbBvc4eEh5ubmMD4+jpGR\nEVEfGMrt1VdfxezsLEKhENrb2/GBD3wAU1NTWF9fh16vR3d3N37rt34LbW1tePPNN/Haa6817VyG\npMXwQy0rkUjg5s2bMJlMGB4ehsFgEHU7Bh8i0qpSgaZYeBEbfhh81EVsAIrH41haWoLL5cLZs2dF\nv4cSqR3DD7Wkg4MD3Lp1C5lMBhcuXGCbGxGRCJUCTX6QYfhpbmJCUCaTwaNHjxCLxfD000837Yao\nRPkYfqjlbG1tYW5uDoODg/B4PKJuw+BDRFrXiHNzGHzUTUwAEgQBt2/fhk6nw+XLl9HW1ibDyIga\nhwseUMvIblx669YtTExMMPgQERGVIXYhhEuXLmF4eBjT09NYX1+XYWREjcPwQy0hk8lgdnYWOzs7\nuHTpEjcuJSJSGKs+zaGaDVEnJycxOzuLpaUlsHGImhXb3qjppdNp3L59G6lUCqOjo6JOymToISKt\nKraiG1veSOxCCJFIBEtLS/D5fJicnFTlSnBE5TD8UFNLJpOYnp7G9vY2nn/+edEblxIRaZFce+4w\n+DQvMSEolUphcXERdrsd58+fF/XeS6QWfLZS04rFYrh+/TpsNhteeOEFBh8iIqI6iXmfNBqNmJiY\nwPr6Om7evIlUKiXDyIikwfBDTenw8BBvvPEGPB4P+vv7uZQ1ERGRRMS8X+r1ely9ehUmkwk3btxA\nIpGQYWRE9WP4oaazv7+PGzduoL29Hd3d3aJuw+BDRFrHljeqhtiV4AYHB+F0OvHmm28iGo3KMDKi\n+jD8UFPx+/2Ynp7G0NAQhoeHKx7f97OfZvAhIiKqgdgA1NfXB7PZjLfeegsHBwcyjIyodgw/1DQe\nP36MqakpjI+Pi9pkjaGHiLTq0ie2Zav05GPVp/WIfS89deoUBgcHMTU1hb29vQaPiqh2XO2NVE8Q\nBKysrGB1dRXj4+Ow2WwVb8PgQ/W49IltTuKoaSkRerL4e1OdYsuOq5mYleAODg6wsLCACxcuiG5N\nJ5ITKz+kaoIgYH5+Huvr6zh16hSDDzVU/qflSk4giZpRM0ze1aTwNaYZXnPe973fqXiMy+XCyMgI\n5ufnsbq6KsOoiKrD8EOqlclkcPfuXSwuLmJychJms7nibRh8iIioWSnVrlgNMQHI6/Xi1KlTWFlZ\nwYMHD8AmI1IThh9SpVQqhenpaSQSCTz33HMwGo0Vb8PgQ/XiJ9fU7NQ+caYjlR4nNT6O+WMSE4As\nFgtOnTqFhYUFzM7OMgCRajD8kOqkUincuHEDer0eo6Oj3LyUFKPGCQiRGvGDA/HEvq6o6fWn2FjE\nBCCTyYSrV68iHA7j3r17DECkCgw/pCqpVApTU1MIh8MYGhri5qVERCU0Q4sUHVft4yXH41vP80hM\nADIYDBgfH0ckEsGdO3eQyWRq+llEUmH4IdVIJpO4efMmLBYLnn76aQYfUgQ/waZmkD9ZzU5elQpC\n/J1pHDn/b0s9fyo9r8QEIL1ej/HxcaytreHu3bsMQKQohh9ShWQyibfeegsmkwkDAwMMPqS4W6/6\nOKkjIslUG06leP2p9tyiWlegExuAnnvuOSQSCQYgUhT3+SHFZSs+2U+GKgUfhh4i0jq1tLvxAwJx\nanm86v2/rbSHUCOeQ9//xf9U8ZhMJoOlpSXo9XpcvnxZ1Hm9RFLiM44UlUgkcOPGDdjtdgYfIiJq\nOUoHHzF/l4rYCtDo6Ch2d3dx+/ZtVoBIdqz8kGKSySTefPNNGAwGnD59msGHiEgEtVR9AFZ+xJC7\n3U0tz49KVSBBEHIVoEuXLrECRLLhM40UkW11c7vdOHXqFP7+7/++7PEMPtQIapkkEDUjBh/ptdL/\nabkqUCaTwT/8wz9gdHQUiUQCU1NTrACRbBh+SHbZ4GO32zEwMAC9Xo+BgYGS6/8z+JCUlF4Zi4i0\nRWygkWOBA7mVCkDxeBxjY2PQ6XSYmJhAJBLhIggkG7a9kaxSqRTefvttRCIRUctZM/iQVMpNClrp\n01ZqbWqZ3PJ3pjaNfB1Sy3OjmEotcNlFEMxmMy5cuMAWOGooPrtINqlUCjdv3oTD4SgZfCKRCP76\nr/8aAIPSgL/bAAAgAElEQVQPSYNVHiJSu1YOPsBRBUgQBHzlK18p2uWRXQQhGAxyEQRqOIYfkkUq\nlcLU1BQsFkvZfXzsdjve//73M/iQZPgJNZG0+DslrVYPPlm/8F9fwa/8yq+UfP/X6/U4f/48YrEY\nZmZmSrbCE9WL4YcaLp1OY2pqCltbWxgcHKzY6nbxA6/KNDKiI80yeSBt4/O0uRV7/LQSfLL+px99\nquz39Xo9JicnEYlEMDs7ywBEDcHwQw0lCALu3r0Lg8GAd73rXTzHhxTBT6qJpMHfpdo0W0hppEp7\nAen1eoyNjWF1dRUPHjyQaVSkJUalB0CtSxAEzM7OIh6PcwNTUiVO5IhIKVqr+uR73/d+p+wiCAaD\nAZcvX8bCwgIsFguGhoZkHB21OlZ+qGEWFxfx6NEjjI2NVVy5hcGH5HTrVR+DDzUdPmebE9vdiqtU\nATKbzZiYmMDKygo2NzdlGhVpAZe6poZYXV3FysoKTp06BZPJVPZYBh8iourJPQFm+Koeg09llZbB\njkajmJmZwdNPP42Ojg6ZRkWtjG1vJLmtrS0sLi7i9OnTDD5ERE0of4LeapNtuTD4SMNms+HUqVO4\ne/cunn76abjdbqWHRE2ObW8kqb29Pdy7dw9DQ0OwWCxlj2XwIbloccJAra2Rz+nCCTrbROWjxdeq\nSu1vAOByuTA0NITp6WlEIhEZRkWtjOGHJHNwcIA7d+5gYmIC7e3tZY9l8CE55G9wqsVJBREpo56q\nT6nXqlZ+DRMTgNrb2+H1evHWW28hHo/LMCpqVWx7I0lEo1HcvHkTg4ODcLlcSg+HNKyVJwhEjcYK\nT/1qfQ3Kv1326+zjoYXXtUorwAFAf38/9Ho9pqam8Oyzz8Jo5DSWqsfKD9UtkUjgxo0bsFgs8Hg8\nFY9n1YcaRQsTBKJanufZ1jWGG+WUe9zKVXu09LompgLU09MDu92O6elppNNpGUZFrYbhh+qSSqUw\nPT0Nj8eD06dPVzyewYeUpKVJBFEpDEKNU+k1ptj3+bp0XKUApNPpMDAwgEAggDt37oCLFlO1GH6o\nZplMBtPT07BYLOjt7a14PIMPNRonc0Qnlfu9yA9B/P2pj9gQU6y9jY4TE4CuXLmCRCKB+fl5BiCq\nCsMP1UQQBNy7dw9+vx+Dg4PQ6XRlj2fwIbXgZIMqUdNzpHAsjRobg0/9qqmmaa2drRaVApBer8fY\n2Bj29vawtLQk06ioFXCTU6rJ/fv3sbe3h4mJCej15TM0gw/JrdKkghM9Kqfc80fu507ZsXz1zNEx\nH5krex98vsuPwUY6lRZBSCaTmJqawvnz5zEwMCDTqKiZsfJDVVtbW8PW1hbGxsYYfKjhGjGJ4MSE\nSlHTc0PsWG599UwuCJ34HoOPIvj/Lp1KFSCTyYSLFy9icXERgUBAplFRM+MagVSVvb093L9/H2Nj\nYxWXmGTwoXoU9sVXM5m49aqv4ZPY/PvnREfbCp9rSj0fcgEoFsal311TZAz0hJaWqW60Sstg22w2\njIyM4O7du7h69SpsNpuMo6Nmw/BDokWjUdy5cwfj4+Nwu91lj2XwoXrJEWBqocYxkTzq2aRS6kBU\nqtKDWPjo+3/+TvuPEUAqIenPpuqo9bWs2VQKQC6XC3a7HdevX8cLL7zAPYCoJLa9kSipVApTU1Po\n7u5m8CFFVLOSkphjq5mMZO+TExiqVbXPIT7XWgurw/IYGxuDy+XC3bt3uQIclcRYTBVlV3Y7PDzE\nmTMlPm0kaoBqPjGVerJYbTji5Eabanneydomx6oPtZBK1R+dTofBwUE8ePAAi4uLGB8fl3F01CwY\nfqiipaUlRKNRPPPMM1zSmhRVLGTUE3qkvj+xPzOLgUleav2/rzYMVWp5I/VhJU86lQKQXq/H6Ogo\nbt26BYfDgZ6eHhlHR82A4YfK2t7exuPHj3H69Gmu7EaKyK/+5E8KpZxMyBl4Cq9X0yRcS9Q8GT0R\nhv768pPv/fq0+Dti1UcV1Pxca1aVApDJZMKZM2cwPz8Ph8MBl8sl4+hI7bjPD5V0cHCAt956C6Oj\no/B4PGWPZfAhualxQlFLOGP4kU+9z5lij1Wjn4f5weeYROzkdYWVH4YfxanxdaqVVNoDaG9vD+vr\n67h69SosFotMoyK1Y/ihohKJBN566y309vbC6/WWPZbBh5TSChMLhh/5NOPzRXT4KdbyxvCjuGZ8\nzjWbSgFoeXkZsVgMzz33XMUOFtIGPgvohEwmg9u3b6O9vZ3Bh6jBODmimpitxy+FGHwUx99teVTa\nBHV4eBh6vR5zc3NcAY4AMPxQEXNzc9je3kZfX1/Z4xh8SGmsmlArK1n1KRQNAybr0YWIjtHpdBgf\nH0cwGMTq6qrSwyEVYPihY1ZXVxEMBnHt2rWKK7sREVFtbr32Yu4iKYYg1eCHM/KpVP0xGAwYGxvD\nwsICAoGATKMiteI5P5Szt7eHO3fu4NSpUxVPDGTVh9SkFdtLOHE6qdLjXOn/TE3Pk1Kh59JLrz85\npprKT8VjQuLuqwKuUFgbNT33Wlml8382Nzexvb2Nq1evwm63yzQqUhuGHwIARKNR/OQnP8HQ0BA6\nOjrKHsvgQ2rTihMLTjBPaqXHWVTFR8y+PWKCTyJ69Gc6WfnYCtS6V1IzaKXnr5pVCkA7OzvY3d3F\n1atXYTRyxxctYtsbIZPJ4M6dO+jt7WXwoabESRiRCAbTk4sELn1imxP6KvB1Sh6VWuC6urpgNBox\nMzPDBRA0iuGH8PDhQ+j1enR3d5c9jsGHiKh+Ys/zufTr07mLpHQ6wGg+ulSh3Ga9DEHiMACpw+jo\nKHZ3d7GxsaH0UEgBDD8a5/f7sbKyguHhYS5wQE2t1SYVnExSvqJBqJqWt1KqDEDlMASJ02qvVWok\nZgGE06dPY2FhAYeHhzKNitSC4UfDYrEYZmZmMDQ0VLHvlVUfIiL55C98cOJ7vz59cpNTsWr8kKua\nUMMQVBkDUONVCkA2mw19fX2Ynp5GOp2WaVSkBgw/GiUIAu7evYvOzk50dXWVPZbBh5pFq0wobr3q\na5l/i1Q4mX7i1lfPHH1hMB6/FKpU9QGKbobK8CIP/p43XqUA1NHRgXA4jPn5eZlGRGrA8KNRDx8+\nRCwWQ09PT9njGHyI5MPJUOuTfF+ffKVCUJaIqk9+6MmGoFqCEJ/H4vH/qrHKBSCdTocrV64gEAhg\nc3NTxlGRkrjGnwYFAgGsrq7i3LlzPM+HWs6tV31N+6m11vdQadbHrRrZdrZyIahcy5so5QJQviJV\nHylo+Tlcq2Z+3Wp2BoMBo6OjmJ+fh9vt5v4/GsDKj8YkEgncu3cPY2NjMJvLn+jKqg81I04gqBlc\neun1Yxexci1vlSSildviSFUYGhunUvub3W6H2WzG9PQ0MpmMTKMipXCTUw0RBAE3btyA1WpFf39/\n2WMZfKjZtEro0fIEqFUew0aqKvzkufSxlaPb//nAkysLKj9S/P9r+fkrFf4eNE65DVAFQcDS0hKc\nTidOnz4t46hIbqz8aMjy8jKCwSD6+vrKHsfgQ82EJ2cTFSiz0MGl313Dpd9da1jLWzX4u1scA2Tj\nVDr/Z2hoCNvb29jZ2ZFxVCQ3hh+N2N/fx+rqKi5cuMDzfKgltOrEqRX/TSQN0VWfAtmqz7HrChYz\nUPJ516q/y/VgAFKG0WjE8PAwbt++jVisxuXkSfXY9qYByWQSb7zxBgYGBtDe3l72WFZ9SO20MEnS\n6sRHC4+tVMoGoRItb3IR8/wt9Vhr9blfCn8nGqNc+xsAbGxs4PDwEFeuXIFezzpBq+Ej2uKy+/kc\nHBww+FDT40SA6Milj8zh0kfmTn5DzN4+DVbP7ymrQMcxDDZGpQUQstuALC4uyjEckhkrPy1udXUV\na2trOHXqVNlPLxh8SM20Mhk68Wl+OKDMQFRAK4+5lG59afjEdXJXfQoVm7xX89hy8s/fhUYqVwFK\nJpO4c+cOLl++jI6ODhlHRY3GtS9b2OHhIRYXFysGHyK1avU3/VvfuFJwzaEi46DWkFvRrUgIUkr2\ndzgbYqr9nebeV639GqhmJpMJnZ2dmJmZwfPPPw+TyaT0kEgirPy0KEEQ8JOf/AQmkwkTExNlj2XV\nh9Smld/wZ77/b479Pbm78eQvsYLwo2Dlp3DSqtTPJ8rSWgji74A8Kp3/s7q6CoPBgPPnz8s0Imo0\nlgNa1MrKCvR6PcbHx8sex+BDatPKb/iFwecEq+P4353exg2GqMm08mtDIS39W5VW6fyfvr4++P1+\n+P1+mUZEjcbw04IODw+xsrKCkZGRsstaM/iQmvBEZyKqRAuvE63+72s2BoMBXV1duHfvHpLJpNLD\nIQkw/LQYQRBw+/Zt9PT0wGKxKD0cooq0MJlpZnxsSI1a9XnZqv8utatU/ent7YXH48H9+/dlGhE1\nEsNPi1lZWUEikUBXV1fZ41j1ITXQ2hv9uff9txPXmTp6j1/B1jciUeR8/ahloYZG/wySlpj2t729\nPba/tQCGnxaSbXc7ffo0292oKWjtBGYikpYclePs/Yv9OdUeX+2x1DjlApDBYEBvby/u3LnD9rcm\nx/DTIrKbmfb29rLdjZoKAxAR1atR4aHwfiv9nGqPF3sMqYPX60VHRwfb35ocl7puEcvLy5ibm8O1\na9dY9aGmo7U3/2Krvh1b8tq/KuNoShOz3HXhY1dNmNXa407yqPYDlVJ7CZV7fkpxfKXbkHLKLX+d\nTqcxNzeHM2fOoLOzU8ZRkVQYflrA4eEhrl+/jtOnT5et+jD4kJppaRJQNPzMv6XASMqr5TFh+CE1\nEPs8zH8O5t9GzHOznuPF3oaUUy4AHRwc4OHDh3jxxRe5+WkTMio9AKqPIAi4d+8e292ImkDFfX4U\nxskYtYpS1Rwxt6n23J5q7h9AVT+D1MnlcsHhcOD+/fvc/LQJ8ZyfJreysoJAIFCx9MqqD8mt2jf3\nVj/3p1LwMZ2+JtNIiLSh0mIIxb4nRyhh8GkOlVZ/Gxsb4+pvTYrhp4llV3e7ePEiz/Mh1cifcPBN\nXkFW55OLSK0eQEmblAo51Pwqrf42NDTEzU+bEMNPk8pvd7Pb7UoPhwhA7ZMMbnRaJ6f35IWIcvJf\nX/haQ1JxuVyIx+OYn59XeihUBYafJrWysoJoNFqx3a1S2VYN+EbUGqptLxHzvVZSbIPTQtW0vmUD\no1b+/4jqxd8XqkWledQzzzyDYDDI9rcmwgUPmlAsFsPS0hLGx8fLtrtlf2FrOfFTLvntUWodI5VX\n62SCk5Dq1PX/ZXUCsbB0gyEi0pD3fe93Sq7+ZjAYMDg4iLm5ObzwwgswGAwyj46qxfDThObn59Hd\n3Q2XyyX6NmL261AaA1DzqXYH8+zjy+BTWbP+HzXruImIauV2u7G/v4+lpSVMTEwoPRyqgPv8NJnd\n3V3MzMzg3Llz0OtLdy2WK9OqJWDUsiEcqQcnubUpt+qbmNa4Um599czxK1KJ438XWfnh40pEVFy5\nvX8SiQTm5uZw9epVnoutcqz8NJFMJoN79+6hvb295uADqKMKVGmCxSqQenFyLK16Ak9ZRvPJAFQC\nH1MiovqYzWb4fD7Mz8/j8uXLZU9LIGVxwYMmsrKyArvdjsHBQUnur9qWJTHHiD1Oqp9J8uJjUr9z\n7/tvxy5ERNQcKn247PP5uPdPE2D4aRKxWAyPHj3CwMBA2eOqXd1NTGDJX5RA7mWLqxmfErS0epBW\n/p1Ny915dMlnND+5cPlrIqK6lZtn6fV69Pb2Ym5uDul0WsZRUTV4zk+TmJqagiAIGB0dLXlMvcta\nF2szKzXhLTy23uPEEDO+Uq1yjWj1E/uz67lvtbT+Mfioz+obHwIABB6tHv9G/rk9idjx74UDJe+P\njzERkTjlzv0BgKWlJbS1tWF8fFymEVE1GH6aQCAQwJ07d3DhwoW6zvURK3/CXWlCdOtVn6hJk9jj\npBhbpcAlVaAo9vPLBTSxP7eRoapanBCrR3D2N3NfH+xHjn3vWAAqXNggPwAx/BARSaLS4gezs7N4\n7rnnYLPZZBwVicHwo3KZTAZvvPEGent70d7eXvK4ZtjMVE6VQlK9gaKaleryj630c8UGuuyx1fw7\najmelDVh+A+5r6/f3Tz2vfwAxPBDRCS/cgHo9u3bcDqduHLliowjIjG42pvKPXr0CEajEW1tbUoP\npamIPU+olhBUzUp1hceWCyC1LEAhNtDUejzJLz/w1ETiDU0Ll+buG+nOfd1+9muS/RwiolZy4cIF\nzM7Owu/3o7Ozs/INSDas/KhYLBbDj3/8Y5w5c6Zs2ZRVn/ooUQ2p5VyochuElvs3SHE8NZ6Y0JNf\n/SlZ+QFqO++ncEEEqyP3pamjN/c1ww8R0RPlqj+hUAhra2t4/vnnYTAYZBwVlcPV3lRsYWEB3d3d\nDD4NpsTS2/n3Vc3PL3Vso6+nxnuQ/pOab+sdkmb5eyIiko7b7UYymcTy8rLSQ6E8DD8qFQgEEAwG\n0dPTo/RQNEGJ5bSlXia7WItdPbcndXO1idxB3GwVd1yZ84GIiKi4Sh9CnzlzBqurq4hGozKNiCph\n25sKZTIZvP766+jp6UFXV1fJ41j1kV41y323AqlW4SNpVGp9E73oAfCk9S0REx9s8lvfRLS9AWx9\nIyICyre/bWxsIJFI4PLlyzKOiEph5UeFVldXYTabeYKcArS0aSnQ2sGuGVVqfXv2QplKcOidHcVT\niaNLInbyfB8iIpJdd3c3QqEQ/H6/0kMhMPyoTrY3dHh4GDqdruRxrPo0VjYEMRyQ2gXuTiFwd+ro\nL6lEw3/e+vJWw38GEVGzKTcv0+v1sNlsmJubQyaTkXFUVAyXulaZxcVFOJ1OWK0i+/SJSHNW7sxX\ndwOnt65zepK7G8da30rpiP1+7usxnyv39duhz9b8s4mIWsH4+DgePHiAjY0N9Pf3Kz0cTWP4UZFY\nLIbHjx/j3LlzZY9j1YeodT1I/8mJc3+y7XC3XntR/B3Z3UAkVPtAYofHzvspJT/wEBFp2fu+9zsl\nz/3R6XTo6+vD0tISenp6uPS1ghh+VGRxcRE+nw8Wi6XkMQw+RNpQ7PyfSy+9Xj4ARUJHoadW4cDJ\n/X7eYbaac19nMlwnh4ioWg6HA9FoFKurqxgZGVF6OJrFc35UIhwOY2dnB93d3ZUPJqKWVtWeP24J\nF0bxjRwFoOzFv/rkQkREFVX6kPr06dNYWVlBKpWSaURUiOFHJebm5uB0OmE0li7GsepDRFLQj18B\nRp9+cvGNHF2IiKhu5eZrLpcLbW1t3PhUQQw/KrC/v49IJMISKBFVdOml10Uf23bmqdyfbc++B/rx\nK0fBh4iIFNPb24u1tTXE43Glh6JJDD8KEwQBCwsL6O3thV5f+uFg1YeIxGobGkHb0MjR1+8EICIi\nkk+5eZvZbEYmk8HDhw9lHBFlMfwobHd3F5FIBB0dHUoPhYia1KWPzOUu2dDTSIHtYO7rhYfFl9Be\n3D5o+DiIiJrVxYsXsbOzg0gkovRQNEcnCAKX7VGIIAj48Y9/DI/Hg97e0ntosOpDRGItv/5Sye8d\n7D0JJCc22jvce/L19nLR2zsuPVlpzutrz309OV58hbj8vX5+dtxz8vvtxZfS/p0f/GbR64mImk2p\npa8BYGNjA+l0GhcvXpRxRMSlrhW0tbUFg8GAnp4epYdCRBrg8rhyAUiv1zdsp/Ffuny0amWnrfSy\n/UREWufz+TA9PY3h4WG43XVsU0BVYfhRSLbXc3BwEDqdruRxrPoQkVr8Dz89WfT658eeVHXaberb\nuO+q+4+O/f3t0GcVGgkRaU25jU8NBgM6Ojrw4MEDPPPMMzKPTLsYfhSytraGSCQCl8tV8hgGHyKq\n1siLr5Vtfavk33/83yIQThb93t5ha6xMlB+GGISISEnDw8OYmZlBIBCA11u8hZikxfCjgFQqheXl\nZUxMTCg9FCLSsI/+xrtzXxsNpSvQRERUu3LVH51Oh97eXiwsLODatWtlu4FIGgw/Cnj06BGcTifa\n29tLHsOqDxHV6j/+9jX8b19569h1H/iF8wAAk8ZCTmHLGxGR2ng8HiwsLGBnZwc+n0/p4bQ8hh+Z\nJZNJPHr0CGfOnFF6KETUQv7niT8FADjMRzsY/Mffvnbs+zfWDgEAybRQNACl0kKu+uN1mkq2vrUS\ntrwRkVwqVX/Onj2LBw8eoKuri9WfBmP4kdmjR49wcHAAi6X0Kkis+hBRJdmwIyePw9Iy5/0QEamJ\ny+WCwWDA9vY2uru7lR5OS2P4kVEqlcLa2hqeffZZpYdCRE1MieDTCIvBw6J7/fyXf/fN3Ndm45O9\nuFNpAf/2u78my9hqwYUUiKicStUfn8+HhYUF+Hw+Vn8aiOFHRo8fP4bL5YLVai15DKs+RNQKfvFs\n37G/h+Op3NcO85O3nlTmaJ9tseci/ddf/s8AgPQ7t4sl00WP+9X/99fFD1YChecWMQgRUbXa2trw\n6NEj7O7uorOzU+nhtCyGH5lkMhksLi7i1KlTSg+FiFrYYSKTO+8n35UBR8XzfsR45YXhotcPe+0A\ngDabqab7ldr/84vfyH39+R8+VHAkR0GIAYiIgMrVn4GBASwvLzP8NBDDj0zW19dhMBhgt9tLHsOq\nDxGJ8fUHf9CQ1rcXBtvwk9V9nPPZAJ8td/3OYarMreSXrfqI9YfvGc99nQ1CL1/sxcv4Su76T/zo\nt6UZXBEMPkQklsfjwcbGBoLBYNlVgal2DD8yEAQBKysrGBkZUXooRETH/KvxDkTTT1rHzuWFnlrs\nR5Oqqf4U2tiL4tcvHbXjFQaoV999FITaHEdj//A/fljewRGRZlSq/litViwsLODq1asyj0wbGH5k\nsL29DaPRCKfTWfIYVn2ISCqlWt8A4H+53A8A8EfEr9rW5TCqrvrTaH/1r/4K+4dHy337Y3H8Hzf+\nfcljuZcQEUlpdHQU9+7dw8HBAVwul9LDaTkMPw0mCALu37+Pzs5OrtxBRJIR2/r2q08P4dFuBADw\nrqFOhCLNGWJSafGtbkZD8eBXjWzwyfrfr/zfAAB/9Cg0fnnm90XdD1veiKiYctUfvV6Prq4uLC8v\n4+LFizKPrPUx/DTY7u4uDAZD2TXbWfUhIqn8jxNHu4MPdZQ+vxAAOu2WXPXHZjAca30rZ3orhMvd\n7voGqVLZljcxXjn3f+W+zi4kQUQkla6uLty5cweRSKTs+eJUPYafBlteXkZ3dzerPkQkmf/z+b88\n9vcuZ+lNk6vVZjFjP56Q7P60IH8lPSIiscpVfwwGA3Q6HZaXl3Hu3DmZR9baGH4aKBgMIhwOY2xs\nrOQxrPoQkRivvvsroqszAPBoN5Kr/gx12HOtb3JKpgU4LAYAQE/e/mb5HwXp9eI+GDqISteuV+1q\ncVnZlrdC66Eket1mbISOh0a2vBFRPc6dO4fZ2VnE43FYLNJ9yKV1DD8NtLi4CIvFwqoPEdUkuwKZ\nlNx2Y93n/fS6nwQZp+XobST/PJtkFefniOWylXq7Ot6qthmMFT1qYy8q+mfln+/jj4lfGKIwAHGj\nUyKqpFz1x2QywePx4NGjR5icnJR5ZK2L4adBDg4OEA6Hcf78+ZLHsOpDRNUodW7OTjheU+tb/nk/\nhd470QMAiKfe+Xl5eSaaFF+BkltPu7Xo9ZXCTzXn+1SLwYeIatXd3Y27d+9iZGQEJpM6txFoNgw/\nDbK8vIyuri7o9fWvOkRE2vSJH/121dWfRCoDs/HodSe/9a2cK0MeAEA8lSl9kA7HAlChVDojySpr\njXJxqK3o9dPLwbrudz30pEpU2PZGRCRGueqP2WyGw+HA6upq2dMoSDyGnwaIRCLY2trC5cuXlR4K\nEREAwGM3Yy9yNDnPr44Md2p7FaHLIyd3UJ8+PBmISp3vQ0TUaENDQ3jw4AGGh4dhMBiUHk7TU+/H\ndE1sZWUFbW1tZZ+gbHkjolrYanjjyy4W4LGb4bGbYTHW99JvM7X2m++5ATfODbgx3FV/MGTLGxGJ\nUW5eaLPZYLfb8fjxYxlH1LoYfiSWTCaxtbWF4eFhpYdCRC3gEz/6bVHH7YSfVCaGOuy5i5SrpNUj\nUa6lTkUKx3ll0IMrgx5c6+84cSxb3ohILk6nE0tLSxAE6ReU0RqGH4ltbGzA5XKVPSmNVR8iktrZ\nHjcmup2Y6HYeu770KmlHyp7nA8BibO0qjxh776z+dq2/49iFiEhK5eaHPp8PJpMJe3t7Mo6oNTH8\nSEgQBCwtLcHpdFY+mIhIpMLqT7b17WJ/Gy72t+Fsj1uSn1NvO1wxBr0ud8nS63W5i1odxitXzJ7q\nduYuxbDljYikotPp0NnZidXVVaWH0vQYfiS0t7cHk8mErq6uksew6kNE1frhy6/lTswf6XJgpMuB\ni/0nVy8L5u1PU0ktQcfX9mQ57X6PDf0eG9rsJtjMBtjMBpgMutzFYTHkNjhtRYuBcO7r6+shdDiM\n6HAcr7L9dOcfyz0sImpy5eaJXq8Xu7u7iMWK72dG4nC1Nwmtrq6is7OTm5oSUd3+8YPfOnHd5ZH2\nXMBJpQUYDY17rel0mXNfJ9NPWuO8TnOxw1uCFOclZQNQJHl0Xz/d+ceIJo6+ZiWIiOqRXUhrbW0N\nExMTCo+meTH8SCQWi2F3dxdPPfVUyWNY9SFqTT98+bXc1+/55kt13df/99LJ0FOJ0aBDKl36JFiX\nzVh24YP884JcVf/01rZXRTWtkqvuPwLAEEREtTtz5gwePHiAsbEx7iVZI/6vSSS7/CDXXyfSlvzg\nU+zvYnz/A3+Tu2TlL+hTTUWiUutbNui4bEZ0uszHKjx0pNL5PoUtb9W66v6jXBAiIipUadlrq9WK\nnZ0dGUfUWlj5kUAmk8H6+jrOnDmj9FCISCa1hJxC/+XffbPq27Q7TDW1vh2r7lRYAa6QyaA/1vrW\nqtKZo8RpfWcfo1gyXdP9ZFveAORa3orJD0CsBhGRWB6PB4uLi+ju7lZ6KE2JlR8J7OzswGKxwGaz\nldQGaykAACAASURBVDyGLW9EreGHL79WMfhU+v4//eq38E+/+qS9Lb+yk8kr+VSznUMjz//Rgmji\nZNCxmgxw20xw20pvXSAVVoOIKF+5eWNHRwcSiQTC4XDJY6g0Vn4ksLi4iM7OTqWHQUQNVm+1579/\n6NvHwk01EqkMzO+s0JZf/SnU7mj8RL0a6YxwbJnrZnIYfxKIiq1jU6nlrVzVpxSeF0RElWSXvV5b\nW2PXUQ10AreKrUs4HMb169dx8eLFkieesepD1NxqDT3ZxQ/++4e+fez6/AAUyZtgm/OWn9a/M9vO\nn3Tnfz8bfuQKO/ltb4WLKxQ7Jyl/rAa97tjf1ahY5Sc//BzEjv6/D2NPrlsIHmB+J3LsNmJb3sRi\nCCLStu//4n8qen0ikcDs7CxefPFFGI2sZVSD/1t1WltbQ1dXF1fcIGpR9VR7/rkg9NTySZMgPAlA\nhdUfpdnMhmN/tqps8CnmdJf92N+n1qVtQ2EliIiKMZvNiEaj2NjYwODgoNLDaSqcsdchlUphc3OT\nLW9ELajwvJxa6Eu0e+nzyjn2vI1AS537k6Vk9cRk0Ocu2U1NW0Wlqk8xC8GDE9dthRPoc5vR5zZL\nUvXJx/OBiKjQuXPnsLa2BjZxVYfhpw6bm5s4ODiA2Vx6qVi2vBE1n/zQU+8qZ/kBqNYzX8xGverb\nxrQgv+WtktEOS+4iFS6KQKQ95eaRLpcLmUwGwWBQxhE1P7a91UgQBKytreHixYtKD4WIJJLdZ6ea\nJaRrpdfpctUdu8WQO/cnv7XNYmLgkUP+Y1GoWMtbsapPvvz72jxIwmY+ehx5DhARSUmn08Hj8eDR\no0fweDxKD6dp8J21Rvv7+0in03C73SWPYdWHqHnkbzAKHD+pX87qj8tqbLm2smag1+mOXaq1FU6U\n/X4qLcBk0MHEJcmJqErl5pOdnZ3Y3d1FPB6XcUTNjZWfGq2trcHtdkNXw5skEalHYegpJZnOwGSQ\n9vOi/IqDy/rk5TiTEUqeL0TSiidPBttYMp0LKTroIECoquUta/Og+EIJ+QEomRbfq8+qDxEVMplM\n8Hq92NjYwMjIiNLDaQqs/NQgnU5je3ubCx0QNbl/+tVvlW1vK1zSOV86c/S9TEZAJlN5Aluq+mPQ\n6WDghyiqtPfOcuI66OC0GuG0GqtqeaukmuBDRFSK1+vF5uam0sNoGgw/NfD7/XC5XLBarSWPYcsb\nkbrlL2ogNgAVtr+JCT2lGPQ6GPQ6VniazKjLkbtkFWt5y6/6lAvRYrHqQ6Rt5eaVTqcTh4eHCIel\nXWq/VbHtrQYbGxs8sYyoSeVvOJr/Kb3RoBM1SU2mM7lzQgQ8qeKIaVWrJuiw9U0ZsWQVK7q9E4Cy\n4adRVR8GHyIqR6fTwWKxYGNjA5OTk0oPR/VY+alSIpFAIBBAe3t7yWNY9SGSx/c/8Deiz9kBTm5Y\nKvbEdrGf3NdaCWLIUUax833KCR6erPAE40mc8TpxxuvEuQ7Xie9LUfUhIqpkbGwMW1tb3PNHBIaf\nKm1tbUGv18Ng4EpMRErKDz1iAlA2+BgKgkZ+ABK7vHX+J/yNfJupp62O6pM936da5zpc6HWV39un\n2nN9uL8PEQHlP1y32WzQ6/Xc80cEhp8qbW5ucjUNIoUVCzvlAlBhxaeaAGQ06IqGolIBiNWf5tHI\npaef7nNioqP0eaG1YAgionLMZjPW1taUHobqMfxUIRqNIhKJcG8fIoVUanMr9r3C4JNVGIDyZcOO\n2aivac8XKei5GIJssgFXX+YdsVTLW6G9xPHjzvhsuQsgzQpvDEBE2lVunjk4OIjd3V2k09Uvza8l\nDD9VWF9fBwDu7UOkgB++/JqozT+zAeiHL79WMvhk5Qeg/JCj1+lgNuqLfi9fsepPPYElG3gYehqv\nVIXObjHAoNeh02VGp8tc8/0fxFMnrjvjs2HIU74lTixWgYiokNlsht1ux+7urtJDUTWGH5EEQcDm\n5iaGh4eVHgqR5hSGGLEBqFomQ/WVnmwA4rLVraneEJRv/52NUoc8FklDEBFRlsfjyX1YT8Ux/Ih0\ncHAAQRDY8kYks1LVm0oBSKzC9rdS7XDlQlG5FjpSNzFNaLFkBgMd9twFENfyVsmQx4IOR/07TrAK\nRKQt5eab7e3t2N3dRTJZ26ItWsDwI1J2bx+2vBHJp1LbWqkAVG0wym44WklhADIZ9DAZ+DLaCqrZ\no2egw44z3S6c6T65tDVQvOUtW/XJd5g4uk6KAEREBABGoxEejwfb29tKD0W1+K4tQiaTwePHj8tu\nbMqqD5G0/vGD30IiVXkflsKgI0VFqFwQyoYdhp7WJGbvn1T6yTHZEFRt1adQf5sZ/W3StNcRkbZ5\nPB5sbGwoPQzV4ru3CHt7e7Db7bDZbEoPhUgT/vGD38p9nUhlKoagbOCRqhUOEBeAqDllFzsQ2/Im\nxpU+D670eaqu+gCANW9xDZtZn7tU4+3QZ6s6noiaW7kP3d1uNw4ODhCNRmUcUfPgO7gI2ZY3IpJH\nushKXJUCkMUo/ctZfgAS2xpH6le4ol41LW/FJPIqQe8Z7cJ7RrvwXJ+36vsJRJ8Ep3QGx1YcLEeq\n4MNzh4hag16vRzwex+bmptJDUSWGnwrS6TR2dnbY8kYkk+xKbdUEoFo3FiVtywba7Llb1ba8VfJc\nnxfvGuw4cX2pqk9W/o+otgJUq/zQwwBE1PzOnz+Pzc1NCHV+uNOKGH4q2NnZQSwWg8lkUnooRC3v\nc8/+xbG/iwlA+cGnUggqdn+VsOKjHU6rMXcBxLe8FYrGj7e5vW/Sh58aOFkJyg8++VWfQuXa4BrV\n7sYARKR+5T58dzgcSKVSCIfDMo6oOTD8VLC9vY2JiQmlh0HU8rLB582lwLHrywWgYmGnVADK3k8t\nAYi0x2k1itrjJ1GmEmQwHA/NPzXgLRqC8uXfXeGpZYUhSMp2t2quJyL10+l0MBgMXPWtCIafMjKZ\nDAKBANra2koew5Y3ovoVVnyKBaDC0JIsM+ksDECFxzIAUTWyIUhMy1th1QcAwgVVnZ8f66pY9Sm1\npkY08WQMUoSTSvfBAETUvHw+H7a2tpQehuow/JSxt7cHnU7HljciBRQGIOBJaMlut5Mu08ucDUDZ\n4FPvSe1EPrcldwGqq/oUutztxuVu94lFDsrJDz5Z1YaT7KIGYhc34CpyROpW7kN4r9eLZDKJWCwm\n44jUj+GnjO3tbRgM0i2dS0TFfe76/1r0+jeXAidCUOEKWOUC0Inzg/KOZfWHqlFYTfS5Lehtt1a8\nXWHVBwCC8Sc7r//r8Q786/GOsu1u5XQ4jPj53j/Bz/f+ScVjqw1KDD5EzU2n08HtdsPv9ys9FFVh\n+ClBEATs7u5ibGys5DFseSOSTqkABDypAmX38SncZ6cwAMVTGaSye7kUZBwGIJJSb7s1d8m2vFWq\n+mSZ8o57dsCJZwecotrdivmHjf9Q9vtSBB8uhU3UfJxOJx4/fqz0MFSF4aeE7OoYVmvlT/aISBrl\nAlDhBqaVAlA+BiCSWrHn2//P3r0HSVrX9+J/9326e3p67rtz2SvsQhRYg6BHIbpFknMgWHiJ2aNB\njUk8wUpyItTP0lR5FDiGUqxEMBUt/f2oOil1o6IWSomYY9SVIETZqAsssssCCyzD7txnevp++/0x\n+/Q8/fT3uXT3c3/er6qpnel+pvs7s9Pdz7s/3+/nu3M8hZ3jqdbXelUfyXyx3Pr8mt2dDRHUgs9Y\nOtr2tVoFyKzg0+vtEZG1tN6MHxkZQaFQQK2m3lEyaBh+VCwsLCAcDiMUYotb8p5jd0+2PrxGFIAO\nXjQhPFYZgMq1Bsrnp7opQ41aAAqB+wSRNiN/H7X61jEzI0nMjCQ1j48JqkMj8c3OctfsHhWGIDl5\n8PnBKx9rCz3XTt2BQ3s+DcD84ENE3hKJRDA4OIilpSWnh+IaDD8qzp07h23btqlezylv5FbKwOPF\nEHTb0b/CrtFU60OLMgDJGQ1AAAMQGadVZZRUag3smUxjz2S6dZle1Ufpmt2jwqqPVvCRkwJQPxh8\niLwvm81y3Y8Mw49AqVRCuVzGyMiI00MhMo2XAtD/+a//p+3r5xfymsfHIuG2d97l9AKQHAMQ9ULt\nb0+iDEFS1UcefKSqj9L1+8dx/f7xrsYzNNB7ox4j09vYCIHIfbTelM9mszh79iwajd42bvabqP4h\nwbO4uIhsNsspb+Q5egFHuv7Azd7b9Oz5hTz2TKSF1xUrnXuryNUbTUTCW4/ncCjUqvrUm01EZI/1\nRqOJcJiPfdoSDodawdho1afjNkIhvHbnCB5/ebXjOrXgIzlbKOK1s5t/+6dXtgKTVtWnH1rVHgYf\nIu+Jx+NIJpNYW1vjG/tg5Udobm4OyaT2fG0isoay6iMnqgDpBR+JVAGSQlBYFniUJ7SsAJFSOBwS\nhmK9qg/Q/rd20bYhXLRtSHO6mxG7RxLC4KOs+uwZS2DPWKKv+5KoBR8rAhgRmYtT37Yw/CjU63Xk\n83mMj6tPM+B6H3IjL01rU6MVfCTyAGQ0+EiUxVytAEQkEouENdeZiao+krLsutdOj+C10yOGqj5y\nu0cS2D2SaH0upzbdTW/zVCP0gg8DEJHztM5Ph4eHMT/vvVkfVmD4UVheXsbg4CA3NyVP6Sb4uHXK\nm5HgI9FbA6QUjYQQPb/OoqEIOaIAxGlvpEcKQcoW7EphwfTpQmWr5axWUw9l8FGST4HTCz47RxId\nrbGNEgUfUVttBiAi90omkygUCsjnu3v99COGH4X5+XkMDQ05PQxyOS9XWdw49iM33qu6nkdNvdFE\nPNrbU5hWAGLwoW4l4xEk4xHDVR+JNBUzGYvg4m2Ztuv0gg8ATKRjAIxVfPLnq6Rj6WjXIUi5Bkgt\n5EykY3jvhZ/p6raJyB6hUAiTk5Oc+gaGnzbNZhNnz55FNptVPYZT3kgKD25pId3LGNwydiUjAWjX\nWAo7x7beKdcKQMl4pFXxUVIGIL3pTER6sqkYsqlY62utqo9oc11lANKSP98CeyIdQ6LLv9u0TrVK\nRApAWsFHwgBE5E7ZbBYLCwtOD8NxfKWXWVtbQzKZRCJhzuJQCga3Bgkj3DDuIzfe2/a1kQCkPKXU\nCkBagUYZgIjMoAxBUtVHPt1Nkoy1B5GLt2V0Q1BesPePMgCJqj7AVvDZOdL965xaFzh58JEwAJHT\n5Jt9u+G1zi5ab9JnMhnkcjlUKhUbR+Q+bHUts7i4yClvpEnrCdSpNtLS/fX65O5k+2tl8JFIAUi5\ntmeXrOITAiCPLvFouG3akTwQxSJhVFVWfTeaTVZ8yBKZZBSLuc6TDPl0NzUH90ziyPOdj0lR8AGA\n2aGB1ucnlwqy4zuDj2TnSAIvrvTXdU4UfIicEqSQ04twOIxCoYClpSVMTU05PRzH8BVfRtrfRw2n\nvJERTj35Hrh5vq8AY/e41YKPnLwKtGci3bEeR60CJP0rn1qkFnAYfMhK45k4xjNxzeluIu964H34\n4lMfbrvMSPBpP149+Ei6Wf+TSbTfBoMPuUXQqjv92LdvH5aXl50ehqP4qn9erVbDxsYGUilx1x0i\nrzyx9hqA7K78HDmxgCMn9Oce75lIt4UgvQCk7L6lDEDysMPgQ3aZGUliZmRr/zitqo/cF5/6cEcI\nkhMFn/1jKUPBR94EQY8UfCq1Jiq1pm7w+cqpj+jeJpGdvPIabga9qW8rKytoBnjaN1/5z1tdXUU2\nm0U4zF8J9ccNraT7rQJZ7bYrPt/63EgAUlJuOBnC5ual0gamEUVAUr7bzuYGZCfp76/RbGJ2NInZ\nUe1NtN/1wPs6LhMFILWKz1A8hjfvGsWbd40abm6gFYCUFZ94VLsjIoMPkXslEglUq1WUSiWnh+IY\nvvqft7y8jHS6u1a7FBxefMfIrXv/XDt1B/7j5dW2y4xWgZS02lLrBSAiO4j+7ppNYHRQvLmpKPhI\njISKoXhnowWRvGCDYFEAkgefeDTUCj4L+apwfAw+RO4WCoVQqVSwsrLi9FAcw/Bz3tLSEjIZ9Q47\nXO9DRri52qLG7uAjUQYgoLMKdHa1hLOr2u9OKatAcvJqEMAARM6ROgvKZ5qMZeIYy2yFIK3gI/nK\nqY9gdmhAWPURBZ9LJzpf10TBBwCq9WbbnkHK4CO3lG/vXMfQQ07Sex1z+2wIu+3duzfQ634YfgBU\nq1UUCgWu9yGy2X+8vCqsAgFoCz16AUiP2nQ4IivJp7spCbYAwg/f9XVDt/upX/4NPvXLv2m7TK3i\nk4iGccXUViMfreDTuq2BiKHg8/R8EU/PFxl8yJWkwBPU0KP1pv3g4GCg1/0w/GBzvc/Q0BDX+5CQ\n0eljbnuCNTIep6o+SqIAVKy2n6SZFYCInCKdZyiDj7wCZDQAAegIQEBn8JFcMZU1FHzWS3Wsl+rI\nlTeP1av4PLZ+q+HxEllJHnbc9nrsNtK6n2Kx6PRQHMGzfQArKytc70O+5JYXAa3gI5FXgXaNblZh\nRQGo3xBEZAdl1aebN1i7DUDyqo8WqQmCnDL4yGkFn8fWb2XwIfKoUCiEcDiM1dXO6edBwPCDzf19\nuN6H+uGGgKFFFILsHHM6HjHcdWo40X4iV6zWTa8CEVlNud5MIpruphQOhfCjd38DP373Nwzd177J\nDMq1hmrVR41W8AG2ws5SvtYRfIjI/bTOX2dmZrC0tGTjaNwj8OFHKvtxvQ+pcUv1xAxOTAn4w12f\nbn2uF4Ku3TsBAFjLV7Gm6CYlD0Dbh8UtfoncJhYJq053M0IvAH3rhq8CAC6Z3lrXoxd83rxrVDf4\nSCq19pIVgw+RPwwODmJ1dTWQ634CH3643oeM8lMIsos8+MiJApByLQEAzQBE5BXxaBhxA5UYYLPq\nA7Rv3vsjlQAkBR/JJdNZQxWfs4USLtmexiXb05rBR2p6kElsNkAwshkqEXlDIpFApVIJ5LqfwJ/x\nr6ysYHBw0OlhkIcoqycMRL0RVYEOP/EKDj/xSttlygDEqg95ld7GuqLgI70ne+TGe9uOVQYfAChU\napgZTmJmWH0T1bOFrSmjAxH1KqzaxqZG1u8RkfuFQiHE4/FA7vcT+PCzsLCgGX643oe0MPioM3qS\nlI5HOqo+ogC0lq9izwQbk5C3xSJhYQgKC+bEKSejSAFILfjoEQWfay4Y6ThObWNTIvKX7du3B3K/\nn0CHn2q1inK5zE5vRF24duoOw8EmX6mrttfVI6oCEfmFPADJg4/0mTz4yBsnKCtAgDj4KKs/WhUf\neQDS2t9HwuoPkXdovYmfyWQCue4n0OFndXUVmUwGoV5WoRIFnNYJkPI6rRD07Rf+VnMRtRSAXrN7\nuIdRErmXsgqkF3xEtCo+0hQ4I1PdXjubNhR8AOCiiRQ+dNldmuMiIveLx+Mol8uBW/cT6PCzvLzM\n9T5EXVCGGlEV6A93fVq1q5syAH37hb9tfa61bwiDD/lZJByCKGqIgk+13kA2tdl4wMhUNzm14LNW\nrgAA9k9srqfTCz6SO17/ha7un4jcJRQKIZVKBW7dT6DDz/z8vOaUN673IWo3kY5hIt25oaLaVDi1\nAKQ1FU4ZgB5bvxUHDx/qYbRE3hE+H3Skqo9a8JEMJY13Xnvt9Ihu8JEYDT5E5A8TExOBW/cT2PBT\nq9VQrVZZ+SEy6L0Xfqb1uVYIUq7lVqsCyas+SqIqEAMQ+Z0UgPSCjzQ9f3tWv/NhPBJGPBLGb08P\n47en2yuoyuADALtHEtg9kmi77KKJVFvwmUwmMJncPIbVHyL303ozf3BwEGtrazaOxnmBDT+5XA6p\nVIrrfYj6oBaCRB199TY4FREFIIYg8jOjwUeiFYDiGq21RcFHsmtoa0aEstojhR7Jx37+l6q3Q0Tu\nl0gkUCqVUK1W9Q/2icCHHyLSJ6/6iKgFILUQdGB7pq/xMABREIkaMsWjYewc63wtUws+a+WKavDZ\nNZRuBZ837xo1FHz+6U334J/edI+R4RORC0nrftbX150eim0CG36Wl5c1qz5c70PUHa0qkPw87MLR\nzROqj/72P9o1NCLPkqo+asFHMiXb/Fct+FTqDbxx57jwOnm1R0k+zQ3YDD1S8JEwABF5VyaTCVT4\nCTWD1tz7vIcffhh79uxRrf4w/BBtunLodgDAxZPqu8aLlGVTdSR7hsWPtzt/9Tdd3bZorxMiv6rU\nOh9L8uAjnxa3lBNXdSqKx+MjLy62PtcKPg3FKYIy9MgNpaJ43w/er3pbROSsB2/4kvDy5eVlbGxs\n4MCBAzaPyBmBrPxIzQ6Sye5O5oiC7On5Ip6eN74XQCISRkJjzYHcR3/7H7uqBHHaGwWZWvBRoww+\nAPDGneNt09xEsokYRgbiAIDxVEIz+BCRd6VSqUB1fAtk+Mnlckgmk2x2QIE2sHIzBlZu1jxGqvrI\nWR2CjGLzAwoKedjRCz5jmXjb16LgA2w2Vtg5Kq7EZhMxZBNbU1jHU5tT3rQqPkPn9x768rX/LDyG\niNwrkUggFAoFpulBYMNPoVBwehhErmAkBIl0G4LUprzJdTv9DWAViIIhHg0bqviEQyFMDCUwMZTQ\nDD5q5KFH71gArdDTGmckjK9f/2XN7yEidwla04NAhp+1tTVMTk6qXs/1PuR3orAjCkHKVtNuxSoQ\nBYko+IRDIYTPz2ZoNJsda3Uk8jCTiEawb3Kr86I8+ETCobZjk4n2NvXyag+wtZ8QEXlTMplk+PGz\nXC6HkZERp4dB5ErKECTacFTuK6c+onub0xn9zRh7qfooMQBREIVlU7jloUfeAU4ZZhLRrTCzbzLT\nEXzkpOAjBR5RtUcuEQvjvrd9tZcfhYgspPXmfqPRwNLSko2jcU7gwk+tVkO5XGazAyIdoiqQWgj6\nyqmPGApBVmMXOAqCmCxsqAUfydTwQEfokQcfyWR2QFjtUVZ85ETVnkQscKcVRL4wOTmJUqnk9DBs\nEdU/xF9yuRzK5TKbHVBgGV3fUxq5W3i5kSqQfFNUeShSa2hgRtWHwYeCJBYJo97YCjtq09zk4UgU\netRohR5AXO1R+tYNX8U773+P4fskIuckEolWN+RYrHPPPj8JZPgZGxtzehhEjnn6+BwA4OJXT1t2\nH2pVICnkcINTov5JVRqt5gcAsD07gJW8fhensUwChUpN85hscvOkqFipAxCHHvlwvvv2w3jrfTfq\n3jcROSsUCmFgYADr6+u+P08OXH16fX0dw8PDqtez2QH52emHt9bEPH18rhWElNSqPma581d/0wpC\nrPoQmUve/EAyko5hJK39bm40HMLQgPiYbDLWCj4AkIxHOoJPvdEefIjIW5aXlwPR9CCQ4SeV0m+5\nSxQUUghSC0JWYvAhMpcy9EiU63nkouEQolrtr5PaoUkUemKREGKRzdv87tsPa34/EdlH603+iy66\niOHHb2q1GgqFApsdUCA986O3o1quolpWn/7iRAAiov7EImHEImHN4KNGHnpCoc0PKewoqz1K4VBI\nM/RIOO2NyBtSqRRyuZzTw7BcoNb85HI5pNNpNjugwJMHoJhiU0Orp7yZiVUfIm3K4DOeiWMxV+mo\n9ChfFvWqPdKGq9V6vXUZQw+RtyUSCVSrVd83PQhU+NnY2OCUNyIFKQgpQxAReUskHGp1gNOq9oxn\n4lg93wCh2/cCpdAjSSciqNTayz8MPUTeFAqFUCqVkMvlMDo66vRwLBOoaW8bGxsYGNDfbJHIb556\n8C2oVqqtD5FquYrdV3unksKqD1EnrbU9csPpmGbwETVNUAYfEQYfIm+bnp5GoVBwehiWClTlZ3V1\nFdlsVvV6dnqjoJACUCzOag9R0Mj3BxKRh55wKIRoRDtMSaHoum++u//BEZGjEokE8vm808OwVKAq\nP7VazddlPKJu6VWD3IpVH6LeyINPZqD9/U9ltSca0Q8+cg/+0df6HyARWU7rzf5EIoGNjQ0bR2O/\nwFR+6vU6qtUq4vG400MhMtVTD74FAPCq676neb2efb97n2lj6oc82Bw8fEjjSCIySlntUUYaZegh\nomAaGBjwfce3wFR+isUiEokEO72Rr8iDzVMPvqX14VXKis6RG+8VXmYmBizyO3nwCaE9+GQGoqYG\nH1Z/iLwtkUig0Wig0fDvjsWBqfwUCgUsLy87PQwiW3QbgNSqRm4hBR6zg4p0e9K/nE5HfqMMPiLp\nRATlmvaJjryTHBH5VygUQiKRQLFYRDqddno4lghM5Sefz2PPnj1OD4PIERdfthMXX7bT6WFoMhI8\nrA4nrAKR30TCoY5qj1zz/IfW9xvpHifH6g+Rt4XDYV93fAtM+CkUCkgkEqrXs9MbeZGRio089Egh\nyO1ByA5qQefg4UMMQRQI8tAjmu6mDD1cC0QUDPl8nuHHD/L5PPf4IV961XXfa310QwpBbp/y5gRO\nfyM/CStCjLLa02i2136U1Z5QqPvNUL/79sNdjpKI7KT1pv/OnTt93e46MGt+crkcdu/e7fQwiCwl\nDzJXDt3edt3Pf3PO7uEYZmbYOHj4kO7tsbJDQRMWrNlRhp5kPIKKbO2PKPBEIyHU6lz7Q+RnAwMD\nOHfOvecM/QpE+KlWq4hEIohGA/HjEgm9/re2tX3t5jDUr34aGLDqQ36nDD2xSPskkH6aolZ0GicQ\nkfv5faPTQEx7KxQKGBgYYJtrIpnX/9Y2hGe+4PQwTK/6KL8WXUYURJFwqC34xCLhjuATj+qfFijX\n/lRqjdaHHKe+EXlTLBZr7Y/pR4EohRQKBVZ9iALMaOBh1YeCQBl4RNdX6/oVHFZ5iPwpFAohlUqh\nWCwiFos5PRzTBaLys7GxgZWVFaeH4bhjd0/i2N2TTg+DbPLY+q2tjyDQCziiDVOJgkYv+Bi9jWQ8\nYujYb93w1b7vj4jsl0gkfNvxLRDlkEKhgL1796peH4Q21/LQoxaADtw8b9dwyGbyAKRshOAko2Gk\n301I5d+ntmEqgxGRNmVwyqZiWCt0ToupsiECkec1Gg1sbGw4PQxLBCb8jI+POz0M1zt29yQDdyml\nyQAAIABJREFUUAB4sRIkCizysNLLOh61EERE7VPfRNWig4cPdazpYegh8pbr7r8JD97wJeF1zWaT\n4cerms0misWi5gantInBh+zUbyc2s9bxsOJDJKYMPcrH3Fvvu1F1Wts773+PofuQZiLw9YfIXaam\npnDmzBmnh2EJ34efSqWCRqOBSMTY/GSv4gsIBQ0rN0T26OYxZiT0iKZec+YBkbskEgmUSiWnh2EJ\n34efcrmMdDrt9DBsw4YGJFrT48WpbkTkft1WeIjIGyKRCOr1Our1uu8KCL4PP5VKxZdt+oi6oQxE\nTochu6aacUobkTew6kPkLtLemJVKBclk0uHRmMv34adcLqPZ9PciTL6jRhI3dXKzA6e8EVnH6scX\nAw+Ru6XTaZTLZYYfrymVSlhdXXV6GES2EO3OHtSNCFn1IeoeAw8RSWKxGMrlstPDMJ3vw0+lUsGu\nXbtUrw/CHj8UbMpA9LPljzs0EiJyK4YeIlKqVquoVCpOD8N0/W/17HLlcplrfgzgCxPZxayKDKe8\nEZmHlVKiYNIqAjSbTV92fPN95adUKnGDUwqEq0Y/afl9iE6Q3BhCeCJHRETUn/HxcU5786JiscjK\nD9QrO2yWQP1SBg03hiEt0ngZmIiIiLbEYjHkcjmnh2E6X4efZrOJZrOJaNTXP2ZfON0tWOxY76MX\nhg4ePtR30DAzYMnHYsbYiLzqyI33eu7NCyKyTiwWQ6FQcHoYpvN1KqhUKohEIgiHfb+0iahvVlVw\nRLervG0zA0c/t8XgQ0REtCkWi6FarTo9DNP5Pvz4Pfhw2hp140OX3YXPPX6LoWOtCgJaYYjhg4iI\nyB2i0SiazSYajYavzqd9HX7K5TLy+bzTw7AU1/IQ0F2zgw9ddlfHZUYDkRUYeIjcg1PfiEgSCoVa\ne/34aaNTX4efSqWC7du3Oz0MR3AtT7Bsz4ibepzNGStXf+iyu3DfEy/j7ZfOmDksyyjX6YguJyIi\nov7U63VUKhWGH68olUpsdkCBJg9FV0xlAQBnC/7q2c/AQ0REZI1area7dtf+mcAnoPefpbWxE5Ff\nbU8NCD+IiAC+oUBEWyYnJ30XfnxdFsnn8wiFQk4Pg8hyx86I+/AfmM0Yvo037BjD2dX2qtD2YYYi\nIiKioIpGoww/XtJoNDA1NeX0MIgcIw9Fs9lE63OjlR55GGIQIiIi8p/r7r8JD97wJeF1lUoF9Xrd\n5hFZy9fhp1arcc0P+d6FkY91/T2idT97xtJmDIeIPIpd3ohIKRKJoFKpOD0MU/k6GVSrVV/1JScS\nGUnHhZev5P31ZEVE5mLYISI9Q0NDWFxcdHoYpvJ1+KnVaohEIk4Pg8gR8lD0xt1Dfd/ear6zbfZw\nequbnOhEigunidzFSOCRHrcMR0QUiUQ47c0rms0mALDyQ2TAa6dHUKk3AADxiPHHjCgQyamdPDEU\nEdmjm7BDRKQUDofZ8MAr6vU6wuEwu70RdUkKQXLxSBilah0DMXMqqcoTMp58EZlPK/jwMUdERoTD\nYVZ+vKJerzP4UCA8tn5rx2VXDt1uyX2VquInwHc98D5L7o+InHPkxns59Y0o4Py4fMTX4adWqzk9\nDCJHKAPRY4+3X/+hy+5qfb4nk8Zyrr05wmhG3ETBCkbfgZafhFnxrjWn6BEZI39MMBwR+Zu05qfZ\nbPqmqODb8FOr1ZBOs3UvkcjnHr+l9fndV/2/Hdcrw5CS03v+2Dlt7uDhQwxA5ElalRujf9f82ycK\nNinwNBoN31SBfBt+6vW6b/6TguzY3ZOGjz1w87yFI/EueZVHSR6CuiHf/NQOfHeZiIjIGaFQyFfn\n1b4OP5z2FixqQYmhSN2HLrsLz+fy2JOxrkr65Wv/ueOy9/3g/abehxSO+C41kT4+ToioG/F43FdN\nD3wbfmq1GjY2NpweBpGl1BobSGt+tKo+TpIHoheXCtg5ljLldrnXEFE7q//+2RSByP/C4bCvCgq+\nDT/1eh2Tk8anTBH5iRSKHjm9rnusGRugitYNSZZzlb4bKHznbYfbvn7bd27s6/ZElCeJPKEjIiIC\nyuUyKz9e4Ke5iUHVzXof6p2VU96sogxDw+lY29dmBBdWjMhruH8WEVmh2Wwy/HhBrVZDtaq9+zwF\ng16ICvqaoJt/9heGjhNVd57P5VWPNzNUyfcXMrLRKk/6KChYoSQiqw0PD3PamxdUq1UUi0XV66+7\n/yYbR0PkfaKQpLWmSApGr50eMXUc4o1WY4LLiPzPjHbWRERawuGwryo/YacHYJVGo4GJiQmnh0FE\nOsxqdtCPg4cP8R10IiIigVwux8qPF9RqNcRifDfYSVyzQ2Z51wPvw9ev/7Ll98NucURERO0ajYav\nKj++DT/VahXxeH8dpigYjt096dl1P1JLa4la62urGNok9fH2L+VtricyCRQr2k+o133z3QA2A5BR\nDCzkd6xUEpFdJicnWfnxglqthlLJ3l3oybv8skGqMgz164Ov+nvdY06vlFWv+8ErH+u4TL7B6YN/\n9LWexqWn201PGZbIzRh0iMhJoVAIzWbT6WGYxrdrfqLRKIaHh50eBlGgXTt1R9+3obWHEBE5j28e\nEPnb6uqqr8KPbys/fvpPInLKclFc5h5N2vvUoRWAXrPb+Tc5uFaInMK/MyKyWrPZRKPRcHoYpvFt\n+PHb4iwiN5GHokLVP0+IIr1OOep26h0REZFTrrv/Jjx4w5eE142OjvqqqKAZfhYXF/H5z38ea2tr\nCIVC+N3f/V38wR/8Ae666y688sorAIB8Po90Oo3PfOYzAID77rsPP/nJTxAOh/Gnf/qnOHDgAADg\n6NGj+MY3voELLrgAH/zgBy3+sTbX/DD8EJGTGHzIDPw7IiInObXm5/vf/z5+9KMfAUArg2xsbOCu\nu+7C4uIiJiYmcMsttyCd3txU/Qtf+AKef/55vPvd78bll1+uerua4ScajeJP/uRPsHv3bpRKJXz0\nox/FZZddhltu2erw9OUvf7l1p2fOnMEjjzyCz372s1heXsYnP/lJ/OM//iNCoRAefvhh3Hnnnfjm\nN7+Jl156CTt27Oj7l6I39kQiYel9EHndbVd8XveYZ1fzmtenYs4uHdSqzPCkkYiIqD+5XA4DAwO2\n3ueLL76IH/3oR/jUpz6FaDSKO+64A5dffjn+7d/+DZdddhne+ta34jvf+Q6+853v4MYbb8SLL76I\n8fFxfPCDH8TnPve53sPP8PBwq2nAwMAAZmZmsLKygtnZWQCbcwAfffRR3HrrZoepxx57DFdddRWi\n0SgmJyexfft2PPPMM9i/fz8ajQZqtRrK5TKiUXtm24VCIVvuh4h6ky87X51lJy0iIiJ1zWbT9srP\n3Nwc9u3b19q25lWvehV+/vOf4+jRo7jtttsAAAcPHsRtt92GG2+8EZFIBOVyGdVqVfe2DaeQ+fl5\nnD59Gvv27Wtd9pvf/AbDw8PYvn07AGBlZaXt+rGxMSwvLwMAfu/3fg+f+MQncMkll2Bqasro3fas\n3ylvRjbo9FobZOqe3t8B/wasd/PP/sLpIRAREQVWNps1FCrMtGPHDnzta1/DxsYGYrEYfvWrX2Hv\n3r1YW1trFWay2SzW1tYAADMzM6jX67jtttvw3ve+V/O2DYWfUqmEz372s3j/+9/fVvb62c9+hquu\nukrze6Xqy2WXXYbLLrvMyN2Zwk+bMRH1ykib6IrFHVy0ptY9ObeGS6azPd+23j5BxUodyXik59sn\nImP0ppiywkrkbXZXfmZmZvDWt74Vf/d3f4dEIoHdu3cjHG6fZq+c4fX+97/f0G3rhp9arYZ/+Id/\nwO/8zu/gda97Xevyer2OX/ziF7jzzjtbl42OjmJpaan19dLSEkZHRw0NxGxSmezhhx8GAFx99dVt\nXxMRERER0Sa1c+adO3ciErH/jcRrrrkG11xzDQDga1/7GsbGxpDNZrG6uorh4WGsrKwgm+3+DVTN\n8NNsNvHFL34RMzMzuP7669uue+KJJzA7O9sWbq644gp87nOfw1ve8hYsLy/j7NmzuPDCC7selBmk\nNCj9B0paX9//FbuHROS4oVSs47LFjbJl9/dfZvT34DmzVOj59o2sGXKy8qP3bjcbMhARkVuonTOf\nPn3akfCztraGbDaLxcVF/OIXv8Add9yB+fl5HDlyBG9729vw05/+FFdeeWXXt6sZfk6cOIF///d/\nx86dO/GRj3wEAPDHf/zHeM1rXoNHHnmkY8rb7Ows3vCGN+CWW25BJBLBn//5nzvWdKDRaPhqQyai\nXojCTre+cuojPX/vf5nR7yZHRERE7pVOpx3ZPuazn/0scrlcK1OkUim87W1vw1133YWf/OQnrVbX\n3dIMPxdffDG+8Y1vCK/7y7/8S+Hl73jHO/COd7yj64GYrV6vc58fIhfQa5X92m361aFejWfilt02\nYKxyo1X9OXj4EKs/REREArfffnvHZYODg/j4xz/e1+3a03PaAfF43LaW2kReFg9bt0+PXvDp1zvv\nf4/m9UaChdULtY/ceC8XexMRkWetrq5icHDQ6WGYxrfpwKndaImoO/95btWy2+aaGyJ30Hqs8c0B\nIndrNBq+2jvTt+Gn2Wza3pOciLyt25MwvWlrPKkj0sfqKJHzHrzhS6rXjYyM2DgS6/k2/NTrdRSL\nRUvv49jdk9zkMuDc/Dfwf//71wEAZ5atfRxo0WuW8N4LP9NxWaFqX6MSM064eNJGRER+1mw2O/bY\n8TLfhp94PI5MJuP0MIgsJQWcftz8s78wYSS9sTPoEBERUfeWl5cxNjbm9DBM49vwEw6HHWt1fezu\nydbnbq0KkHnk/98i/BvoTb4S3G6N8moS1yUREZGT6vW6I/v8WMXX4adQKFg+T1HvxJfIKfHoZol6\nKNn/Xj9mEE1xA4CFvPbavGun7sAPXvmYFUNyBU6bo6DjY4DI3YaHh33VQdk/P4lCJBLB+vp6z99/\n4OZ5BhtyPSngOEUt0HRjIh3TDUBBxr2AiIjISY1Gg+HHC2KxGLLZrNPD8D0GROoFww4REZE3rK2t\nYXLSP+d7/mndoBCNRlGvq68Z0GrpR8Yw+LjfYq7i9BCwkK92fBAREZE3NBoNrvnxgmg0inze2t3l\niYLuK6c+Ipz6Jm9xfe3UHZaP403jn9S8/qHFj1s+BiIiIj9Kp9Oc9uYFkUgEGxsbTg+DyFJ6C4W/\ndcNXdW/jWzd8Fe+8/z09j0FvLx+r6QUfP+C6HxJpNJoIh/2z6zoRuRO7vXlEJBLB8PCw08MgCjy9\nTm1SZciqPX9Y9SE/azSaHZcxEBGRmYrFIsOPF0SjUcf2+aHgctuePv1UdOxiVuhhyCHaJAUieSyK\nMBARUY+azSanvXlBJBJBqVRyehjkA24LNH4XDXWepF0z8Xf48cL/Eh4flNDDqW8kV61vvmkQETxe\nAKDebCIsu64uqBAxEBGREeFwmJUfL4hEIiiXy04Pw9e4FxKZQRR2iMiYerM91MjDUKMpmBLnssdb\ntd7AD9/19dbXv//1dzk4GiJSajabXPPjFdFoFIlEwulhEJGOHy/8L1wz8Xd93ca1U3fori0iCgIp\nDMlzjzzvSIGoUN7aCiKbitkyNiWpeiUnD0K1+tYPcd03323LmIioXfP8c0Y47J/dcXwbfiKRCNf8\nEPlMN22zv3ztP3dc9r4fvL/1+Xfffrjj+rfed2Mvw7INp76532KugvFM3NL7EIUGLcoCkLL4s1Zo\n33vLrDBUrLTvtZeM9/7O8YN/9DXh5QxFRNaq1+u+Cj6Az8OP1ian1L2gTnE7dvek6et+rhy6ve3r\nx9ZvNfX2/aCmOGPTCz6isCOXTcaEgYfIbNLmwlaFoEK5jlRCO0go1/jI1/cIZsO1WStUO44ZTvcf\niJRhqHy+2Ul6wD/TaYj8ptFoIBZzpjpsFd+Gn3A4jGaziUaj4bvEaqegBh4zKYMOdVIGnV78y7GX\n8ccHZgAAx8+tC4954+6xnm9fmo6jXJOgrMTo7b1E/iWFHtHXUhAyqzIkn7YGoC0MyaeLSUQND7qx\nmm+vDumFoVyx1vZ1NKK+1ihf6nyjkoGIyB38tt4H8HH4CYVCralvDD9kJlEgtKojXPG5mwAAyb1f\nMvV2RYHM7dWnQrWBVEz7sawWeoz67tsPt019k68/kHNy6hmnvnnTYq6CJ+fWcMl0VhiK+iUPQ/Go\n9uNkIdfZDCibbA8zTbSHpRD6a5SgDGRLGxUMa0yvy5fqSOg83onIen6cReXb8ANsNj2o1+uO9ia3\nYsoU2c/KCth3f/wMRkZvMv12ldPElvI1lSOt8abxT7Z97YWW1KlERDXwSPSCx5Eb72X1J4CUVR81\nT86ttT6XByF5ZUj+tZJyfY7IubX2cLMtq9/8Z624dbuZgc7XTGUY0qtglWtb65ISKmFsVfCzaAUi\nIrJftVpl5cdr/JhYyXu+++Nn+vr+4nM3qVZ/Lhn4BABgdsS57obKoKN2jFoAemjx44Zuo1+PnF7q\nmPq2nK/IPgdmR5Oat1GtNxCL9P6OtBSeeg1IrPq4j5HgIw89ysukEKT8WiIPGdV6EzGNKWQr+c5A\nIQ9DRoKQUcqfWy0MyYMQoB6GgK1AtFHaerPmgm3pXodIRH0KhUK+657s6/ATiURQKBSQSqWcHgoF\nmJHgs7Kcx8io+gv8la/aBuATJo7Kn46dzeHA9ozmMfKwo2TC0iNV3YYWUQWJwcefpNDzwMlFXL9/\nvC0EAZ3VoKpsCplWEBI5t1ZGubr1pmBS0DhBClAjKut61JrNSePMleRVpM7bKNcaOL2cb7tst8bz\n37Pn8sLL//qhD6h+DxGZo1qtIh63toOl3XwdfgYHBxGyYUM3Tmsjs5ybWxFe/r25Fbzl9y62eTTG\nGancmDHtTW3dT6HaW1t7Udh5aamIHWPq1R8jVR8puGiFFbXpcaLvYehxr16rPkoPnFxs+1cegoDN\nIHTkxELb10D3QejUUg47hrbeECwqGifIw5CyiqQWhrTIgxAgDkMAOsJQuVHHzCDfuCSyw4M3qK8r\nPnv2LHbs2GHjaKzn6/CTSCRQq/W+zuHAzfPsdkaGaK3tmr7iq5g7+p7W1/k18buYlZKxNQNWiEfD\nuGr0k/jZsjnrcuxY32Nm4DHq97/+LsNBpJdKT7+3Qf4hhSBgKwhpBSMA+NVLq63LtCopL60X2r6W\nhyFRpziJFIaqtQbGMurTYNbOH5cVhKVcqYoXlgsdl4u8vNF5HAMRkf0GBgacHoKpfB9+5ubmsG3b\nNuH1D97wJVx3v/kLzYkAZZOE/4vUpVc5NhYAGEtHsZSv6XaC6lU/gefKodtRrGyGmWRcPD5pqk0v\noeeU7GTr1HIB1+8XPyeIKFtbm91tjQHHu8yq+uh54OQiHvr1HN70munW18BmCJLfhzRtDmivpEhB\n6NRSTnj78jC0d3TQ0JiWFB3jRGFoTVE5EoWhbokCERFZJ5VKcdqblyQSCWxsbDg9DE9j9cs4M39P\nhVz3L/BnVsq6TQ+sCj7dMrL3UZeb2Lc8+tIaJjROsvIV/SYoLy0V8Wc//NPeBmAQW1aTRF7l0fLQ\nr+dan7/pNdMd1SH5bUlfA51TyrT84uWl1uevm+ncF6taEz8wpTC0dn6aW1ywxYQUhp5d3RzPBcPi\n6tR/vLza9vVvT2mv4yMi61SrVTY88JJ4PI5sNuv0MIhUFZ74Wevz2EWv0zz23vt+iUNvv1z1+qdf\nWsHsyHbTxmaFfkNPpaZeHdL6PmXguffJORy6ZFp47LFzq8LLzcAW2P5hV9VHjRSEpGrQR+99vHWd\nWjD612eWW5f9t32jHbe5WGyv5siDECAOQ2oqjfYHpCgMSSFIohaGfvVKZ8XqB698zPBYiKh3pVKJ\nlR8vSSQSqFScW0dBJCcPOiLVE7/QDUDAZsjxs0qt0apQVVTeZZaoBR4j1R25bgNPt1WbXkOP9H2s\nELmL0T19jDBS9ZFXfNSuO3n0OPZf8eq2y+TT5JSX6QUhEfnj5FVjQ8JjnlhYx6UTnddJYeiJBfWN\niKUwJE1t1dvUmIis1Wg00Gw2GX68xOrwwy5vZLXqiV+0ff30S3ssvT8zmx70o5fQI/+epVoDY2nt\npze9wPOhy+7C5x6/RfMYI7SCj1qIYoXIvXrZzFRNv8FH6eTR4wDQEYLUbk8ZhB769Rxuecs+Q/f1\n1NJWiFEGIWXAEYUhNS+sblWflOv7GIaI7FWr1RCPx23pnGwnX4efSCSCZrOJer3e8+60DDhkJ2XY\nMVsmEUau3Jkc+tizU5ORaW69kKpDeiFJy4mFAi6a6OwcZTTwWLVmRxR8uD7IHcwMPmaSQo/oaykI\nKYMRIA5Cd31va1+yboPQiYWCcL2dVrWnG712eCSi3vhxjx/A5+EnFAphYGAA1Wq15/BD5FY1wYn/\nD345h2svF69lkVgVdCRWBR6lfoKPiBlVHjmj1ZtujmMA8g+jTQ70KIOP8Pq5k8D0/o7j5UHonnt+\n2HFZL0FoQdHhTR6Gnp4vdhx/8aT6nlpq7GilT0RAsVj05fmzr8MPsNn0oFqt+q5HOQXTfx7+Bg78\n9z9yehielY63P4mbHXgATlnzKyeqPt1MedM1d3Lrc2UQMhCO/vPMVnOCN+42Po1NGYaU5IGolyBE\nRNYpl8tIJv33uPT9BNpYLIZ83nibTyIv06v6iBQrjbYPuyo3VlvKb21wnI5HOoIPAPzhrk/3dR/y\noHPw8CHLgw+DlTPMbHBgO3nokV+mvFxw2cmjx1sfco+cXm99SE4s9L//ztPzxbbnIiKy3oM3fEn1\nulAo5Mvw4/vKz8DAAHI58cZuZAz3+nGvRqN9N/bvH30Zf3DFjOb3BOmkQhR45L79wt/2fR92BxJO\nf7NXN8HHrCYHgLGqj96UN11qwUhyvhq0/4pXC9cHAWgFoOv3j3fsz9Mv+XOVvL299AbNY+u3mnp/\nRNSuWq1ieHjY6WGYzveVn2QyqTnlTSvxErnK6DQwOo1Go9n66IVeF7R+uemE5MWVsvDyb7/wt6YE\nHz9idWnTYq5ievAxypbgo3Dg5vnOBj/nq0HK+3ro13PCMf6XmeHWh5K8EtsLUUXoyqHbWx9EZL6l\npSXfbXAKBKDyE4/HUav196RL5IjR7qewkTo/hZ1uqj/dHiv/1w8VJinAjGes61hkNPiY1eTAMFFl\nR0AeeuSfyyv+Wt3i5JUgiTwAmV0RElEGIDe9CUPkVZFIhN3evCiRSGB93Zw2m0HFKW820wk9T/zo\nEVz6u2809S79fKLw4krZlz+fkVAjDzNGj+32Ptysl7U63X6P2W2tTWtyYDD4aDlw8zyO3Xt122Vq\nDRHkwe76/eNt3/OBK3e1Pr/zyLN9j8sIhiGi/oXDYVZ+vCiRSLDyYxBDjkssz3Vd9QnPfEH21R0d\n14umnPBkwPu0wokyzGhVc4Iw1W0xVzG9+uNUxcfMKW/97GV38ujx1nogefVHKwh99OAFrc+VQUj+\nnGT2VDb57fG5j0hfs9lsbXLqN4EIP+FwGM1m03c71PaCAceDBgY7LmoPO536nV/fr8fWb3XVPPwr\nh2737QmPKABphRmvV3P6oRWAnK74ACau9emi6iN/TVAGoc3rttpga1GbAicPQpdMZ9uukwchAHjn\n/VufKx+vZj6fsCpEpK9SqSAcDvvy3Nn34ScajSIcDqNWqyEW69x52q8YctxHOrHQ/b8ZGBQGHs1v\nWbm59fmRlQUAwKW7RrobIHmWPNAYqeIYPd7rIWk8E9cNNVa3sTazu5vVpOcmYeMDoKsQBGwGIXnl\nRwqMyhAEAO+8/z2at2ukKtRr5YhVIaJOxWLRl22ugQCEH2Br3c/Y2JjTQ7EMw467dDWVpMugA7SH\nHTeys+pTqW92f4pHfN+8UlO3U9eCMNUN6AxA/U5/c6riA5jf4U2N6uuJog22fM2PiPRzKae+yX+H\noiCkx64gpLwdoiCpVqvIZDJOD8MSgQg/qVQKGxsbvg4/5CFGwo5y3U+t/d3pnz/4CF5/XX9ND8ye\nCuZE4DGiXNs89rLUrXi84J6peG7nlqqPVd3aeqn4dBt8zFzrYzj4mNDowOj9nDwK3QAEaK8B6jdM\nGnkO62caLqtC5Gda272Uy2UMDQ3ZOBr7BCL8ZDIZbGxsqF7/4A1fwnX332TjiIgMqHV3clYoKALS\nb87h9b+1zcwRCbkh9DQFWx5JoYdIXv3RmnqlpZuT9G5Cj6nT3ewKPjKiFtgSUQtsrSBkFbOeo1gV\noiA5e/Ystm/f7vQwLBGI8JNOp7G8vOz0MCx14OZ5Tn3ziAMffA7Hvri384po9+9sKwNPN8x44bYr\n+MSjYWyU25s4KAOPkbDD6o8xbqn6mEkZXp6cWzMcgKwKPp6mWP+j1gLbqNuu+PzW50f/qvdx2YhV\nIfKzWCyGVCrl9DAsEYjwk0qluNcP2erY3ZP66356CDtIbzUx+PlDv8GlV1ygcXAnL71Ax6Pta3gG\nE1FslGvCKk+jsXlhOKzdlUY6jrS5sSNcP2t1FnMVXDKd7WmKlZXBx9S1Pg5UfdRILbB7ZXYQMrr+\np59W237uKEnB02g00Gg02PDAy5LJJBqNBttdUwdRQLGlgmYk+BTWgYld+sfJ7N/Z3uHN6hdjK1pa\nK0OPJBLurPYow0yj0RQGIPlxlwx8Ak+W/nf/A/U5rX2BvERrbY9W9cfq9T2eDj4Gur4BnZ3fenHb\nFZ83tRKkFnCUz5VW7jlE5HaVSqW1VYwfBSL8RCIRJBKJ1n8mBVc/G/rZZqi7efDKwCN3YeRjOFXv\n3PTUrZTBR62Bm6iCU6rWAQCpRFT1OL3KEHXyUgjSa44gqv4oA5AV3dyU3NbdzWyiqo9yHyCj632s\nnAJn9M0hK/ccInKjjY0NX28PE4jwA2xOfSuVSgw/AdNL2LFj/dSBDzyNY/dcvPlFl2FH8ofvuMLE\nEVkrHg2j0kUDArXQkxmIYq1QbbtMCj2SQrmGgVik7TJl6OHan+AwstePpNfgY0WDA6dBX3DWAAAg\nAElEQVS6u+k+/xms+qhRa3/tJawKkd+VSiVks923ofeKwISfZDKJ+fl5X/9nBp3bqjpa6342Ty6W\n9U8kynkgke64+MBb/xWn6v+KCyMfM2Gk/VGb+qas4hgJQGqhR9TkTRl6ACCmuE+9KXDkft2EF+lY\nrfVBourPnUee7flk3E/BR2J4Q2YBI2t93vSaacNd39zeCIHrfMirtNpcNxoNpNOd5x5+EZjwMzg4\niKWlJdXr/dDuOmgd39wWdrSY8f9y4K3/2tP32T31TW3NjlbwScY7v0dtKx8joafebCKmSFLK0MO1\nP8bZPeVNGXaUm2J22/hAK0BJJ+EPnFzsOgA51tLaIsrn1I7XlD6rPmqk36Pe718KQm4MQUR+Ui6X\nfdvpDQhQ+EmlUpzy5mFeCjpyuqFn7qTmCcWBQw+bPCJrjKU3n0py5c7EsrRRbvs6M7A5j1gUeAD1\n0CPZlh3AubUSgM7QAwDNZmcTBDUMQP6lV/2588izPd+2Vc0NAOerPkr9VIFEtBofMAQRuUMul2P4\n8QNpzY+f+L3K42TgcbKK1k3gOVW/w9Gpb9dOqVeUlKFHohZ65te3jh8b1H6jot/QQ8Z5odGBnFqV\nR7pca12PkeqPX4OP3vPt/hveDkB7jP20t5ZzYiNUItpUr9cRCoV8XTAITPgZGBhApVJBvV5HJBLR\n/waXcjLwmP0OIG2yqrpj1VS3XgIPANTq4jAiDz16pJlsE5k4Fs6f4CpDj9TOvt5sImKgtT2rP8Gg\nDD7X7x/vOsj4NfjoOXbv1dh/vr+KFHB67USn7Pqmx2g1iIjMIU158/PWMIEJP1KK9fs8RrN4dZqZ\nV1j1+7VybY9W6JHsHkvi9FKx7TJl6JlbKWF6ZEAz9CxtlNuqP6JGCMrQA6DjybpUrXd0fiNvk1pT\na637kao8R04stB1rZKNTUfWn29ADWBR8HKTcuFQZgrqt+qiFILXLldWgj977OICbkNzbuWi7+Nzm\n+l3RdUSkrVQq+f48OTDhBwAymQzDjwCDjj28GHh6sXssiVPzBdXri5Wa4WqPKPRIa4LGBhOtSpMy\n9FRlzRX0AhCrPtoOHj7kualvyiqPtP5HOR1OrfojD0CuCj4WVn3UulMeu3sSOJ9DREFn/xWvNvwz\nKAMU0H0IeujXc22/X62gI12ndj1RUGl1ejt16hQuuOACG0djv1BT9PapT506dQqVSgVTU1Oqx3ih\n41u/087MOAm3Y+qbG0KZWT+nG34WMxip/gDoCD/FSq3jmExSfQO1yaHOucaiRgjL+c61HVVBVzlR\n+GHo6Y0dQUi0Zqebjm/K8CMdL92usvqjDDgP/XrO8LQsJS8GHyX585VWtzfRFDi1CpDyZxUdJwpH\ncm96zXTfXfMYgoi0w8+JEyewa9cuzXNlrwtU5SedTuO5557z9X+okhdPur04ZqdJJyhW/u5OP3wI\nX8QxAMAH/+iAoe8RhR5APfgYDT1S2+zBRBQb5c37EIUeibL6w+DTu4OHD7U+d6oiZGTqm2iKm5E9\ng6ST614CkGXtrG1e56P6fKLoTikKb0anwakdp/X999zzQ93b1gtQnBJHpK3ZbPp6jx8gYOEnk8kg\nHu9ufwgv8WJo8OKY3cKO6tvphw/pHySgFnokuWIVwFYIEoWeYmUzzMj3DRLtFRSLhFEoa9+fhKHH\nXFYGIa3qzPX7xzUDkDLk6IWlrTUk7boJQN0GHzc3OJDIp8K1nm+k8ejs+SMPMVo/q14I0rpOq8pk\nVuc5oiBpNBoolUoYHBx0eiiWClT4SafTaDabnu/4BjA02MWsltdq8+l7uR07aIWe2V1jut9/6ewQ\nnjizrnucVuhRUgafsuzrVCKqG4BEm6OSO+hVY5R62ZBUMp6Jt1WFelnTI5HCkR+DD9D+OtPxXGg0\nBN1/n6HNUU/ef9/WF4rjTx49LtwTTRmC5L/XXhsxEAVZLpdDNBpFOCzeksIv/P3TKYRCIQwODqJQ\nUF+M7QUMPsFkJPj0G45OP3xINfjM7hprBZ/v/eKM7m1dOjukel0yHkUyHsXcSvveW6LgU6k1NIMP\nYHxPHyf3RCL7XTKdbftaGbLkwUetwqMWbOTT44w6efS4Jzq7qTlw87x4KpxeSNM7Rnmd2vEql7d+\nrxrXyX/vnPJGJFYqlTA6Our0MCwXqPADbE59e+GFF1Sv11oERlvUApj04mg0oHHPIHP1EoylwGMk\n9PRLCj1KxUpDteIjV6412oJPo9FsBR+2tCY55RS3J+fW8OTcWtdVJiVltzGjug49Lqr6GLpOLawo\nv+7m5+omBElfa9yH18MnkRm0znNLpRKy2azq9X4RuPCTzWYRjQZqtp+l5GGHFSltVnXp6/X3byTw\nqIWecDiE7x99Wfc+pOqPWugB0FH9EXnsxHzP1R4lVn/MZ0fjA7VpbkbCzAMnF1tVHq19fvSqP702\nNPBa8AG0n69UrzMabuTHGT2+2/tTuc6qTaWJ/KBYLGJoSH3Whl8ELvxkMhnU65z7bwa9k20jJ+Ne\nCExmjNGKcNjrbWqFHsmZF5Y6LguHQ62PbqiFHiMeOzGPx05s/oyPP7t58iqv9ijpVX9O1e9w3b5I\n1DutICMKRcr1Pd2sG5I6jXXLi8FH0vMbNt2EIDPoTalz0e+UyK0ajQYKhYLvmx0AAWt4AGw2PahW\nq75oeuBFXgg7ZrHiZzXjNndffa+hLm5nXljC7K6xrsOO0oWTKc1NT0fS4g5cUuiRXLJnTLfao9XU\ngKHHPFKlR97tzW7KpgfSRqZGiVphA517yfQzTcqt+/h0Q9n22rNTledOBur1h6hbxWIR6XTa980O\ngACGn1AohHg8jlwuh+HhYaeH43tBe7Hx28+rF3y+f/Rl/MEVMz3fvij4KEMPAMzP5/Hj+TwA4JrX\n7xTellrwYeixjlP7/ADtU9AOXjTRcb283fX1+8fbqj5S62vRdRK1PWyMdA/zcrVHjdSx0qwOmETk\nLoVCIRBT3oAATnsDgLGxMc2Ob2x6QEp+a+Cw+2pjJ60vPq/fBlhr7U+u3ECu3MC27EDb5SPpeEfw\nkU9xk8zP5zF/PvSoKVXrbcHnp4+exk8fPQ2AwccPpPU6D5xcbDUbUK69OXJiQfX7lR3f5EFHeZ0R\nenvW+HlBvR2bKffKjGnYRH6ndX4bpPATuMoPsNn04OzZs04PgzzEK6HGbqmUeJpRrizu3Caq9Kzk\nN9+dv3B2sxJ76syqZuD58c9fbFV/lNUeKfRIn8+8TvVmqEdmVnukyoxo+pnR/Xd63fNHWf0RbXLa\njZ5DjweqPiJumgYnjcVNYyLymrNnz2Lv3r1OD8MWgaz8ZDIZ5PPa7yYTHbt7svURVKlMCouLnVXS\nVCreFnyOPLX5zrtU6VGq1BqawUdOr9IDaFd7yL+U3diUFSBlkwP5GiB5QDJS/dGa3ibaTLNrHlyI\nL6qeuLGiwu6jRN1pNBpIJBJIp9NOD8UWgaz8pFIplMtlNj2gDmYEHWluvNtpNT5IZVLiy1UqPYC4\n2qPcnFQiCj33f+9Y6/PxafE7+a/85PsAgG//BPjD/+d/AIBq6Jl53WHVsVKwKJsbPPTrOVy/fxxP\nzq3htqN/BQBI7gWKz93U1e1KwcePa3yU9Pb9cepNoq73IyKiDsViEalUKjDnxIEMP+FwGIODgygU\nCshkMk4Ph8g1egk9w4Ob1z17LocLtm09nkTBRy/0aJGCj0Sr0sPgYw07GxyoNSJQI5/GJiLdllQt\nkqa5JTVmeey/4tWqwebk/fcB0/sNj6/Fg8GHiLxPa71PLpcL1PlwIKe9AcDw8DCbHlDg7b76XqQy\nqdaH0uhoEqOjSWxslDuuGx6Mt4KPXKXW6Ag+UjODU2dWcerMautyUfCpFvJ45dQLra9f+cn3O4IP\nACw+8kMsPtK59wqDj3mO3Hhv68PNtEJSN+2vk3s7n/c7pr91u0Gn6Ps8yI1TgFnZITLHc889F5hm\nB0CAw8/Q0BCKxaLTwyCfsuskwar7kUKPnBSA1EJPJBzC6YWNjstFravv/96xjuBTLeRRLWyt93nl\n1AvC0KNm5nWHGXxMpAw8bg9AEuW6n4OHD+Gd978Hj63fKjze8FS3fsKLh0OPktpzDoMIkXeNjo4G\nKvwEctobsNn04IknnsDu3budHgq5iFf2sDBzjJMH/hnzx94PAB2BRy6bHUAmGeu4PKLYC2hupYDp\nkZQw9Lz80mbVJzuWxdrS5hoMeeBpecn4GorFR37IE6+AklpLf+ADv992uZmbr+qu55k7qT39zUfB\nR6K2rtHubmtubr1N5BWNRgOlUikwzQ6AAIefVCqFWCyGWq2GaDSwvwaykNmND9ROKMy4n9+6aLPB\nwLmFziCSle3RkytWWwFIGXokL89v4OX5zgqQFHzk+g0+Eq80mQgaqQrTzdQzJWVHN6AzkNxzzw/x\n2KGHDd3em14zLbxNSXLvl1B87qbumhiIApAPQ4+cVvCw+00khiCi3q2trSGZTAam2QEQ4PATDocx\nNDSEjY0NDA8POz0cIlVWnkSMlT6sel1WsTGpRC30SGYmBwGgFYBEoUeq+rTpIfSQNcye4qaciga0\n7+2jtmZHK6T04rH1W3Hl0O0dlxefu6m13ufYvVcDEPwtTu83Hmh8HnyMcKKKzjdBiMS01rE///zz\nmJ6eVr3ejwK75gfYnOOYy+VUr2fTg2Ay68XTzhfhbk8yxkofFgafbRNpZLMDqsEHAFYFHdtEXn5p\nVTf4xFLny+x9Bh+e8FA3lPsFnTx6HMfuvfp88OmRtCYoQMFH73HnxH47Xpi2TOQmQ0NDGBsbc3oY\ntgp0+BkZGcGZM2ecHgb5jJs32FMLPd3SC0DJeBRvfsPutsvWltY6Kj7VE7/oK/i4+XdN9uoluEhr\nhgzrpbW1jxkNGnyMErlTs9lEPp/HyMiI00OxVWCnvQGbaTeVSnHdDwHo7x1DN7y46035MBp6BuIR\nlCp1zWOG0+I1HMl45+NIOMUN54NPH9zwO/cLr3RyM4M09a3rjUlJyOhUM7uaIfB5gci49fV1xONx\nxGKdzYz8LNCVH/m6HwqufvevsPrF1qzbXxr4+75vYzgdFwafZDwqDD4i1RO/6Cv4sNpD/Xps/VYc\nMNggoQOrPx26eQ7lY5fIXlpLOM6ePYvR0VEbR+MOgS93jIyMYGVlRbXpwYM3fAnX3W9wHwjyDL/N\nCzf7hEJU/emm2vPTR0+3PpfaWvdb6QF44mSFIFV9TBGgNT3dcroKxOcHou5EIpHArfcBAl75ATab\nHiwsLDg9DLKJFbuU2xGk1F7Uu62CdFP9GYhvtr1Uq/a8dDaHl852NgyRBx+JmcHHb8GVurf/ildv\nfSFrNGDF47vjfkiT0d8/H8dEzmo0Gsjn84HseBz4yk8mk0EikeC6Hx9z+kX22D/NAgAO/HV/zTV2\n/P5bAAAv/fB7trzDORCLYCDW2fdfFHgAceiR1vvELnpdzwFIFHrY0tZ9RO2s+yXtySNcn2NCEDlw\n6GH9RgkMPKaz4jmZzwdE3cnn84Fc7wMw/HC/Hx+ze5M95YuvFHr6tXz8z9q+7vdFfmng7zWbH4yk\nE21fFyu11udGKz1AZ6ODXgIQqz3WsnrKm3wvHyX53j5a+/n00pjAlHDM0NMTvd87gw+RfbTW+ywt\nLQVyvQ/AaW8ANtf9zM+rP3lyvx9vcuoF8dg/zQqDT7dhaPn4n3UEH+nyfrxtx6fwO/vG8Dv7Ouf5\nKoOPRG2K24vPL6JaqaJaqbYuU2tpzeATLFrBx7UCuFeP2fh4JfKGWq0WyPU+ACs/ADbX/Zw6dcrp\nYZCXReOWVXqU4vHOqWiS9174mdbnXzn1kbbr3rbjUx3H/86+Mfz7M0uqoeeJZzbfnU8k2u/zxecX\nRYcL21r3Ot1N6ySK7/S6ixVT3mzDoGM6tcobqz5E7tBoNAI944nhB5vrfmKxGNf9UPei4g5oHQYG\nAQDH7rkYBz7wdMfVxee2Ogomk0CxWO04Rh56Np75Hxjc9/+1vpaHHvllXzn1EWHokTw5t6EbfOTU\nQg+AtuoPYE6TAxGe7Jjn4OFDruj2Jq3tsRMrFNaSfr+s4BK5T1D395HwTB+b636y2SxyuVzgdrn1\nuwM3z1vzomsk9JwPPFrkoUeN0UqPiFrwyZyv5LxhTxYA8OjzWxUbUegpl+s4N7eiO9ZUJoVCrmBJ\n8GHoscbBw4cAeLDl9fR+Vm08wMrQw+cEInVaSzaWl5cxPj5u42jcheHnvOHhYZw5c0Y1/HC/HwJg\nSug5ds/F2H/NmzWPSSZjqNcb2nezcrPqdblyXfW6TEIcpkShB4Ch0CNZO3rE8LFalKGVJznW8lzw\nMUB50s2/IX/h/ydR7xqNRmDX+wAMPy2jo6N47rnnnB4GeVlYvULTDSlsjG/LCq8fH0+rfm8voefT\n/3IMADA63hna7A4+8hManty4l7TGZzxjcNqnC1lWFSZbsN09UW+Cvt4HYLe3lkwmg0gkgmq1c60F\neZupL5A1wcLucKQ9+FSKujdz8sc/7bjs3NxKW9hYPNfePGB8PN0RfL752OY6iVy5rhp8MomIbvDR\nG4sktyLe48fs4EPesJirYDFXwZNzax0fppN3YuuhIxuDjv/w/5SoewsLC4jH44Fe4x7cn1xBWvez\nsbHBqW9kjMmVHjValZ6NjbJq6NmeaV/ImK9sTaMThZ7lxY2OpgUSeejJreSQGckAMHeaGzmj3ylv\nakFHvo+PiLLBgep+PlzXQxqUjRWISHu9Tz6fx8TEhI2jcR9WfmTGxsY49c1njt09af67g7WKKcFH\nrboip6z+yG1slAEA33rkxY7rlMFH8ul/OaZa7Vl8aQ5r5xY6Lre62sOTFnIK//b8g1UgImOq1Wqg\nmx0ArPy0mZiYwKlTp9BsNhEKhZweDvXIFS+ClSIQT2oesvb048hefFnXNy2FHrlvPfIi3vnGnaqh\nBwD+4dtPCS9ffEncYlgt9DSe/U+YMalJ2QKXJ6L2kFd6pE5vZtOr+nTFxK5uXCfib/z/JdJWrVZR\nKpUCvd4HYPhpk0wmkUqlkM/nMTio36aY3MXW0GMg3JgVgJ4+PoeLXz0tDD2Sv/5vF6he97+/9qTq\ndaLgs3ZuAeH4gPD4xrP/qTFS40R7f/DExXrKKW69THnz9IamCq54o4SIyERaU95Onz6NbDaLcDjY\nE78YfhQmJiawtramGn647ofstjY3h41d6i0pF+Y3cOtXNqey3f7eA63LRaEnloihWq6qVnvw0ua6\niwaA8AWvbV1sdugBeOJJzuDfnfcY3SiVb54QaWs2m5iamnJ6GI4LdvQTGB8fx9mzZ50eBvXA9hc+\nva5ujTpQ2lC/vl4D6jWsHf+l8Oq1uTmszW2GlOOPPtFx/cL8BhbmxbevVu1ZmV/BxprKmF4SLzi3\notqjdhLDE1P3kTq6ETmN4Yaod41GA8ViMdD7+0hY+VEYGhpCLBZDqVTCwIB46g95j60Vh4b6XjsA\nNkOPBinwKB1/9Am8+g2XqgYeQHuK28q8SnMFi0OPxOjvndPfrNFvVzcpAEnd3S6ZFu9DRWQm5XOB\n2v5MfM4g0ra+vo5MJoNYTH1tcFAw/CiEQqHW1De18MOpb+6lfGG0/AVRvq5HLfSUNoCB89MoVYLP\n2vFfIvvqy1WDDwBMXrBHNfiUi1vrgVKZVNt1otAzMDSE0vq6avAh0vPk3Jq5jQ2IFNSev9kshUhM\na73PU089hUsvvdTG0bgXw4/A5OQknnvuOWzbts3poVAP9F4ITd/ZXa/SA+hWe9BsaAYflDYwf3xz\n6tvkq9ufvOTBBwAKuUIrAKlVe0rHH9UZsHN4IuNeRjcvVe7hIyLf12flu3+DkSvv63lc5E96VWA+\nVxAZ02w2MTg4GPgW1xKGH4GRkRHkcjnUarVA74BLBtUqQDSufp30b3Ko8/rm1sajWJ4DRqfbr9dY\nM6QMPXJeDD0AT2as0uuUN671IaexukPUv0KhgHg8jlQqpX9wALDhgUAkEsHo6CjW19dVj9EqLZL7\nGakO9fViW6tsBR+RZqM9+EiWz79jXtpQDT7lYlk1+KwdPYK1o0eEIYfBJ7is2s+HyC5shkKkTeu8\ndH5+nlUfGYYfFdu2bcPamhlbOZKX9Bx65BUetdBTXFcPPZLUkHaHuEoJa08/Lrxq7eiRtq+lsFM6\n/qgtwcfo7010HIOP9RiAyOsYgIh6s7CwgMlJPn4kDD8qxsbGsL6+jkZD40SVPE1+wq0Wero6Kdeq\n9BhR2tis/CwL1ktUSpsf58kDkFTtEd6kTdWeboOP/F8GH3sYmfpmxjQ3I+t9iHrFAETUnXK5jGQy\niaEhwdT7gOKCFhWJRALRaBQrKyuqPdHZ9c37tE68LXmRlXd+k1+mRhZ4lNaefhzYWDZpYL3ppw0t\nQ4+7SMFHraW1stkBO70REbmD1pS3tbU1jI2NIRQK2Tgid2P40TAzM4NcLscNoQLG8ncWpQCkFXqW\n54DBUfXr9TZYtYFWG1pb242TpaTQw7BDbsDnE6LuzM/P4+KLL3Z6GK7C8KNhYmICZ86cwezsLBNz\nANg6nUIr+GhRhp540pEgZLSdOE9U3KHfDU5FOL2N7MbnE6Lu1Go1VCoVjI5qvJkaQAw/GtLpNEKh\nEHK5HOdK+pwr55FvLLdXf9RCjgMByEiw4YlKMMn379E0d7L16ciVf23RaMgv+HxCJKY15W19fR2j\no6OIRCI2jsj92PBAQygUwvj4OE6fPq16DFtek6U2ljeDjVq40brOYq4MjNShl0YHRjczJbIDgw9R\nb9bX1zExMeH0MFyH4UfH9u3bEY1G0Ww2nR4KWchzL64Ohh6J535n1DOu9yEi8pZ6vY6VlRW2uBZg\n+NGRzW52OioW1U80Wf0hSylDjoubHVAwcL0P2YXPNUTqtM4/l5eXMTQ0hHg8buOIvIFrfnSEQiFs\n27YNzzzzDA4cOOD0cCioXBB4AJ6IeI3WlDdlS2tgq601kRvw+Yaod2tra9ixY4fTw3Alhh8Dpqam\n8OKLL6LZbLLrmw9x7YoxPBHxP2Vb6+v3j3f1/YabHRDp4PMNUe+q1Sry+TzX+6hg+DEgnU4jnU5r\ndn3jhqfew9BjHE9EgkO+vkf6nNPciIjcRWvK24kTJ9jlTQPDj0FTU1NYWlpiy2sfYOjpDoOPNxmZ\n8maEKPiwwkNW4z5hRL0LhUKYmZlxehiuxfBjkLTuZ+fOnQiH2SeC/I8nHt505MZ7cfDwIUPHmt7S\nWrZ3D1G/GICIulcqldBoNDAyMuL0UFyLZ/EGJRIJZLNZrK6uqh7Drm/kFzzh8Cap2mNkbx8iL2Cl\nnqiTXpe37du38416DfzNdGFmZgYrKytOD4P6xBN7bfz9+JvWlDcj+/lwyhvZjQGIyJhms4mXX34Z\n27dvd3oorsbw04WJiQlsbGygWq06PRQi0x24eZ7Bx8cWcxUs5ip4cm7N/OluRBZjACLapFX1yefz\nGBwcRCaTsXFE3sPw04VoNIrh4WE8//zzqsdw6ht5FU8uvK3bqW53HnkWD5xcbH0QEZG3raysYPv2\n7dyWRQfDT5dmZ2fRbDadHgb16NjdkzzJ18DfjT8Z6e72wMlFPPTrudYHwBbX5B6sShNpazabWF5e\nxtTUlNNDcT12e+vS6OgoKpUKyuUyEomE08Mhg3hST34hVXjkHd2MVn20prspg85Dv57j+h6yhBRk\njD4vM/gQbdKaXbSysoJ4PI5kMmnjiLyJ4adL4XAYk5OTeOWVV7B7927hMdzw1D0YerrDkwzvEIUg\no/qa5sZ21mSSAzfP6z5H8zmJyJi1tTXs2LHD6WF4Aqe99WBqagoLCwuc/uZinN7WPZ5keJNe1aeb\nDU11MfiQCeTPzVrPO3xOIjKmXq9jfX2dXd4MYvjpwdDQENLpNAqFguoxbHzgDIae3vAkwxuMBB21\nsMMOb+QmegGIz0lE7bTOK5955hlks1nEYjEbR+RdDD89CIVCmJqawvLystND0SWFgSAEgiD8jGZj\ne2t/kkKQ1Nq6F1zvQ1ZTC0B8TiLqTrFYxPT0tNPD8Ayu+enR9PQ0Hn30UUxPTyMSiTg9nDZqIeDY\n3ZO+fFFh6OmNH/8W/KyX6W1S8Hlybk1znQ+7upFT5K9LfE4iEtOq+hSLRUSjUUxMTNg4Im9j+OnR\nwMAAstkszp49i5mZGeExdjc+YAigbvg1DJM2Bh1yGz4XEfVucXERMzMzCIc5mcso/qb6sHv3bqyv\nr3uq8QEDEsnx7yEY9Lq7nTx6vO2DyG58LiLqXr1ex8LCguqb8CTG8NOHkZERAEA+n1c9ho0PrMd3\nDMnv+pny1ouTR49vdnZTfpCjpDV6dj7n2XlfDEBEnbTOI5eXlzE6OoqBgQEbR+R9DD99CIVCmJmZ\nwdNPP+30ULrixxcYBqDe8PfmDQcPH8LBw4faGhn0QjTlTVjpYdBxFacak/SyFqffsfrx9YnICs1m\nE4uLi9i5c6fTQ/Echp8+TU9PIxqNolqtOj0UnshSV/j34m1GO7r1taEpOUorSBh5/PYaRETfp3c7\nyu9hACLqn1bVJ5fLodlsYnR01MYR+QPDT59isRi2bduGxUX1Eww3Tn3z44sLT+aN4+/KP+Qd3aQP\n8jYzKj29fn+vYcnMMQD+fI0iMtNvfvMbzM7OIhQKOT0Uz2H4McHs7CyWlpZc0fiAJ7Wkhfv6eNe3\nbviq4WPvPPIsHji5yKqPx3T7+FTbHLTbqo3R43rZjJQBiMh81WoVAwMD3NunRww/JhgaGkIoFMK5\nc+dUj2H1xx48sVfH3417HbnxXt2mBr166NdzeOjXc7jnnh92rO9hZzd36OdNCfm6HK3b0Luul4Bk\n9XMKn7MoyLTOG5eWljA5OYlYLGbjiPyD+/yY5IILLsCZM2ecHgaAzRcMPwYbI4L6cxvBvTTcTwpA\nBw8fMnS83hQ3ww0O5NjswDZmPR77uR0zp7mJ9PqczOcqIrFms4lz587h8ssvd/03OpAAACAASURB\nVHoonsXKj0kmJydRLBZRKpVUj2H1x1p++lmswt+R+xip+HQz5a2rqW5sZ+0Ip7u32TUGBh+i3mid\nL66trSEWi2FoaMjGEfkLw49JIpEIpqam8Pzzzzs9FADBevE4dvckT+rJN0RVH1Ejg24aG3B6m7s4\n+XxlZ/CyuqpEFESLi4vYu3ev08PwNIYfE83OzqJYLKLRaDg9lK54NTgw9HSPJxbec9sVn2993k03\nN9GUNyK79dvAgShotKo+5XIZhUIBk5M89+kHw4+JkskkRkdHsbKyonqMHVPfGApIhCcW7tNrk4Mn\n59aE3dy6nvJGjuFzdDs+PxHpO3bsGKamphCJRJweiqcx/Jhsx44dmnv+WKmf0MMXYn/jiYU3GG10\nIA85UghiW2tyKyvbYRP5idYb5I1GA/F4HLOzszaOyJ8Yfkw2NjaGSqWChYUF1WPMrv4EtdLDF0xj\n+HtyJyNVH/mUNyOkttbyKW9c7+NeQXretmIjVKIgWVlZwfDwMFKplNND8Ty2ujZZKBTCrl278Mor\nr2BiYsLS+zL7hZOtkP2H/5/eYbTqo0Y18EjT26b393X7RP2Sb8PA5yYi46T21hdffLHTQ/GFULPZ\nbDo9CL+p1+v42c9+hn379mFgYED1uOvuv6mn27fy3UIvviAF6d3TXnjx/zRIrhy6ve3rx9ZvBaBe\n9VGb3qYbfsiVgvT4VD5XB+lnJ9KjNStobm4OKysruOqqqxAKhWwclT+x8mOBSCSC2dlZnD17Frt3\n7zbtdu04yWf1h8hZyjBE/hS051m+SUXUm2aziVwuh/379zP4mIRrfiyyY8cOLC8vo1KpqB5jdO1P\nUNf0GBW0k4hu8W9HX+Plv2x99OO2Kz7f9TodNb02MmDVx92c2uDUSWrPQXxuItqkdT64sbGBRqNh\n+VKKIGHlxyKxWAw7duzA/Py85zpzeLH6I59LTu289n/pNCkAhWe+0NX3yUOPVL2RprCpMVrl0QtA\n3NPH3fzwGOxlrY6R52Qvvt4Q2en555/Hvn37WPUxESs/Ftq1axeWl5dRrVZVjzFS/eELA/XKD387\nUuXTqnCrVu0xoxJ05dDtpk5jE3VzI3eSKjxefwwqH3tGH4fdPF75xhUFmdZ5YD6fR7PZxPbt220c\nkf8x/FgokUhgYmICzzzzjNND6ZrXXoy8Nl47uP2ky+i7wt1+j9JTD74FTz34FuF1euFmdbWE5eN/\npnsf8qqPskrz0K/nUHzuJhSfa29w0m8okgchYTtrTnmznV8CT796eZzyOZyo07lz57B3716Ewzxd\nNxN/mxbbvXs3SqUS6vW66jGs/vSHL5qd3P73Iv2faf3fmbFOQB561AKQmtXVUuvz5eN/hrHSh7v6\nfqBzOpooBPV6WxIp+Jw8ehwn77+PoYcsp/XY5PMxkXFa53+lUgn5fB4zMzM2jigYGH4slkqlMDk5\nicVF7+2+7vYXMb7Qinkl+Mi/7rbCY+T/XhR25FUgraqPPPgAwL4LRgEAY6UPd4SgXhocFJ+7ydrp\nawxAjvDj85Ha84kZVVn5fbj9eYvIbo8//jhmZ2cRiUScHorvMPzYYPfu3Zifn0ej0VA9Rq/648cX\n1V4x9PiTnVNlnnrwLXj68Rd7+t7/efUe1a5u8ilvRoMN1/CQ2+kFk36DD1EQaZ33VSoVJBIJ7Nix\nw8YRBQfDjw0ymQxSqRSeffbZrr/X6RN9hgzvcfP/mRkVHSPfY3SKmygAqVV9RK4cur3rVtRqtJoZ\n6E15A8BqD9mu39cnBh8isfn5eczMzCAWizk9FF9i+LHJhRdeiFKphGazqXqM/F0Ap0OPnFvGIeEL\npj63/Z8BFo5pcBQYHMWxey4G0P3anmeffgXPPv2K8Dpl8PmfV+8RHqfcj6ffSg6rQd7lxseeGcx+\n3uXzOAWZVtWnWq1iaWkJO3futHFEwcJ9fmwyPDyMZDKJlZUVjI6qv5Ps1xdOsp+b9s+wNPjIdBt8\nYvGtd9WeffoVjG0fUT1WGXxEXd3MJt3myaPHsf+KV5t++0TdUO6n1sv+am55TiJyq2effRbj4+NI\nJP7/9u40tq38vv/9h6QkapdISrJ2yZIs2ZZkWV5mkkzaAoO0DYrcIkHzoBk0BXoRBCma/jNFizYt\nkDRFUOSmBYop0BY3yO2/C/okyYMiDZIWzR8ToMg2yXhmbI89Wi1Z+y5RK8Xt3AcOGdkjcROXc3je\nL0CwZf9I/Ugd8pwPv7/FXeiuFC0qP3l08eJFLS8vJ6z+LL36xTz2KHWZLEucS5xAU2OGMJ3PPoQW\np+Jfmdhc2dbmyrakxMPdnvVs8Jl4/cHpy09n4KnV3LJ4v0CmMl2ggPdtIHHVJxKJKBgMqqenJ489\nsh/CTx75fD4ZhqH19fVCdyWrTg7RIwCZT9HOGatOHE5C6wsJ//9k1edZmyvbevXr/yf+fbKqz0kn\nw0muwsq7lrVmvg/y5Nn33XTeh83wYQxgZhsbG/J6vaqsrCx0V4oa4SePHA6H+vv7tb29XTTVH05m\n1lCI31Mhg09MaH0haQg6zeHijCTp1a//n6dC0GlSGe6W06oNwafgTm5uascPZez4mIFMJKr6RKNR\nLS0tqbu7O38dsinCT541NTXJMAzt7u4WuivnkmhBBqo/5pTL34vpQnBZ+VPfPjsULlHV5zQf+V9f\n1Uf+11clpV71OU+bTNqiMHgPeiLV58F07xWASSwvL8vj8aimpqbQXSl6hJ88czgc6uvr09TUlGWr\nP2Y7eXHxkbpc/O5OG/KY82Nkf+vs/3sm+Cj486WrQ4tTSYNPrOpzmo/833+l/+//+d/x7zNd5ODc\nlSCqPbAws51DgHxIVPUJh8Pa3NxUf39/HntkXw4j0RU4csIwDL322mtqaGiQz+c7s13ri5/LY6+y\nL5+hhJNperL1uyn4837a8LcE4efJ90eSpMqBG6feZaLwo/3tp77t/+AH43/PRpXm2RXdzrxPwo+p\n8AHMz6XznsDzBjtJFH4WFxfldDp19erVPPbIvqj8FIDD4dDAwIBWVlYUjUbPbGfW6k+q8nVhXPAL\ncAvKxnNmiuc9sP/09ykGH0k6HH/jXXeXTvBRNKKJ73xbE9/5dio9TcnJihBD3mBFBBrg3RIFn2Aw\nqJWVFVZ4yyP2+SkQj8ejqqoqra2tqbm5udDdsSRTXHzbQOx5PnlRY4rnvqTsyZ+xAFTb8PT/Pxt8\nThELQJUDNxIHnyQm/uPfn/ylNYtDFk5Wd07eL1UfACgaKysr6uzsVHl5efLGyAqGvRXQ3t6efvzj\nH2t0dFQul+vMdgx/ezdTXHxbXCq/F9M+z7Hgc5LTJVWf2KQ0QdXnVMEjydt6+v+dUvV5Sjj4879n\nIwARcCyHisfTkr138HzBLhJVfQKBgCYmJvS+971PpaXpLcSDzDHsrYBqamrU0tKi1dXVQnclp7J9\nAW3aC3ILsXTwOY3zZx8e7G8/+cok+EjS1tKTr3ScDD7Sk+AS+wJsKtF7DMEHeGJ8fFydnZ0Enzwj\n/BRYb2+vNjY2FAqFzmxj9bk/2WLGleasyPLB59mqj/OUqun+VuIV4ZI5GYKSVX0SIQjBxk7bEJXg\nAztJVPU5ODiQJHV2duarO/gZ5vwUWEVFhS5cuKCHDx9qZGSk0N3JmbuvNJ3rpGfqi3ELKbrgc5qT\nVZ79LamsIvX2z9paSnz7Z6s+icQCULJhcQQly+BCPnU8V8DTlpaW1NfXl3DaA3KDOT8mEAwG9YMf\n/EBXrlyR2+0+s53V5/5I6Z8ATX0hbjGWDz5S8qpPoiBzVohJNiQu0c9PJ/yc5rQgRPixDC7oAZwl\nUdVnd3dXi4uLes973iOnk0FY+cYzbgJlZWXq7OzU8vJyobtiKqa/ELeQogg+0tNh47ThbomcFnLS\nCT6xnx/rw3mDj8SQOIuzxGsGQN4lCj6GYejhw4fq7e0l+BQIz7pJdHV1aW9vLz4G9DTFMPcnlYsF\n5vZkX1E9nycDyEmpBJngUfqB56w+ZBNzgwDAFnZ2duT1etXUVETnZYsh/JhESUmJurq69NZbbyVs\nl+8AlO9hHUV1kW4yiZ5bSz7vJ0NMuoEmWyEIKLDYh0WWfA0DRShZ1Wd5eVl9fX1yOBx57BVOYsED\nE+no6ND8/Lz29/dVXV1d0L6kE3pibc+zrwMn7vw4beEJSz/3BBgU2HkXcznPzzVLXwCkZmxsTG63\nWz6fr9BdsTUqPybidDrV09OjpaUlJVqHItfVn9OWJz2r3cn/y3RfB0tffFvQyeeb5x6wDqo8gLkl\nqvpEo1EFAgH192dhI2ycC5Ufk2lpadHs7KwmJyfz/gJJFl5OnnBT/XQxWTtO4oXB8w5YRzqvV6o/\ngDmtrq6qoaFBtbW1he6K7VH5MRmHw6ErV67o8PBQkcjZmykWavGDZJvUpVoJ4tNLAMWAoAFASlz1\nOT4+1vr6ui5dupTHHuEs7PNjUvfv35fD4VBbW9uZbXKx708+TuSEHthJqnPiYB1WWAiGUAbkT6Lg\nI0k//OEPdeXKFfX09OSpR0iEyo9J9ff3a3NzU4eHh2e2yUX1J5cXaFR7YDfPVkK5ILU2focA0uX3\n+1VfX6+urq5CdwU/Q/gxKbfbra6uLt2/f7+gix9kC6EHeCJ2Ac1FNFJlp2OFD8lgNckWOVhYWNDA\nwIBcrjQ35kbOEH5MrLOzU3V1dfL7/Xn9udk88XAiA85GELIWq7yXWfF4evZcYZXnGkjk4cOHqqqq\nUkNDQ6G7ghMIPybmdDo1MDCghYUFRaPRM9tZbfgbYAfpXoAShJBItlbYtBLOQzC7ZIschMNhXb58\nOY89QioIPybn9XpVW1ure/fuFborGSmmEzGQLwQh4AkCEMwq2SIHi4uL6urqUkVFRZ56hFQRfixg\nYGBAkhQIBM5sY+bqDxdwQOYIQkiHVcMCxzeKydbWlgKBAIscmBRLXVvE7Oys1tfX1dvbK4fDcWY7\nsy5/bdUTMpANubqw43WVfyxznTuJHqtVHxOKU7JFDu7cuaMbN24w18ekqPxYRGdnp0KhkFZXVwvd\nlYxw4oJd5fLYP1kV4jWWHwTO3Em2MTZgBWtra2pqaiL4mBiVHwvZ2trSG2+8odHRUTmdZ+dWM1Z/\nOHHBrqxQKUBihQ6Wdqr+SKc/Xis/HhSXRFWfYDCosbExPffcc8z1MTEqPxbi9XrV1NSklZWVhO3M\nNP+Hpa4BWB3vYfn1bNAh+MAski1y8NZbb6m9vZ3gY3Ilhe4A0tPf36/XXntNPp9Pbre70N1JiAsG\n2B1VH2TDyMtrlvvdnuxvpq8DQg+sZHd3V5WVleru7i50V5AElR+LKS8vV2dnp+7cuZOwXaGrP1Y7\nUQNAMTDD/K9n3//tNmwPxSnZIgcLCwu6fPmyXC5XHnuFTBB+LKirq0v19fXa2dlJ2C4XASgVBB8A\nyC+zhJ6z3v85L8DKkg13m5qaUmVlJYscWAThx4KcTqeuXr2q+fl5hcPhvP1cO+4wDmSK10FxKfTF\n+1nHk9lDD1Dsjo6OdHBwoMuXLyfcigTmQfixKI/Ho6amJr399tsJ22Wr+lPokytgNfm4GIxddHLx\nWfyK4fd72nC4YnhcKG6Jqj6GYejx48fq7+9nkQMLYalrC4tEIvrBD36gjo4O1dfXn9nuPEtfnyf0\ncFIDfi4XHyDwGssvsy5gYYYPp85zLJqh/8Bpkg13W1lZ0dHRkUZHR6n6WAiVHwtzuVwaHh5OOvwt\n0+rPeU9InNCAn8t2hYbgk1/5ej+zYyXPTo8VxWN/f19LS0u6cuUKwcdiqPwUgfHxce3v76unpydh\nu3QqQNk40XNCA5LL9LXG6ys/zFrteZYZPmw67zFphscAxCQb7jY+Pq6Ojg61t7fnsVfIBio/RaCv\nr097e3va2NhI2C6dCtB5T2JcmAGpseMn/TgbAQAovGTD3VZXV+V2u9XW1panHiGbCD9FwOVyaWRk\nRMvLy1ld/S3TizEu4oDMEIRgZecNbhz3sIL9/X2trKww3M3CCD9FwuPx6MKFC1pYWEjYLpd7/3DR\nBmRPopXceJ3hWWY9JtINRGZ9HLCPZMPd5ubmdOnSJVZ3szDm/BSR2OpvPp9Pra2tCdtme/4PJywA\nxaoQQ9HSeU8121C5u680vatPVn48sA9Wd7MHKj9FJLb628bGhimGvwEAcsuMQeE8fTLj4wGkJ8Pd\n1tbWGO5WBAg/Rcbj8ai5uTnrw9+SBSBOWACAsyQ7R4y8vMZ5BAWVbLjbxMSEent7Ge5WBAg/Raiv\nr08HBweamZlJ2C7b8384cQEAznLWOYJzBwotldXdPB4Pq7sViZJCdwDZ53K5NDg4qDfffFPhcFgl\nJdn5NZ82jhsAipHZ5/mcvE0++3qyj2yEjWKQLPgcHh5qbW1Nzz//PMPdigQLHhSx8fFxHR4eqru7\nO2G7dBY/kJKfsJgjBMBqzHAhbuYNTs/qmxmeN+Ck2LGa6rGZbLjbj370I42MjLCZaRFh2FsR6+vr\n09HRkZaXlxO2y+b8H4IPAGQmW0GC92HY1cljP5XXQbKqz/LyslpaWhjuVmQIP0XM5XJpaGhIS0tL\nOj4+Ttg2G/N/OOECQP4l2hMqW84KZrzvwyxOOxYTHZ/Jgs/e3p62trY0ODjIcLciQ/gpcrW1terv\n79fMzIyi0WjW7vfZT1c4AQKAPfH+DzPL5BolGAxqYmJCV69eldvtzlHPUCjM+bEBwzB09+5duVyu\npGNW053/AwDFwizzV7IVJnLxeJj7AzNK9TUTO06TzfOZmpqSx+PRpUuXstI/mAuVHxtwOBwaHBzU\n5uamVlZWErbN9vLXAIDiR/UHhZLOsXf3laaUlrV2OBzq7e09b9dgUoQfmygtLdX169e1vr6uYDCY\nsC0BCACsLxeBhAoPzCTdYzzZ9c3W1pZWV1c1PDwsp5NL5GLFb9ZG6uvr1dHRodnZWTHaEQDMx8oV\nFCv3HQiHw5qfn9fg4KDKy8sL3R3kEHN+bMYwDL3xxhvy+/0aHR1N2Jb5PwDswAzVjFwGB+b+oBhl\ns+pjGIZmZmZUXV2tgYGB83YNJldS6A4gvxwOh4aHh/WjH/1Iu7u7qq2tPbPt0qtfJAABKCpcnOfW\n3VeaeI6Rc9ke7jY7O6twOMwCBzbBsDcbKisr0/DwsB4/fqxQKJSwLfN/ACD3rBYYrNZfFI9sB5/D\nw0Ntb28zz8dG+C3blNfrVVtbm95++23m/wBAEctkSN15huEx9we5ku3gE4lENDMzo8HBQVVWVp6n\na7AQwo+NXbx4UVVVVSx/DQCQ9PSGkMkuNKn+wMoMw9Dc3Jy8Xq+am5sL3R3kEeHHxpxOp0ZGRrSx\nsaH9/f2EbQlAAGBdyYLMydCTj58HpCvbVZ/5+Xnt7++zwIENsdobtLGxoQcPHujKlSsqLS1N2JYF\nEABYmdmrFflc9S3Vn5XsOXv2fsz+HMN6sh18jo6ONDk5qZs3b6q6uvo8XYMFsdob1NDQoObmZj18\n+FDXrl2Tw+E4sy0rwAGAteUqYBF6YAapzPOZnZ1VX18fwcemCD+QJF26dEl7e3taWlpSW1tbobsD\nALZ2MkhkK6xkej/Jlq8m9CDXRl5ey8rrwDAMvfbaa+ru7lZra2sWegYrYs4PJD2Z/3Pt2jXt7Oyw\nAAKAomSFi/SRl9cs0U8g31J5XSS7PlleXlZTU5OuXLmScJQLihtzfvCUvb09/eQnP9HAwICqqqoS\ntmX4GwAzKrbwYJbFA4rteYV1nfaaSBZ8tre3tbS0pOeee05lZWW56hosgMoPnlJTU6Ph4WHNzMyw\nASoAmAChA3jas6+JVILPzMyMRkZGCD4g/ODdmpqa1NbWpkePHikajSZsSwACgOLGUDyYUeyYTHYd\nEgqFtLi4qKGhIdXU1OSjazA5FjzAqS5evCi/36979+5pZGSEsbEAYDMEHphdsuATjUY1MzOj1tZW\nXbhwIU+9gtlR+cGpHA6Hrl27poqKCq2tJT4BUv0BgNwqRBAxy1wjIBOGYejOnTtyu93q6ekpdHdg\nIoQfnMnlcmlkZERra2va3d1N2JYABAAA8uU/f/0rCf9/fX1d1dXVGhoaYvQKnkL4QUIVFRUaHh7W\n5OSkAoFAwrYEIAAoLlR/YEbJgs/u7q5WV1c1OjqqkhJmeOBphB8k5fF4dPnyZT169EiRSCRhWwIQ\nAOQGc3CA5MHn6OhI09PTGh4eVkVFRZ56BSsh/CAl7e3t8vl8mp2dVbKtoQhAAFA8qP7ALJIFn0gk\nokePHunSpUvyeDx56hWshvCDlPX39ysajer1119P2pYABKBQuFgHik+y4GMYhmZnZ+Xz+dTe3p6n\nXsGKCD9ImdPp1OjoqCorK7W5uVno7gCA7TD0DXaULPhI0p07dyRJAwMDLHCAhAg/SEtpaamuX7+u\npaUl7e/vJ2xL9QcAigPVNBRKKsFnc3NTbrdb165dk9PJpS0S4whB2qqrqzU4OKjx8XH5/f6EbQlA\nAJAdd19pIoQAz/D7/VpaWtLNmzdVVlZW6O7AAgg/yIjP59Pg4KDm5+d1fHycsC0BCAAyZ5bQY4Y+\nwF6SVX3W1tY0MzOjkZERVVVV5alXsDrCDzLW0tKirq4uTU9PKxwOJ2xLAAIAAKlKFnwCgYCWlpY0\nPDysurq6PPUKxcBhJFu3GEhicnJSU1NTun37tlwuV8K2rS9+Lk+9AoCfs/pCAWaqulj9uYT5JQs+\nwWBQExMT6u3tVWtra556hWJB5Qfn1tfXp46ODvYAAgAA55Is+ITDYT148EAdHR0EH2SE8INzczgc\nunr1qlwulx4/fkwAAmA6ZqqcADhdsuATjUY1PT2t1tZWdXV15alXKDaEH2SF0+nUtWvXFAgEdP/+\n/aTtCUAAACAm1U1Mq6qq2MsH58KcH2RVKBTST3/6U3m9Xl24cCFpe+YAAcgXq89VKWT1yurPHcwt\nleDzxhtvyOPxaHR0lL18cC4lhe4Aiktpaalu3Lih119/XaWlpfJ6vQnbL736RQIQAEs4K3wUczAo\n5scGc0hlE9Pl5WVVVVVpZGSE4INzI/wg68rLy3X9+nW99tprikQiamxsTNieAATAjOw8T4jQg3xI\nJfisr6/L7/fr1q1bKinhshXnR3xGTlRXV+vmzZtaXl7WwcFB0vbMAQKAxHIdSEZeXot/AbmWSvCZ\nmJjQ8vKyRkdHVVZWlodewQ4IP8iZ+vp6Xb16VY8ePdLR0VHS9gQgAMg/Ag/yLZXgs7e3p6OjI924\ncUMVFRV56BXsgvCDnGpsbFRPT4/u37+vYDCYtD0BCIDV2Hl4HJCuVILP4eGhZmZmNDIyopqamjz0\nCnZC+EHOtbe3a2BgQJOTkwQgAJZhxmpILvpEeEO+pBJ8NjY2NDY2pqtXr8rj8eShV7Abwg/yoru7\nW21tbZqamkoYgKLRqL7zne8QgAAAKCLf+b/+X337299O2Obo6EhLS0saGhpKulgSkCmWzUDeXLx4\nUdFoVPfu3dPIyIhKS0vf1eb4+Fi9vb2SWAUOQHbdfaUpZ9Wc06onZqwcAYUQq/h0dHTIMIxTNyg9\nOjrS1NSUBgYGUtonEMgUlR/kVW9vr3p6ejQ1NaVwOPyu/6+oqNDAwED8eypAAPA0hr7BSk4Odbt2\n7dqpwefg4EAPHjzQpUuX1NzcnM/uwYYIP8i7np4eNTU1aWxsTKFQSIZh6Ctf+YoMwzi1PQEIQD7d\nfaUp/mUmZu0XcJaz5vgcHBzoX//1XyVJgUBAMzMzGhwcVEtLSz67B5tyGGddcQI5ZBiGJiYmtLi4\nqOHhYfn9fvl8voS3YQgcgPOKVU3yFSCyUaXJZ9hhqB6yJdniBpubm6qqqtLU1JR6enrU1taWp57B\n7gg/KBjDMDQ5OanNzU319fWltHMzAQiAlWQrTFgprAGprOoWCAR0//59Xb16Ve3t7XnoFfAEw95Q\nMA6HQ5cuXVJDQ4PefvtthUKhpLdhCBxQGGyECSAVqQafqakpgg8KgsoPCi5WAVpdXdXAwMCpq8A9\niwoQkF9WG75lFs8+b5muOMfQN1hBKsHH7/drbm5OfX19am1tzUOvgKex1DUKLlYBcrlcmpqaUl9f\nX9IAxDLYAKzAjoEP9pRK8IktZ3316lWCDwqGYW8wBYfDod7eXjU3N+vevXs6Pj5OehuGwAGwC6ox\nMLNUgs/h4aGmpqY0NDRE8EFBEX5gKj09PfF9gILBYNL2BCAAVmP2apDZ+wdzSSX4rK+va3JyUgMD\nA+zjg4Ij/MB0enp61N7ersnJSSpAAACYVCrBZ39/XwsLC7p69aouXLiQh14BiTHnB6bU3d2tkpIS\n3b9/XwMDA6qpqUnYnjlAALIt0VCzfFZHqMTAjFIJPjs7O5qbm9PIyEjSvfyAfCH8wLTa29tVWlqq\nsbExXbx4kQAEIG+SzbEZeXktZ6GkkGGHuUVIRSrB5+HDhwqFQhodHVVdXV0eegWkhvADU7tw4YLK\nysp0//59NTU1JS2ZE4AA86BicbaTS14X+nki8CBVqYQewzC0urqqaDSq27dvq6qqKg89A1LHPj+w\nhL29Pf30pz9VW1ubmppSu1AgBAHZY/a9aXIh2WO28uMj8CBdqQafxcVFHRwcaHR0VG63Ow89A9JD\n5QeWUFNTo/e+97168803FQ6H1dLSIofDkfA2VIGA7OBCuTjwe0SmUgk+0WhUd+/eVVVVlW7evJnS\nhuVAIRB+YBkVFRW6deuW3nzzTd27d0/Xrl0jAAEmZeWqSC48Gzzy9fwQeHBeqQSfSCSiR48eyefz\naWhoSC6XKw89AzLDUtewlLKyMt28eVNVVVWamZlRNBpNehuWwgaQC+kEi3yGwZGX1+JfwHmkEnxC\noZDGxsZUU1Oja9euEXxgeoQfWE5JSYlu3rwpt9utqakphcPhpLchAAGwAwIPsiWV4HN8fKwHDx6o\nublZly9fTjoaAzADwg8syel0anBwUPX19bpz546CwWDS2xCAAJgFIQVmXb+C/AAAHUJJREFU9Z+/\n/pWUgs/h4aEmJiZ06dIl9fX1EXxgGYQfWJbD4VB/f78uX76siYkJBQKBpLdZevWLhCAgTXdfaWIO\nj0Xwe8J5Xq+phB5Jmpub0/j4uAYGBtTe3p7RzwIKhfADS3M4HOru7lZvb68mJye1ubmZ0u0IQED6\nYhdVdrnAtsvjRPE4ecyme/ymGny2t7e1vb2t0dHRpHvvAWbEam8oCq2trSorK9Pdu3fldDrl8XiS\n3oaV4IDcOW1Yl93DhJk2NkXxOe2YOnnMJZLqHj5ra2taX1/XzZs3VVNTk1E/gUJjk1MUld3dXd27\nd09er1fNzc0pjUEmAAHps8ump6k8TjM+LuYU2Ucqx1+i4yHVPXzeeustlZeX68aNG6qoqEirj4CZ\nMOwNRaW2tla3b9/W/v6+Xn/9dUUikaS3YQgcAMCKzhO8U13YIBQKaXJyUo2NjXrPe95D8IHlMezN\nZoLBoL7whS8oFAopHA7r9u3beumll/SjH/1I3/jGN7S4uKgvfelL6unpkSStra3pD/7gD9TW1iZJ\n6u/v1yc+8QlJ0uuvv66vfe1r6u3t1ac+9amCPaZnud1u3bp1Sw8ePNDExIR6enrkdrsT3iYWgKgC\nAclRVQAKL53g8+zwt1Tn9+zs7Ojx48fq7OzUxYsXTb+iWzQa1Wc/+1l5vV599rOf1de//nW9+uqr\nqq2tlSR97GMf0+joqCTpH/7hHzQzM6OPfexjunHjRiG7jTwj/NhMWVmZ/vzP/1xut1uRSESf//zn\nNTY2ps7OTv3RH/2RvvrVr77rNs3Nzfqrv/qrd/3797//fX35y1/WN77xDc3Pz6ujoyMfDyElTqdT\nQ0NDmp+f18TEhLq7u1Man8w8IAAnnXaBadbwZ9Z+IbsyrfbEAlCqwWdra0tzc3MaGhpSY2NjRj8z\n377zne+ovb1dR0dHkp4sivShD31IH/rQh55qNzc3p4aGBn3qU5/S3/7t3xJ+bIZhbzYUq4KEw2FF\no1FVV1erra1Nra2tad1PNBpVOBzW8fGxSkrMl6MdDoc6Ozs1ODioyclJra+vp3Q7hsEBsIqRl9fi\nXyh+551flurCBouLi1pZWdHt27ctE3w2Nzf15ptv6sUXX1RsOrthGDptarvL5dLx8bFCoVC+uwkT\nMN8VK3IuGo3qT/7kT7S6uqpf+ZVfSbpG/9ramv74j/9YlZWV+s3f/E1dvnxZkvSBD3xAn//85zU0\nNKSWlpZ8dD0jPp9P733ve/XWW28pEAiovb09aemeYXAoJmddGJtxor4VpLqCVi4QcpCJVD/Ui0Qi\neuONN1RfX6/bt2+rrKwsxz3Lnn/5l3/Rb/3Wb8WrPtKTD0H/67/+S//zP/+jnp4e/fZv/7aqqqrU\n1tamSCSiL3zhC/r4xz9ewF6jEFjtzcYODw/1l3/5l3rppZc0ODgoSfqLv/gLffzjH4/P+QmHwwoE\nAqqurtajR4/013/91/qbv/kbS054DIfDun//vra3tzU0NKTS0tKUbkcAgtVlesF83nD07M8tprCV\nz8dG4MFJ6R5rqQafQCCgR48eyev1amBgQE6ndQYH3blzR2+++aY+8YlP6MGDB/rWt76lz372s/L7\n/fH5Pl/72te0vb2t3/3d3y1wb1FoVH5srLKyUqOjo5qeno6Hn2eVlJSourpaktTT06Pm5mYtLy/H\nw5GVlJSU6Pr165qcnNT4+Lh6e3tTCnHMAwKyg71/kiPoIJmRl9dSft2kGnyWlpa0sbGh3t7epKNB\nzGh8fDwegEKhkI6OjvR3f/d3+vSnPx1v8+KLL+rLX/5yAXsJsyD82Mzu7q5cLpeqqqoUDAZ1//59\nffSjH03Yvrq6Wk6nU6urq1peXrb0js4Oh0P9/f2qqanRxMSEOjs7VV9fn/R2BCAAuUDYQS6kGnoM\nw9D6+rrW1tZ0/fr1lDYIN6OXXnpJL730kiTp4cOH+o//+A99+tOf1vb2dvwx/eQnP1FnZ2chuwmT\nIPzYzM7Ojv7+7/9e0WhUhmHoF3/xFzU8PKyf/OQn+qd/+ift7u7qS1/6ki5evKg/+7M/08OHD/WN\nb3xDLpdLDodDn/zkJ1VVVVXoh3FuLS0tqqys1BtvvKHq6mr19vYyDwhAThF0kC2Jqj+pBp9oNKr5\n+XkFAoGi2r/HMIz4+fzf/u3f9PjxYzkcDjU2NuqTn/xkgXsHM2DOD2zt+PhYd+/eVUlJiTo7O+Vy\nuVK6HQEIVmKWOT+5+BmFkmzOD0EH+fDscZdq8AkGg7pz547a2to0ODhoyhVbgVzhaIetud1u3bx5\nU+Pj47p//746Ojrk8/mS3o5hcABOIuygEGIVoHS2aPD7/Zqbm9Ply5ctsXEpkG2EH9iey+XS1atX\n5fF4ND4+rmg0qoaGBobBwXJOXoDHPhHmojw7eB5hVunM74mNdBgeHrbs/B7gvAg/wM+0tLSorq5O\n9+/f1+7urrq6ulIaCkAVCGbExXrmeO5gFalsWio9GeI9OzurmpoaXbt2zVL79wDZRvgBTqisrNTt\n27c1Pj6ue/fuaWBgIKUFHqgCodhYdS4OYAephh5J2t7e1vz8vLq7u9XZ2ckwN9iedXawAvLE6XTq\nypUrunbtmh49eqTV1VWlui5IOuOuAQBIV6rBJxqNanp6WnNzc7p+/bq6uroIPoCo/ABnamxsVHV1\nte7fv6+ZmRndvHlTpaWlSW/HMDgAQLalU+0JBAKanZ1VVVWVXnjhhZTOXYBdEH6ABCoqKnTr1i1N\nT09rbGxM3d3dqqmpSXo7hsEBALIlneDz+PFjbW1t6dKlS2pvb6faAzyD8AMk4XQ6denSJXm9Xj14\n8EBlZWXq7+9P6YRCFQj5wiR9wFyyseJiOqEnEoloYWFBBwcHun37dkof1AF2xJwfIEU+n0/PP/+8\nHA6HJicnFQwGU7rd0qtfZC4QANjIyQVDMl08JN1FDcbGxlRSUqLnn3+e4AMkQPgB0uB2u/Xcc8+p\nsbFR4+Pj8vv9Kd+WAAQAxe3uK02nhp10AtB//vpXUg4+hmFoY2NDs7OzunjxooaGhlLaogGwM14h\nQJocDod6enrk8Xh09+7d+DA4l8uV9LbMBQLMjeGDyFQ2lodPp9oTCoU0Pz+vUCik559/PqVtGQAQ\nfoCMeTwevfDCCxofH9fY2Ji6urpUXV2d0m2ZCwTkH8EGhXT3laYzj8F0Qo9hGNre3tajR4/U3d2t\nnp6elD58A/CEw0h1AxMAZ1pfX9fDhw8VCoU0OjoqpzP1EaWEIGRDti/s0/kU+zw/+6yf8+x9ZuNT\ndcIPci2V4/TZ4zDdas/CwoICgYAGBwdVV1eXdh8Bu6PyA2RBY2Oj3ve+92lsbEzvvPNO2lUgiRCE\nzFn5ot7KfQfOI91qz9LSklZXV9XZ2amRkRGqPUCGWPAAyJLS0lINDw9rYGBAMzMzeuuttxSNRlO+\nPQsiwCyyUWUB7CjVMJ9utWd2dla7u7u6deuWLl26RPABzoHKD5BljY2Nqq+v18OHD6kCAYDNjLy8\nduYHCOl8yGUYhubm5rS9va329naqPUCWMOcHyKH19XWNjY3J7Xart7eXuUDIi/MOJUu38pOvoWvM\n+4FVnHasphN8YnN7Dg8PNTw8zNweIIsIP0COhUIhPXjwQLu7u7p48WLKVaAYQhCyLVkAIPwA5xc7\nXtMd0jwzM6P9/X21tLSwkhuQAwx7A3KstLRU169fj1eB6urq1NbWlnIViKFwyDbm9AC5l27oiVV7\n9vf3NTIyQrUHyBEqP0AehUIhvfPOO1pfX1d/fz9VIBSFXFZTshnUqPogX9JZ0EB6MkR6ZWWFag+Q\nB4QfoABiVaDa2lq1traqpCS9IiwhCGZSyD2GzkLQQSGkG3qOj481PT2tSCRCtQfIE8IPUCChUEjT\n09Oan59XV1eXGhoa5HA40roPQhDMwIzhRyIAIX/SDT3RaFQrKyva2NhQZ2enurq60loQB0DmmPMD\nFEhpaakuX76strY2jY2NaXNzUx0dHaqqqkr5PpZe/SIBCAAKJN3QYxiG/H6/pqen1djYqOeff17l\n5eU56h2A0xB+gAKrqanRrVu3tLy8rPHxcblcLl2+fFmlpaUp3Z4FEQAg/9INPoFAQAsLCwqFQrpx\n44a8Xm+OegYgEcIPYAIOh0Otra1qamrS9PS03nnnHbW0tKQ1FI4QBAC5l8kQt8XFRa2vr6u3t1ed\nnZ0McQMKiPADmEhJSYkGBgbU1tamd955R48ePdLg4GBaq8IRggAg+zId4rawsKD6+nq98MILDHED\nTIDwA5hQdXW1bt26pdXVVU1OTqqmpkatra0pD4WTCEEAkA3phh7pyRC3hw8fyu12a3BwkCFugImw\n2htgcuFwWI8ePdL8/Ly8Xq+6urrSXhVOIgQh/zJdbY3V3mAGmYSeSCSilZUVbW5uqquriyFugAkR\nfgCL2N/f19jYmEKhkNrb29PeIFUiAMH6CDTItUxCj2EY2tnZ0dTUlFpaWtTf3y+3252D3gE4L8IP\nYCGGYWh1dVUTExPa3d3VzZs3MxpDTgiCVRF+kCuZhB5JOjg40NLSkiKRiC5fviyPx5PlngHIJsIP\nYEGRSESPHz/W/Py86uvr1dLSktZ8oBhCEKyG8INcyHRezzvvvCOn06m+vj61trYyxA2wAMIPYGGh\nUEgzMzOan59XbW2turu7VVKS/jomhCBYBeEH2ZRJ6AkGg1peXpbf71dnZ6c6Ozvlcrly0DsAuUD4\nAYpAIBDQ9PS0NjY2dOHCBTU2Nmb0CSQhCGZH+EE2ZBJ6wuGwVlZWtLq6qq6uLnV3d2dUcQdQWIQf\noIjs7+9rcnIyvtKQz+djZTgUFcIPMpXpnJ5oNKrV1VWtr6+rqalJPT09LGYAWBjhByhCfr9fk5OT\nOj4+VktLi+rr6wlBKAqEH6Qr09BjGIY2Nja0uLio+vp6DQwMqLKyMsu9A5BvhB+gSBmGoa2tLT18\n+FCS1NXVpZqamozvjyAEMyD8IFXnCT1LS0vy+/2qqKhQX1+famtrs9w7AIVC+AGKXGx57OnpaZWW\nlsrj8aihoSHj+yMEoZAIP0gm09AjSbu7u/Flq69evSqv15vFngEwA8IPYBPRaFQLCwuanp5WXV2d\nmpubVVFRkfH9EYKQLQQaZMN5Kj2Li4vy+/1yOp3q7e1VU1NTRkOFAZgf4QewmXA4rPn5ec3Pz8sw\nDLW3t5/r001CEM6L8IPzOE/o2d3d1erqqoLBoHp6etTS0sJePUCRI/wANhWJRLS0tKSZmRmVl5fr\nwoULqqmpyfjTTkIQMkX4QbpeuNeh+vp6ffrTn9a3vvUtff/734/Pafzwhz+soaGhM29rGIZWVla0\nuLiompoaXbx4kUoPYCPp74YIoCi4XC51dHSora1Nq6urmp2dld/v16VLl+TxeNK+EFh69YvxvxOE\nAOTCf/76V/Td735Xcy1zCgQC8X//wAc+oF/+5V9OeNtoNKrNzU2tra3J7XZrdHQ04+0AAFgX4Qew\nOafTqZaWFjU3N2tjY0MzMzNaWVlRU1OTvF5vRkNAYkGIEAQgG2JD27a3t/X222/r137t1/Td7343\npdtGIhGtr69rcXFRXq9XQ0NDqq+vz2V3AZgY4QeAJMnhcKixsVENDQ3a3t7W9PS05ubm1N7eLp/P\nJ5fLlfZ9Ug0CkKnT5vJ8/etf12/8xm88VfWRpO9973v68Y9/rK6uLn30ox9VZWWlQqGQFhYWtLe3\nJ6/Xq+eff/5cy/0DKA6EHwBPcTgc8nq98nq92t3d1ezsrB48eKCKigpdvHhRJSWZvW1QDQKQirMW\nMLh3755qamrU2dmp8fHx+L//0i/9kj70oQ9Jkr75zW/qm9/8pt7//vdrc3NTTU1Nunz5MpuTAohj\nwQMASR0cHOjRo0fa2NhQQ0ODmpqaVFZWdu77JQhZX2yxgruvNJ37PmBfqazY9u///u967bXX5HQ6\nFQqFFAgEdOPGDf3O7/yOpCfvU3fu3FFZWZn6+vrU2dkpt9ud664DsBjCD4CUBQIBzc3NaWlpSbu7\nuxodHVVVVdW5JwwTgqyL4ILzyHSZ6omJCf33f/+3fu/3fk/Ly8s6PDzU0dGRDg4ONDU1pd///d/P\nck8BFAvCD4C0hUIhLS0taXFxUZLk8/kynhf0LIKQdRB8kIlMA89JY2Njeuedd9TZ2anDw0NNTU1p\ndXVVPp9Pn/zkJ1nQAMCZCD8AMmYYhra3t/X48WNtb2+roaFBDQ0NqqioOPd9E4LMj/CDVGUj8BiG\noZ2dHS0uLioSiaipqUnt7e2qra3NQg8B2AXhB0BWBAIBLS4uamlpScFgUM3NzWpubs7KbukEIXMi\n/CCZbISeSCSira0tbWxsKBqNqqOjQ62trSotLc1CDwHYDeEHQFZFo1Gtr69rbm5OR0dH8vl8amho\nyMoCCRJByEwIPzhNNgKPJB0dHWltbU0bGxtqbGxUR0dHRhswA8BJhB8AObO/v6+FhQUtLi6qvr5e\nDQ0NqqmpydrFC0GosAg/iMlW4DEMQ7Ozszo+PlYoFFJbW5va2tpUXl6elfsHAMIPgJwLh8NaWVnR\nwsKCjo+PFQwGdf369Yz3DDoNQaiwCEL2k63AI0nBYFAbGxva3NxUWVmZLl68qMbGxqwMmwWAkwg/\nAPLGMAz5/X7Nzc1pa2tLNTU1qqurk8fjyepFDkGosAhCxSubgSccDmtnZ0dzc3NyOp1qaWlRe3u7\nqqurs/YzAOBZhB8ABREOh7W+vq75+Xnt7+/L6/XK4/FkdVicRBAqBMJP8chm2JGezAlcW1vT8vKy\nnE6nPB6PWltb5fP5qPIAyAvCD4CCOz4+1srKilZWVrS3tyePx6Pm5mZVVFRkfXIzYSj3CD/Wlu3A\nYxiG9vb2tLOzo52dHVVWVqqtrU2NjY2s2AYg7wg/AExlf38/HoScTqeCwaCuXLmStdXiTiII5Qbh\nx3qyHXikJ6u1bW1taXt7W9FoVJ2dnWppaWHxAgAFRfgBYEqx+UELCwva3NxURUWF6uvr5fF4srpQ\nwkmEoewg/JhfLsKO9GThgtjGxxUVFWppaVFzc7Nqampy8vMAIF2EHwCmF41Gtbm5qcXFRW1ubsZD\nUG1trVwuV85+LmEoM4Qf88lV2JGezN+bmJhQJBKRYRhqbGxUS0uL6uvr2ZMHgOkQfgBYSjgcjk+Y\n9vv9MgxDHR0dqqury8nQuBiCUOoIP4WXy7Aj/XwD0mAwqMPDQ9XV1amtrU0+ny+nH0gAwHkRfgBY\nVjgc1ubmptbW1rS1taVQKKTq6mp1dHTkZLGEZxGITkf4yb9chx3DMLS/vy+/36/d3V2Fw2H5fD41\nNzfL4/EQeABYBuEHQFGIRqPy+/1aW1vTxsaGotGoQqGQOjs7s76P0FkIQ4kRirIn12FHkiKRiHZ3\nd7W7u6udnR25XC61traqsbEx60vSA0C+EH4AFB3DMHRwcKD19XWtr6/r8PAwPj+otbU1ZwsmnIZA\n9HOEn8zkI+jEBINB+f3++JfX61VjY6MaGxtZpQ1AUSD8ACh6wWBQGxsbWlxc1MHBgSorK1VdXa2a\nmhpVVVXl/RNsuwYiwk9y+Qw60pMPCo6OjuT3+zU3N6fy8nI1NDSosbFRPp8vrx8UAEA+EH4A2Eok\nEtH29rbW1ta0urqqkpISVVdXq7KyUrW1tXK73QUZzmOHQET4eVq+g470JOwEAgHt7e1penpaFRUV\ncrvd8cBTV1eXlyGiAFAohB8AthX71Ht7e1sbGxva2tqKh6GqqirV1taqrKysoHMbiikU2TX8FCLk\nxJwMO/Pz83K5XCorK5PH45HX61V9fT3D2QDYCuEHAH7mZBhaX1+PT/KOVYbq6urkdrsL3U1J1gxF\nxRx+ChlwToqFnf39fS0tLSkajcrtdhN2AOBnCD8AcAbDMHR4eBgPQ36/Xy6XK77S1YULF0wThp5l\nxnBk9fBjloBz0smws7KyolAopPLycnk8nvgXYQcAfo7wAwApOi0MlZSUqLKyUoeHh2ppaVFtba2l\nJonnMySZNfyYMdScJRQK6fDwMH4cHh0dxcNOrLJTUVFR6G4CgGkRfgAgQ7EwFNsHZW9vTwcHByot\nLdXBwYFaWlriF6NWCkSpyCQ05Tr8WCnEpCIUCml3d1fBYFBHR0fa399XOBxWfX29amtr41+EHQBI\nHeEHALIotsfQ3t5efIPI/f19BYNB+Xy++PyhYgxEyFwoFNLBwYGmpqbk9Xp1eHioSCSisrIyNTY2\nxoNOeXk5m4sCwDkQfgAgx04LRLu7u3K73fEgFIlE1NDQUPDV5fLt+9//vt7//vcXuht5YxiGjo+P\nFQgEdHR0pOnpadXV1ckwjPjeU3V1dQQdAMgRPnYEgBxzOByqrq5WdXW1WlpaJL07EO3s7Gh7e1vh\ncFilpaXa2dlRd3e3ysvL5Xa7VV5eLpfLxcWwBRiGoVAopOPjYy0uLsrtdisajcZDTyz01tTU6Lnn\nniPoAEAeUfkBABOJRCLxCe0HBwc6PDzU/v6+Dg4O5HK54iHo6OhI7e3t8WDExpT5F4lEdHR0pEAg\noGAwqOPjY21sbKi0tFQul0sVFRUqLy9XbW2tKisr41U+flcAUDiEHwCwgFg1IRaM9vf3dXR0pMPD\nQx0dHSkajcY3ZQ2Hwzo8PFR7e7tKS0vjX1x0py4SiSgUCsVDTTQaVSgU0vz8vGpqamQYhiKRiEpK\nSlRbWxvfGDcWcEpLSwv9EAAApyD8AIDFxfZ6OTw81PHxsY6Pj3V0dBQfehUIBBQKheRyuVRWVqZo\nNKqjoyO1tLSotLRUDodDpaWl8Yv2fIakfM75MQwjHmJiX6urq/HnJRQKaW9vTw6HQw6HIz7/KhZo\n3G53/Kuqqsp287MAoBgw5wcALM7hcKiioiLhksexylGsknF8fKxgMBgPTbHqUTAYjLePDbELBoPx\n1eqcTmc8TDU0NMjlckmSXC6XSkpK5HK55HQ643/GwsHJkJAoMJz8PC7291iVJRqNKhqNKhKJxL/f\n3d2VpHioiw07iy0isbe3F+9LJBKRYRgqLS2Nz6Xyer2qqKhQWVlZPNiUlZWppKSEYAMARYjKDwAg\nzjAMhcNhRSKR+J+n/f3k97Fw5HA44t9HIpH4fUaj0VN/lsPh0FmnoFjwMAxDDocjHqwMw1BJSYnc\nbnc81JSWlqqkpCTe5mQQO/n32J+EGgCwL8IPACCvDMN4qqoTG2YmJa4KAQBwXoQfAAAAALbA0j8A\nAAAAbIHwAwAAAMAWCD8AAAAAbIHwAwAAAMAWCD8AAAAAbIHwAwAAAMAWCD8AAAAAbIHwAwAAAMAW\nCD8AAAAAbIHwAwAAAMAWCD8AAAAAbIHwAwAAAMAWCD8AAAAAbIHwAwAAAMAWCD8AAAAAbIHwAwAA\nAMAWCD8AAAAAbIHwAwAAAMAWCD8AAAAAbIHwAwAAAMAWCD8AAAAAbIHwAwAAAMAWCD8AAAAAbIHw\nAwAAAMAWCD8AAAAAbIHwAwAAAMAWCD8AAAAAbIHwAwAAAMAWCD8AAAAAbKGk0B0AANjHW2+9pX/+\n539WNBrViy++qA9/+MNaXV3VK6+8ooqKCv3hH/6hqqqqCt1NAECRchiGYRS6EwCA4heNRvWZz3xG\nn/vc5+T1evWnf/qn+sxnPqPvfe97+uAHP6jV1VUtLCzogx/8YKG7CgAoUlR+AAB5MTU1pebmZjU1\nNUmSXnjhBb3++utyuVwKBAIKBAIqKeG0BADIHeb8AADyYmtrSz6fL/691+vV1taWfvVXf1X/+I//\nqFdffVW/8Au/UMAeAgCKHR+xAQAKyufz6Qtf+EKhuwEAsAEqPwCAvPB6vdrc3Ix/v7m5Ka/XW8Ae\nAQDshvADAMiL3t5eraysaG1tTeFwWD/84Q9169atQncLAGAjrPYGAMibN99886mlrj/ykY8UuksA\nABsh/AAAAACwBYa9AQAAALAFwg8AAAAAWyD8AAAAALAFwg8AAAAAWyD8AAAAALAFwg8AAAAAWyD8\nAAAAALAFwg8AAAAAW/j/AV96d6UTEEeZAAAAAElFTkSuQmCC\n", "text": [ "<matplotlib.figure.Figure at 0x7f14d08bfdd0>" ] } ], "prompt_number": 138 }, { "cell_type": "code", "collapsed": false, "input": [], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 79, "text": [ "3" ] } ], "prompt_number": 79 }, { "cell_type": "code", "collapsed": false, "input": [], "language": "python", "metadata": {}, "outputs": [] } ], "metadata": {} } ] }
gpl-3.0
tensorflow/docs-l10n
site/ko/probability/examples/Bayesian_Gaussian_Mixture_Model.ipynb
1
35343
{ "cells": [ { "cell_type": "markdown", "metadata": { "id": "htW5SiGzeXYm" }, "source": [ "##### Copyright 2018 The TensorFlow Probability Authors.\n", "\n", "Licensed under the Apache License, Version 2.0 (the \"License\");" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "cellView": "form", "id": "9HGeUNoteaSm" }, "outputs": [], "source": [ "#@title Licensed under the Apache License, Version 2.0 (the \"License\"); { display-mode: \"form\" }\n", "# you may not use this file except in compliance with the License.\n", "# You may obtain a copy of the License at\n", "#\n", "# https://www.apache.org/licenses/LICENSE-2.0\n", "#\n", "# Unless required by applicable law or agreed to in writing, software\n", "# distributed under the License is distributed on an \"AS IS\" BASIS,\n", "# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.\n", "# See the License for the specific language governing permissions and\n", "# limitations under the License." ] }, { "cell_type": "markdown", "metadata": { "id": "JJ3UDciDVcB5" }, "source": [ "# 베이지안 가우시안 혼합 모델 및 해밀턴 MCMC\n", "\n", "<table class=\"tfo-notebook-buttons\" align=\"left\">\n", " <td><a target=\"_blank\" href=\"https://www.tensorflow.org/probability/examples/Bayesian_Gaussian_Mixture_Model\"><img src=\"https://www.tensorflow.org/images/tf_logo_32px.png\">TensorFlow.org에서 보기</a></td>\n", " <td><a target=\"_blank\" href=\"https://colab.research.google.com/github/tensorflow/docs-l10n/blob/master/site/ko/probability/examples/Bayesian_Gaussian_Mixture_Model.ipynb\"><img src=\"https://www.tensorflow.org/images/colab_logo_32px.png\">Google Colab에서 실행하기</a></td>\n", " <td><a target=\"_blank\" href=\"https://github.com/tensorflow/docs-l10n/blob/master/site/ko/probability/examples/Bayesian_Gaussian_Mixture_Model.ipynb\"><img src=\"https://www.tensorflow.org/images/GitHub-Mark-32px.png\">GitHub에서 보기</a></td>\n", " <td><a href=\"https://storage.googleapis.com/tensorflow_docs/docs-l10n/site/ko/probability/examples/Bayesian_Gaussian_Mixture_Model.ipynb\"><img src=\"https://www.tensorflow.org/images/download_logo_32px.png\">노트북 다운로드하기</a></td>\n", "</table>" ] }, { "cell_type": "markdown", "metadata": { "id": "lin40yCC6eBo" }, "source": [ "이 colab에서는 TensorFlow Probability 기본 형식만 사용하여 베이지안 가우시안 혼합 모델(BGMM)의 사후 확률에서 샘플링을 탐색합니다." ] }, { "cell_type": "markdown", "metadata": { "id": "eZs1ShikNBK2" }, "source": [ "## 모델" ] }, { "cell_type": "markdown", "metadata": { "id": "7JjokKMbk2hJ" }, "source": [ "각 차원 $D$의 $k\\in{1,\\ldots,K}$ 혼합 구성 요소에 대해 다음의 베이지안 가우시안 혼합 모델로 $i\\in{1,\\ldots,N}$ iid 샘플을 모델링하려고 합니다.\n", "\n", "$$\\begin{align*} \\theta &\\sim \\text{Dirichlet}(\\text{concentration}=\\alpha_0)\\ \\mu_k &\\sim \\text{Normal}(\\text{loc}=\\mu_{0k}, \\text{scale}=I_D)\\ T_k &\\sim \\text{Wishart}(\\text{df}=5, \\text{scale}=I_D)\\ Z_i &\\sim \\text{Categorical}(\\text{probs}=\\theta)\\ Y_i &\\sim \\text{Normal}(\\text{loc}=\\mu_{z_i}, \\text{scale}=T_{z_i}^{-1/2})\\ \\end{align*}$$" ] }, { "cell_type": "markdown", "metadata": { "id": "iySRABi0qZnQ" }, "source": [ "`scale` 인수는 모두 `cholesky` 의미 체계를 가지고 있습니다. 이 규칙은 TF 분포의 규칙이기 때문에 사용됩니다(계산적으로 유리하므로 TF 분포에서 부분적으로 이 규칙을 사용합니다)." ] }, { "cell_type": "markdown", "metadata": { "id": "Y6X_Beihwzyi" }, "source": [ "목표는 다음의 사후 확률에서 샘플을 생성하는 것입니다.\n", "\n", "$$p\\left(\\theta, {\\mu_k, T_k}*{k=1}^K \\Big| {y_i}*{i=1}^N, \\alpha_0, {\\mu_{ok}}_{k=1}^K\\right)$$\n", "\n", "${Z_i}_{i=1}^N$는 존재하지 않는다는 점에 유의하세요. $N$로 조정되지 않는 확률 변수에만 관심을 둡니다(또한, 운 좋게도 $Z_i$를 무시하는 TF 분포가 있습니다).\n", "\n", "계산적으로 다루기 힘든 정규화 항으로 인해 이 분포에서 직접 샘플링하는 것은 불가능합니다.\n", "\n", "[메트로폴리스-헤이스팅스 알고리즘](https://en.wikipedia.org/wiki/Metropolis%E2%80%93Hastings_algorithm)은 다루기 힘든 정규화된 분포에서 샘플링하는 기술입니다.\n", "\n", "TensorFlow Probability는 메트로폴리스-헤이스팅스 기반의 여러 옵션을 포함하여 많은 MCMC 옵션을 제공합니다. 이 노트북에서는 [해밀턴 몬테카를로](https://en.wikipedia.org/wiki/Hamiltonian_Monte_Carlo)(`tfp.mcmc.HamiltonianMonteCarlo`)를 사용합니다. 해밀턴 몬테카를로(HMC)는 신속하게 수렴하고 (좌표 방식이 아닌) 상태 공간을 공동으로 샘플링하며, TF의 장점 중 하나인 자동 미분을 활용하므로 종종 좋은 선택입니다. 즉, BGMM 사후 확률에서의 샘플링은 실제로 [Gibb의 샘플링](https://en.wikipedia.org/wiki/Gibbs_sampling)과 같은 다른 접근 방식을 사용하면 더 잘 수행될 수 있습니다." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "uswTWdgNu46j" }, "outputs": [], "source": [ "%matplotlib inline\n", "\n", "\n", "import functools\n", "\n", "import matplotlib.pyplot as plt; plt.style.use('ggplot')\n", "import numpy as np\n", "import seaborn as sns; sns.set_context('notebook')\n", "\n", "import tensorflow.compat.v2 as tf\n", "tf.enable_v2_behavior()\n", "import tensorflow_probability as tfp\n", "\n", "tfd = tfp.distributions\n", "tfb = tfp.bijectors\n", "\n", "physical_devices = tf.config.experimental.list_physical_devices('GPU')\n", "if len(physical_devices) &gt; 0:\n", " tf.config.experimental.set_memory_growth(physical_devices[0], True)" ] }, { "cell_type": "markdown", "metadata": { "id": "Uj9uHZN2yUqz" }, "source": [ "실제로 모델을 빌드하기 전에 새로운 유형의 분포를 정의해야 합니다. 위의 모델 사양에서 역공분산 행렬, 즉 [정밀 행렬](https://en.wikipedia.org/wiki/Precision_(statistics%29))로 MVN을 매개변수화하고 있음이 분명합니다. 이를 TF에서 달성하려면, `Bijector`를 롤 아웃해야 합니다. 이 `Bijector`는 순방향 변환을 사용합니다.\n", "\n", "- `Y = tf.linalg.triangular_solve((tf.linalg.matrix_transpose(chol_precision_tril), X, adjoint=True) + loc`.\n", "\n", "그리고 `log_prob` 계산은 그 반대입니다. 즉, 이 계산은 다음과 같습니다.\n", "\n", "- `X = tf.linalg.matmul(chol_precision_tril, X - loc, adjoint_a=True)`.\n", "\n", "HMC에 필요한 것은 `log_prob` 뿐이므로, (`tfd.MultivariateNormalTriL`의 경우처럼) `tf.linalg.triangular_solve`를 호출하지 않습니다. 이는 `tf.linalg.matmul`이 일반적으로 더 나은 캐시 위치로 인해 더 빠르기 때문에 유리합니다.\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "nc4yy6vW-lC_" }, "outputs": [], "source": [ "class MVNCholPrecisionTriL(tfd.TransformedDistribution):\n", " \"\"\"MVN from loc and (Cholesky) precision matrix.\"\"\"\n", "\n", " def __init__(self, loc, chol_precision_tril, name=None):\n", " super(MVNCholPrecisionTriL, self).__init__(\n", " distribution=tfd.Independent(tfd.Normal(tf.zeros_like(loc),\n", " scale=tf.ones_like(loc)),\n", " reinterpreted_batch_ndims=1),\n", " bijector=tfb.Chain([\n", " tfb.Affine(shift=loc),\n", " tfb.Invert(tfb.Affine(scale_tril=chol_precision_tril,\n", " adjoint=True)),\n", " ]),\n", " name=name)" ] }, { "cell_type": "markdown", "metadata": { "id": "JDOkWhDQg4ZG" }, "source": [ "`tfd.Independent` 분포는 한 분포의 독립적인 그리기를 통계적으로 독립된 좌표가 있는 다변량 분포로 바꿉니다. `log_prob` 계산 측면에서, 이 '메타 분포'는 이벤트 차원에 대한 단순 합계로 나타납니다.\n", "\n", "또한 scale 행렬의 `adjoint` ('transpose')를 사용했습니다. 그 이유는 정밀도가 역공분산이면, 즉 $P=C^{-1}$이고 $C=AA^\\top$이면, $P=BB^{\\top}$이고 여기서 $B=A^{-\\top}$입니다." ] }, { "cell_type": "markdown", "metadata": { "id": "Pfkc8cmhh2Qz" }, "source": [ "이 분포는 다소 까다로우므로 `MVNCholPrecisionTriL`이 예상되는 대로 동작하는지 빠르게 확인하겠습니다." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "GhqbjwlIh1Vn" }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "true mean: [ 1. -1.]\n", "sample mean: [ 1.0002806 -1.000105 ]\n", "true cov:\n", " [[ 1.0625 -0.03125 ]\n", " [-0.03125 0.015625]]\n", "sample cov:\n", " [[ 1.0641273 -0.03126175]\n", " [-0.03126175 0.01559312]]\n" ] } ], "source": [ "def compute_sample_stats(d, seed=42, n=int(1e6)):\n", " x = d.sample(n, seed=seed)\n", " sample_mean = tf.reduce_mean(x, axis=0, keepdims=True)\n", " s = x - sample_mean\n", " sample_cov = tf.linalg.matmul(s, s, adjoint_a=True) / tf.cast(n, s.dtype)\n", " sample_scale = tf.linalg.cholesky(sample_cov)\n", " sample_mean = sample_mean[0]\n", " return [\n", " sample_mean,\n", " sample_cov,\n", " sample_scale,\n", " ]\n", "\n", "dtype = np.float32\n", "true_loc = np.array([1., -1.], dtype=dtype)\n", "true_chol_precision = np.array([[1., 0.],\n", " [2., 8.]],\n", " dtype=dtype)\n", "true_precision = np.matmul(true_chol_precision, true_chol_precision.T)\n", "true_cov = np.linalg.inv(true_precision)\n", "\n", "d = MVNCholPrecisionTriL(\n", " loc=true_loc,\n", " chol_precision_tril=true_chol_precision)\n", "\n", "[sample_mean, sample_cov, sample_scale] = [\n", " t.numpy() for t in compute_sample_stats(d)]\n", "\n", "print('true mean:', true_loc)\n", "print('sample mean:', sample_mean)\n", "print('true cov:\\n', true_cov)\n", "print('sample cov:\\n', sample_cov)" ] }, { "cell_type": "markdown", "metadata": { "id": "N60z8scN1v6E" }, "source": [ "샘플 평균과 공분산이 실제 평균과 공분산에 가까우므로 분포가 올바르게 구현된 것처럼 보입니다. 이제 `MVNCholPrecisionTriL` `tfp.distributions.JointDistributionNamed`로 BGMM 모델을 지정합니다. 관찰 모델의 경우, `tfd.MixtureSameFamily`를 사용하여 ${Z_i}_{i=1}^N$ 그리기를 자동으로 통합합니다." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "xhzxySDjL2-S" }, "outputs": [], "source": [ "dtype = np.float64\n", "dims = 2\n", "components = 3\n", "num_samples = 1000" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "xAOmHhZ7LzDQ" }, "outputs": [], "source": [ "bgmm = tfd.JointDistributionNamed(dict(\n", " mix_probs=tfd.Dirichlet(\n", " concentration=np.ones(components, dtype) / 10.),\n", " loc=tfd.Independent(\n", " tfd.Normal(\n", " loc=np.stack([\n", " -np.ones(dims, dtype),\n", " np.zeros(dims, dtype),\n", " np.ones(dims, dtype),\n", " ]),\n", " scale=tf.ones([components, dims], dtype)),\n", " reinterpreted_batch_ndims=2),\n", " precision=tfd.Independent(\n", " tfd.WishartTriL(\n", " df=5,\n", " scale_tril=np.stack([np.eye(dims, dtype=dtype)]*components),\n", " input_output_cholesky=True),\n", " reinterpreted_batch_ndims=1),\n", " s=lambda mix_probs, loc, precision: tfd.Sample(tfd.MixtureSameFamily(\n", " mixture_distribution=tfd.Categorical(probs=mix_probs),\n", " components_distribution=MVNCholPrecisionTriL(\n", " loc=loc,\n", " chol_precision_tril=precision)),\n", " sample_shape=num_samples)\n", "))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "CpLnRJr2TXYD" }, "outputs": [], "source": [ "def joint_log_prob(observations, mix_probs, loc, chol_precision):\n", " \"\"\"BGMM with priors: loc=Normal, precision=Inverse-Wishart, mix=Dirichlet.\n", "\n", " Args:\n", " observations: `[n, d]`-shaped `Tensor` representing Bayesian Gaussian\n", " Mixture model draws. Each sample is a length-`d` vector.\n", " mix_probs: `[K]`-shaped `Tensor` representing random draw from\n", " `Dirichlet` prior.\n", " loc: `[K, d]`-shaped `Tensor` representing the location parameter of the\n", " `K` components.\n", " chol_precision: `[K, d, d]`-shaped `Tensor` representing `K` lower\n", " triangular `cholesky(Precision)` matrices, each being sampled from\n", " a Wishart distribution.\n", "\n", " Returns:\n", " log_prob: `Tensor` representing joint log-density over all inputs.\n", " \"\"\"\n", " return bgmm.log_prob(\n", " mix_probs=mix_probs, loc=loc, precision=chol_precision, s=observations)" ] }, { "cell_type": "markdown", "metadata": { "id": "7jTMXdymV1QJ" }, "source": [ "## '훈련' 데이터를 생성합니다." ] }, { "cell_type": "markdown", "metadata": { "id": "rl4brz3G3pS7" }, "source": [ "다음 데모에서는 무작위의 데이터를 샘플링합니다." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "1AJZAtwXV8RQ" }, "outputs": [], "source": [ "true_loc = np.array([[-2., -2],\n", " [0, 0],\n", " [2, 2]], dtype)\n", "random = np.random.RandomState(seed=43)\n", "\n", "true_hidden_component = random.randint(0, components, num_samples)\n", "observations = (true_loc[true_hidden_component] +\n", " random.randn(num_samples, dims).astype(dtype))" ] }, { "cell_type": "markdown", "metadata": { "id": "zVOvMh7MV37A" }, "source": [ "## HMC를 사용한 베이지안 추론" ] }, { "cell_type": "markdown", "metadata": { "id": "cdN3iKFT32Jp" }, "source": [ "이제 TFD를 사용하여 모델을 지정하고 일부 관찰 데이터를 얻었으므로 HMC를 실행하는 데 필요한 모든 부분을 확보했습니다.\n", "\n", "HMC를 실행하려면 [부분 적용](https://en.wikipedia.org/wiki/Partial_application)을 사용하여 샘플링하고 싶지 않은 항목을 '고정'합니다. 이 경우에는 `observations`만 고정하면 됩니다(하이퍼 매개변수는 이미 사전 확률 분포에 적용되었으며 `joint_log_prob` 함수 서명의 일부가 아닙니다)." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "tVoaDFSf7L_j" }, "outputs": [], "source": [ "unnormalized_posterior_log_prob = functools.partial(joint_log_prob, observations)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "a0OMIWIYeMmQ" }, "outputs": [], "source": [ "initial_state = [\n", " tf.fill([components],\n", " value=np.array(1. / components, dtype),\n", " name='mix_probs'),\n", " tf.constant(np.array([[-2., -2],\n", " [0, 0],\n", " [2, 2]], dtype),\n", " name='loc'),\n", " tf.linalg.eye(dims, batch_shape=[components], dtype=dtype, name='chol_precision'),\n", "]" ] }, { "cell_type": "markdown", "metadata": { "id": "TVpiT3LLyfcO" }, "source": [ "### 제약 조건이 없는 표현" ] }, { "cell_type": "markdown", "metadata": { "id": "JS8XOsxiyiBV" }, "source": [ "해밀턴 몬테카를로(HMC)는 인수와 관련하여 대상 로그 확률 함수를 미분할 수 있어야 합니다. 또한 HMC는 상태 공간에 제약 조건이 없는 경우 훨씬 더 높은 통계 효율성을 나타낼 수 있습니다.\n", "\n", "즉, BGMM 사후 확률에서 샘플링할 때 두 가지 주요 문제를 해결해야 합니다.\n", "\n", "1. $\\theta$는 이산 확률 벡터를 나타냅니다. 즉, $\\sum_{k=1}^K \\theta_k = 1$ 및 $\\theta_k>0$와 같아야 합니다.\n", "2. $T_k$는 역공분산 행렬을 나타냅니다. 즉, $T_k \\succ 0$가 되어야 합니다. 이는 [양정치](https://en.wikipedia.org/wiki/Positive-definite_matrix)가 됩니다.\n" ] }, { "cell_type": "markdown", "metadata": { "id": "Vt9SXJzO0Cks" }, "source": [ "위의 요구 사항을 해결하려면 다음을 수행해야 합니다.\n", "\n", "1. 제약 조건이 있는 변수를 제약 조건이 없는 공간으로 변환합니다.\n", "2. 제약 조건이 없는 공간에서 MCMC를 실행합니다.\n", "3. 제약 조건이 없는 변수를 제약 조건이 있는 공간으로 다시 변환합니다.\n", "\n", "`MVNCholPrecisionTriL`과 마찬가지로, 제약 조건이 없는 공간으로 확률 변수를 변환하려면 [`Bijector`](https://www.tensorflow.org/api_docs/python/tf/distributions/bijectors/Bijector)를 사용합니다.\n", "\n", "- [`Dirichlet`](https://en.wikipedia.org/wiki/Dirichlet_distribution)은 [softmax 함수](https://en.wikipedia.org/wiki/Softmax_function)를 통해 제약 조건이 없는 공간으로 변환됩니다.\n", "\n", "- 정밀도 확률 변수는 준 양정치 행렬에 대한 분포입니다. 이들에 대한 제약 조건을 없애기 위해서는 `FillTriangular` 및 `TransformDiagonal` bijector를 사용합니다. 이들 bijector는 벡터를 하부 삼각 행렬로 변환하고 대각선이 양수인지 확인합니다. 전자는 $d^2$ 대신 $d(d+1)/2$ float만 샘플링할 수 있으므로 유용합니다." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "_atEQrDR7JvG" }, "outputs": [], "source": [ "unconstraining_bijectors = [\n", " tfb.SoftmaxCentered(),\n", " tfb.Identity(),\n", " tfb.Chain([\n", " tfb.TransformDiagonal(tfb.Softplus()),\n", " tfb.FillTriangular(),\n", " ])]" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "0zq6QJJ-NSPJ" }, "outputs": [], "source": [ "@tf.function(autograph=False)\n", "def sample():\n", " return tfp.mcmc.sample_chain(\n", " num_results=2000,\n", " num_burnin_steps=500,\n", " current_state=initial_state,\n", " kernel=tfp.mcmc.SimpleStepSizeAdaptation(\n", " tfp.mcmc.TransformedTransitionKernel(\n", " inner_kernel=tfp.mcmc.HamiltonianMonteCarlo(\n", " target_log_prob_fn=unnormalized_posterior_log_prob,\n", " step_size=0.065,\n", " num_leapfrog_steps=5),\n", " bijector=unconstraining_bijectors),\n", " num_adaptation_steps=400),\n", " trace_fn=lambda _, pkr: pkr.inner_results.inner_results.is_accepted)\n", "\n", "[mix_probs, loc, chol_precision], is_accepted = sample()" ] }, { "cell_type": "markdown", "metadata": { "id": "QLEz96mg6fpZ" }, "source": [ "이제 chain을 실행하고 사후 확률 분포의 평균을 출력합니다." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "_ceX1A3-ZFiN" }, "outputs": [], "source": [ "acceptance_rate = tf.reduce_mean(tf.cast(is_accepted, dtype=tf.float32)).numpy()\n", "mean_mix_probs = tf.reduce_mean(mix_probs, axis=0).numpy()\n", "mean_loc = tf.reduce_mean(loc, axis=0).numpy()\n", "mean_chol_precision = tf.reduce_mean(chol_precision, axis=0).numpy()\n", "precision = tf.linalg.matmul(chol_precision, chol_precision, transpose_b=True)\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "bqJ6RSJxegC6" }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "acceptance_rate: 0.5305\n", "avg mix probs: [0.25248723 0.60729516 0.1402176 ]\n", "avg loc:\n", " [[-1.96466753 -2.12047249]\n", " [ 0.27628865 0.22944732]\n", " [ 2.06461244 2.54216122]]\n", "avg chol(precision):\n", " [[[ 1.05105032 0. ]\n", " [ 0.12699955 1.06553113]]\n", "\n", " [[ 0.76058015 0. ]\n", " [-0.50332767 0.77947431]]\n", "\n", " [[ 1.22770457 0. ]\n", " [ 0.70670027 1.50914164]]]\n" ] } ], "source": [ "print('acceptance_rate:', acceptance_rate)\n", "print('avg mix probs:', mean_mix_probs)\n", "print('avg loc:\\n', mean_loc)\n", "print('avg chol(precision):\\n', mean_chol_precision)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "zFOU0j9kPdUy" }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAXcAAAEQCAYAAABLMTQcAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\na8/mXgAAAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0xc7fad79c0d0>" ] }, "metadata": { "tags": [] }, "output_type": "display_data" } ], "source": [ "loc_ = loc.numpy()\n", "ax = sns.kdeplot(loc_[:,0,0], loc_[:,0,1], shade=True, shade_lowest=False)\n", "ax = sns.kdeplot(loc_[:,1,0], loc_[:,1,1], shade=True, shade_lowest=False)\n", "ax = sns.kdeplot(loc_[:,2,0], loc_[:,2,1], shade=True, shade_lowest=False)\n", "plt.title('KDE of loc draws');" ] }, { "cell_type": "markdown", "metadata": { "id": "NmfNIM1c6mwc" }, "source": [ "## 결론" ] }, { "cell_type": "markdown", "metadata": { "id": "t8LeIeMn6ot4" }, "source": [ "이 간단한 colab에서는 TensorFlow Probability 기본 형식을 사용하여 계층적 베이지안 혼합 모델을 빌드하는 방법을 보았습니다." ] } ], "metadata": { "colab": { "collapsed_sections": [], "name": "Bayesian_Gaussian_Mixture_Model.ipynb", "toc_visible": true }, "kernelspec": { "display_name": "Python 3", "name": "python3" } }, "nbformat": 4, "nbformat_minor": 0 }
apache-2.0
moksh100/juliasets
juliasets3.ipynb
1
3173500
null
mit
Joshuaalbert/IonoTomo
src/ionotomo/notebooks/Atmosphere.ipynb
1
13416
{ "cells": [ { "cell_type": "code", "execution_count": 13, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Center of array: <SkyCoord (ITRS: obstime=J2000.000): (x, y, z) in m\n", " (1656795.533375, 5797225.0316625, 2073873.5870625)>\n", "Generating random blobs in atmosphere\n" ] } ], "source": [ "import numpy as np\n", "import astropy.coordinates as ac\n", "import astropy.units as au\n", "import astropy.time as at\n", "import os\n", "\n", "def fft(A):\n", " '''Performs 2D fft then shifts'''\n", " return np.fft.fftshift(np.fft.fft2(A))\n", "\n", "def fftfreq(n,d=1):\n", " return np.fft.fftshift(np.fft.fftfreq(n,d=d))\n", "\n", "def ifft(A):\n", " '''Assumes A has shifted frequency to center.\n", " Unshifts and applies ifft'''\n", " return np.fft.ifft2(np.fft.ifftshift(A))\n", "\n", "class Atmosphere(object):\n", " def __init__(self,radioArray,boxSize,times,log=None,**args):\n", " '''Radio Array object, boxSize (EastWest(m),NorthSouth(m),z(m))\n", " timeslices utc at.Time object, logger object\n", " '''\n", " #units\n", " self.tecu = 1e16\n", " #physical constants\n", " self.eCharge = 1.60217662e-19#C = F.V = W.s/V^2.V = kg.m^2/s^2/V\n", " self.epsilonPerm = 8.854187817e-12#F/m = kg.m/s^2/V^2\n", " self.eMass = 9.10938215e-31#kg\n", " \n", " if log is not None:\n", " self.log = log\n", " else:\n", " self.log = radioArray.log\n", " self.radioArray = radioArray#object that has center info\n", " self.xdim = boxSize[0]#units in m\n", " self.ydim = boxSize[1]#units in m\n", " self.zdim = boxSize[2]#units in m\n", " self.times = times#at.Time objects\n", " self.initialize(**args)\n", " \n", " def initialize(self,**args):\n", " '''Any special things for atmosphere come in args'''\n", " self.xangleres = 30.*1./3600.*np.pi/180.# 60 arcsec = 1 arcmin in rad\n", " self.yangleres = 30.*1./3600.*np.pi/180.# 60 arcsec = 1 arcmin in rad\n", " self.zres = 1000.#1000m = 1km\n", " try:\n", " self.wavelength = args['wavelength']\n", " except:\n", " self.wavelength = 0.21\n", " try:\n", " self.saveFile = args['atmosphereData']\n", " if self.saveFile == \"\":\n", " self.save = False\n", " else:\n", " self.save = True\n", " except:\n", " self.save = False\n", " try:\n", " self.load=args['loadAtmosphere']\n", " #if self.load:#override save\n", " #self.save = False\n", " except:\n", " self.load=False\n", " self.defineBox()\n", " self.defineCells()\n", " #should be ready to simulate after this finishes with a call to run()\n", " \n", " def defineBox(self):\n", " '''defines the box given a radio array center'''\n", " self.center = self.radioArray.getCenter()#itrs frame\n", " self.arrayHeight = self.center.geocentrictrueecliptic.distance.to(au.m).value\n", " el = self.center.earth_location.geodetic\n", " #wrap a box around the earth (this is bottom of box)\n", " self.xangle = self.xdim/self.arrayHeight\n", " self.yangle = self.ydim/self.arrayHeight\n", " self.Nxangle = int(np.ceil(self.xangle/self.xangleres))\n", " self.Nyangle = int(np.ceil(self.yangle/self.yangleres))\n", " self.Nz = int(np.ceil(self.zdim/self.zres))\n", " #defines the cell centers then there is 1 more edge \n", " self.longitudes = np.linspace(el[0].deg - self.xangle/2.*180./np.pi,el[0].deg + self.xangle/2.*180./np.pi,self.Nxangle)\n", " self.latitudes = np.linspace(el[1].deg - self.yangle/2.*180./np.pi,el[1].deg + self.yangle/2.*180./np.pi,self.Nyangle)\n", " self.heights = np.linspace(self.arrayHeight, self.arrayHeight + self.zdim,self.Nz)\n", " #make the mesh each array is xres x yres x zres\n", " Lon, Lat, Hei = np.meshgrid(self.longitudes,self.latitudes,self.heights)\n", " #earth locs and then to itrs frame\n", " self.earthLocs = ac.EarthLocation(lon = Lon*au.deg,lat = Lat*au.deg,height=Hei*au.m)\n", " self.itrsLocs = ac.SkyCoord(*self.earthLocs.geocentric,frame='itrs')\n", " #now we have a spherically symmetric set of coords, and a simulation can populate the cells as a function of time\n", " \n", " def defineCells(self):\n", " '''define cells of electron density (which is all we need)'''\n", " self.cells = {}\n", " i = 0\n", " while i < len(self.times):\n", " self.cells[i] = {}\n", " #for now store all in memory, but could replace with an hdf5 location\n", " #also enables simply loading a precomputed siulation\n", " self.cells[i] = np.zeros([self.Nxangle,self.Nyangle,self.Nz])\n", " i += 1\n", " \n", " def getLayerWidth(self):\n", " '''Get the layer width in m (this is not layer from radioArray to pointing but the atmospheric layer)'''\n", " return self.zdim/self.Nz\n", "\n", " def Kolmogorov(self, Q, r0,alpha=5./3.):\n", " '''Kolmogorov turbulence says '''\n", " return 0.023 * (Q*r0+(1e-15))**(-alpha)\n", "\n", " def vonKarman(self, Q, r0, L0, l0 ,alpha=5./3.):\n", " '''min scale r0, outer scale l0'''\n", " return 0.0299 * (r0+1e-15)**(-alpha)/( Q**2 + L0**-2 )**(2.*alpha+1.) * numpy.exp(-Q**2 * l0**2)\n", "\n", " def randomBlobs(self,number=100):\n", " '''Lets blobs of electrons move around.'''\n", " self.log(\"Generating random blobs in atmosphere\")\n", " lonmask = np.random.randint(np.size(self.longitudes),size = number)\n", " latmask = np.random.randint(np.size(self.latitudes),size = number)\n", " zmask = np.random.randint(np.size(self.heights), size = number)\n", " lon = self.longitudes[lonmask]\n", " lat = self.latitudes[latmask]\n", " hei = self.heights[zmask]\n", " blobVel = np.random.uniform(low = -1, high = 1, size=[number,3])#units of xres/obs\n", " scale = np.random.uniform(low = 500,high=3000,size=number)\n", " \n", " tecuZenithNight = 1e16/1000e3\n", " i = 0\n", " while i < len(self.times):\n", " self.cells[i] = np.zeros([self.Nxangle,self.Nyangle,self.Nz])\n", " itrsLocs = ac.SkyCoord(*ac.EarthLocation(lon = lon*au.deg, lat = lat*au.deg, height = hei*au.m).geocentric,frame='itrs')\n", " b = 0\n", " while b < number:\n", " self.cells[i] += np.reshape(\n", " tecuZenithNight*np.exp(-self.itrsLocs.separation_3d(itrsLocs[b]).to(au.m).value**2/(scale[b])**2),\n", " np.shape(self.cells[i]))\n", " b += 1\n", " lon += 180./np.pi*0.1*self.xangle*(float(i+1)/len(self.times))*blobVel[:,0]\n", " lat += 180./np.pi*0.1*self.yangle*(float(i+1)/len(self.times))*blobVel[:,1]\n", " hei += 0.1*self.zdim*(float(i+1)/len(self.times))*blobVel[:,2]\n", " i += 1\n", " \n", " def getLayerCenter(self,layerIdx):\n", " return self.center.geocentrictrueecliptic.lon.deg, self.center.geocentrictrueecliptic.lat.deg, self.arrayHeight + (layerIdx+1)*self.getLayerWidth()\n", " \n", " def turbulence(self):\n", " #Let's put a simple simulation with a bulk layer at 350km and a turbluence layer \n", " # at 600km\n", " self.log(\"generating turbluence in the atmosphere.\")\n", " tecuZenithNight = 1e16/1000e3#electrons m^-3 when the sun is down\n", " tecuZenithDay = tecuZenithNight*10.\n", " \n", " i = 0\n", " while i < len(self.times):\n", " #put cells in altaz frame\n", " #AltAzCells = self.itrsLocs.transform_to(self.cells[i]['frame'])\n", " sunLoc = ac.get_body('Sun',self.times[i],location = self.radioArray.getCenter().earth_location)\n", " AltAzSun = sunLoc.transform_to(self.radioArray.frames[i])\n", " #due to sun the ne is:\n", " bulkTec = 0.5*(tecuZenithNight + tecuZenithDay)*np.cos(AltAzSun.alt.deg*np.pi/180.) + 0.5*(tecuZenithDay - tecuZenithNight)*np.sin(AltAzSun.alt.deg*np.pi/180.)\n", " #spatially located around 350km +- 200km\n", " l = 0\n", " while l < self.Nz:\n", " self.cells[i][:,:,l] = bulkTec*np.exp(-(self.heights[l] - self.arrayHeight - 350.*1000.)**2/(200.*1000.)**2)\n", " l += 1 \n", " i += 1\n", " l = 0\n", " while l < self.Nz:\n", " #turbluent layer around 600km for fun use \n", " #gammaDay = 1.\n", " #gammaNight = np.random.uniform(low=2*0.69,high=1.5)#intema 2009\n", " #gamma = 0.5*(gammaDay + gammaNight)*np.cos(AltAzSun.alt.deg*np.pi/180.) + 0.5*(gammaDay - gammaNight)*np.sin(AltAzSun.alt.deg*np.pi/180.)\n", " #alpha = gamma + 2.\n", " #height of layer from earth center to get size of cell in meters\n", " height = self.heights[l]\n", " xCellSize = height * self.xangleres\n", " yCellSize = height * self.yangleres\n", " qx = fftfreq(self.Nxangle,d=xCellSize)\n", " qy = fftfreq(self.Nyangle,d=yCellSize)\n", " Qx, Qy = np.meshgrid(qx,qy)\n", " Q = np.sqrt(Qx**2 + Qy**2)\n", " r0 = 1000.#minimal scale size in m\n", " #Pne = |FFT(ne)|^2\n", " Pne = self.Kolmogorov(Q,r0)\n", " i = 0\n", " while i < len(self.times):\n", " turbLayer = np.real(fft(np.random.uniform(size=[self.Nxangle,self.Nyangle])*np.sqrt(Pne)))\n", " turbLayerNe = tecuZenithDay*(turbLayer-np.mean(turbLayer))/np.max(turbLayer)\n", " if l > 0:\n", " expTau = self.zres/r0\n", " self.cells[i][:,:,l] += (1.-1./expTau)*self.cells[i][:,:,l-1] + (1./expTau)*tecuZenithDay*(turbLayer-np.mean(turbLayer))/np.max(turbLayer)\n", " i += 1\n", " if l % np.ceil(float(self.Nz)/100.) == 0:\n", " self.log('.',endLine=False)\n", " l += 1\n", " self.log('')\n", " \n", " def run(self):\n", " '''fill in cells'''\n", " \n", " if self.load:\n", " if os.path.isfile(self.saveFile):\n", " try:\n", " self.cells = np.load(self.saveFile)['arr_0'].item(0)\n", " self.log(\"Loaded atmosphere: {0}\".format(self.saveFile))\n", " return\n", " except:\n", " self.log(\"Wrong file type: {0}\".format(self.saveFile))\n", " else:\n", " self.log(\"Missing file: {0}\".format(self.saveFile))\n", " self.log('Could not load. Simulating.')\n", " #self.turbulence()\n", " self.randomBlobs(100)\n", " if self.save:\n", " np.savez(self.saveFile,self.cells)\n", " self.log(\"Saved atmosphere to: {0}\".format(self.saveFile))\n", " \n", "\n", "if __name__=='__main__':\n", " #test cases\n", " from Logger import Logger\n", " from RadioArray import RadioArray\n", " log = Logger().log\n", " radioArray = RadioArray(\"arrays/gmrtPos.csv\",log=log)\n", " times = at.Time(np.linspace(0,10000,10),format='gps',scale='utc')\n", " A = Atmosphere(radioArray=radioArray,boxSize=(10000,10000,10000),times=times,log=log,wavelength=1.)\n", " A.run()\n" ] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.11" } }, "nbformat": 4, "nbformat_minor": 0 }
apache-2.0
GkAntonius/feynman
docs/auto_examples/Particle_Physics/plot_LFV.ipynb
1
1937
{ "nbformat_minor": 0, "nbformat": 4, "cells": [ { "execution_count": null, "cell_type": "code", "source": [ "%matplotlib inline" ], "outputs": [], "metadata": { "collapsed": false } }, { "source": [ "\nLFV\n===\n\nThe LFV diagram.\n\n" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "import matplotlib.pyplot as plt\nfrom feynman import Diagram\n\nfig = plt.figure(figsize=(10.,10.))\nax = fig.add_axes([0,0,1,1], frameon=False)\n\ndiagram = Diagram(ax)\nin1 = diagram.vertex(xy=(.1,.5))\nin2= diagram.vertex(xy=(.4,.5))\nv1 = diagram.vertex(xy=(.65,.65))\nv2 = diagram.vertex(xy=(.65,.35))\nout1 = diagram.vertex(xy=(.9,.65),marker='')\nout2 = diagram.vertex(xy=(.9,.35),marker='')\n\nhiggs = diagram.line(in1, in2, arrow=False, style='dashed')\nnu1 = diagram.line(v1, in2)\nnu2 = diagram.line(in2, v2)\nw = diagram.line(v1, v2, style='wiggly')\nlep = diagram.line(out1, v1)\ntau = diagram.line(v2, out2)\n\nnu1.text(r\"$\\nu_\\ell$\",fontsize=40)\nnu2.text(r\"$\\nu_\\tau$\",fontsize=40)\nlep.text(r\"$\\ell^+$\",fontsize=40)\ntau.text(r\"$\\tau^-$\",fontsize=40)\ndiagram.text(0.72,0.5,\"$W^\\pm$\",fontsize=40)\nhiggs.text(\"H\",fontsize=40)\n\ndiagram.plot()\nplt.show()" ], "outputs": [], "metadata": { "collapsed": false } } ], "metadata": { "kernelspec": { "display_name": "Python 2", "name": "python2", "language": "python" }, "language_info": { "mimetype": "text/x-python", "nbconvert_exporter": "python", "name": "python", "file_extension": ".py", "version": "2.7.10", "pygments_lexer": "ipython2", "codemirror_mode": { "version": 2, "name": "ipython" } } } }
gpl-3.0
feststelltaste/software-analytics
demos/20181213_EuregJUG_Aachen/Race Condition Analysis Cypher Kernel Edition.ipynb
2
2357
{ "cells": [ { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "skip" } }, "source": [ "# Context\n", "\n", "Race Conditions are bad because..." ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "skip" } }, "source": [ "# Idea\n", "There are some heuristics..." ] }, { "cell_type": "raw", "metadata": { "slideshow": { "slide_type": "skip" } }, "source": [ "# Analysis\n", "With jQAssistant, race conditions can be identified by..." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "slideshow": { "slide_type": "slide" } }, "outputs": [], "source": [ "MATCH (c:Class)-[:DECLARES]->(f:Field)<-[w:WRITES]-(m:Method)\n", "WHERE \n", " EXISTS(f.static) AND NOT EXISTS(f.final)\n", "RETURN \n", " c.name as InClass, \n", " m.name as theMethod, \n", " w.lineNumber as writesInLine, \n", " f.name as toStaticField" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Conclusion\n", "Next steps are to remove..." ] } ], "metadata": { "celltoolbar": "Slideshow", "hide_input": false, "kernelspec": { "display_name": "Cypher", "language": "cypher", "name": "cypher" }, "language_info": { "file_extension": ".cql", "mimetype": "text/cypher", "name": "cypher" }, "toc": { "nav_menu": {}, "number_sections": true, "sideBar": true, "skip_h1_title": false, "toc_cell": false, "toc_position": {}, "toc_section_display": "block", "toc_window_display": false }, "varInspector": { "cols": { "lenName": 16, "lenType": 16, "lenVar": 40 }, "kernels_config": { "python": { "delete_cmd_postfix": "", "delete_cmd_prefix": "del ", "library": "var_list.py", "varRefreshCmd": "print(var_dic_list())" }, "r": { "delete_cmd_postfix": ") ", "delete_cmd_prefix": "rm(", "library": "var_list.r", "varRefreshCmd": "cat(var_dic_list()) " } }, "types_to_exclude": [ "module", "function", "builtin_function_or_method", "instance", "_Feature" ], "window_display": false } }, "nbformat": 4, "nbformat_minor": 2 }
gpl-3.0
uwkejia/Clean-Energy-Outlook
examples/Extra/Jupyter Notebooks/SVR with cross-validation for Wind.ipynb
1
195201
{ "cells": [ { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/cross_validation.py:44: DeprecationWarning: This module was deprecated in version 0.18 in favor of the model_selection module into which all the refactored classes and functions are moved. Also note that the interface of the new CV iterators are different from that of this module. This module will be removed in 0.20.\n", " \"This module will be removed in 0.20.\", DeprecationWarning)\n" ] } ], "source": [ "import pandas as pd\n", "import matplotlib.pyplot as plt\n", "from sklearn.svm import SVR\n", "from sklearn.model_selection import train_test_split\n", "from sklearn import cross_validation\n", "from sklearn import preprocessing\n", "from sklearn.metrics import mean_squared_error" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "[ 0.82831803 0.62923959 -0.09321231 0.77451845 0.89423929]\n", "Accuracy: 0.61 (+/- 0.18)\n", "Training MSE: 43572331.148\n", "Test MSE: 52225756.509\n" ] }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAA40AAAGVCAYAAABaThTmAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nSAAAAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x10fb8d390>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "# Read data\n", "data = pd.read_csv(\"../../Clean-Energy-Outlook/Data/data of states/CA.csv\")\n", "year1 = data[['Year']][:44]\n", "year2 = data[['Year']][44:55]\n", "\n", "# Data Preprocessing\n", "data['GDP_scaled']=preprocessing.scale(data['GDP'])\n", "data['CLPRB_scaled']=preprocessing.scale(data['CLPRB'])\n", "data['EMFDB_scaled']=preprocessing.scale(data['EMFDB'])\n", "data['ENPRP_scaled']=preprocessing.scale(data['ENPRP'])\n", "data['NGMPB_scaled']=preprocessing.scale(data['NGMPB'])\n", "data['PAPRB_scaled']=preprocessing.scale(data['PAPRB'])\n", "data['PCP_scaled']=preprocessing.scale(data['PCP'])\n", "data['ZNDX_scaled']=preprocessing.scale(data['ZNDX'])\n", "data['OP_scaled']=preprocessing.scale(data['Nominal Price'])\n", "data['OP2_scaled']=preprocessing.scale(data['Inflation Adjusted Price'])\n", "\n", "# Split data for train and test\n", "all_x = data[['GDP_scaled','CLPRB_scaled','EMFDB_scaled','ENPRP_scaled','NGMPB_scaled','PAPRB_scaled','PCP_scaled','ZNDX_scaled','OP_scaled', 'OP2_scaled']][:55]\n", "all_y = data[['WYTCP']][:55]\n", "X_train, X_test, y_train, y_test = cross_validation.train_test_split(all_x, all_y, test_size=0.2, random_state=0)\n", "\n", "# SVR for wind in CA with cross-validation\n", "clf = SVR(kernel='linear', C=1000.0, epsilon=0.3)\n", "model = clf.fit(X_train, y_train)\n", "scores = cross_validation.cross_val_score(clf, X_train, y_train, cv=5)\n", "print(scores)\n", "print(\"Accuracy: %0.2f (+/- %0.2f)\" % (scores.mean(), scores.std() / 2))\n", "\n", "train_error = mean_squared_error(y_train, clf.predict(X_train))\n", "print('Training MSE: %.3f' % train_error)\n", "test_error = mean_squared_error(y_test, clf.predict(X_test))\n", "print('Test MSE: %.3f' % test_error)\n", "\n", "# Plots\n", "fig = plt.figure(figsize=(10,4.5))\n", "fig.suptitle('Support Vector Regression', fontsize=14, fontweight='bold')\n", "\n", "# Plot for training data\n", "fig.add_subplot(121)\n", "plt.plot(year1, clf.predict(X_train), color='red', label='Predict')\n", "plt.plot(year1, y_train, label='Actual')\n", "plt.legend(loc=2,fontsize=10)\n", "plt.title('Training Data')\n", "plt.xlabel('Year')\n", "plt.ylabel('WYTCP')\n", "\n", "# Plot for test data\n", "fig.add_subplot(122)\n", "plt.plot(year2, clf.predict(X_test), color='red', label='Predict')\n", "plt.plot(year2, y_test, label='Actual')\n", "plt.legend(loc=2,fontsize=10)\n", "plt.title('Testing Data')\n", "plt.xlabel('Year')\n", "plt.ylabel('WYTCP')\n", "\n", "plt.tight_layout(pad=4, w_pad=4)\n", "plt.show()" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ " Year WYTCP\n", "0 2015.0 44482.033849\n", "1 2016.0 45049.048874\n", "2 2017.0 45578.488355\n", "3 2018.0 46086.687551\n", "4 2019.0 46558.522186\n", "5 2020.0 47035.518202\n" ] } ], "source": [ "# predict Solar for future\n", "year3 = data[['Year']][-6:]\n", "year3 = year3.set_index([[0, 1, 2, 3, 4, 5]])\n", "future_x = data[['GDP_scaled','CLPRB_scaled','EMFDB_scaled','ENPRP_scaled','NGMPB_scaled','PAPRB_scaled','PCP_scaled','ZNDX_scaled','OP_scaled','OP2_scaled']][-6:]\n", "pred = pd.DataFrame(clf.predict(future_x))\n", "pred.columns = ['WYTCP']\n", "future = pd.concat([year3, pred], axis=1)\n", "print(future)" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/preprocessing/data.py:177: UserWarning: Numerical issues were encountered when scaling the data and might not be solved. The standard deviation of the data is probably very close to 0. \n", " warnings.warn(\"Numerical issues were encountered \"\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "[ 1. 1. 1. 1. 1.]\n", "Accuracy: 1.00 (+/- 0.00)\n", "[ 0.88842076 0.8227203 0.93962712 0.84216338 0.96910864]\n", "Accuracy: 0.89 (+/- 0.03)\n", "[ 0.77564875 0.83954983 0.53758184 0.82688407 0.87111959]\n", "Accuracy: 0.77 (+/- 0.06)\n", "[ 0.84059256 0.83745211 0.94778493 0.85559173 0.9821152 ]\n", "Accuracy: 0.89 (+/- 0.03)\n", "[ 0.82831803 0.62923959 -0.09321231 0.77451845 0.89423929]\n", "Accuracy: 0.61 (+/- 0.18)\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "[ 0.01497067 -0.04887788 0.55992361 0.23761879 -1.40243267]\n", "Accuracy: -0.13 (+/- 0.34)\n", "[ 0.15216688 -0.35082055 0.47688508 0.15552222 0.69950037]\n", "Accuracy: 0.23 (+/- 0.18)\n", "[ 1. 1. 1. 1. 1.]\n", "Accuracy: 1.00 (+/- 0.00)\n", "[ 0.3402565 0.07689219 0.57852631 0.53018708 0.54206971]\n", "Accuracy: 0.41 (+/- 0.09)\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "[ 0.62368275 0.49632204 0.87301612 0.74919691 0.91211111]\n", "Accuracy: 0.73 (+/- 0.08)\n", "[ 0.86600344 0.74380067 0.86939633 0.95552378 0.96431694]\n", "Accuracy: 0.88 (+/- 0.04)\n", "[ 1. 1. 1. 1. 1.]\n", "Accuracy: 1.00 (+/- 0.00)\n", "[ 0.7269066 0.70102539 0.9001734 0.8667657 0.9106437 ]\n", "Accuracy: 0.82 (+/- 0.04)\n", "[ 1. 1. 1. 1. 1.]\n", "Accuracy: 1.00 (+/- 0.00)\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "[ 0.803745 0.80679547 0.77733468 0.79568161 0.96649989]\n", "Accuracy: 0.83 (+/- 0.03)\n", "[ 1. 1. 1. 1. 1.]\n", "Accuracy: 1.00 (+/- 0.00)\n", "[ 0.97081752 0.97237202 0.98285484 0.9692346 0.97819678]\n", "Accuracy: 0.97 (+/- 0.00)\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "[ 0.50638594 0.31042776 -0.15743868 0.63872572 0.73322172]\n", "Accuracy: 0.41 (+/- 0.16)\n", "[ 0.80360131 0.67837309 0.83816592 0.89881082 0.90621776]\n", "Accuracy: 0.83 (+/- 0.04)\n", "[-0.27994405 -0.31501018 0.53710855 0.34062363 -0.09161032]\n", "Accuracy: 0.04 (+/- 0.17)\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "[ 0.80829506 0.81250529 0.96987329 0.78040061 0.89194844]\n", "Accuracy: 0.85 (+/- 0.03)\n", "[ 0.36516902 -0.62781755 0.41368172 0.39574273 0.35083563]\n", "Accuracy: 0.18 (+/- 0.20)\n", "[ 0.5701378 0.76819416 0.65498425 -0.47246301 0.94997332]\n", "Accuracy: 0.49 (+/- 0.25)\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/preprocessing/data.py:160: UserWarning: Numerical issues were encountered when centering the data and might not be solved. Dataset may contain too large values. You may need to prescale your features.\n", " warnings.warn(\"Numerical issues were encountered \"\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "[ 0.79670411 0.85918752 0.94327873 0.92830174 0.97404171]\n", "Accuracy: 0.90 (+/- 0.03)\n", "[ 1. 1. 1. 1. 1.]\n", "Accuracy: 1.00 (+/- 0.00)\n", "[ 0.39388789 0.57765878 0.86012166 0.68631167 0.86396508]\n", "Accuracy: 0.68 (+/- 0.09)\n", "[ 1. 1. 1. 1. 1.]\n", "Accuracy: 1.00 (+/- 0.00)\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "[ 0.72493299 0.58301501 0.27806944 0.53610409 0.7257509 ]\n", "Accuracy: 0.57 (+/- 0.08)\n", "[ 0.68773319 0.82132435 0.96700386 0.85739617 0.95983301]\n", "Accuracy: 0.86 (+/- 0.05)\n", "[ 0.5194831 0.80032368 0.89684543 0.76145385 0.87110459]\n", "Accuracy: 0.77 (+/- 0.07)\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "[ 1. 1. 1. 1. 1.]\n", "Accuracy: 1.00 (+/- 0.00)\n", "[ 1. 1. 1. 1. 1.]\n", "Accuracy: 1.00 (+/- 0.00)\n", "[ 0.93741767 0.88088118 0.87750301 0.88979281 0.93490426]\n", "Accuracy: 0.90 (+/- 0.01)\n", "[ 0.85405088 0.92462 0.90686009 0.84505703 0.91776199]\n", "Accuracy: 0.89 (+/- 0.02)\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "[ 1. 1. 1. 1. 1.]\n", "Accuracy: 1.00 (+/- 0.00)\n", "[ 0.67043193 0.21677811 0.7184593 0.86117017 0.95026606]\n", "Accuracy: 0.68 (+/- 0.13)\n", "[ 0.90496579 0.83211661 0.89012496 0.75956133 0.90524534]\n", "Accuracy: 0.86 (+/- 0.03)\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "[ 1. 1. 1. 1. 1.]\n", "Accuracy: 1.00 (+/- 0.00)\n", "[ 0.6185224 0.55236938 0.80533637 0.67459831 0.74721092]\n", "Accuracy: 0.68 (+/- 0.04)\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "[-0.12723266 0. -0.52122592 -0.15270685 0. ]\n", "Accuracy: -0.16 (+/- 0.10)\n", "[ 0.70514051 0.8882593 0.92360455 0.71048242 0.83284541]\n", "Accuracy: 0.81 (+/- 0.04)\n", "[ 0.55649667 0.90658288 0.94629227 0.84987328 0.8811793 ]\n", "Accuracy: 0.83 (+/- 0.07)\n", "[ 1. 1. 1. 1. 1.]\n", "Accuracy: 1.00 (+/- 0.00)\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "[ 0.82271794 0.70562337 0.8726895 0.70050314 0.826243 ]\n", "Accuracy: 0.79 (+/- 0.03)\n", "[ 0.4304196 0.58382026 0.8502693 0.57458712 0.74266262]\n", "Accuracy: 0.64 (+/- 0.07)\n", "[ 0.35999328 0.7203814 0.71584281 0.77388204 0.60774335]\n", "Accuracy: 0.64 (+/- 0.07)\n" ] }, { "name": "stderr", "output_type": "stream", "text": [ "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n", "/Users/kejiawu/anaconda/lib/python3.5/site-packages/sklearn/utils/validation.py:526: DataConversionWarning: A column-vector y was passed when a 1d array was expected. Please change the shape of y to (n_samples, ), for example using ravel().\n", " y = column_or_1d(y, warn=True)\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "[ 0.51447775 -0.16354599 0.54248211 0.40695143 0.31458271]\n", "Accuracy: 0.32 (+/- 0.13)\n", "[ 1. 1. 1. 1. 1.]\n", "Accuracy: 1.00 (+/- 0.00)\n", "[ 1. 1. 1. 1. 1.]\n", "Accuracy: 1.00 (+/- 0.00)\n", " Year AK AL AR AZ CA \\\n", "0 2015.0 0.0 33145.095178 16197.986141 35358.117502 44482.033849 \n", "1 2016.0 0.0 34603.827372 15308.808621 37362.514212 45049.048874 \n", "2 2017.0 0.0 35229.757708 14781.876225 39139.873538 45578.488355 \n", "3 2018.0 0.0 35924.440787 13014.882936 40652.534495 46086.687551 \n", "4 2019.0 0.0 36936.826078 10879.260649 42679.289534 46558.522186 \n", "5 2020.0 0.0 37983.872967 7732.116785 44240.984639 47035.518202 \n", "\n", " CO CT DE FL ... SD TN \\\n", "0 -7.149468 13337.379470 0.0 27828.478840 ... 0.118207 25535.612227 \n", "1 -8.896065 13567.521374 0.0 27684.067288 ... 0.234525 24467.862407 \n", "2 -2.222137 15353.294828 0.0 28511.655956 ... 0.476657 23971.178753 \n", "3 1.159767 15442.031324 0.0 28814.567649 ... 0.572824 22836.090618 \n", "4 20.548760 15876.792209 0.0 28917.393582 ... 0.702219 21520.014381 \n", "5 38.739413 16481.623766 0.0 29454.053302 ... 0.869793 20036.328322 \n", "\n", " TX UT VA VT WA WI \\\n", "0 36601.185390 0.0 26344.243868 5071.440374 6828.955826 6550.908782 \n", "1 37375.125092 0.0 26670.429737 4998.400618 6553.874088 5183.312344 \n", "2 36298.129711 0.0 27032.872042 5083.155478 6780.006516 4292.100436 \n", "3 35838.037476 0.0 27100.032441 5123.653749 6474.539391 3506.443494 \n", "4 34901.884108 0.0 27241.134503 5126.223634 6480.209133 1242.593026 \n", "5 34135.203031 0.0 27406.853914 5192.053656 6187.957787 -1373.270637 \n", "\n", " WV WY \n", "0 0.0 0.0 \n", "1 0.0 0.0 \n", "2 0.0 0.0 \n", "3 0.0 0.0 \n", "4 0.0 0.0 \n", "5 0.0 0.0 \n", "\n", "[6 rows x 50 columns]\n" ] } ], "source": [ "statelist=[\"AK\",\"AL\",\"AR\",\"AZ\",\"CA\",\"CO\",\"CT\",\"DE\",\"FL\",\"GA\",\"IA\",\"ID\",\"IL\",\"IN\",\"KS\",\"KY\",\"LA\",\"MA\",\"MD\",\"ME\",\"MI\",\"MN\",\"MO\",\"MS\",\"MT\",\"NC\",\"ND\",\"NE\",\"NH\",\"NJ\",\"NM\",\"NV\",\"NY\",\"OH\",\"OK\",\"OR\",\"PA\",\"RI\",\"SC\",\"SD\",\"TN\",\"TX\",\"UT\",\"VA\",\"VT\",\"WA\",\"WI\",\"WV\",\"WY\"]\n", "result = year3\n", "\n", "for i in range(49):\n", " data = pd.read_csv('../../Clean-Energy-Outlook/Data/data of states/%s.csv' % (statelist[i]))\n", " \n", " year1 = data[['Year']][:44]\n", " year2 = data[['Year']][44:55]\n", "\n", " # Data Preprocessing\n", " data['GDP_scaled']=preprocessing.scale(data['GDP'])\n", " data['CLPRB_scaled']=preprocessing.scale(data['CLPRB'])\n", " data['EMFDB_scaled']=preprocessing.scale(data['EMFDB'])\n", " data['ENPRP_scaled']=preprocessing.scale(data['ENPRP'])\n", " data['NGMPB_scaled']=preprocessing.scale(data['NGMPB'])\n", " data['PAPRB_scaled']=preprocessing.scale(data['PAPRB'])\n", " data['PCP_scaled']=preprocessing.scale(data['PCP'])\n", " data['ZNDX_scaled']=preprocessing.scale(data['ZNDX'])\n", " data['OP_scaled']=preprocessing.scale(data['Nominal Price'])\n", " data['OP2_scaled']=preprocessing.scale(data['Inflation Adjusted Price'])\n", "\n", " # Split data for train and test\n", " all_x = data[['GDP_scaled','CLPRB_scaled','EMFDB_scaled','ENPRP_scaled','NGMPB_scaled','PAPRB_scaled','PCP_scaled','ZNDX_scaled','OP_scaled', 'OP2_scaled']][:55]\n", " all_y = data[['WYTCP']][:55]\n", " X_train, X_test, y_train, y_test = cross_validation.train_test_split(all_x, all_y, test_size=0.2, random_state=0)\n", "\n", " # SVR for hydro in CA\n", " clf = SVR(kernel='linear', C=1000.0, epsilon=0.3)\n", " model = clf.fit(X_train, y_train)\n", " scores = cross_validation.cross_val_score(clf, X_train, y_train, cv=5)\n", " print(scores)\n", " print(\"Accuracy: %0.2f (+/- %0.2f)\" % (scores.mean(), scores.std() / 2))\n", " \n", " future_x = data[['GDP_scaled','CLPRB_scaled','EMFDB_scaled','ENPRP_scaled','NGMPB_scaled','PAPRB_scaled','PCP_scaled','ZNDX_scaled','OP_scaled','OP2_scaled']][-6:]\n", " pred = pd.DataFrame(clf.predict(future_x))\n", " pred.columns = [statelist[i]]\n", " result = pd.concat([result, pred], axis=1)\n", "\n", "print(result)\n", "\n", "# output to csv\n", "result.to_csv('Wind_Pred_SVR.csv', encoding='utf-8', index=False)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "anaconda-cloud": {}, "kernelspec": { "display_name": "Python [conda root]", "language": "python", "name": "conda-root-py" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.2" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
lemonyhermit/CodingYoga
python-for-developers/Chapter3/Chapter3_Control_flow.ipynb
2
3991
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "[Python for Developers](http://ricardoduarte.github.io/python-for-developers/#content)\n", "===================================\n", "First edition\n", "-----------------------------------\n", "\n", "Chapter 3: Control Flow\n", "=============================\n", "_____________________________\n", "It is very common for a program that certain sets of instructions are executed conditionally, in cases such as validating data entries, for example.\n", "\n", "Syntax:\n", "\n", " if <condition>:\n", " <code block>\n", " elif <condition>:\n", " <code block>\n", " elif <condition>:\n", " <code block>\n", " else:\n", " <code block>\n", "\n", "Where:\n", "\n", "+ `<condition>`: sentence that can be evaluated as true or false.\n", "+ `<code block>`: sequence of command lines.\n", "+ The clauses `elif` and `else` are optional and  several `elifs` for the `if` may be used but only  one `else` at the end.\n", "+ Parentheses are only required to avoid ambiguity.\n", "Example:" ] }, { "cell_type": "code", "execution_count": 3, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Normal\n" ] } ], "source": [ "temp = 23 # temperature value used to test\n", "\n", "if temp < 0:\n", " print 'Freezing...'\n", "elif 0 <= temp <= 20:\n", " print 'Cold'\n", "elif 21 <= temp <= 25:\n", " print 'Normal'\n", "elif 26 <= temp <= 35:\n", " print 'Hot'\n", "else:\n", " print 'Very Hot!'" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Imagine that in the above program, `23` is the temperature which was read by  some sensor or manually entered by the user and `Normal` is the response of the program.\n", "\n", "If the code block is composed of only one line, it can be written after the colon:\n", "\n", " if temp < 0: print 'Freezing...'\n", "\n", "Since version 2.5, Python supports the expression:\n", "\n", " <variable> = <value 1> if <condition> else <value 2>\n", "\n", "Where `<variable>` receives `<value 1>` if `<condition>` is true and `<value 2>` otherwise." ] }, { "cell_type": "code", "execution_count": 6, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Normal\n" ] } ], "source": [ "temp = 27\n", "\n", "if temp < 0:\n", " print(\"Freezing\")\n", "elif 0 <= temp < 13:\n", " print(\"Cold\")\n", "elif 13 <= temp and temp < 29:\n", " print(\"Normal\")\n", "else:\n", " print(\"Hot\")\n", "\n", " " ] }, { "cell_type": "code", "execution_count": 8, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Uncomfortable\n" ] } ], "source": [ "temp = 27\n", "\n", "ans = \"Normal\" if 10<temp<27 else \"Uncomfortable\"\n", "print(ans)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.2" } }, "nbformat": 4, "nbformat_minor": 1 }
gpl-2.0
johnpfay/environ859
PySpatial/Interactive.ipynb
1
156320
{ "cells": [ { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true, "extensions": { "jupyter_dashboards": { "version": 1, "views": { "grid_default": { "col": 0, "height": 4, "hidden": false, "row": 0, "width": 4 }, "report_default": { "hidden": true } } } } }, "outputs": [], "source": [ "%matplotlib inline" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": true, "extensions": { "jupyter_dashboards": { "version": 1, "views": { "grid_default": { "col": 4, "height": 4, "hidden": false, "row": 0, "width": 4 }, "report_default": { "hidden": true } } } } }, "outputs": [], "source": [ "import seaborn as sns\n", "from ipywidgets import interact" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": true, "extensions": { "jupyter_dashboards": { "version": 1, "views": { "grid_default": { "col": 8, "height": 4, "hidden": false, "row": 0, "width": 4 }, "report_default": { "hidden": true } } } } }, "outputs": [], "source": [ "tips = sns.load_dataset('tips')" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "extensions": { "jupyter_dashboards": { "version": 1, "views": { "grid_default": { "col": 0, "height": 7, "hidden": false, "row": 4, "width": 4 }, "report_default": { "hidden": false } } } } }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>total_bill</th>\n", " <th>tip</th>\n", " <th>sex</th>\n", " <th>smoker</th>\n", " <th>day</th>\n", " <th>time</th>\n", " <th>size</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>16.99</td>\n", " <td>1.01</td>\n", " <td>Female</td>\n", " <td>No</td>\n", " <td>Sun</td>\n", " <td>Dinner</td>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>10.34</td>\n", " <td>1.66</td>\n", " <td>Male</td>\n", " <td>No</td>\n", " <td>Sun</td>\n", " <td>Dinner</td>\n", " <td>3</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>21.01</td>\n", " <td>3.50</td>\n", " <td>Male</td>\n", " <td>No</td>\n", " <td>Sun</td>\n", " <td>Dinner</td>\n", " <td>3</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>23.68</td>\n", " <td>3.31</td>\n", " <td>Male</td>\n", " <td>No</td>\n", " <td>Sun</td>\n", " <td>Dinner</td>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>24.59</td>\n", " <td>3.61</td>\n", " <td>Female</td>\n", " <td>No</td>\n", " <td>Sun</td>\n", " <td>Dinner</td>\n", " <td>4</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " total_bill tip sex smoker day time size\n", "0 16.99 1.01 Female No Sun Dinner 2\n", "1 10.34 1.66 Male No Sun Dinner 3\n", "2 21.01 3.50 Male No Sun Dinner 3\n", "3 23.68 3.31 Male No Sun Dinner 2\n", "4 24.59 3.61 Female No Sun Dinner 4" ] }, "execution_count": 4, "metadata": {}, "output_type": "execute_result" } ], "source": [ "tips.head()" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "extensions": { "jupyter_dashboards": { "version": 1, "views": { "grid_default": { "col": 4, "height": 4, "hidden": false, "row": 4, "width": 4 }, "report_default": { "hidden": false } } } } }, "outputs": [ { "data": { "application/vnd.jupyter.widget-view+json": { "model_id": "bc459a8a24cd405682ab5c9d19315c94" } }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAlkAAAIUCAYAAAApPjn1AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nRK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x12d50cf0>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAm4AAAIUCAYAAACjEaC0AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x12d9f0f0>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAlkAAAIUCAYAAAApPjn1AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nRK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x14bdca90>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "@interact(hue=['smoker','sex','time'])\n", "def plot(hue):\n", " _ = sns.pairplot(tips,hue=hue)" ] } ], "metadata": { "extensions": { "jupyter_dashboards": { "activeView": "grid_default", "version": 1, "views": { "grid_default": { "cellMargin": 10, "defaultCellHeight": 20, "maxColumns": 12, "name": "grid", "type": "grid" }, "report_default": { "name": "report", "type": "report" } } } }, "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.13" } }, "nbformat": 4, "nbformat_minor": 2 }
gpl-3.0
mazenbesher/simplex
sympy_version/specific/baltt4_aufgabe2_ii.ipynb
1
14715
{ "cells": [ { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "given LP\n" ] }, { "data": { "text/latex": [ "$$x_{3} = x_{0} + 2 x_{1} + x_{2} - 12$$" ], "text/plain": [ "x₃ = x₀ + 2⋅x₁ + x₂ - 12" ] }, "metadata": {}, "output_type": "execute_result" }, { "data": { "text/latex": [ "$$x_{4} = x_{0} + x_{1} + 2 x_{2} - 12$$" ], "text/plain": [ "x₄ = x₀ + x₁ + 2⋅x₂ - 12" ] }, "metadata": {}, "output_type": "execute_result" }, { "data": { "text/latex": [ "$$x_{5} = x_{0} + x_{1} + x_{2} - 10$$" ], "text/plain": [ "x₅ = x₀ + x₁ + x₂ - 10" ] }, "metadata": {}, "output_type": "execute_result" }, { "data": { "text/latex": [ "$$x_{6} = x_{0} - 3 x_{1} - 4 x_{2} + 60$$" ], "text/plain": [ "x₆ = x₀ - 3⋅x₁ - 4⋅x₂ + 60" ] }, "metadata": {}, "output_type": "execute_result" }, { "data": { "text/latex": [ "$$x_{7} = x_{0} - x_{1} + 12$$" ], "text/plain": [ "x₇ = x₀ - x₁ + 12" ] }, "metadata": {}, "output_type": "execute_result" }, { "data": { "text/latex": [ "$$z = - x_{0}$$" ], "text/plain": [ "z = -x₀" ] }, "metadata": {}, "output_type": "execute_result" }, { "name": "stdout", "output_type": "stream", "text": [ "------------------------------\nStep 0\nUnzulaessiges Dict\n" ] }, { "data": { "text/latex": [ "$$eintretende = x_{0}$$" ], "text/plain": [ "eintretende = x₀" ] }, "metadata": {}, "output_type": "execute_result" }, { "data": { "text/latex": [ "$$verlassende = x_{3}$$" ], "text/plain": [ "verlassende = x₃" ] }, "metadata": {}, "output_type": "execute_result" }, { "data": { "text/latex": [ "$$x_{0} = - 2 x_{1} - x_{2} + x_{3} + 12$$" ], "text/plain": [ "x₀ = -2⋅x₁ - x₂ + x₃ + 12" ] }, "metadata": {}, "output_type": "execute_result" }, { "data": { "text/latex": [ "$$x_{4} = - x_{1} + x_{2} + x_{3}$$" ], "text/plain": [ "x₄ = -x₁ + x₂ + x₃" ] }, "metadata": {}, "output_type": "execute_result" }, { "data": { "text/latex": [ "$$x_{5} = - x_{1} + x_{3} + 2$$" ], "text/plain": [ "x₅ = -x₁ + x₃ + 2" ] }, "metadata": {}, "output_type": "execute_result" }, { "data": { "text/latex": [ "$$x_{6} = - 5 x_{1} - 5 x_{2} + x_{3} + 72$$" ], "text/plain": [ "x₆ = -5⋅x₁ - 5⋅x₂ + x₃ + 72" ] }, "metadata": {}, "output_type": "execute_result" }, { "data": { "text/latex": [ "$$x_{7} = - 3 x_{1} - x_{2} + x_{3} + 24$$" ], "text/plain": [ "x₇ = -3⋅x₁ - x₂ + x₃ + 24" ] }, "metadata": {}, "output_type": "execute_result" }, { "data": { "text/latex": [ "$$z = 2 x_{1} + x_{2} - x_{3} - 12$$" ], "text/plain": [ "z = 2⋅x₁ + x₂ - x₃ - 12" ] }, "metadata": {}, "output_type": "execute_result" }, { "name": "stdout", "output_type": "stream", "text": [ "------------------------------\nStep 1\n" ] }, { "data": { "text/latex": [ "$$eintretende = x_{1}$$" ], "text/plain": [ "eintretende = x₁" ] }, "metadata": {}, "output_type": "execute_result" }, { "data": { "text/latex": [ "$$verlassende = x_{4}$$" ], "text/plain": [ "verlassende = x₄" ] }, "metadata": {}, "output_type": "execute_result" }, { "data": { "text/latex": [ "$$x_{1} = x_{2} + x_{3} - x_{4}$$" ], "text/plain": [ "x₁ = x₂ + x₃ - x₄" ] }, "metadata": {}, "output_type": "execute_result" }, { "data": { "text/latex": [ "$$x_{0} = - 3 x_{2} - x_{3} + 2 x_{4} + 12$$" ], "text/plain": [ "x₀ = -3⋅x₂ - x₃ + 2⋅x₄ + 12" ] }, "metadata": {}, "output_type": "execute_result" }, { "data": { "text/latex": [ "$$x_{5} = - x_{2} + x_{4} + 2$$" ], "text/plain": [ "x₅ = -x₂ + x₄ + 2" ] }, "metadata": {}, "output_type": "execute_result" }, { "data": { "text/latex": [ "$$x_{6} = - 10 x_{2} - 4 x_{3} + 5 x_{4} + 72$$" ], "text/plain": [ "x₆ = -10⋅x₂ - 4⋅x₃ + 5⋅x₄ + 72" ] }, "metadata": {}, "output_type": "execute_result" }, { "data": { "text/latex": [ "$$x_{7} = - 4 x_{2} - 2 x_{3} + 3 x_{4} + 24$$" ], "text/plain": [ "x₇ = -4⋅x₂ - 2⋅x₃ + 3⋅x₄ + 24" ] }, "metadata": {}, "output_type": "execute_result" }, { "data": { "text/latex": [ "$$z = 3 x_{2} + x_{3} - 2 x_{4} - 12$$" ], "text/plain": [ "z = 3⋅x₂ + x₃ - 2⋅x₄ - 12" ] }, "metadata": {}, "output_type": "execute_result" }, { "name": "stdout", "output_type": "stream", "text": [ "------------------------------\nStep 2\n" ] }, { "data": { "text/latex": [ "$$eintretende = x_{2}$$" ], "text/plain": [ "eintretende = x₂" ] }, "metadata": {}, "output_type": "execute_result" }, { "data": { "text/latex": [ "$$verlassende = x_{5}$$" ], "text/plain": [ "verlassende = x₅" ] }, "metadata": {}, "output_type": "execute_result" }, { "data": { "text/latex": [ "$$x_{2} = x_{4} - x_{5} + 2$$" ], "text/plain": [ "x₂ = x₄ - x₅ + 2" ] }, "metadata": {}, "output_type": "execute_result" }, { "data": { "text/latex": [ "$$x_{1} = x_{3} - x_{5} + 2$$" ], "text/plain": [ "x₁ = x₃ - x₅ + 2" ] }, "metadata": {}, "output_type": "execute_result" }, { "data": { "text/latex": [ "$$x_{0} = - x_{3} - x_{4} + 3 x_{5} + 6$$" ], "text/plain": [ "x₀ = -x₃ - x₄ + 3⋅x₅ + 6" ] }, "metadata": {}, "output_type": "execute_result" }, { "data": { "text/latex": [ "$$x_{6} = - 4 x_{3} - 5 x_{4} + 10 x_{5} + 52$$" ], "text/plain": [ "x₆ = -4⋅x₃ - 5⋅x₄ + 10⋅x₅ + 52" ] }, "metadata": {}, "output_type": "execute_result" }, { "data": { "text/latex": [ "$$x_{7} = - 2 x_{3} - x_{4} + 4 x_{5} + 16$$" ], "text/plain": [ "x₇ = -2⋅x₃ - x₄ + 4⋅x₅ + 16" ] }, "metadata": {}, "output_type": "execute_result" }, { "data": { "text/latex": [ "$$z = x_{3} + x_{4} - 3 x_{5} - 6$$" ], "text/plain": [ "z = x₃ + x₄ - 3⋅x₅ - 6" ] }, "metadata": {}, "output_type": "execute_result" }, { "name": "stdout", "output_type": "stream", "text": [ "------------------------------\nStep 3\n" ] }, { "data": { "text/latex": [ "$$eintretende = x_{3}$$" ], "text/plain": [ "eintretende = x₃" ] }, "metadata": {}, "output_type": "execute_result" }, { "data": { "text/latex": [ "$$verlassende = x_{0}$$" ], "text/plain": [ "verlassende = x₀" ] }, "metadata": {}, "output_type": "execute_result" }, { "data": { "text/latex": [ "$$x_{3} = - x_{0} - x_{4} + 3 x_{5} + 6$$" ], "text/plain": [ "x₃ = -x₀ - x₄ + 3⋅x₅ + 6" ] }, "metadata": {}, "output_type": "execute_result" }, { "data": { "text/latex": [ "$$x_{2} = x_{4} - x_{5} + 2$$" ], "text/plain": [ "x₂ = x₄ - x₅ + 2" ] }, "metadata": {}, "output_type": "execute_result" }, { "data": { "text/latex": [ "$$x_{1} = - x_{0} - x_{4} + 2 x_{5} + 8$$" ], "text/plain": [ "x₁ = -x₀ - x₄ + 2⋅x₅ + 8" ] }, "metadata": {}, "output_type": "execute_result" }, { "data": { "text/latex": [ "$$x_{6} = 4 x_{0} - x_{4} - 2 x_{5} + 28$$" ], "text/plain": [ "x₆ = 4⋅x₀ - x₄ - 2⋅x₅ + 28" ] }, "metadata": {}, "output_type": "execute_result" }, { "data": { "text/latex": [ "$$x_{7} = 2 x_{0} + x_{4} - 2 x_{5} + 4$$" ], "text/plain": [ "x₇ = 2⋅x₀ + x₄ - 2⋅x₅ + 4" ] }, "metadata": {}, "output_type": "execute_result" }, { "data": { "text/latex": [ "$$z = - x_{0}$$" ], "text/plain": [ "z = -x₀" ] }, "metadata": {}, "output_type": "execute_result" }, { "name": "stdout", "output_type": "stream", "text": [ "------------------------------\n" ] } ], "source": [ "\"\"\"1.Phase\"\"\"\n", "\n", "from IPython.display import display\n", "from sympy import *\n", "\n", "# -----------------------------------------------------------------\n", "### Globlas ###\n", "init_printing()\n", "LIMIT = 100 # im Fall von kreisen\n", "ein, ver = var('eintretende verlassende')\n", "\n", "# -----------------------------------------------------------------\n", "### LP ###\n", "z, x0, x1, x2, x3, x4, x5, x6, x7 = symbols(\n", " \"\"\"z, x0, x1, x2, x3, x4, x5, x6, x7\"\"\")\n", "\n", "B = [x3, x4, x5, x6, x7]\n", "N = [x0, x1, x2]\n", "\n", "rows = [Eq(x3, -12 + 2 * x1 + 1 * x2 + x0),\n", " Eq(x4, -12 + x1 + 2 * x2 + x0),\n", " Eq(x5, -10 + x1 + x2 + x0),\n", " Eq(x6, 60 - 3 * x1 - 4 * x2 + x0),\n", " Eq(x7, 12 - x1 + x0)]\n", "ziel = Eq(z, - x0)\n", "\n", "# show the given Hilfsproblem\n", "print(\"given LP\")\n", "for row in rows:\n", " display(row)\n", "display(ziel)\n", "print(\"-\"*30)\n", "\n", "# ------------------------------------------------------------------\n", "### Solving ###\n", "eintretende = x0\n", "\n", "for i in range(LIMIT):\n", " # eintretende Variable finden\n", " # auswaehlen nach dem Teknik in der Vorlesung \n", " # (d.h. var mit grosstem Koeffizeint)\n", " if i != 0: # nicht in erstem Durchlauf (da hier unzulaessig)\n", " eintretende = None\n", " max_eintretende = -oo\n", " for var, coeff in ziel.rhs.as_coefficients_dict().items():\n", " # 1 is the first coeff i.e. the value of the ziel function\n", " if var != 1 and coeff > 0 and coeff > max_eintretende:\n", " max_eintretende = coeff\n", " eintretende = var\n", "\n", " # falls keien positive costs => optimal\n", " if eintretende == None:\n", " break\n", "\n", " # verlassende Variable finden\n", " verlassende = None\n", " min_wert = +oo\n", " min_row = None\n", "\n", " if i == 0: \n", " # einfach definierne da im ersten Durchlauf Dich ist unzulaessig\n", " # verlassende = \n", " # min([row.rhs.as_coefficients_dict()[1] for row in rows])\n", " verlassende = x3\n", " min_row = rows[0]\n", " else:\n", " for row in rows:\n", " if row.has(eintretende):\n", " new_row = row\n", " for nbv in N:\n", " if nbv != eintretende:\n", " new_row = new_row.subs(nbv, 0)\n", " wert = solve(new_row.rhs >= 0).as_set().right\n", " if wert < min_wert:\n", " min_wert = wert\n", " min_row = row\n", " verlassende = row.lhs\n", " \n", " # display eintretende und verlassende Variablen\n", " print(\"Step \", i)\n", " if i == 0: print(\"Unzulaessiges Dict\")\n", " display(Eq(ein, eintretende))\n", " display(Eq(ver, verlassende))\n", "\n", " # die Formlen umsetzen und rows updaten\n", " new_formel = Eq(eintretende, solve(min_row, eintretende)[0])\n", " new_rows = [new_formel]\n", " for row in rows:\n", " if row.lhs != verlassende:\n", " new_rows.append(\n", " Eq(row.lhs, row.rhs.subs(eintretende, new_formel.rhs))\n", " )\n", " rows = new_rows\n", "\n", " # new ziel\n", " ziel = Eq(z, ziel.rhs.subs(eintretende, new_formel.rhs))\n", "\n", " # update B, N\n", " B.remove(verlassende); B.append(eintretende)\n", " N.remove(eintretende); N.append(verlassende)\n", "\n", " # show current solution\n", " for row in rows:\n", " display(row)\n", " display(ziel)\n", " print(\"-\"*30)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "" ] } ], "metadata": { "anaconda-cloud": {}, "kernelspec": { "display_name": "Python [default]", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3.0 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.2" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
Enjoying-Learning/Enjoying
docs/3. 完整神经网络样例程序.ipynb
1
4340
{ "cells": [ { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import tensorflow as tf\n", "from numpy.random import RandomState" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### 1. 定义神经网络的参数,输入和输出节点。" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [], "source": [ "batch_size = 8\n", "w1= tf.Variable(tf.random_normal([2, 3], stddev=1, seed=1))\n", "w2= tf.Variable(tf.random_normal([3, 1], stddev=1, seed=1))\n", "x = tf.placeholder(tf.float32, shape=(None, 2), name=\"x-input\")\n", "y_= tf.placeholder(tf.float32, shape=(None, 1), name='y-input')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### 2. 定义前向传播过程,损失函数及反向传播算法。" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": true }, "outputs": [], "source": [ "a = tf.matmul(x, w1)\n", "y = tf.matmul(a, w2)\n", "cross_entropy = -tf.reduce_mean(y_ * tf.log(tf.clip_by_value(y, 1e-10, 1.0))) \n", "train_step = tf.train.AdamOptimizer(0.001).minimize(cross_entropy)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### 3. 生成模拟数据集。" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": true }, "outputs": [], "source": [ "rdm = RandomState(1)\n", "X = rdm.rand(128,2)\n", "Y = [[int(x1+x2 < 1)] for (x1, x2) in X]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### 4. 创建一个会话来运行TensorFlow程序。" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "w1: [[-0.81131822 1.48459876 0.06532937]\n", " [-2.44270396 0.0992484 0.59122431]]\n", "w2: [[-0.81131822]\n", " [ 1.48459876]\n", " [ 0.06532937]]\n", "\n", "\n", "After 0 training step(s), cross entropy on all data is 0.0674925\n", "After 1000 training step(s), cross entropy on all data is 0.0163385\n", "After 2000 training step(s), cross entropy on all data is 0.00907547\n", "After 3000 training step(s), cross entropy on all data is 0.00714436\n", "After 4000 training step(s), cross entropy on all data is 0.00578471\n", "\n", "\n", "w1: [[-1.9618274 2.58235407 1.68203783]\n", " [-3.4681716 1.06982327 2.11788988]]\n", "w2: [[-1.8247149 ]\n", " [ 2.68546653]\n", " [ 1.41819501]]\n" ] } ], "source": [ "with tf.Session() as sess:\n", " init_op = tf.global_variables_initializer()\n", " sess.run(init_op)\n", " \n", " # 输出目前(未经训练)的参数取值。\n", " print \"w1:\", sess.run(w1)\n", " print \"w2:\", sess.run(w2)\n", " print \"\\n\"\n", " \n", " # 训练模型。\n", " STEPS = 5000\n", " for i in range(STEPS):\n", " start = (i*batch_size) % 128\n", " end = (i*batch_size) % 128 + batch_size\n", " sess.run(train_step, feed_dict={x: X[start:end], y_: Y[start:end]})\n", " if i % 1000 == 0:\n", " total_cross_entropy = sess.run(cross_entropy, feed_dict={x: X, y_: Y})\n", " print(\"After %d training step(s), cross entropy on all data is %g\" % (i, total_cross_entropy))\n", " \n", " # 输出训练后的参数取值。\n", " print \"\\n\"\n", " print \"w1:\", sess.run(w1)\n", " print \"w2:\", sess.run(w2)" ] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.10" } }, "nbformat": 4, "nbformat_minor": 1 }
mit
JavierVLAB/DataAnalysisScience
AutoMPG/AutoMPG.ipynb
1
468115
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "<h1>Exploration of Auto MPG</h1>" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import math\n", "import numpy\n", "import pandas as pd\n", "import matplotlib.pyplot as plt\n", "%matplotlib inline\n", "\n", "from sklearn.linear_model import LinearRegression\n", "from sklearn.metrics import mean_squared_error\n", "\n", "\n", "cars_names = ['mpg','cylinders','displacement','horsepower',\n", " 'weight','acceleration','model year','origin','car name']\n", "\n", "cars = pd.read_table(\"auto-mpg.data.txt\", delim_whitespace=True, names = cars_names)" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "(398, 9)" ] }, "execution_count": 3, "metadata": {}, "output_type": "execute_result" } ], "source": [ "#Size of the Dataset\n", "cars.shape" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "Index(['mpg', 'cylinders', 'displacement', 'horsepower', 'weight',\n", " 'acceleration', 'model year', 'origin', 'car name'],\n", " dtype='object')" ] }, "execution_count": 4, "metadata": {}, "output_type": "execute_result" } ], "source": [ "cars.columns" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>mpg</th>\n", " <th>cylinders</th>\n", " <th>displacement</th>\n", " <th>horsepower</th>\n", " <th>weight</th>\n", " <th>acceleration</th>\n", " <th>model year</th>\n", " <th>origin</th>\n", " <th>car name</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>18.0</td>\n", " <td>8</td>\n", " <td>307.0</td>\n", " <td>130.0</td>\n", " <td>3504.0</td>\n", " <td>12.0</td>\n", " <td>70</td>\n", " <td>1</td>\n", " <td>chevrolet chevelle malibu</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>15.0</td>\n", " <td>8</td>\n", " <td>350.0</td>\n", " <td>165.0</td>\n", " <td>3693.0</td>\n", " <td>11.5</td>\n", " <td>70</td>\n", " <td>1</td>\n", " <td>buick skylark 320</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>18.0</td>\n", " <td>8</td>\n", " <td>318.0</td>\n", " <td>150.0</td>\n", " <td>3436.0</td>\n", " <td>11.0</td>\n", " <td>70</td>\n", " <td>1</td>\n", " <td>plymouth satellite</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>16.0</td>\n", " <td>8</td>\n", " <td>304.0</td>\n", " <td>150.0</td>\n", " <td>3433.0</td>\n", " <td>12.0</td>\n", " <td>70</td>\n", " <td>1</td>\n", " <td>amc rebel sst</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>17.0</td>\n", " <td>8</td>\n", " <td>302.0</td>\n", " <td>140.0</td>\n", " <td>3449.0</td>\n", " <td>10.5</td>\n", " <td>70</td>\n", " <td>1</td>\n", " <td>ford torino</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " mpg cylinders displacement horsepower weight acceleration model year \\\n", "0 18.0 8 307.0 130.0 3504.0 12.0 70 \n", "1 15.0 8 350.0 165.0 3693.0 11.5 70 \n", "2 18.0 8 318.0 150.0 3436.0 11.0 70 \n", "3 16.0 8 304.0 150.0 3433.0 12.0 70 \n", "4 17.0 8 302.0 140.0 3449.0 10.5 70 \n", "\n", " origin car name \n", "0 1 chevrolet chevelle malibu \n", "1 1 buick skylark 320 \n", "2 1 plymouth satellite \n", "3 1 amc rebel sst \n", "4 1 ford torino " ] }, "execution_count": 5, "metadata": {}, "output_type": "execute_result" } ], "source": [ "cars.head(5)" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "<class 'pandas.core.frame.DataFrame'>\n", "RangeIndex: 398 entries, 0 to 397\n", "Data columns (total 9 columns):\n", "mpg 398 non-null float64\n", "cylinders 398 non-null int64\n", "displacement 398 non-null float64\n", "horsepower 398 non-null object\n", "weight 398 non-null float64\n", "acceleration 398 non-null float64\n", "model year 398 non-null int64\n", "origin 398 non-null int64\n", "car name 398 non-null object\n", "dtypes: float64(4), int64(3), object(2)\n", "memory usage: 28.1+ KB\n" ] } ], "source": [ "cars.info()" ] }, { "cell_type": "code", "execution_count": 15, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>mpg</th>\n", " <th>cylinders</th>\n", " <th>displacement</th>\n", " <th>horsepower</th>\n", " <th>weight</th>\n", " <th>acceleration</th>\n", " <th>model year</th>\n", " <th>origin</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>count</th>\n", " <td>392.000000</td>\n", " <td>392.000000</td>\n", " <td>392.000000</td>\n", " <td>392.000000</td>\n", " <td>392.000000</td>\n", " <td>392.000000</td>\n", " <td>392.000000</td>\n", " <td>392.000000</td>\n", " </tr>\n", " <tr>\n", " <th>mean</th>\n", " <td>23.445918</td>\n", " <td>5.471939</td>\n", " <td>194.411990</td>\n", " <td>104.469391</td>\n", " <td>2977.584184</td>\n", " <td>15.541327</td>\n", " <td>75.979592</td>\n", " <td>1.576531</td>\n", " </tr>\n", " <tr>\n", " <th>std</th>\n", " <td>7.805007</td>\n", " <td>1.705783</td>\n", " <td>104.644004</td>\n", " <td>38.491139</td>\n", " <td>849.402560</td>\n", " <td>2.758864</td>\n", " <td>3.683737</td>\n", " <td>0.805518</td>\n", " </tr>\n", " <tr>\n", " <th>min</th>\n", " <td>9.000000</td>\n", " <td>3.000000</td>\n", " <td>68.000000</td>\n", " <td>46.000000</td>\n", " <td>1613.000000</td>\n", " <td>8.000000</td>\n", " <td>70.000000</td>\n", " <td>1.000000</td>\n", " </tr>\n", " <tr>\n", " <th>25%</th>\n", " <td>17.000000</td>\n", " <td>4.000000</td>\n", " <td>105.000000</td>\n", " <td>75.000000</td>\n", " <td>2225.250000</td>\n", " <td>13.775000</td>\n", " <td>73.000000</td>\n", " <td>1.000000</td>\n", " </tr>\n", " <tr>\n", " <th>50%</th>\n", " <td>22.750000</td>\n", " <td>4.000000</td>\n", " <td>151.000000</td>\n", " <td>93.500000</td>\n", " <td>2803.500000</td>\n", " <td>15.500000</td>\n", " <td>76.000000</td>\n", " <td>1.000000</td>\n", " </tr>\n", " <tr>\n", " <th>75%</th>\n", " <td>29.000000</td>\n", " <td>8.000000</td>\n", " <td>275.750000</td>\n", " <td>126.000000</td>\n", " <td>3614.750000</td>\n", " <td>17.025000</td>\n", " <td>79.000000</td>\n", " <td>2.000000</td>\n", " </tr>\n", " <tr>\n", " <th>max</th>\n", " <td>46.600000</td>\n", " <td>8.000000</td>\n", " <td>455.000000</td>\n", " <td>230.000000</td>\n", " <td>5140.000000</td>\n", " <td>24.800000</td>\n", " <td>82.000000</td>\n", " <td>3.000000</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " mpg cylinders displacement horsepower weight \\\n", "count 392.000000 392.000000 392.000000 392.000000 392.000000 \n", "mean 23.445918 5.471939 194.411990 104.469391 2977.584184 \n", "std 7.805007 1.705783 104.644004 38.491139 849.402560 \n", "min 9.000000 3.000000 68.000000 46.000000 1613.000000 \n", "25% 17.000000 4.000000 105.000000 75.000000 2225.250000 \n", "50% 22.750000 4.000000 151.000000 93.500000 2803.500000 \n", "75% 29.000000 8.000000 275.750000 126.000000 3614.750000 \n", "max 46.600000 8.000000 455.000000 230.000000 5140.000000 \n", "\n", " acceleration model year origin \n", "count 392.000000 392.000000 392.000000 \n", "mean 15.541327 75.979592 1.576531 \n", "std 2.758864 3.683737 0.805518 \n", "min 8.000000 70.000000 1.000000 \n", "25% 13.775000 73.000000 1.000000 \n", "50% 15.500000 76.000000 1.000000 \n", "75% 17.025000 79.000000 2.000000 \n", "max 24.800000 82.000000 3.000000 " ] }, "execution_count": 15, "metadata": {}, "output_type": "execute_result" } ], "source": [ "#horsepower have to be numeric\n", "#horsepower have 6 rows with the value '?'\n", "#drop this rows and \n", "\n", "cars = cars[cars['horsepower'] != '?' ]\n", "cars['horsepower'] = cars['horsepower'].astype('float32')\n" ] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import seaborn as sns" ] }, { "cell_type": "code", "execution_count": 62, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [ { "data": { "text/plain": [ "<seaborn.axisgrid.PairGrid at 0x124c379b0>" ] }, "execution_count": 62, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAABZ0AAAWVCAYAAABhGnteAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x124c6f198>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "cars = cars[['mpg', 'cylinders', 'displacement', 'horsepower', 'weight',\n", " 'acceleration', 'model year', 'origin']]\n", "\n", "#for i in c:\n", "# cars[i].hist()\n", "# plt.xlabel(i)\n", "# plt.show()\n", "\n", "sns.pairplot(cars)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<h2>Linear Regression</h2>" ] }, { "cell_type": "code", "execution_count": 90, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Coefficients: [-0.37174183 0.00772274 -0.01645356 -0.00536526 -0.07201915 0.41660343\n", " 0.91874674]\n", "Residual sum of squares: 35.47\n", "Variance score: -0.03\n" ] } ], "source": [ "c = ['mpg', 'cylinders', 'displacement', 'horsepower', 'weight',\n", " 'acceleration', 'model year', 'origin']\n", "limit = int(3*cars.shape[0]/4)\n", "X_train = cars.iloc[:limit,1:]\n", "y_train = cars.iloc[:limit,0]\n", "X_test = cars.iloc[limit:,1:]\n", "y_test = cars.iloc[limit:,0]\n", "\n", "\n", "lr = LinearRegression()\n", "\n", "#Remember the [[]], is the data in 1 column\n", "lr.fit(X_train, y_train)\n", "predictions = lr.predict(X_test)\n", "\n", "#mean_squared_error\n", "mse = mean_squared_error(y_test, predictions)\n", "\n", "# The coefficients\n", "print('Coefficients: ', lr.coef_)\n", "# The mean square error\n", "print(\"Residual sum of squares: %.2f\" % mse)\n", "# Explained variance score: 1 is perfect prediction\n", "print('Variance score: %.2f' % lr.score(X_test, y_test))" ] }, { "cell_type": "code", "execution_count": 91, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAekAAAFVCAYAAADLxheZAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\noQAAAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x12aa420f0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "plt.scatter(X_test[\"weight\"], y_test, c='b')\n", "plt.scatter(X_test[\"weight\"], prediction, c='r')\n", "\n", "\n", "plt.show()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<p>The variance score is very low due to we use all the features.</p>\n", "<p>For a better solution we aplied a feature selection. </p>\n", "<p>From the pair graph, we can see that the features 'cylinders', 'model year' and 'origin' dont'show a clear correlation with the 'mpg' variable, so those are rejected.</p>" ] }, { "cell_type": "code", "execution_count": 104, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Coefficients: [-0.02761414 -0.00533581 -0.08475437]\n", "Residual sum of squares: 64.47\n", "Variance score: -0.87\n" ] } ], "source": [ "c = ['mpg','horsepower', \"weight\",'acceleration']\n", "limit = int(3*cars.shape[0]/4)\n", "X_train = cars[c].iloc[:limit,1:]\n", "y_train = cars.iloc[:limit,0]\n", "X_test = cars[c].iloc[limit:,1:]\n", "y_test = cars.iloc[limit:,0]\n", "\n", "lr2 = LinearRegression()\n", "\n", "#Remember the [[]], is the data in 1 column\n", "lr2.fit(X_train, y_train)\n", "predictions = lr2.predict(X_test)\n", "\n", "#mean_squared_error\n", "mse = mean_squared_error(y_test, predictions)\n", "\n", "# The coefficients\n", "print('Coefficients: ', lr2.coef_)\n", "# The mean square error\n", "print(\"Residual sum of squares: %.2f\" % mse)\n", "# Explained variance score: 1 is perfect prediction\n", "print('Variance score: %.2f' % lr2.score(X_test, y_test))" ] }, { "cell_type": "code", "execution_count": 112, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAfgAAAFkCAYAAADMjClHAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x12b0db860>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "feature = \"weight\"\n", "\n", "plt.scatter(X_test[feature], y_test, c='b')\n", "plt.scatter(X_test[feature], prediction, c='r')\n", "\n", "plt.ylim([10,45])\n", "plt.xlim([1500,4000])\n", "plt.ylabel('MPG')\n", "plt.xlabel(feature)\n", "plt.show()" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.2" } }, "nbformat": 4, "nbformat_minor": 0 }
gpl-3.0
ericagol/celerite
paper/figures/rotation/rotation.ipynb
3
16892
{ "cells": [ { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "%matplotlib inline\n", "%config IPython.matplotlib.backend = \"retina\"\n", "from matplotlib import rcParams\n", "rcParams[\"savefig.dpi\"] = 300\n", "rcParams[\"figure.dpi\"] = 300\n", "\n", "from celerite import plot_setup\n", "plot_setup.setup(auto=False)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "import kplr\n", "import copy\n", "import emcee\n", "import corner\n", "import autograd.numpy as np\n", "import matplotlib.pyplot as plt\n", "from scipy.optimize import minimize\n", "\n", "from celerite.plot_setup import setup, get_figsize, COLORS\n", "\n", "import celerite\n", "from celerite import terms" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "# Define the custom kernel\n", "class RotationTerm(terms.Term):\n", " parameter_names = (\"log_amp\", \"log_timescale\", \"log_period\", \"log_factor\")\n", "\n", " def get_real_coefficients(self, params):\n", " log_amp, log_timescale, log_period, log_factor = params\n", " f = np.exp(log_factor)\n", " return (\n", " np.exp(log_amp) * (1.0 + f) / (2.0 + f),\n", " np.exp(-log_timescale),\n", " )\n", "\n", " def get_complex_coefficients(self, params):\n", " log_amp, log_timescale, log_period, log_factor = params\n", " f = np.exp(log_factor)\n", " return (\n", " np.exp(log_amp) / (2.0 + f),\n", " 0.0,\n", " np.exp(-log_timescale),\n", " 2*np.pi*np.exp(-log_period),\n", " )" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "# Load the data\n", "kicid = 1430163\n", "client = kplr.API()\n", "star = client.star(kicid)\n", "x = []\n", "y = []\n", "yerr = []\n", "for lc in star.get_light_curves(short_cadence=False):\n", " data = lc.read()\n", " x0 = data[\"TIME\"]\n", " y0 = data[\"PDCSAP_FLUX\"]\n", " m = (data[\"SAP_QUALITY\"] == 0) & np.isfinite(x0) & np.isfinite(y0)\n", " x.append(x0[m])\n", " mu = np.median(y0[m])\n", " y.append((y0[m] / mu - 1.0) * 1e3)\n", " yerr.append(1e3 * data[\"PDCSAP_FLUX_ERR\"][m] / mu)\n", "x = np.concatenate(x)\n", "y = np.concatenate(y)\n", "yerr = np.concatenate(yerr)\n", "inds = np.argsort(x)\n", "t = np.ascontiguousarray(x[inds], dtype=float)\n", "y = np.ascontiguousarray(y[inds], dtype=float)\n", "yerr = np.ascontiguousarray(yerr[inds], dtype=float)\n", "\n", "delta_t = np.median(np.diff(t))\n", "y_interp = np.interp(np.arange(t.min(), t.max(), delta_t),\n", " t, y)\n", "emp_acorr = emcee.autocorr.function(y_interp) * np.var(y_interp)\n", "\n", "plt.errorbar(t, y, yerr=yerr, fmt=\".k\", lw=1);" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "from astropy.stats import LombScargle\n", "\n", "# First guess at the period\n", "freq = np.linspace(1.0 / 10.0, 1.0 / 1.0, 5000)\n", "model = LombScargle(t, y)\n", "power = model.power(freq, method=\"fast\", normalization=\"psd\")\n", "power /= len(t)\n", "\n", "period = 1.0 / freq[np.argmax(power)]\n", "print(period)\n", "\n", "plt.plot(1.0 / freq, power, \"k\")\n", "\n", "plt.axvline(period, color=\"k\", alpha=0.5)\n", "plt.axvline(3.88, color=\"k\",ls=\"dashed\", alpha=0.5)\n", "\n", "plt.xlim(period - 1, period + 1)\n", "\n", "plt.xlabel(\"period\")\n", "plt.ylabel(\"LS periodogram\")\n", "plt.savefig(\"rot-ls.png\", bbox_inches=\"tight\")" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "tau = np.arange(len(emp_acorr)) * delta_t\n", "plt.plot(tau, emp_acorr)\n", "\n", "plt.axvline(period, color=\"k\", alpha=0.5)\n", "plt.axvline(2*period, color=\"k\", alpha=0.5)\n", "plt.axvline(3*period, color=\"k\", alpha=0.5)\n", "\n", "plt.axvline(3.88, color=\"k\",ls=\"dashed\", alpha=0.5)\n", "plt.axvline(2*3.88, color=\"k\",ls=\"dashed\", alpha=0.5)\n", "plt.axvline(3*3.88, color=\"k\",ls=\"dashed\", alpha=0.5)\n", "\n", "plt.xlim(0, 20)\n", "plt.ylabel(r\"$\\left< k(\\tau) \\right>$\")\n", "plt.xlabel(r\"$\\tau$\")\n", "\n", "plt.savefig(\"rot-acor.png\", bbox_inches=\"tight\")" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "m = (t >= 352.396596505) & (t <= 537.5502295)\n", "t = t[m]\n", "y = y[m]\n", "yerr = yerr[m]" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "kernel = terms.TermSum(RotationTerm(\n", " log_amp=np.log(np.var(y)),\n", " log_timescale=np.log(10.0),\n", " log_period=np.log(period),\n", " log_factor=np.log(1.0),\n", " bounds=dict(\n", " log_amp=(-10.0, 0.0),\n", " log_timescale=(1.5, 5.0),\n", " log_period=(-3.0, 5.0),\n", " log_factor=(-5.0, 5.0),\n", " ),\n", "))\n", "\n", "gp = celerite.GP(kernel, mean=np.median(y))\n", "gp.compute(t, yerr)\n", "\n", "def neg_log_like(params, y, gp, m):\n", " gp.set_parameter_vector(params)\n", " return -gp.log_likelihood(y[m])\n", "\n", "def grad_neg_log_like(params, y, gp, m):\n", " gp.set_parameter_vector(params)\n", " return -gp.grad_log_likelihood(y[m])[1]\n", "\n", "# Do another round of sigma clipping using the GP model\n", "initial_params = gp.get_parameter_vector()\n", "bounds = gp.get_parameter_bounds()\n", "m = np.ones(len(t), dtype=bool)\n", "for i in range(10):\n", " gp.compute(t[m], yerr[m])\n", " soln = minimize(neg_log_like, initial_params, jac=grad_neg_log_like,\n", " method=\"L-BFGS-B\", bounds=bounds, args=(y, gp, m))\n", " gp.set_parameter_vector(soln.x)\n", " print(soln)\n", " # initial_params = soln.x\n", " mu, var = gp.predict(y[m], t, return_var=True)\n", " sig = np.sqrt(var + yerr**2)\n", " \n", " m0 = np.abs(y - mu) < 3 * sig\n", " print(m0.sum(), m.sum(), np.exp(gp.get_parameter(\"kernel:terms[0]:log_period\")))\n", " if np.all(m0 == m):\n", " break\n", " m = m0\n", " \n", "fit_t, fit_y, fit_yerr = t[m], y[m], yerr[m]\n", "\n", "gp.compute(fit_t, fit_yerr)\n", "print(gp.get_parameter_dict())\n", "\n", "ml_gp = copy.deepcopy(gp)\n", "ml_gp.compute(fit_t, fit_yerr)\n", "ml_gp.log_likelihood(fit_y)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "plt.errorbar(t % np.exp(gp.get_parameter(\"kernel:terms[0]:log_period\")), y, yerr=yerr, fmt=\".k\", lw=1);" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "omega = np.exp(np.linspace(np.log(0.1), np.log(20), 5000))\n", "psd = gp.kernel.get_psd(omega)\n", "\n", "plt.plot(omega, psd)\n", "for k in gp.kernel.terms:\n", " plt.plot(omega, k.get_psd(omega), \"--\")\n", "\n", "plt.yscale(\"log\")\n", "plt.xscale(\"log\")\n", "plt.xlim(omega[0], omega[-1])\n", "plt.xlabel(\"$\\omega$\")\n", "plt.ylabel(\"$S(\\omega)$\");" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "# p = emcee.autocorr.function(y) * np.var(y)\n", "\n", "tau = np.linspace(0, 20, 5000)\n", "plt.plot(tau, ml_gp.kernel.get_value(tau))\n", "for k in ml_gp.kernel.terms:\n", " plt.plot(tau, k.get_value(tau), \"--\")\n", "plt.ylabel(r\"$k(\\tau)$\")\n", "plt.xlabel(r\"$\\tau$\")\n", "\n", "plt.plot(np.arange(len(emp_acorr)) * delta_t, emp_acorr)\n", "plt.axvline(period)\n", "plt.xlim(0, 20)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "plt.plot(t, y, \".k\")\n", "plt.plot(t, gp.predict(fit_y, t, return_cov=False))\n", "plt.xlim(375, 425)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "plt.plot(fit_t, fit_y - gp.predict(fit_y, fit_t, return_cov=False), \".k\")\n", "plt.ylabel(\"residuals\")\n", "plt.xlabel(\"time\");" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "def log_prob(params):\n", " gp.set_parameter_vector(params)\n", " lp = gp.log_prior()\n", " if not np.isfinite(lp):\n", " return -np.inf\n", " return lp + gp.log_likelihood(fit_y)\n", "\n", "ndim = len(soln.x)\n", "nwalkers = 32\n", "nburn = 500\n", "nsteps = 5000\n", "pos = soln.x + 1e-5 * np.random.randn(nwalkers, ndim)\n", "lp = np.array(list(map(log_prob, pos)))\n", "m = ~np.isfinite(lp)\n", "while np.any(m):\n", " pos[m] = soln.x + 1e-5 * np.random.randn(m.sum(), ndim)\n", " lp[m] = np.array(list(map(log_prob, pos[m])))\n", " m = ~np.isfinite(lp)\n", "\n", "pool = emcee.interruptible_pool.InterruptiblePool(2)\n", "sampler = emcee.EnsembleSampler(nwalkers, ndim, log_prob, pool=pool)\n", "pos, _, _ = sampler.run_mcmc(pos, nburn)\n", "sampler.reset()\n", "sampler.run_mcmc(pos, nsteps)\n", "pool.close();" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "plt.plot(np.exp(sampler.chain[:, :, 2].T), alpha=0.3);" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "gp.get_parameter_names()" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "chain = np.concatenate((sampler.flatchain.T, [sampler.flatlnprobability]), axis=0).T\n", "corner.corner(chain);" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "t0 = t.min()\n", "x = np.linspace(t0, t0 + 60, 1000)\n", "mu, var = ml_gp.predict(fit_y, x, return_var=True)\n", "std = np.sqrt(var)\n", "\n", "fig = plt.figure(figsize=plot_setup.get_figsize(1, 2))\n", "\n", "ax1 = plt.subplot2grid((3, 2), (0, 0), rowspan=2)\n", "ax2 = plt.subplot2grid((3, 2), (2, 0), rowspan=1)\n", "ax3 = plt.subplot2grid((3, 2), (0, 1), rowspan=3)\n", "fig.subplots_adjust(hspace=0, wspace=0.1)\n", "\n", "m = t - t0 < 60\n", "ax1.plot(t[m] - t0, y[m], \".k\", ms=3)\n", "m = x - t0 < 60\n", "ax1.plot(x[m] - t0, mu[m], lw=0.75)\n", "ax1.fill_between(x[m]-t0, mu[m]+std[m], mu[m]-std[m],\n", " alpha=0.5, edgecolor=\"none\", zorder=100)\n", "ax1.set_xticklabels([])\n", "\n", "ax1.annotate(\"N = {0}\".format(len(fit_t)), xy=(0, 0),\n", " xycoords=\"axes fraction\",\n", " xytext=(5, 5), textcoords=\"offset points\",\n", " ha=\"left\", va=\"bottom\")\n", "\n", "pred_mu = ml_gp.predict(fit_y, return_cov=False)\n", "m = fit_t - t0 < 60\n", "ax2.errorbar(fit_t[m] - t0, fit_y[m] - pred_mu[m], fmt=\".k\", ms=3)\n", "ax2.axhline(0.0, color=\"k\", lw=0.75)\n", "\n", "ax1.set_ylim(-1.1, 1.1)\n", "ax1.set_xlim(0., 60)\n", "ax2.set_ylim(-0.09, 0.09)\n", "ax2.set_xlim(0., 60)\n", "\n", "ax2.set_xlabel(\"time [day]\")\n", "ax1.set_ylabel(\"relative flux [ppt]\")\n", "ax2.set_ylabel(\"residuals\")\n", "\n", "for ax in [ax1, ax2]:\n", " ax.yaxis.set_label_coords(-0.22, 0.5)\n", "\n", "period_samples = np.exp(sampler.flatchain[:, 2])\n", "mu, std = np.mean(period_samples), np.std(period_samples)\n", "n, b, p = ax3.hist(period_samples, 40,\n", " color=\"k\", histtype=\"step\", lw=2, normed=True)\n", "ax3.yaxis.set_major_locator(plt.NullLocator())\n", "ax3.set_xlim(b.min(), b.max())\n", "ax3.axvline(3.88, color=\"k\", lw=3, alpha=0.5)\n", "ax3.axvline(mu + std, color=\"k\", ls=\"dashed\")\n", "ax3.axvline(mu - std, color=\"k\", ls=\"dashed\")\n", "ax3.set_xlabel(\"period [day]\")\n", "\n", "ax2.xaxis.set_label_coords(0.5, -0.3)\n", "ax3.xaxis.set_label_coords(0.5, -0.1)\n", "\n", "fig.savefig(\"rotation.pdf\", bbox_inches=\"tight\", dpi=300, include_diff=False)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "from scipy.linalg import cho_solve, cho_factor\n", "\n", "p0 = gp.get_parameter_vector()\n", "fast_timing = %timeit -o log_prob(p0)\n", "\n", "def _time_this():\n", " K = gp.get_matrix(include_diagonal=True)\n", " factor = cho_factor(K, overwrite_a=True)\n", " ld = 2.0 * np.sum(np.log(np.diag(factor[0])))\n", " ll = -0.5*(np.dot(fit_y, cho_solve(factor, fit_y))+ld) + gp.log_prior()\n", "\n", "slow_timing = %timeit -o _time_this()" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "chain = np.mean(sampler.chain[:, :, 2], axis=0)\n", "tau = emcee.autocorr.integrated_time(chain, c=5)\n", "neff = len(sampler.flatchain) / tau\n", "tau, neff" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "import json\n", "c = gp.kernel.coefficients\n", "with open(\"rotation.json\", \"w\") as f:\n", " json.dump(dict(\n", " N=len(t),\n", " J=len(c[0]) + len(c[2]),\n", " tau=tau,\n", " neff=neff,\n", " time=fast_timing.average,\n", " direct_time=slow_timing.average,\n", " nwalkers=nwalkers,\n", " nburn=nburn,\n", " nsteps=nsteps,\n", " ndim=ndim,\n", " ), f)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "gp.get_parameter_names()" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "name_map = {\n", " 'kernel:terms[0]:log_amp': \"$\\ln(B/\\mathrm{ppt}^2)$\",\n", " 'kernel:terms[0]:log_timescale': \"$\\ln(L/\\mathrm{day})$\",\n", " 'kernel:terms[0]:log_period': \"$\\ln(P_\\mathrm{rot}/\\mathrm{day})$\",\n", " 'kernel:terms[0]:log_factor': \"$\\ln(C)$\",\n", "}\n", "params = list(zip(\n", " (name_map[n] for n in gp.get_parameter_names()),\n", " gp.get_parameter_bounds()\n", "))\n", "with open(\"rotation-params.json\", \"w\") as f:\n", " json.dump(params, f)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "period_samps = np.exp(sampler.flatchain[:, 2])\n", "q = np.percentile(period_samps, [16, 50, 84])\n", "print(q, np.diff(q), np.mean(period_samps), np.std(period_samps))\n", "\n", "with open(\"rotation.tex\", \"w\") as f:\n", " f.write(\"% Automatically generated\\n\")\n", " f.write((\"\\\\newcommand{{\\\\rotationperiod}}{{\\\\ensuremath{{{{\"\n", " \"{0:.2f} \\pm {1:.2f}}}}}}}\\n\")\n", " .format(np.mean(period_samps), np.std(period_samps)))" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.0" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
CalPolyPat/phys202-2015-work
assignments/project/Base Network Tangent Cost Function and L2 Regularization wAdaptive learning constantspeed of learning.ipynb
3
1462105
null
mit
ColeLab/informationtransfermapping
MasterScripts/ManuscriptS3_ComputationalModelGroupAnalysis_TopDownAndBottomUp.ipynb
1
722038
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Computational model for group analysis\n", "\n", "### Demo code for Ito et al., 2017. Generates exact figures from Supplementary Fig. 3, and several comparable figures to Fig. 4.\n", "\n", "#### Author: Takuya Ito (takuya.ito@rutgers.edu)\n", "\n", "#### Ito T, Kulkarni KR, Schultz DH, Mill RD, Chen RH, Solomyak LI, Cole MW (2017). Cognitive task information is transferred between brain regions via resting-state network topology. bioRxiv. https://doi.org/10.1101/101782\n", "\n", "**Summary:** Reads in data generated from running simulations on a compute cluster (20 simulations/subjects). For each simulated subject, we run a resting-state simulation, a task-state simulation (for topdown hub stimulation), a second task-state simulation (for simultaneous topdown and bottomup network stimulation), and perform the information transfer mapping procedure for each task. Each task consists for 4 different task conditions.\n", "\n", "Simulations are run using a network with five communities, comprising of a single hub community and four local communities. We employ a firing rate code model, and simulate functional MRI data by convolving the simulated signal with a hemodynamic response function (defined in model.py module).\n", "\n", "See Supplemental materials/methods for a full description." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## The model (see Stern et al., 2014)\n", "\n", "$$ \\frac{dx_{i}}{dt} \\tau_{i} = -x_{i}(t) + s \\hspace{3 pt} \\phi \\hspace{1 pt} \\bigg{(} x_i(t) \\bigg{)} + g \\bigg{(} \\sum_{j\\neq i}^{N} W_{ij} \\hspace{3 pt} \\phi \\hspace{1 pt} \\bigg{(} x_{j}(t) \\bigg{)} \\bigg{)} + I_{i}(t)$$\n", "\n", "where $x_i$ is the activity of region $i$, $\\tau_{i}$ is the time constant for region $i$, $s$ is the recurrent (local) coupling, $g$ is the global coupling parameter, $\\phi$ is the bounded transfer function (in this scenario is the hyperbolic tangent), $W_{xy}$ is the synaptic connectivity matrix, and $I$ is the task-stimulation (if any)." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Simulation description (see Methods for full description)\n", "**N.B. All simulations were performed separately using the provided modules; data provided are a direct product for running the provided model. This notebook is configured to run analyses in parallel using the multiprocessing module in python.**\n", "\n", "**1.0 Load and visualize synaptic connectivity, resting-state FC**\n", "\n", "**2.0 Compute out-of-network degree centrality**\n", "\n", "**3.0 Load in network-to-network activity flow mapping predictions; perform predicted-to-actual similarity analysis (RSA)**\n", "\n", "**4.0 Seconday analysis: Load in network-to-network activity flow mapping predictions; perform predicted-to-actual similarity analysis (using SVMs) **\n", "\n", "**5.0 Re-run all analyses using FWE-correction using permutation testing**\n", "\n", "#### Parameters:\n", "* global coupling parameter g = 1.0\n", "* local coupling parameter s = 1.0\n", "* Sampling rate of 10ms\n", "\n", "#### Additional notes:\n", "* Simulation data was generated using the function model.subjectSimulationAndSaveToFile()\n", "* See help(model.subjectSimulationAndSaveToFile) for more details\n", "* Simulations were performed on a compute cluster at Rutgers University (NM3 compute cluster)\n", "* A single subject simulation takes about ~10-12 minutes to complete for model.subjectSimulationAndSaveToFile\n", "\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# 0 - Import modules and define essential parameters" ] }, { "cell_type": "code", "execution_count": 115, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import numpy as np\n", "import sys\n", "sys.path.append('utils/')\n", "import os\n", "os.environ['OMP_NUM_THREADS'] = str(1)\n", "import matplotlib.pyplot as plt\n", "% matplotlib inline\n", "import scipy.stats as stats\n", "import statsmodels.api as sm\n", "import multiprocessing as mp\n", "import sklearn.preprocessing as preprocessing\n", "import sklearn.svm as svm\n", "import statsmodels.sandbox.stats.multicomp as mc\n", "# Import custom modules\n", "import multregressionconnectivity as mreg\n", "import model \n", "import analysis\n", "import permutationTesting as pt\n", "\n", "from matplotlib.colors import Normalize\n", "\n", "# Code to generate a normalized midpoint for plt.imshow visualization function\n", "class MidpointNormalize(Normalize):\n", " def __init__(self, vmin=None, vmax=None, midpoint=None, clip=False):\n", " self.midpoint = midpoint\n", " Normalize.__init__(self, vmin, vmax, clip)\n", "\n", " def __call__(self, value, clip=None):\n", " # I'm ignoring masked values and all kinds of edge cases to make a\n", " # simple example...\n", " x, y = [self.vmin, self.midpoint, self.vmax], [0, 0.5, 1]\n", " return np.ma.masked_array(np.interp(value, x, y))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### ESSENTIAL parameters to modify\n", "* Identify data directory\n", "* Indicate number of threads to perform analyses (this originally was performed on a large linux servers with > 20 cpus)" ] }, { "cell_type": "code", "execution_count": 116, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Specify the directory to read in provided data\n", "datadir = './ItoEtAl2017_Simulations/'\n", "# Specify number of CPUs to process on (using multiprocessing module in python)\n", "nproc = 10\n", "# Output file to save generated figures (from this notebook)\n", "# outputdir = './figures/' # default with output in current working directory\n", "# if not os.path.exists(outputdir): os.makedirs(outputdir)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "** Basic simulation parameters **" ] }, { "cell_type": "code", "execution_count": 117, "metadata": { "collapsed": true }, "outputs": [], "source": [ "nsubjs = range(0,35) # number of simulations (i.e., subject numbers)\n", "nblocks = 20 # number of blocks per task condition\n", "\n", "#### Define the condition numbers associated with each task\n", "# Conditions 1-4 are for top-down stimulation only (i.e., task 1)\n", "topdown_only = range(1,5)\n", "# Conditions 5-9 are simultaneous top-down (hub-network) and bottom-up (local-network) stimulation (i.e., task 2)\n", "topdown_and_bottomup = range(5,9)\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# 1.0 Construct sample network matrix and visualize group FC matrices" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 1.1 Construct and visualize synaptic matrix for a single sample subject (Fig. 4A)\n", "\n", "We generate a random synaptic matrix using the model.py module for demonstration" ] }, { "cell_type": "code", "execution_count": 118, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.colorbar.Colorbar at 0x7f7675254e90>" ] }, "execution_count": 118, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAUoAAAEmCAYAAAAEH9kkAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAIABJREFUeJzsnXm8VfP6x9/P+m4RbhQZypChRIhcRIhMEUVEZPiVawyR\n4RY6xznpZsxwzUPGiAiZI8lURIgGRYpCIkSG2t/1/P74rr33Wnuvvc8+Q+W4+/N67dc56zuv6VnP\n9xlFVSmhhBJKKCE/vFW9gBJKKKGEvzpKhLKEEkoooQqUCGUJJZRQQhUoEcoSSiihhCpQIpQllFBC\nCVWgRChLKKGEEqpAiVD+zSAiHUXEF5GTVvVaagMReUhEltei//7BdTi+LtdV3yAi80Vk7KpeR33H\n35ZQisgWInKniMwQkaUislhEpovIfSKy76peX20gIm1FpFxENsvTpM6MY0VkaEBwOsXUDQjqXo+p\nMyLyi4h8VMOpFfBr2Dc8RlEQkfNF5MRqtDfBufsiMqVAu0+CNsuKHTtmjP2C+712Dbr71OHz8L+K\nvyWhFJF/Ap8ARwMvAecBlwPjgD2A7qtscXWDnYByoEV2hapOABoCD9bRXOODv/vG1O0LLAd2FZE1\nsup2BdYCXq3hvP8H1IQwhCHVaNsfKJpQhvA70FZE2uZMLrIbsF3QpjboBJQBjWrQdyvg0FrO/z+P\nxKpewApCObAGsLuqfpJdKSIbrPwl1SmEAlyCqtaYe4nBmzhiuG9kASIG6IAjyL2BPYkSxX2DNU6o\nyaSqagFbk74rGa8Bu+OuwXlZdacA3wLTgI61mKM6BB8RWQ0QVV2mqjUWX5QQgqr+7X7ADOC7Itqt\nBnwHvJGn/iLc1mWv4Pj/guP9gAuBz4A/gE+Bk2L6Hws8DcwL2i0CngR2iGk7F0dodg7+/gL8ANwH\nNA21Kw/WYIO/qd/woL5jcBy3nlOBScHYvwBTgYoirtObwfrXCJXtEaxhN+AbYHBWn5eAJNA4q3y3\n4Jp8H4w5ExgAeFntHgKWx6ylU3AOvwFfA9cB2wfnfEmo3f5B2fE4gjUtmO8LoH+onclzPS3QrMA1\nSfUbDfw3eI4Sofo1gB+Bq4EXgGVZ/VsDtwXrWgIsBSYDvbPaPZhnfZcE9VcEx9sANwDzcR+2PYP6\n+cDY0HiHB+1vy5pnbdzzvABYf1W/w3+139+Vo/wcaCUiR6rqk/kaqepyEbkf6C8iLVV1dlaT3sBM\nVX0z1SX4+x/ci3A78CdwJnCviMxW1Ymh/n1xBOEOHGexFXAa8KaItFPVz8PLATbFiQceBx4D2uFe\n8l1EZFdV/QN4AtgYR/SuwBGa1DmHx4pARB7CEY1JQb+fcC/rUTjiWwjjcYSxQ7A+cBzjr8B7OK5x\n39BcBsdhTlXVH0PlXYFRwZqvxhGSDsAQYAegV9Y5RM4jkC2/gLumQ3DE/lhg77hzDnAO0BS4B/gZ\nOAm4RkS+VNXHVdWKyAk4YrcAGEqGg1tcxXVJ4V7cve6Guz/gxD6NgOHA9TF99sdd06dxxHvt4Fzu\nEZEmqnpd0O6WoK4rcDbuvgF8GPxNXaeRuPtxTXC8MFSfhqo+IyI3AeeIyMuqOjqougMnyjlIVb8v\n8rz/d7CqKfWK+AHtcdyDj+P27gHOAFrHtG0ZtLsyq7xDUH5BqOzkoOx9wITKmwXzjcgao2HMfNsE\nbW/OKv8CxzWck1V+XjDnxVnrsMA+MePncJTAMUHZfTW8np2C/leEyl4Eng/+PyM4p4ah6+8Dw8LX\nAsd1vRIz/oXB+ewZKnuQXC5sCo7z2iRUZoCJQf84jnIesFaofC0coZ2QNfZXhDivIq5JmqMMjj8E\nng3VjwPeCv6P4yjjng0B3gjWJ6HyweThcIM6Hxgb7lPovIAGwTO8GNgcxxD4wJCV8X7Wx9/fUpmj\nqpOAXXDb1ka4LfMtwHQRmSAiW4TazsZxRCeJSPh6nILbwjwQM8Ut6mRoqTG+BmbhiG54HWkhvoj8\nQ0TWw22nP8XJtbKxBLcdC+PWoPzI/GdcJXrhOIuLatj/bWAZAdcYkk+m5I8TcC9fh+B4v2C+8aEx\nDgbWw3He64V/OEIiwEH5FiAizXBKrCdUdX6qPLgPN5FfjnePqi4NtV8KvEvWvaoDDAcOEpGNRKQF\n7loNz9c469lYXUSaAE1wIovGQKtqzK3A9RpQwSobOxl2TxyxfxJ3/SYCg6ox5/8U/paEEkBVp6lq\nH1XdGLelOBl4HbdNe0pEwmKHO4ENgcMAAjOMHsAzqrooe2gc95eNH3CEIA0R2VlEnhWRX3DbvkU4\nrmoH3MuQjTmqmsw6j2XAHGDLKk86P7YGvok5l6Kgbss/CafdboiTM65JQChVdQbu3PYLuuyL41DC\nZkPb4ojZg0Hb8O9j3HXdsMAyUh+3WTF1nxboV9S9qgOMIODkcR/m34FH8zUWkbVFZJiIfBm0/R53\nLSqDJnHPRyFki40KImAQLsZ9fBQ4XlVra471t8XfVUYZgap+hVMOPCQib+DkZ7vhOCVwcqWbcFzk\nGNzXdk3g7jxD5tPGprkaEdkUR0h+BipwL/hS3EN5I24LWJ8wHveR2QvHrf+GUz6k8Dqwb0g++ZGq\n/hyqT2nqz8eZbsVhQV0vmiLuVV1AVX8QkWeAPsDqOM731wJdHsNx0LcBb+GIt8XJIs+h+kzMb9Ve\ntFPsKO5Z3wYnpighBv8ThDIL7+Be5OapAlVdJiIP4ATcG+MI5gLcNqimOBJHDA9T1YhBdrDd/COm\nz5YikghzlSLSAMdNzgi1q64B8Sygq4g0rSlXiSOU5TiusR0wMSx+wH0Urgvq1yK67QbH8QiwVFVr\nYls5N/i7TUxd6xqMl426MMoeDjwXjJV32x1sszsDd6vqOVl1cTaPdW4wLiLnA4fglGLHAveLyI61\neD7+1vhbbr1F5ICAs8kub4iTlQFMz6q+C/fhuAonP7y3WJlPHqSISOQai8ipwEZ5+jTCaU/D6BuU\nh7X3v+KITpMi1zIiaH+1iNSUk5qEI+4HEZVPpjABZ251Ge7Ffi2r/nkc1zRQRNbNHlxE1ijkeaKq\nC3AKk+4Bt57qlwDOpfbE5FeKv5758BJOzneJOsP/fMj3bDTHKVbi1kYdrC81Tzucdn+sqpbhrCGa\nEC+PL4G/L0d5PbCeiIzByb9+w5neHI8T4t+vqtPCHVR1poi8CZyAkzXdm2fsYgnNCzjZ00MicjMZ\nU5hDcKY8OYQ8KC8Tke1xWsl/4l6c6TjzlRQmB2u8NOBOlgJfqOq7cQtR1cdF5FGc/KxVcF1+xHFn\nB6rqjlWdTMB1v43TgOcYkqvqxyKyGNgHZz/5elb90sD//AngUxG5F2e31xgnvzwS6EJGHBKHC3Da\n9ndE5DacWKMnGYJTG2I5CafQuxwn8/SBp1T1z2IHCGR8Q4po97OIjANODlwb38fJ0U/HXZN/xqxN\ngGtF5GGcSdrUQDZcLQQfo5E4jffJwXreF5FLcGZTF6rqtdUd92+PVa12XxE/4AAcYfkApzxZhhOU\njwNOLtAvRSRjzUQobJYzHvg8q2wvHMH4GfdgjsG5tMW1/QJnaL5TsM5Yg/NQ+xNxsr4/gjWFDc4t\n8QbnZ+LsHn8N1vQhcFk1ruulwdi/AqvF1D8Z1L9TYIw2OIXO/GDt3+BMYgYA64TaPQj8GdO/E05D\n+1vQ93qcKMUHzgu12z9Yy/ExY+SMjVMkPR5c8yTFGZxbnCyyquv2Qsx86+Fk4AtwH7oPcUqgU8gy\nlQra/xv3If2TkCkUBUyHgvovgZdCx/cH53dAnnX+AbRbVe/uX/UnwQUqARCRHjhNZU9VfWwlz/0F\njivMCT5RQmGIyLHAI8DRmjGgLqGEOsPfUkZZC5xNxs2whL8YxKFBVlkDnCZ9GTX0Ky+hhKrwd5VR\nFg0RaYrbqqdMXwZoKZDAXxVrAp+JyAicJn99nIyyDc5r6IdVubgS/r74nyeUOJnhCJxy4zZg2Cpc\nS0kOUhh/4rTn3XD+7oLzGz9DVe9alQsr4e+NkoyyhBJKKKEKlGSUJZRQQglVoEQoSyihhBKqQIlQ\nllBCCSVUgRKhLKGEEkqoAiuUUIrIJiLyqohME5GPReScoLxcXBrNKcGvc6jPQBGZLS57Yt74hCWU\nUEIJKwsrVOstIhsBG6nqh4GP6fs4045jgV9UdVhW+22Bh3EZ/DYBXgFaakk1X0IJJaxCrFCOUlW/\nVdUPg/9/xYUKS4U3iwsu0Q0YqapJVZ2LC82124pcYwkllFD/ICKdRWSmiMwSkX/H1HcVkY9E5AMR\neVdEOgTl2bvcc4uZb6XJKIPw+Dvh4kECnC0iH4rI3SKyTlDWHJfjI4UFhOJGllBCCSUEKVtuxoVM\nbAMcJyLZMUlfUdW2qrozLtBIKgh3EpeFsw0uuVvfmL45WCmEMth2Pw70CzjLW4EtVXUnXHbC6wr1\nL6GEEkoIYTdgtqrOC9yNR+J2o2moajji+9q46FJV7XLzYoW7MAaBVR8HHlTVpwE0GkX5LuCZ4P8F\nuLiRKWxCTHoAESnJLEsoYRVCVWucSmNdkUiOkCowT1VbZJVl7zznEyOiE5EjcAGKm+JinWbXtyC6\ny82LleHrPRyYrqo3pgpEZCNV/TY47E4mh8oYYISIXI+7GFvjMubFoCOhVNIhhGmoS9NiP63EbFNO\nL9uMEebrTPWMMoZscwE3aD8WmfsiYwy1ixlo8uefElGm+ffzqH8sFaZhbJuLLFxjlLvsbE41Xwfr\nVaqO/ZtqE26r3OTP5wh9is3MOTntR9tJdDd7VDnuFXYJl5l1Yuuy17V52WzuLf+MTuaQKttWF23s\noUwzz8eOe4i/A5U6iF3NMZHane3+fGDGURiv0dOeyEjzVYE2ynN2Al3MvtVfeB2hq23FGDOLXcve\nZHJlB5b8fgWNGg6iq92GMWYmL9pX6Wz2p7vditHGpWzf1e7LZPNazlgiylbJI/nMPAUfl8EOlTn1\nRya3ZrT5nKH2BwYm1mP1H87hzyb/5WILVwchpKPjZz+D7u/AgXsxdOiBtTr3n3GJ5eMwh2g2uPEu\nnW6NoKpP4RIJ7hVMmV54zC63IFa0eVAHXKrUToFQNWUKdLWITBWRD3EU73wAVZ2OS7o0HRf84Kyq\nNN4t/SPo7xtutKkMphL6BSVBjOoIkQTYtpJLvUZZRNKNMTCxHvv5u9DLb0Yv2xzmXBJpcXqyEW28\nk/lUcrOKVvq/cqpdl2sSsJ//T2ZF2hRDYCTrr/v/XG8TNjNnx7bvbtoXNe5lppH7d0p5njkzmFe5\ngE6mc0556sW5wkb5ggPtTkWswSGXSGbW8IL3MbuaHjm1VRNJh8JEMpipVnReGWB9mFVW4xHGmFmA\ncshP47jULqdRw8tovOxfjDGfAkJn48KSNpBlgCOskxOvsbPdP3c1Ko5IojlEkv+UoyqMTnwOV5cz\nMLEe7ZN782cTFzD/6gR0t1sByrVyAaA84H9ChV0anGfq9RMuypemrQZYLc9vG1wyodQvDxYAm4WO\nY3eeKajqm7h8VE0gfpdbFVa01vstVTWqupOq7qyq7VT1RVU9SVV3DMqPUNWFoT5DVXVrVd1WVcfm\nG3vdsu0AmO09xTDP0s/EiRmUK/3FdGjzSoFVht8YxY6soLk9HhTGe+8zwvuaEWYBbPmfSLvBchmq\nwqPmS+bYW9M1HeyerMlv3JX4ibb2YDZlPtca5YKyuAwHytP2jQJri1trvje80JuvLLA3RNu1q4jU\nh88hd1zFPlORU54mugFeNh8WWEN1UadJEnPGPtTrWKv+VxqBVpVVN0Upt7/nqRMqb9qHIYkG9LVr\n8uPqd9PPunCbO/sHsJ+/C9/JBhznb8ol3pXsnNyfDxLjuMvGZex144XR3u4Nl1SklgH/rqClPYL9\nxX1wEt+dD6oc5T3BzXYeHee9DQgneW0oT6xFP7u6O89ggA3luyLOtzgkivzlwWRgaxHZPIhH2hO3\nG01DRLYK/d8OaKCqi4OinF1uVai3njk/VaZktcoE+wzhh2Rre0TwnzDAa8JEkyFGb9mnAPeFzkBZ\nuqQCEBLHlbHAPJw1m2K/yRCK2+0cmnoXuxoVtjRnpuveTrzFhV5TUPjonfY84C1EVbiu8rjY82gu\n38SU1rUIVmhu+uWUpq4FwD1yivvn4TCn2SLd3xwexz3VlJgpL9riuMPqo0WR7TJr38/uUvTofW1K\nzFLsuQsVZo30UQe7Z6hO6TPOPSu3Jn5jQvIZbjTLAKUXjzDevM/7f/yTR7yvaC9HcpbcBgqnmpZZ\ncyh3+J9zpf99pOwpOTJyPMBanpGuLJPV6WsbktzgegCsJjgnsTlzNt+Ma+x37txUgrVkdjcXek2L\nPOeq0bDIXxzUZf88GxgLTMOZFM4QkdNF5LSg2VEi8omITMGlhTkGCu5yC6JehlkTER1gB3Gl8Wjr\nH8xQBnKoty+FZGcpeWEwQqhGedp/k9F6FPebQl9M13eSHU170z3vPACP2vc4+rvnMM3KGZ2cWIXs\nsLryPsXOrcS0yN46V42T7AY8EDnHqAy0Lri41vZwZppn0se5c8bNXd+gPGQ/4gRTvKghH0711+Uu\n76dAPjgeEPrahtxiHCMwyk6mh9kNUN7zR/FP7xhAudRfzlgOoqH+wetTDmbzXWYyzxtJ9rOdewzh\nez7aTqJ7Yg/48mLGND+cObIVnf0XaG1Oocnyf9HPu4Fyk0mOebvfizO8VrVS5ohI0cFDT6V2iqO6\nQr3lKFNbgo+8l0LbqPzX8xqTqndtdrSprLVCN7M3D9x1Bvbt7O1lGK7vHol8RFIZal2A7WPNLpiN\ny0DhqER7Rtr3Y9vfbOcWXHO+dZgWNZON5RIsyfN/HFLrLYwwkYyfs9B8yiT7RLXnXPkQTjBt62Sk\nu8xP9LUNmZx4jZSY4wB5FcZfTme7Iz3Mruk5dx3zCafaxqz9S1/eZG/G2oN53UyC3SpYW35lSz8k\n1329nDBB/My/g/fsKOzcjLhgW3s4+/njQaF1sxl0M3txnmxMa9MHgMUN7mZ973t6+c3oY51ic96l\n8+rkvGu59V7pqLeE8n4bzjbrHrDZ9o5QmTLc5mbzvMWfy352F6aal8JN0TMqMXtmEyDlJX8ck20m\nz5iqMMrmKuK3tEdz8aKbQ+uRdPue/eLkxcLZpsYKvRpAsUPzfAimFsOdroz1SsCtr8w5oWaijpoz\nOfvY3SNT32J+jyyh631jYb8KXjQfEyZ2ekQld5kf+bXRrVzMVTRebUBQpdzKmbTiUwC6+a2Y2iG8\nPRe29k7jn6YHiS3K0nLxGeZZGq82EIAd5BMc45b6KZ/ZO9iOGZzGHQxP/ECFrVI5XDTyKXOyf38V\n1FtCebJpk1UitDSnRds0GxWSVzr09TZnvInj8NwY2ccHe51yNLDuKx9WxCizp7dlZNOu8cPenE/o\nX9OXrSb9BDMw90Ng36mAHQtx0nHz5mq8U2hjD63WqnrZZjlzdLHbxcyZgjLMxsl1XZ39rNhzic6Z\nM87jNRmnapxq1+V1EzbbU+71Z3CpddrtXrY5pk8eefDUMmzPClDo4nUMrVvoKF140fsYO6+SD2Vn\nlkVzsAFQ7v/B1clFdDN7RcY9w/6DUd4XWa3d+3S1XkxH7zBQKDdr1fzEs1DiKFca3Fdvin04UnaG\n/4/0/2ZhGZ+ZJyOcpj23klwOQrGvVoDAhvbEiJIj9TDebz/JKetm9k6XmB0GcUJiJ76y/63leWWw\nq923YH1GsVAsovKq2fZOzO7Vl3U6jXecLWau2U9VXEiOyRbwnJmeXmPc3P3NxjHlTh5nts49ny+r\nfU8Ec3RNrkvVuMv8lDPXBclrqVg8lBvt/OB6pOwWFQQ2s8e6pjtWwFmQOteoQtLdW7N5GV96I+mh\njyESVpoJFV5DLkpsAF84U7eUuc/tZkl6lFbajVfsWED5b3IeL3iOq93EHoedV4yWvziUOMqViDa2\nC+2M0yY3XvYvANYjOxGf0CpxGtPsfQCYm8pIm7yEuI8nO3YGhYXmQTqYlDeUBvaZEsvBRv8XVIVN\nzdmABtrDMLQA0VBG+B9mcVJEjItTMqIwbjFxZifxIodc5HLgKwI15ULc+Uo1Pgb5uex429NVhVw5\n7CvmAFbboIzzEs0jtopj/De5KzmLLxOPcpi/HSCYpa7BNfa7wBaTwLZSudJ3z76q8IV5HFWY7O0W\n/cgrsMVQQGmWtrjIXLum+j0HjH2TbLHHfPMIZvOa241mo0QoVyIc9+Ju8o8NnM97F57HHhjdNqkK\nbczJhL0Mkt9X8p8t+6dfxEN+exFQxvhvYD9KfTklYp853n8hTXCDkbM4TUgRzYtMtimFxBCN1PZd\n6OW1DXFSuRhuis3EKvQx2T7+ykx7T2zb2qMqwiwhxVnxSJ1v/MeguHVl7lUx55lfnFC3yJXDdpUx\nqLoP7bUJJbnImap1M3uxv7wCquzFG042fkglIFycaMp0ex92ZkVgiO9M4bLnGiRr83+JNjH3Xzjf\n2yirTHnLewsOrcQ+5NYAir2mIs0w1BVqYx60KlCvCWUc9vS6YV7OZ/OXkekk1i9jkLd28CIKa/3D\ncZqPcDymbRkZrjDzcOwz+13amJMZ6b8f2N7FcZrh+aoqk5C8qLDB+I/L/lOgvup5UprMukccYQ5D\neUHyyy0dUagap9p1q70u93GMruVlOzZynOHycw3o46FpeWLNkbk/W9ijme89QkrM0Nuuzz1NermZ\nFB7heEAYYNbjAD9wnHjbedu0SZzMZy03Sa/LvlAZyG+Vqf6DHOTvxFT7EKrR+5+RI2fLnIPtvELi\nxLK0ffLZ/a/lvERzHrJ151BQklGucsR5r6QMyrP9wKPt7MsVPGK+StdFuULBbOOI6XGmHT3kcZKN\nM1/dqfbB9Fypv/byyiKUG8W5NDZuMDByPtXHivV0KVTX3Msf8i/RNPxRi+PQHXJlezVZl3BQ4sCQ\nZ0s2l1/cvRhi6m5T+IV5HFDm+LcBMNz7gTMSW2GHVzDGf5MfCEQuqoGGWinffUBQJGyTJoCCOaSM\n/mYjQNjRO4GXzQchb5rMuaXkyP2tIfWcriXObvPJm05Ij93RHAbAbeYXVOvOJApKW++VCI0104nD\n+rYPazVKySZzx3nOvgYI5kBn+5h5WYP2r5dHjl9LPstZXgsSP5aly3c0J+AI5kPpL7G5fBDTEs/X\nQOkSh+rYPK4oZAhZSiacXR+/Dc/9cGW4suh55efQQb6+sBprjYeqZHm2VNPY/6vUx9EhW65cE3Tx\n23Anp6ePT082wvQpo5vZizNJuZYKjC/nCrsk4ukTJytPGcTrl/9mgnTkLfs0jX5z4zu7VMWOr8CI\nj3tOy9LbcLNuEoDO/g609I8M3C+Va/2FvG6fZcjFr9b6fKHEUa5ECENlYFaZhpQeGf/l7829xL0Q\nqy8+BxC6mIzBei/bjHbyQSCjCbBPdHvovrTZHKn7v7H8lP4SA5Qnf6+FnK2uUb1t4wDrZ5VkCFlK\nJpxdX3gbnmkXx5UlvjufQoRLm11bxNjp1sEuIn7+mkEwm0bFOoXkyuG15HPZ7OG34Fge40rPgy8u\n4SS7AbebX0gpB1t6TuF2kYWH9unOZYl1YJb7cLf1Dw7ukVLu/x58kBU7fzBPyxGw6dUcI7vQIdGN\nJZ86QugUNILZr4xrvIynzl5+B6b59/PxyS1Z+ksFu/Mus81T/CJrA8KFZkPOkxu49OpO1b1osahv\nHGW9dWGEsPmGYptWYhaVYztWYiaUpcvDcphffruCf6w5KGs05Rq7iIvMBsHYSuaSZPrakysx90fn\nnGofYkdzYtbalKjHVXQNi+zVNDU5keuLgHKzncfZpkUN+kbHKZ5Q1Gc3Qwgr7+zWlZjPVozJT/XW\nUv26cvsHlYk1gucy005ESb5aidmvHFBE4PcfKlmjSRkI3J+cxslm+5zx7NuVmD3dtTjVrpsWa0Sf\n/ehcKaTCrNXWhfGjItu2hZILY+0R2FGKsOO3zog3RSQ38k/kOTsh1FYCIpnaaofCRwVEEkh7J4gQ\nCd0WJZIgAkmcjCesCc/cUzd+B9shsoaaEUnXt/ZE0o2Tg+nuRXMI/422bW/3Jhe1+dBWt2+8uEUk\n2542XZP+G08klW9tXHD9umMeOtsdstaSO08Xf3vWt73TxyKKfaCC2f4dgFBhGqLPXU6K6H9gH8ZO\nrUQVzH5O8fioTuHZ5ATWWK+cS+1y0FynjPZ2b26xc2FLobU9HIBP2QYR5S47K0SI3fMfVXw57Dxk\n7ZyymqDEUa4EiIh2sC/xlnkbEeWR5BR6GhcBpqXtxswv2mFaltPfGoZ5cUH0CnNKmQCmhduJKB8l\nHwrkk1ECWen/yrr8zETZgx39DwsGAa4OutjtitzuFY9TbWPuMj9Ws5dSYZdGAibEtYleP8cd5Qt0\nXOy8+YlOTRiPVc81b67Hcpw+4rbfKLf485jCLmwln7Ol/zk9zS6cZDfkdO6ggzkCEeWk5IYuiMtX\n/+akZvfygFmICPRJrs+d087F7Og+DI2X/YsfG9ztgh4nxsGsQdCyEkQoT/4euhdx1yG3rK44ymw/\noHzYghJHWStM+NFFRlIVepp26fLZ5mkSLcvYObk/15tkjOE3xL0YYW7pvcR4Zvr3BMFLM8gOXKqa\nUuLApf6fwRdYuMYuotyszWk/38Mj8hUDTbZ9WzzCGvK1lpwV26ZYmViY88pwNfGoPpGEXI1xfJvs\n46giwsFxU8XPW73ymo4XRTQ8WlUo5FwQxYF2J+bJo1zpOW7xS/8WxtCNO7/uxyWyTvrZfsAspEPi\nCDb3j+XE5EbcXx6E9tv0qiDwiHB0cguGJ75nYZuM11RKlvyBGefoXsvBgIDCr97aPO2/EbiRxl+H\nyf4o1vd7s5F/YjpWZl2gvnGU9ZZQJtYPyxrdQ3a378w+VIUPzDhUhYsSG+QQijPsP8jGpFDMynuS\nM2nt9ckhBPdJzAvd93KccmJ1rhC3ps3kK1SFNRq7bdEce1tR5xR2/1vaKF8g3Xik3dwAkFDUGYLg\nCnWHLezR6Xky0BjlTxxyX0inbKtLKMP8b7KuSe3wlokLvOzmilN6xTkXZBu1d/J34VB5IdDmC5Pt\nKDbz+vJYtHOhAAAgAElEQVSS9xGJTQfRxW/Dlf5i2vn786Q/EVR5lGN4ILGQRpe4TCo9/BbOEuHd\nckaZuagKg2VQes6oO65DygHgOi/JZNkNKyZdN8F/JsI0PC1dOZixfOs9GMSnrBuUtN4rEdnc4gYs\nJOfhUHgpMTVisO20itnQtEGt09xma7WVl+QguvqtXCCJFG6pSM8zwXNy0p6mXWAm48YIB/ZdUfjS\nPJpep906asKSKo/nrqsPZ/vnkDETklA07OpA84Shy8COLM4oPQOhv7dR6JqsKCj2m8o8553LTZNl\n1P6q9z79zUY8uKHblbjgK66fKuwpE5lHC95Y2pHupj32rErae91B4Ze1buePxRWMMl+wXBrAbhk7\n4du8zPP9oaRsH5UrrQvsOzXxEvf7n7BPsj1XSANGepnUGR29w0JMg3CFNGCElzfLQo2xWqK4318F\n9ZhQOjeujGuW0NXbC5CQr7aDqtBk9YF8aW/OGkMjNpMnJHYKtrzxD3476ckYbxZm90I+r07r7Yht\nKtJNzQiIPay6BMKt03wW75mU61ZZe2SbCTkiXR1ERSdxMD1r4mPsrnlze3xWeXY4vprB+VeLizsa\nc3/z21dG26oKJ14zmg/8h3PqDuAV1uFn1m40iG2SXTG3ZuIUPJKc4rTbgV3odHsf/sTsoBVOAejC\nBDrvHlDsdRX8n2nDyfIg9vFoHztxMDwfVXylnSlqkSMoG4lEcb+/CuqtMgfKmEkl7/td6eXtHKot\nlEGxOIF1XD/7eCWvHQ37E34xUhkWsxOMhc2AaqMsWPWKhuqjbtacPzJ6/JzD7Ld5ogrlQkSZmBxN\ne3NUzRdYlxCw31egPiSaZoiUiJJ8uxKzR3mKPobgDp60EzlkySscvc5InvWmETFpu6kSc245B/k7\nMdb7MF1+h/2c083WoQHd4Et+u4JGa14WHePISj54YtsgsnrdKXN+KzJWyppLS8qcWkJoTRm9vGg4\n/rvs7JDyJDuxk0SC8KbKUm3t1Y4byjVUFhI9yphpTyJKBLK9PDLlTc3FWePXDHZQsRxavsAXdQUN\nmUsVQvz5psxRikXxRNLN6Vz3ioNqdmCK6qJQTMzqj+UfVoEsDLtzKu/5j/FtchiyKQy0FnthRbrO\nPdNuK3+k2YOGTQZRSZjAAQhNz/gSgHX4KVJ+unF5txLf9SdFJH9cNpR11rqMfnZ11+xqFyG91RNT\neZLoDq0uUN84ynpMKFOIvpiOcGXs57JNUbJzRYcx5YJtAQ3cHaNQBEO20D7X/OUt+1SNIq3Eb9UE\nM9jZOFYdFENobU4pcjZltJ2IPbc622Shn9mk6mZ5kJ0iIrWOukN1P0i1+YAVionpuOF4KPaF6DUX\nAe0J3nZloTQNwj+9Y9jQXIC3STlDjcFcU8ZwfwZN/T7umRaCsGrOg6eddxzX+t+x5LfBOFvhCSz+\n0N2vZTQIhRR0a5zm35dOLgZC4waXoCqMoBciyqQLdgTgc+9xhnir1+QiFcRqqxf3ywcR6SwiM0Vk\nlojkGCeLyPEi8lHwe1NEdgzVnR8kHpsqIiOCTI4FUc8JZZRIvWzHuhzcNRxr18QxcOflTLGP5FYr\nnGG2zOmT1qBPLOckf0N+9f6RJ3VuldMXrDzL3EZxBLO4ybqb9kFszlWJVb6jqmO48ymUJ8gcEr3m\nqkLihDKG25mctulN4ZpAQ+7ybE+wzzKRPVhk7nV5uFW56KGbI2Nf6DVNb527mH1g9wrK7e887c0i\n0bKM/r7Tbo/wP+JBoh5lqTm/N/eiKpwlIR9zgNHugz3kqLrx9a6N2ltEPOBm4GCgDXCciGT7zs4B\n9lHVtsAVwJ1B32bAOUA7Vd0xmKVnVcutt4QyN1eycLucGYqYnR0gNQ7hfNe4j+1pFelgwLkQevqb\nRkrSGvQ9KnjA+47OXidqQgCe8wrbR6aiGkWjCNUG2Vr9+gDF3l8TBVct5/wqOme8GCE7hFs+5F5z\nVfDFMDzxPaP8d9PmO5cMv4HRdhIneW3omDic9eUHrrffsIEsAuD7Xs7YPxMJ343tlC/OVrIi0ZCu\nthWqEjhfCNdxAVcZL7A2UPypFdjplZxqGwcJ8pT/cEl0kUdVwvTLufSJuvH1rqV90G7AbFWdp6rL\ngZEQlQ+o6iRVTdliTQLC3IsB1hKRBLAmkBtmPwv1llDmGi4rBxF+ULPlUIr/REXkuNz+kZXvWrHP\nVHCjjTOHUOw9FRFTijisWLmz8sfiYHv26qrwW15xWRGLizcpmJPzccG1C7yb/7yExGbROePFCMKB\n5sAazu5k3S8kX6WHt2uQ+E7wTi1zqWRnl3FXchZDxaO/2YgLuAYQNjT9AdJeZPfa6bzuP8ss2SYz\ntJKOhA7KHv7enM3NqArHJdphHxhM/zZDMNsN4i7zE5ckmjDN3h988F0fe08FqNJhm1dqeH4xqB2h\nbA6EX8T5RAlhNv4FvACgql8D1wFfAguAn1S1yhOrt4QyJYS271ekj0/3crfGzCjjJn8+/W0C76iU\nAfitkPYSiQrAzeFl+CED3HBdn/+7pRrr0xjFUWHEpXvIXsMaTYKXttMK5KxizECc11A0PUB3u1Wd\nTVmdeJMv+eNiTHyKDbwbj0LZHov/+AkXW6KRp4qEqtDZuN3IKXY97NQKhtrFaW+alOxdFbYc8216\nvvDcfRLb0tEcxmZ8yZLfB0d3S0Gbid4b9DHbwlf/5kIrDOxVxg2BIfkceyuqsJYsxR6WkZeaU9x7\n8/ptB3PEkMXVPrdYmPjfa3/C5T9lfrWFiOwH9Ab+HRyvi+M+NweaAWuLSLYNWe449dk8aLidySmJ\n1iTfq8TsUhZKSq9MsM/S0aS2SLlKl4LbToFeyWaxia+iUL61w9jIXJC3PqxVd+YX2dGLVjaU5KLK\niBlK/UPYrOWviGJNpJRL/eXM1RaMMG4XY1+txHTKRAP6Jhl+vpQBVgMDd8coLF1SyVqNynjVvkgn\nc0hofqcoSs6oxLR24z1t36Sb2Ytb/Lkcy2M0mf8HkzZty5X+xQHXqWxhe/CFGRWMEzAj0wfTqfVz\nnKM38f5lF9SJeZBuW2TbGbnmQSLSHrhcVTsHxwMAVdWrstrtCDwBdFbVz4Oyo4GDVfXU4PhEYHdV\nLZhYqR5zlNBaPkVVMLs428YTEs5UqL9NsG/isED7qFk5wKHKh1hhROLrIAJ0Lir9X4JoNcJGwfYn\nDu3tPkDKZUwCQXtNEZWBHWh3KtC2ECQrqvgqxtv5CXahcxxlJ9f9WqZH11I1hx+G8qp9wf375YAi\n+wj/Mavxb7kaHrscEEynTDBoVWHjRH/6+g2DsYUrEx79/DXSa1tjiWvbybjYB9/61/GqfRGAicnR\nJLYtY4z/Bnf4c5gtrRhglb5eC5qai+na/FH2lG6hrbkEXlcZQny7nYPZbhATvHc4OrE7Gw3Jthmu\nIVYv8hePycDWIrJ5oLHuCYwJNxCRzXBE8sQUkQzwJdBeRNYQEQH2B6rMxlePCaVwL//n/p1zKQCN\nlroozsOMRVXSwQL66/U5MqjsbWNOAqyPyrk+kUwLvMNbvTJvbdoljofB5ay1pC8Q9n/OIOUK5mRO\nbs01R1QG9r3UJhpR/nWkImH3sC1qMX410KEiJ9hICi+bfDlaor7sNUdUrnnGNtdHavMldIsn4Cli\npdzVPL+ZlgsWHVqBCvvzChybESHtY3cnZeGgKjwrXbnR65fqQAvmct+i3gyz35LYtCxYj7unG5n+\nHOs9xqm2Me1Nd1Sdx9rp3pZcaDZMc6OqwrOJ6fBkea5s92r3wWiy/F9sIXMBGOL/zI7Jg/n20lnU\nCWoho1RVC5wNjAWmASNVdYaInC4iqdSig4AmwK0i8oGIvBv0fRd4HPgA+Ah34e6sarn1eOudjxNR\nRtnJ9DC7ZfVRkl9WYjatzpZTsY9WYo7N9m6IaTdiMKbXX4hTqyZ62k0ZaQorqnKxoj2HlNF2Et3N\nHit0jro+BxHlwqRwTfyGhHzeY3Z+JZs3m8F49qOldypf2NvYwpzJcH8mfbxtI/1BEFFm2OG8zy70\nvP1pzFllTLP308b8X955U5ziy/ZlDjQHpdf7RHIS3U17t44XB2M6h4Nfg32lEnNAeZ155mj7IttO\nKnnm1AoupYGLyHKpv4z+foKWvrMQyBDJzEdAVXKJpBBSXLi2rfxu/Fe/CsJkCebYcvr5DRjrfcBI\n/31Eoh+W1Nc8P5GM/xBVb1tX3Jg1G8eNVX0iCdUhMPmNsKPrucP/LDJ+TYlk8de37t9B1UJE0s0Z\nJZIuLJvZpIz5T7RiqA4EhC2MC7XXx3MmgsP9GUFkn0zgjNbeKfTydsKc5XY34cyT/TXBHn442HJw\nriJczuUAXGQFHVbO52wFCOP9F6k86EIe1g9Y5F9NahtuDqhjmXYeZU7O7y+CeksohyQasL7tAwhD\nvNUY5iWZ7T1N+MG/yPeC4AW5kG8uhGnl0Mpp9zpbZ7g/yzzNxvpNJEzWjWYZG/kncSPnRTSgay05\ni5cTHwS5ucPIbOma216x8xefpzt29bXomz1O/rFctkLlS/vfGowdjclYnEuicLpXN5r04q9v1OSp\n2NBsKUJck5zlYbgQgKmwbMq1R/WlobiEXs6iIyMvHMf+TEq8kfbi6mvXgjszBKzBGssI389hkmSi\nl/tsfpu8Lh027s5lp0H/Sl72DuJSfxm3cSYVZk3uozfD5AL6+OsF97GO857Xszhrf8OtdxyUl/2X\neUEPYZixgGLfHMxme8xkgXEpBE71G3OX92O6ferhdFpGDxHl59+G0KjhZek29uPBvLjdPhyW6Mi8\n5M1sZs6JzAnwqQ5nkr97TO6S4nGRJeBQlMl2VEE3zLhzjw/cUVzf1HWoGXGOD0Jyo11AP9OcH5cN\npXGDS+I6Fhxzgb2R5uY8QLEzU1rd2uCvFHwkaimRuv5v+U/TwTsi0lJEeT85soCDRC4+tg+y3dw5\nmK3iU2PEWYeIKMnnKzGHlDFw4N51s/WO519y244rbb1rCcW+nm2vlo/oCwd6B3J9Ipkp+UnTRBLg\nbrOYH5f/J/BMEA7yd+J2Oycda1BVaNTQKY3utp86Oc6HSbqYjqjCg5JyCUtlghT2se3ZRvoUTMFa\nDDLbOAliFuZHtrIgZcxcM2Sez8IRu/N5pcSHq3MunjX1MpKQk4BgWteFXDj/e7i1PSJvXQZ1x2zs\nbA9IjznE/5nJ/mMM8H22YC5D/J8jqY9VoYG3nC/8W0N55bPw1b8DN1uXh2fHxAmUt8inlc++Dpln\n3xxans7+WCcocZQrHiKiN9pT0i9cNI+MM2k4wxTewl1s4eo0AXIKoGMSu9Ld35pp/naB90U8pyGi\nDEj6DDUuuZjLA5NtvK48oNNY6G8QSV4GwJNlcGR27MBcdLY7FIxO3sHuWSDydiE4k6nqcblV257u\nmDw4pOEvDpvZY1dCgF2Hne3+LiVCDFZffA5/NilWxFBMvqDiIV9fGJuKV0RJjqzE9Cxne3sIn3jP\nIwJP2Td5Qo/mgSlnsP0uk/nEey7VI7LGsMImVZZvh7CtfziXURmELHRy/610jjNOR7FzKzFblPOy\nbc+B3iG15yi7Ftl2zP8ARykim4jIqyIyTUQ+FpFzg/LGIjJWRD4VkZdEZJ1Qn4EiMltEZojIQfnG\nXh4E/BhgfZ5LTA+ZmAhnJrZkhP9BAdmasoGEZWbO3ERVeML7POSiFn9/VIWhxku3iRLJVKR04SRp\nEx8stwgiCflTOHTwXf6WfEQyzHXEQ2K43HBIOo3xLom/FulcMpoxg+rk78JoO7GKNTisLCIJpE1d\n4lA8kYSMTLEuoDy74WHxNSokepYxOjmRTzxnozkveTNHmL0YJJWwawWfeM8DQhvbBVBO8dcLYhwI\nByUOxH5eGZgbuXWDMtJOiU40pZwZ3jOBs4ZrN8RrwCmJ1lzp/8BFVjAtykAhKXXE5tUzjnJFb72T\nQH9VbQPsAfQNonwMAF5R1W2AV4GBACKyHXAMsC1wCM4GKvYNvcg0ZclvV7itsWZkeG3soagKvRff\nz38lexua0VJfaJpmEZRgGnUZFHMjY2dD4v+fcTnN5Zt0+Yb2pIKj5EsiVghveSEC+e/c7dAtJjtg\nSBxyt1kZ/3nBnFDcljaOWL9q3ud2iaa/cAmsMtjPuqyZmYAODnH2qLVBOCnYaO/zAi2LgXK7TY0R\n91hWpfAIGaWnIXQxHSNtUik7evgt6GPXZzNxFgln+OtwjvyXNX/uS6suCyKKJJdvSbjH+4H2ie7s\nbPd3W+aty3h9euf02nvZ5vRMtIuG9dulgj52vUxO71nOgUNVGOA1Cd4tgdfLeb26IuV8qGda75W6\n9RaRp3DhkW4GOqrqQhHZCHhNVVtnuyKJyAs4V6V3ssYJlDlu7c/ZCXQx+xa1hlH+u/Twdstb7yJr\nLyR7G5OJoB2voLDvDqbx9gtYsuYd6XVdYZdwWWIdNrPH0ky/jiQwW9XobrditKkt4QD7WQVm62xi\nrbzqv0gn75DYPuF2fx0lSrGIX3NGhBBff4b9RxBpqriI+iAgcJC/E4fpM5zrbcp1upCddYq7ruI0\n7lO9l8goHTMa8pn+vbT2enONXRQS/cTPvaPNiEzs+Er26Pgq3Xiae/VkPjNPEVYi1pkdZZH6J3nk\nf2DrHYaItAB2woU82lBVFwKo6rdA6k5mRwVZQMGoIAJjL6eL6cjd9lPCdoEZRI8dkVSetG8Div0m\nusXMEMlMv352dfonNg6y7Uls1j2zW1mISLoH9jKzDih86T3KV2xK8cj9ePX1A+63gMtfdRBPJJW3\n/dysfUBed85cIgkgRRDJaqJfLjF+zr5Wt3MUhfh3NiNCcPXZqV0zCe2iH+CMkiy456IM8FOZHZU9\ndCLneu7ZuUA2zFxX1YBIujGvNB4gDPF/pqvdhtZeb263c7jIbMAxtoWb9oTLmWbvA4ENrVM+iigV\ncjmj/Yn0sC0w+5Ux2YxnwJgbuIShAHS12zhLi8l16FBR2nrnQkTWxrkN9VNVZ5QVRc3Z2oOcrdm/\nAvOXdCj7zOyR9JuH+Dswyk7myMCQ+b0NAoXGrIyPrdN0Zh7oG80yUNIa8KsSkjfWZRfrZH/Z9pth\nDXthKA/ZjzKHc9xe5xYv2E7vGROZ5vm6IZ4g7OnFh/0flrAxrnvVu225cRyrwSjcmCszjW5Za4Jo\neovwc1JbZFK7akwUnxSE8sTa6XS1jC/j/uQ0rvSCjJYqVNw/NNIjlfXyIhu/9b/UaxT4bjtZ/UP2\nQx4zc92teqiCNon/Y62fz2Jh4kFutPNRFY702nOUac/Iqb0BOMDuzHGH3xskyIPvZEM3/K7FydaL\nQj3beq9wQhkEx3wceFBVnw6KF4q4qx9svVOalQUQYb02CcpysG/ZWOC14DeXFBeXm3tYOVNuS///\ngvdx4Cfs2rdPdOdafyFTtt6WC6xhye+D09uNbJOL1MOZP+eKcrCMDebpEqSsrS6EE0zbzOGWRUQ0\nPzQ+rFexCpXs+WOhcb7XVRO6sE99fBzHYqGMsu8WmF/52D4Y7BA0/deZe0XHccRRmeY/wF3eaRzj\nt4CHy5mUeANmlNPVuo9utlw1G2H5Z/YcGVmlsLc4kcup/rq5uxElo/HerzJQsoUcAXpHiVMq62W8\n50/UgUA161kK5ttqrc9BCUXid/LIRDvHLLzsBcQ1GGuS9yCpd+2NNx7Ic87VRD3jKFe4jFJEHgC+\nV9X+obKrgMWqelWQ76Kxqg4IlDkjgN1xW+6XgZaatUgR0eT3kFi/Kk4qZZzcj9R22g6txAx08s1M\nuLFw2K6MCcVn/p1s7Z0emlcZlwyHs4o7Xw28dzJj7mN353XzTlZL5UX7Kp1NkZa3NULV8rCamxit\nChQ6H+UdfzS7e90Ji07sE5WYozLPyVpLzmJpo1sgMKR+zk7g4Itf56KrK3lPd+F1844zy/mkEtOm\n8PMloiSbV2Lmu2foZf9l/qMDGW/ez8x/biXmv+U8lPwwHQIwbCFxhV3iRDT1BHUmoywY1CzU9ub/\nARmliHQAegGdgggeU0SkM3AVcKCIfIoLc3QlgKpOBx4DpgPPA2dlE8kUEusXIy8RNkn0Y7SdlD5O\nXFIWbAHD4cbCX+LM3609F4gkFUlHNRPOKgNlgX9DkJVP+Sj5UGScF+24GCLp6l2g1hWLqrIFrkwi\nmdJ0V418ubcLvS+Oy7/XnxlYM7i2vx3qAZlcQ0sb3ZquU3Xb96lXb831ZjmPybGAcklyOaZN5vlq\n7TuRQba/uqpg5rt2l/rL2I7pAZFMrVVI/LeM5KIKvvWaBS6hUWuJaLBh5S37NEzJH/DFmZ7VJbSG\nO49aorT1zkBV31JVo6o7qerOqtpOVV9U1cWqeoCqbqOqB6nqT6E+Q1V1a1XdVlULJCEJ+3RLEIE7\nbg2SjoqSOk5tATezcTmFwnTZzTHKzI2dl/JyQGju9QvSpQo7mhPS43xpb04Tw3if8/wvflVmQxmx\ngAaxMR2yTZ6qk8Y1NV52jpi6QoaIOOSPkC60NKflqYuDuwaqQp8HHmFN+SNd80qD/QGh8eqXwJhc\nAqQq7OwdR/LlSm6UfpTbPxhiViN8b2Z6zzDKvssDie9iws+5dkO8BjQPQqGttuj8dGKwB5MfsVrT\nMqbrdnwiTh4eJbhRwtnBdIV2+a5/zFY6Bt39rYKgMbC+7V1F6+j7UbWJUx2hnm2967ELYwbXGMVm\npW/oZlvxx+LUAxeVZbkYk6TNOSbYsOwsXkiebbzexW4HFZkH+hq7KNTf+VdvnjibF/1X6Wk3zesR\nkg+O88mPDEGWiK9vrg1ldXctLkdM9JqEUdMgGVl4u7wK86Toup3CIz+eFcf1ae9KrjznckC5w35O\n1/kvuwYKdI0jQC6ntTmgjKHiUZFomM7kmTHUVo5J7Mqw5DeMSszlJn9+2g4x84HO7EqWN72eyxLr\n0Ms258REW4bZb1kmDbjRLKO1PbyKACFV3a+q7+do7/OA2Ct3SjEfnEJc7gpCiVCuAij8xppkuEHl\niR9PyOSXiUDoadpFjjuaqGfE0iW5GtbNE2dHOLeMy6SrvyixQchY2vlXqwqHmE4cI1XnzslnfpMf\neQzeAxQT1WamvSe2XDX3moTn2swUKWAqhDjtfQHEufhlIJSbtZ3yTJWXbuoICKebrTCbl6WN2nO3\n/soc/3b2Na+RuobTkvcxIvE15f5vISIjJK+rpH9iI/rY9bAYnktMY4T/IYNlUHqssAYdhWbyDapw\n7nt38pB8DUraNdZ+tGK49gwc4e7uxQV+VMooNH+unXCdc5mlrfeqgPKl9yhh+WJKhpnhKgnFkoxz\n/g8e9MnlrNUovEVztpmqQjtzPCli3Md3YbbS2QOVIIx+eMxg6+/FxVSMil5dVKMqIHFBL+JRjM91\na5M/EndV8sAwCml/iysrBtmyNM2qFR7kBEA4NO18IPT0N2NfeQ1wW38R5S37VLr/lt6ZfGTG0t13\n8RjbmP8DhQpvTVp7fbBXVtJk+SmM77cHqDDc+4HzvY1BhV5mJ0bICSyyVwFCvxl3pZ8LgI91e0Aw\nuzllj33UabA7+B1ov8P4vOfpxCq516kmScvi76NQWUX0LRf+LdO+zhVOJY5y5cBteTLb5pRcLeWv\nnIrz13C9srS8RlUKRMEJotrsWhEZN9vkApzB+b3me2bae3KyB/azDQLOJeM7nZJJRd0iJYjqUojQ\nZEGr65Nc19D0tQwjv0Io+pI6s5vcF7e4CD3CUYn2IblmlmG35spA+/sJfuEfXBN6ylWFDlOnkH1f\nX7P7BTlzNBRfVDADBrF4tXs4IJKKNnBlVOUW7UtTc7Er3r6C4V7GHCksDupgO7DX0U4M8Na8fZns\nvZb3PJ1YJfc6FetWmlrj9f439LRVOTooL9pcsVChYCx1gnpGKOtt9KBMPEq3/pvsfM41mxJnQtLH\nXy94gOM4Sg1lbwzP4SLsvKO7RuR+LtLLNYRNida3vfne3Asolf5Syry102NXX0YYj1PtutVK6RpF\nTaIF5YFAl+R2WaKHFYnC11BE2TPZgRn+dpzoPRC1oxVoaY8kQZLuPMGHupNbt8BLdhyv694MMQ3Y\n0j+aOd7jIAKdymDc5YDQ3zdYNdxoltHVtgol4XJjt0keyvTEczySnEJDfqPbMa/AE+5DG82K6PDj\n8qE0Xm0giJN/7qkTA4+aFYXcazfaToyJGl/8c1pn5kFXVd0OQP4dbx4UWM/cgGP27onJwHg8QYpa\n4BfgTFX9OFTvAe8B87WIWEb1lqPMwHEG55pNQscOKcPhN9g70jYbc2QrQCOySVXhJK9NjnIkJSuz\nL1SQIpYLv9kiPf6dnBZwOS40VV2h5kQSIC5aUA2h2fLZqjvkVwzl75MJHlH4fVQV3jJvs3i1uyPe\nMA/Yj7EfVjDbe5IZ3jMM8Vbj+cQ0t+1W5R124z+J1UguqkinZz0juTaMS91XGObZ9JipdK73+jPo\n5rfixeQ4piWep0WyBz0Tu9DN7AWjK4LkbJlEYy/642jq92aA9Wm8WhAHclo5r3vvpD294s4/11A+\nDy4otIXOHT+q4c7fLoVcb6o6Qi04yoDI3QwcDLQBjguC7YQxB9hHVdsCVwB3ZdX3w5khFoW/AaFM\nIfdmpziA2V6c/7Jix1fwrR1GuVmbm+081l6nLMsbJ98DJCQOLUsH+U1sOoiD/J0YbScy3zzMv72r\nGW0nudBUNcXY/C9A1SYfcViJNrtTw2uPVwwVtqmMs1etCoqdV8H99hNAOMlsj2lb5so/cx/A0XYS\nw+UUQCjz1kZVWG2DMm5IOuevOxJLsgIQqwvS/HlFOldNb681T3uznNmXwheJx+mXbAAIKIG/vzsH\nEDp7nVjk3ctVCWEL2wNQ3tqmXahN/PkPNE0KnuuS3wa7f6+riJRXjfg5s6M4pVA7b6oCqN3Wezdg\ntqrOU9XlwEgg4nurqpNUNaWBmkQoZoSIbAIcCtxd7HLrMaHUiKKmOv1SrnDl+wxgI9MfVeFsszmq\nYbRbGYIAACAASURBVDvIKkZRCThR9/9Y70P3tVZoJv2CL3ctiNNB+c/NbfNrh/w2jGEUY1OZK7fc\np022Iin3OmTLE3NRA7OmFmUskg3Sx87vX1i45Tqc7G9IWz7gnrQM0e0GvkkOo19iE+w7FagGMRyn\nV1Du/05/m+BfphVmq7JQ5CfXb4T/Ee/5j3GH/Zy9vTdD63Cy6ZRPNsD1/jdcYpdztVwMCHsluhZh\nOF5YmRafI75mz1sbeyiTzWtVtAqHmKsD1E7rnR08Zz4Fg+fwLyAc3+564CKqoVmsx4RSQuY/yhxb\nyO5QmeQ/4ZQqM8r5WdYFhDvkDMKacmfeI1Vue1La129ohr2tMhTRJ+wCCXZuISKjAffjkC8JWtWo\nmbfG2N+KSYolmE2r4oolsNnL4HUzKU/b6kBzcrFXhX3tP5lFq/R1f1hcYrfmph/vsjtbepkYmam4\npBsn+tPFbofZ3Z2nqrBT60l04pXAEiFbXONS6PYybbmaiznDbMl03TZdd41dRIVZI+2TDXDKn3cz\nxDTgGLMrc+ytqAonJtrW0uSm7nYI0xLP58lVHp3vDLNlnc25spQ5IrIf0JtAXikiXYCFquqiaxd5\nIesxoYQwkTtDCuUwF/Yw3bnvtr6wbSX/8loCyjNyeIRApcx7Lkk0SROfXE8MTct5nvWmY84clGUT\nGDJR2qKMmf7wPHKeqNywegbpUe+hYrw1svHrP+I/LClNfHj88Lx2akWMW2T+Zy217a0JfpXstRTG\n6izjTu+ntMhjkec4b1VhhvcMqQ9Zhf2VVxIfYO+pQBXGzOxJ2ArhY+8F9jWHOUI9K/yhcL7hbucg\nPGbmco1dFJGBX5TYACaWc4v9AnuTO/dvGjQDdevY0pyZXtNKMezOgbpQa9GimIAncahD8U0ewvja\nZ3D5c5lfHiwANgsdxwbPEZEdgTuBrqqayhzYAegqInOAR4D9gngUBVHPtd7KcDszyOsBYW4uR0sZ\n1K+1pG/a60VEecG+SmcvjpvLpwnMnrMQlFv8ufT1tqi66QpET7tpgbzdyhT7SNpG1L47GLNbIS4y\nny1qofY1fcGK61thf6XcrIWdNZheW9/FevzAU3oEC8wIRtop3MB5TP9zu5D80I277u+n0bXBU+kY\npCLKncnZnGpa8qp9kf0Tnfl56RAarXkpj/uTUSUUeSp+jQfanQKikx1oxeFa+x3nz7wd06a8ypxI\nKw41vSfKNH9z2nin1F7rXaT0SHrnar1FxACpOBHfAO8Cx6nqjFCbzYBxwImqGrvFEZGOwAV/a613\nSut8SqJ1YEAMILT0nU3eGPNpUKbYbyvhYeeXvbTRLWkuR1UCIhn9WJzp/4Pcl8H9LvWXR4ikSP4t\n4kVW6OttkeFKhSpd8eoWzkg7P5EESBnSu//zE8nwi1/MO5K6LvnbdrdbYd8pxkMknxbYeYy4JF+C\naVXGSO8rbvF+4+uFzQDnhTXJvMGSNW9nob0OOzKj1f5pzTt5YNyZpJKNqEJDfsMpkw5xmTcDWeDR\n3m48w2Ex5xMlhC+bD/jWHxZdvwhX+j9gJ1ZyodkgHZWoukRSJDf0X82M+mtK44SHLt2s6mbFYI0i\nfzFQVQucDYwFpgEjVXWGiJwuknanGgQ0waWT+UBEsmP0VQv1l6N8xsLhlUEY+xeJygddFJYOJmXI\nnP0FDUJgvVyJObCQeUU4BUS4b/RBa+fvzxQvs3UOh9YH6Oevzo3en9U9zQja2oOY8kkHTNvqBumt\nO1vObGTSGwB3lsNp2UQv/9wZjj8/5x699vnbFXN+mecEUs9Iue+CZ3yuW/GQ+TprPJeZs4fZLTjP\nJWkb2a3tEemYpS5UXqd0nwwnegWN1rws9Bxm3jM7bzAnbXI7I9Jz5odLTRL2Da/J/VTstKrDxhWD\nOrOjHFVk2x7xdpQrG/WWo6RrJY2X/YupiZdYbVH/wPtF0omROiSOCJnYZDiTzLFgDoxyT3ERuDPR\nd5TH/XcZZr8Foi5eU8y4CFeZIpIp98baEkmAj8zYwNyluqj5M5ayQ82HTHoDYohk4bkzYpH8JjLR\nyEf52znkcp0H+Tvxpf0vTf3eHCovEOWGhfdlFz5jKx5KfE3GGkJIfHc+IPQY9iwARpKAUOa5zIu/\ny5oA9LBbpInkGP8NFtgb6WQ6hzhRYa9Et3SINxBOtU1I3JvkHCnOwyo3gEbmOlQVWDjcJxw2rjjE\ne+zsOqSqDJ9Fop75etdfjjKfr6rACPsh3+v69EsboQeYVQat4o3Ai8vrrIhA5gOnvOePYhP9io1M\nf0DoaHdngpm0UoLyjrRT6GmKjfFYffzxYyVrNK7DPCkxyHg11QUy3GC5/YPKxBr8vriSNZqU0846\nrr+p35tW+mmW26XrJ6L0Tq7P8MQP3J/8JPBk0sCjJjf9YH8/wTBvOfabwXyx0Ya8o7sFebGdyY3L\njOi2zEcnt2CUmYv3zYX4G1/LiuT0a4ZMArEU9vL35E0v6p5aZxzlmCLbdi1xlHWKdPQdhV5eW85L\nNM/1694mjkgq9t1KdlznY0AZ72enEw1DyIq1zj+9HmkiCTDBvAPUdVBe5QH/4yD4QmbuaBSk4nFR\nEfE3ANZoPKjKNrnpV6sDLUAkNW9eolR9xifbHQ+3M3FyyyVUmDVQhcWN1qFzcodANKI8yjExvunu\n3qnCBXIdKJyc2D7tZdOkwcDYeYd5jtM0Gw9iazmNE8xO6ecwFYiDKeWowuGe405TRPJV+2JkzEzk\noTg55MqAsKvpESnJJpJ1inrm6/23IZTR6DuOoC2TaCa8jJgoasP44y6rMznxGn3tmuzndaa7v1WB\nbafw4/L/hF5i4SS7YWzLyTY3vFqUSFVl/5mZ8yRv+0zwhVB5TRCfbyV+XlDsy/kVLtX3oEnBcX2F\n5o7PS5Sp72b2ipRsIXMBaOp9H4hIhGamX0hpIgzjAiCfwb3Q5v/ZO+8wK8rkbd/V3YKKCRXMiAkQ\nVAQDGEBFURRFF0XBgIpxTfwWw6LIDDPIGtecA+aIy6ooBlbMWTGsBGFBFHCNoJjxvF3fH2/Hc/qE\nSSB++1zXuWZO5+7TXV1v1VNPOUcHh6cs/MlymPWT4allxjsH51VH2SG9KjR3lvCoeYnrnJ/srK42\neXS0Y6lge5jt4cVReddNUv1ryhc9VHrfwBCzVom5+dvJv580o+NoI2E5G3ovx4ZSMw1ROO8Cs5gx\nzgpF5ic4jONHsbZ7DmgoeiuMd2ZnUIvsds2rNVzvnJJ6iO/2vki0To3joPlvaMg3UjGvrjwq5sbm\nHUdDURjLzZ9fCnF8rnC9GrdIWrPCbafnC3s4fQDhJNmUYd568OlfE/MVc3UNjzvTAOUcuSQ1z9aj\na6ptyAqtRlou5MYX2fXfCdkS+dVRymX+F7xiHuVCx2WyxCGXU/2VmGieJ3drLaD8q1c/2K0G80D+\n6EaK/J993pXeN2PdUsUT5bYjJerRG4gGZL2XBZZjQ5ltiMxk+wYPybzJ3s/pdqTBDdA/posURyhc\nKrg7VzHCXR2QSDYt7L2S2i422F/JeVSGtDCsmVEDJGp+A4SyY8W83OT2Kt1XXQ10sltiy9SwNR+N\n+xDu4u8SVVehQJuLCb3isWYG7hlxj6TuTtJbFS6Wc/ll0WjulSMJ+a87m1048tRbQYWuZk+kJXT2\n92F7pgDJhJ4gAp4YUNuqNlQ+v875mf3c3XCPs0nGXSdPAoU/D7ii4PgrT85Aw69d2FO8Li+jRsT/\nPMqlCfsjxj1IFLdXOtP9Ej0B5XYzLVGva5f92L+e+IaJYXmP6eqXWLg09uxsr+7iBOxx7sdFjrs+\n3p4EySm7rtvB8kJXWzkRR3ysOlK7sSTq0tsrv68kSvD1pqVFMAa4O2bsRxN/63P+5Ztgveq+wvFy\nG7387YLKKg12LwH3Ncl5tMkbG0JROumHrNhyJP9w/gPY2v+9eJb73U+pMT8wkb44m1bzvvMUP7Mi\n/vwanph4CNab/JIzpTXdnINBYJfczkEiJ95XB9MPM6GG19yXGORvxDlycYHC/L1uZmfmJoIE/NMQ\nSzmp+78Y5VLEzfYBjSkUQq35gRUXnhYMk8Khg3BsRiXNs9jGU9Vui9T0sJlYdifA+IG3RPcwy5qW\nYwvVZrJR/0qVknG9VE+YUvso9ETLI2t7wbSOlZQpSuJvfc4/vwlWIcKywEnrHhiVdVabn7jan8+l\n5ktAucL/nP5mc5hWnejPLlzqwZZ+P27yZ/OKecSKPDsrEt4fNmFnj+M+OYIj1r+VXff7FyCc7baK\nz0nhFe9V7vXf40KzMDq2Ge4E3AOsmtG+8iQuhg7ukCLXaFmg9L7r3qqkDP5nKJciMrh7VW4Lflnz\nWtztkq1ok3+Jvh/vtCsyz04r7ASYzFYKu7ixslNHmRYtA+R5r+ltmJGVGBaLtBxZJXG94oibZRVT\nnykX/C9EMXmupkGJh3msfWmqCt5XI3nA2OFxDo/D5V62l3cAy828Xk4JjLtibgpihQrTnQmc7G7G\ne9IZUB42b2KuD0Mz8b7fl6e535nHa8FvrCqYj2qCl4+ywFzJC7I7i2StSOFqA3M4X5lLAGGwdGIT\n58+lz6fRkd/3RjN6QxVHRa1K6oL/GcpljWKGsfiyxVsRFG5jocSGxMp42eUOc4prDKaNj+COroSb\naDOOhXJk8fbTfU1Kb2usmZ7XXzz7+pQO/hciS56rMgm3JPL7eGe3nAhRqHSjTD06VrbZNrdXQJ0S\nxjgrsLacQy+3Dy/6E7jQLGSsDAl+c8E9yf4WYUzWSu615Wxf2Exm454SK1TFiakwXBMft7vERCTz\nDZyh3CzfcolDoHClHO/cxoEyIYgtwwwzto7XqDKkKWQhlF8W1gZx+1CfU2ixWt05sruOaSST8b8Y\n5bJFpKb9phXNKCV1FnpY//EeCSpr4la2WTjU34RdiT3FVNuBYAh+tV9YV/1vyTdolRi78hnHymuF\nbU18cW+xcbl7+W1oy/cEir13e4yF0m1JFCrdCJ3cwdE3q8SUHuqrCj2d/RnurMl5snpQgki0XEzP\nscby0q7VdHEH0cnvywDTlq38vkz3OkZizdVeC4YZLzhuha2tZxoa3En+M5zsr8qj5mVAeIhDedV5\nGW/LKjY3f6KDd1zA0yxE+RdNfrItRiGFzJ7jSmtV8am5FhDczfNHW6WRfDG9PKKR6EL/8yiXDcKM\ndqSmvaM1kM9tlN0BcfFPowMPS1n842hOczcGSpO4H3Lmsq4zrOh8gG4ZtffFG0mVM3bFaqDzZc7K\nQ1VKeIuVCxbXBacaW+4WErxLax7ac42PsZDTl/uq9FCxsOVEuqzxZLMa8TA6yQ9VFpiriPm1ygvv\n7AAKz7M747y51DKSXdwDIwENlKANsd2efdHEfMj2fMSNzvcc5O2KmVvDdLHHtn1uD2ukVVn0YbbW\nbOl+56T2k/Z0oZjxU022Ga7bkL8yCbY6onmFn98J/jCGMq1AbdHTdM/rnkdgZJIxuvh/O9xL30T9\n/c2yaUUB0vOg87cfVt7vpAhKexTp+vMkcb5haPx4WdxvyBqlhj1wtm1DsV7kELacCPmOVrDiXC/0\nopXRcn5q+TN7jYn+39AbSm/TJeDXCru5fQF4Qvbn7dxD9He6oyq8z7aYajt0TVKMOntHRh0Pv/Iv\npQ9PY56rYX7uqkgfcxezcyJUIbg7lq98KnU9wr+lKVhZ6+RDS1zXJsL/PMqlg3A4l9V7pbe/LQPN\nRoHHmL45rJFRrvU/YV3/qEC413oF+cO9YcZlvDOb172X4IbqiKOYjJ+97r3IC+bxoE+MsGLLKvtw\nzvsrvf1tIz4dKFPMfRWdWyUeRfi3sqZh9fFCG5MuUpy0Xl5ZO4aqZGSKSVSP2N/R276KW/2ZbCdv\ng0Iff2v6mfa0ds/hA/9urjMfs/in0VzpLYleSqoSGPL0kP1BPYzt3QHR9Pudebg1hUNXVeGBl4bQ\n1d+LMZzPNOdx3D2q2NAbyjBjn/h3Fsf3an9/M4aYtcuecy+zXQXtOBr6ksu+rk2K5cxQLueiGKWF\nBYaYtSpITsRCCsWGuvf473Ok05nhvnKRk/dumVIdlKlBX5Ns41p30YO0pFZ8XIVSb9nnkZaWSyNb\nyHjpYKhplhfPrU/73HLXU3nOf4oDfpzAiJVHFzTnEoEfFo+mxaqhF5fP77Tfu/s92I63OUgfpbe7\nd7R+1vU7UddgkN7P3t88zW+trmRXf2cO5BHOdmK60K5mZ17xXuGh3Ft5/FJliv8AXZ1B0ffefhfW\n0m/K6IfGONlfjdm6acpTz5f8K4Ryuz+D53S3DGWiwmWrzS/UuLFiUKOJYpTbdbhsa/4nitEYeNGf\nkB5+jrc0kX6mXcJIJtufaqpap3yWXBiOpXVc7EphEH27miAWp9whx1CKgF4O6Rs3Pq603FgxpOlK\n+VhWRhLyk16Q9ITTvYJKtbYtbSTvNFPZw+nDD6tez7neWuxidgHEciaBO3JTafFUDoDFP18QlSwO\nN2kD/MbMPThebuMCSQ+L4+sXFyi8x7ZMowO/tboSUC7jzJSRBHjZe5XNcn8KlNFjtFxyAl2dganz\nm+S+hyuV03BudBYXhDOmuM/mta/Ih+0kWd5I2mUbQkcrBXUr+/xesJwbShuXmuVsERNi+1vvLm0Y\n4vanG5gjEuWGFqV6F2/qH8Ig7gdsIP7/vLwAfKJGvJVzNvkP9NF+64QCe31R3uhasY1l/uKtA+yx\npnsFZbe2rWRbx3idAvUgItL3BeY7xruzecJ/gUudcxhx8Pkc56/N7GabBfsRLvKcRGUXaIdaujqD\n2JDsrHKU8fZdruF0NpL5UaMyG7PM+w3UsiqGmLWjEMEw4/HbkmY8YV6IFutrOoLCve5nRbPhpREk\nrpSiUoLhcmnlosT+85azLxN7PoW9lBoG41X2+b1gOTeUcFJuNS6QZglCbJLio1T7P6eqZGzZIZj3\n47hP3Ls4Ld3V13RkjvMwlzrWS3zLfZ5io4AwfmkrQCz6+5uxM6+V9PSKozCmWUpIt3JFoDTqznlM\no3SnyfqgfsZeFY7+9iHuMe/RYvEpoES8wb7ObnwoExnjrMBtzjd0cQcBwiB/I57IPc9d3pfU+j9E\nxuCMXHPudcJyQivYbMnalo+KCpc7hm7OwfS7chL/8t7NO3bFvFQTJfVW/+kk3nO25VuxZbCXuzl+\nWOX61As7Ctlosjd4XZDuBZ75uz5k4+hZik9xyCjeXvKllRJpbgT8z1AuNSjmpprgB4wfrgFmkwTF\nR6hxV2Km35604ZGiauEbSJz0KLx5LP5pXo2UZMKKh6u8JfQ2XTjHaxUt14wlnORsSv0efqGrOyjx\nXfnnR5bCU9DaNlVrXTeM92ZXrE+ZhTCju+wQhzrctao40utMjxYvR9PiD3l/lbsXnmCNlUJHpnGj\n9z3MrCoIFXzLGoz0VuNy8znHeR041awME6vtrv9Sm/fyVMyMWtweVZHh+m6lm5giz3Kj+z2n+ivZ\nctfjquHmUQXncouZmbet+EVk+/2UQnwcmQnBQ2tgznlUfj8WUrT2HtNwtX6AX5s3q+jze8FybCjj\nqopkGd1xchupH1hh4Qq3AsJ23qDU+lnb3N4pVCQKEXqNq8oPqRLJ8dIf1PLNkurne5EkPtcNhY25\nBLejjZvFw9WAKF5RrXURaHFvtI05rOSKY8106nN+4XUE6tWTPIkhfpg5VszcWlB4YuwhMCL98kju\n00Jo29I27bvVn8khzg6JYasyyTwTLXeCuwV35KYyzFuPw3MbcJ33EyvssJiv/IujmHUopDLDH8vV\nW5xA2kCHh6gczHgb97utJqME1+4r+d3bsioKK7kDy7+UsvUJLAab1rBpMdm78mhjBvLMiMYhNxrX\nrehTDCLSR0RmiMhMEflrxvzDReT94PNy0Lq2onWzsBwbSghvRMtNs/qUfRyrLF7Yj5s8dfI4wZMu\nYUzf3Mnhbuhp9HZ7p/QEs7OM9qavb3zylMfvzOhZUliv3hRE8RCfug+WmCsMcTvUa7tXeb9GdcdH\neZ3LqgKVwlgnJqh7m1TxinkU94SR8LeaILxif/SrvV8x82uDaizruc337qe76Rn0eZdA5kwxk2vp\nHfJvn6si90ytTT4p3OctYGruDn5rdQWt3XM4/ifLP7RCKpZm83c5C8hSOxL2dPswx9xQ4ozSv7Gq\nJMJKkmHw09j0/c+LziudwMmvBY+nh+Go0vdD3WBwK/pkQUQc4FpgH6ATMEhE8m/GOUBPVe0MXIDt\n713pugVYzg1lEqE+pb3RQgWgfFGJ5P9hrCYuZ4vRfOHpQDopFJYbdjJ9GTrgIjux1tKUsgyiamEm\nOtxuJibGXpBzYFWF7SSWZQInm05VWmxBuTb3SaQXqlpeFSjb6GQspcINzin08rfnltzMILwiwTy4\ndL1TeNF7A0aMsp6bwuvTbHOwWeYm7vU+41SzMt6eVdzuz7DJjD1qIw7oRf437JPbhk7eMTCpivPM\nEh5ZuR+hMe5ttgUV5rv30cH0S5yXMtXcwaF+Wyabp5gl7UuexzrmqKLzChkEabhds7zOSgQwhPmS\nL61np+cryTcGcrgVfYpgR2CWqn6iqr8BDwCpB01VX1fV0PK/DmxQ6bpZ+AMZSgCJAvI2mK38yXk0\nEYwHUF73/xEQ1uNhsh1Gxthk9blAMtun7Cz2Yf3Qmci1YdVJla3SsAYxS6I/bUySDcwKvIP9kjdz\nxtAtQuwal6ujrlwMNrvrXt1RTmxBonLR5LRy26zEmA43Pvc6C7iEvzJk2v30M0mDJAx317KXbkwN\nYZzStHC5wHzHFt5JMPs8rvN+Rj8YxT84OJHMsMfXUr5lnmwEqizotRZjnGYc4dqM9yz/JtrJzPBQ\nLHFzyqho/U7u0TzkzGUPpw/7OMVfgF3MnnzhNqTuPjukVIkAxo1OsYRN47+MDV5FnyLYAEiSTecT\nG8IsHA+E/MC6rgs0saEUkdtE5AsR+SAxrVpE5ovIlODTJzHvXBGZJSLTRWTv7K0mkVaZWcccxfPs\nDoTBbOEM2ZBDnB0jD8bSOA6O6o/tUMwKuw70NyI0QmEm/EZ3cbRelbMKIhoom8dxrGHqsaO/B8ON\nsql3CqDc6s/MrBpK4gFKxQBLIb5xCxtlpXGv+xk8VirZEydDwq6RMT0lNsh9/K2jKppSyZ8d/d1J\nvoCyIQWln9nHlF6n9PJWREQVZmg73K2rmeB9xI+LazjBbwkoF/kLo6F3uE1305H23lBg0zFMyj0D\nW4/iYs6hp9+d4b4Pb1nP9CL/r0x1ngCE0ZwPIgFfU3lS+vIzK8N99lp/y2q80rkLIsow32OoWTHa\nJwiMqw7U2NNI06UqQ7ydUsUjvy/qWLGh9svPGy4b9XP0aShEZA/gWKCiWGTR7TRlZY6I7Ar8ANyl\nqtsE06qB71X18rxltwTuA3YANgT+BWyhGQeYblcbV7CYf4zGPbjSLKzyrrmfzgNm8eG4TdnGLT7c\nSa7zuhnPUXI37/3QJXpLf6ZXsUhb0skZTHhDiijvm3vYxqlku0sXp5qVEnXYxZAkYitv+ePYwTm0\n1Aq/D0yrho6jAJhsngrCK8F5CKzrH8V9/uH0cvflYLMZ9343mBXXTL5I8iu11HqHCv39zRnv/CfY\nlnJBbnGgfG/bGOeuH43756poXxf7X/O2v30UBqoEu5idy7z8FHNJLe459Wc6VIL9/Y6cz2ju1iNT\n90pjVebM0XKVZhabyn8L9iUi3YFRqton+D4cUFW9OG+5bYB/AH1UdXZd1s1Hk3qUqvoysChjVtZF\nPhB4QFVzqjoXmIWNJ2Si5ZLjCza16KBkRi67U12sGCN0cQfhjK8KEiJplfLVfz4pouHEHpSwk9ef\nWc4jgZG03sH6MjQwknCjmQ0oubdr6ezGQgm/J4Q3fovFp5RYKj003qEEGyAboe7h0oTyaPu9CH+X\npJEcapqBwhfOXez++ZuMM2/yD3d2oBeZOOaPRgd0K7ve3qYL3wbqPJGRtIuyhzwfyPlZT3bRSc1j\nDq8qW+p0xnlz+T/TDPNwfC1KcVfLjRBAcM9pekrW4840ujv9uc77uQ66p5XjV5pV9CmCt4DNRWRj\nEWkGDARSncJFpA3WSB4VGslK183CsopRniYi74nIrSISNqPJjx0soETsYFGzW/OmCK28c1LfszrM\npcUZJPUJy7U2Nwfx3Uo3RcOgmD6j5F6oTawbVjTE2zjZtbxJd7sqK5RQUd1u/aTTiq+rJRSM4jLB\nH1cr1vI0q6d2XR2IpO5h08NWVwkHebvymP9SRKmx10a5/KXzGe77DMy1gaeJSgq7mL1S23lmix4M\nbx+PVp58sX+gzqPcaj5KJEWEXZx+gZyf9T7Xds5JcXj7ObuCwpXuEtxDqqJihJ+dlcuGZUqj+G8R\nx70V82L9X1TRfa110T2tHA2JUaqqAU4DngGmYh2s6SJykoiEbQlGAmsC14vIuyJW/7DYuuWOt8lF\nMURkY2BCYujdCvhaVVVELgDWVdXjReQa4DVVvS9Y7lZgoqqOz9imQrIMsW3wsVjtp5OKVjcMM26e\nrL3ykT+WlfQn2rinkx5yEolexKIIlq/nti0d93vMvETfcc/hDowfuhfM4+zmFiuXrLuIBthkk42j\nFpKDSyeDCpefYu6nq3t43jLKPeZ9jnQrV/mp7BjqC2WE+Y0xbimajB0K35+bwiCvK0/mJrOv14s7\nzVQGu1sxKLcR94cvMIEHzTsc5mwHKH/T73DUMNyJ5dkKY672ulT7v/Cxbsxd7pdMMs8EIhqKeWo0\nZ+9dy0+6Eje637O5OcgyKwSoqYbqGvhbNZxbmh3wlhnHDu6h0fe6X8vGuv5zgw/sumsbXn757gYP\nvd/X4lVmSXSWmf9/imKo6leJuOMtxMPrBUBynLphMK0Ido8+/Uw671NoJJVPjc02WyOpjPG/ixI5\n7Z0htPFOD6g7eb/Jmr8x1kznMW9mpG5dqhrFJnqEfm4PvEFVnGDWCOaUq2Mufy9kDYHyK5Mq/zfV\ngAAAIABJREFU2172vI6LZwHKNP+OBNdPOMrrHBHDC3vkaKocNDl9in9/6vun5tpAsEExE7KNhE1M\n2N+n2vwML1Unhqq2TjlfDi++LqHyt1U0H+h2RVXo4/ZCVRjsWC7k/UkvX0m18ThPVme4k1QeCuOV\n4f9xdU+Ns2LETYx4lwhun5Fc7uSisr+IfqZYloRS1kjeaabmtWOOjXU237EQ+d5y/dGW8Fnr0WNw\n6UUrREN4lMsCS8NQpnguIpKUwukPhNI/jwEDRaSZiGwCbA4UyoUHSPYGKa6ME/LvhI290zBH2ptz\nmPE4312NwyQk0NohRpK6E6Ht3yyxWknU0hY3Qgvc+4K+1vZhvcX9NjG3YS/GphgCxbBamiB0dI5m\nU/fPHOevxdvmIX7+pjbqavi291wgXBv3Ove2rSqgV4GkJMQW+FdxmwyB9rU87U/m477rcpH/NaD8\n+H1tRGP62H0YEEY4q1HrrUiug8t4b3bUgzqKO75WFbEO4usiqZYOkC/GXOz651OVCon9la1nYZXU\nGwKJBISz5sUMjtIon0HXoHna0kcDeZRLHU1ND7oPeBVoJyKfisixwCUi8oGIvIcdP/8FQFWnAQ8B\n04CJwClZGe8Q2b1B0hhgNon4d6qCe4/1BC93TdBEamOG+s3LcA2VW4z1tJJqL6XQf/6TGVMV819r\nYIpLidnlKhX4rQ+SSjmFSBoU4TbnG7Z3BwQJD+tZfZi7MxCuFUZ6MWncVunY+ntQTO+a1PY2dIfy\njmzPnNz17OP24hjuDIa3wiqrjcywCfZFs0LrkaDKBvJZ4tgUM9njK6cVD5h3MJfk80/j/633ma6Z\nLg3F7N8w41FcQEKjroxZSNKyzNRSCkCNNRKVRPO0pYsG8iiXOpo66324qq6vqs1VtY2q3q6qg1V1\nG1XdVlUPUtUvEstfqKqbq+qWqvpMqW0nIaKZdcnj3I8x/7W1u//27w4MXdxIS1W4yvnVcg1DfJgf\nexRu4zhA6Ovunjw7ALbS/VKk75ZLjsfduDq1TLgddz2bIS2MU6aX6+oeXue2sQAMzae5FKIyHUJN\nrG+NpojVbuzkHo35vJZZ5qaUGIQI/Me/mbdP2AoQ3EnVsfTd0GoUYZp2ZFP3FFBhY/0E5oywe1Ph\nXmcBt/izWPWHP1vRifurOduHOeYG+pn2HO+241V9JEhWCO6IKg6XLgx0t+OeMw8ucd72+N0OhTHl\ntc2xGecuuI+Xpt7IZ2dl7Efz/maumUdFSiMOGQlup2LL5eupphGqHBVrPpZ1TMsC/xt6L0U84T/P\nYNMaVTjWuYO3zEN5Swjuetb7uUOOYX35DBC28Y7M0KAMCORbFb7x3/BeZKp/R1522dbdfigTU5SO\nRc1vTS0DwL+rU3G0Qo+y8GZNK7NXVsLHVcU8q7ohbMObpDapwpinLmCI34rdWj1d0PNcFdou/Jxu\niT4yl7uGcf6b7HD5C6DKw8QG7V53AWyWFmhYW75mwErjuM79CQbVcKmr3MRJTPA+YvGPo9nFOZDP\npND7T7fDqPy8v3Zvr3jZJHT9yzL2U254X7CVkgavOKRATzUJOywvDEH83rCEZhV9fi+oyFCKSIug\nmBwRaSci/USkeD/RpQJhf3c3bv/oNECokRXZ0RuQ4quFywH8XQxdwpiZSkKDErYx+wCSCMinoSp0\nco4uUBovrLtV5uSujxSwQwzteFEqjpad1ElrYeafQ/kSvlJQFpgrK17anpfwvOweTetrOuHtP5Jt\n+ICJS2wfoHv9dxNrCTu2fIF8QzHA2cHGNd+pZTt3ICf7q/Kg/w5X+/Ppm+uYWr+/050ekrgGKlzk\nOKgKq7c4nx++q2WcMxeIkz4hjSnJgf39Icsopg2e9+Vf6rC9OLFTnMZVnxdl7BXHToEmehI1Hv6o\nMcoXgRVFZAMs/+go4I6mOqhKYE6psXHHjnEPFFWY9qdN0wvOGpkwXNk3zwfu0yXnx/Pi+WkeXJzY\n2NT9M9VuC0C5zHyBuaSGq9x8Db/0fuxDUk58oCFDJGEDd2jRucVitJ87NkSxo9mNx94ZiKrwf856\nrLbSCEA40t02pZ/4rvcs5tXCF5Wqbfh1W24Gt31zPIc5XTnD2YCJ3tSUmIiqbVMQYpjvMsL8Big/\nf1MbkPxt58kw6dPdtarilgNr2xA3JcIWvJUgVqUq5gXGv2mu9RX1OJrw/BsLQfz3/dqoCd8L5vGo\nv3x2qKJ++KPGKEVVf8Jmqa9X1QFYiaJlBvd6G4QebNZJTd86X3Zsi9GB4YqRRXFJDquv8D/jA3NP\nRu+ReLnnXFuBERKdk7Xk4ecst3VFVRTxQ9LQeJFGlUFZFJJiCY1UjDYDb7ov4HUbGcjSBed3dTWq\n0Fxir1pVcHe252tjiZqYBz/IqvzW6opoG6rCrt6BefE0icIU/5X16eLYzohxQsm2fRjstw5ioOkE\n1Ootzg+uQfb1SSrQZ2JyOjaYf6+UKv2MYrJYJaG0KlVTxQLLbbdQ8CU5LxagjrcXqi6dYFpGLTPa\nmMPqHarIwh81RikishNwBPBEMG0Zn4W9Qe7yvghEbqGD6QdIRvzRvilDI9dDXi6YP8xbL/AAlGHu\nekyQ/aF9beyNBjL6yeH3Ef4GLJKAJzl0FECCN2m3O9Bvk9LGLNXOoeGIK4MKKSSC26HuGc6B/kYM\nMy6qITXHSoZxhs1qT2TfYEllkv8MHfx+7GJ25mrvV6aaOxPiF8IZTmHcTDUZT1PGmTejMMX9Mo9D\nZIdofSA6lrucL9OFA1dXR9uz1yALwtluqyLzAvRKGw4bE9WA8lUcg03r1PE0rId5efQ1ncqUoIYe\nYEI3dHJ+gkgSAtTJUZK91pbaZv9vTC1K+OMayqHAucA/VXWqiGwKPNd0h1UekfFRYY5YSbWH5DBA\nGSWjADjQb5d4m1ojt445isvdXKKfdNyUyXoA1tMZ4awOSuyNHloTbSfEvc4CvgiGp0Muvw4gjzep\nDOK+hCiCcv9PWUK7aRWkLNHhylEqRpWcVr4iq7vpwQPOvARJfzH7+52YL3GSZw1Cz1Xo7fRmhjuB\njjIdVeEAmcDrbjLuavdvXxaWBhXGh/uaTvDSKC4VS/ta1z+Sz/2/c7JvDX4odxceS1rJW6k+dXiR\n8yx1DcrDxsmkoItiPipjE6TRkBYcT7hTS5SgWsQeYHDOvbJGFPH1CEdJhdAGK9Hn4w8Zo1TVF1W1\nX6iwoapzVPWMpj200hjnzY08xMsdAwjbOEcw3CgD3e3Y2BzK+GeOzFPhFqv1p8k3vhT0gB7ob8Sd\nZmo031bwQCv/WA4OdC4/9a9J1VOPdb9ObCGUYBMOdJJxR0n0lSY1PVltUhe1mfqjvMHYR5IMLWGE\nuzrfyFq8iD2nAaYtN7qLE/QoYaDZiPZ8BMAcJ5loUGYYqwZuCwRsa45r5HSYVcUTrx3C4J1v5E33\nedYxR/Ht4pYM5u5II/FG73tO9lcNhs6SyroPMJvktVWtC2+yEMkhdPgiLHW90qOIylG+IVx5TzZc\nrni1jrJoSen2D+WFWyQqOGgsLKF5RZ/fCyqq9RaRdsBZQFuII6yqWokEd6NDRPRrsyJru4USc1eZ\n+Qx1Q6XmwlrXGeY2OrjHcYJZI+X9iSjmv7Xos4J7RFXmuoWwZPQT3GLDaeXv/pcs0PXz6svj+ebh\nWtxDmlYyqyJMq87rvWN5kxe5TmraZf5XnOW0jr5bxNdpHXNUIDybXU9e6NVKtAkzvSbgOyamj6iG\nMaOoMT9S7a6CTdhcwGorj0REud9MYaDTlRvNHE52G9ZRsihmVpVpAVsKYY2/jfWF00rfW/a6mqm1\neXzKutRuK+bqWtwzSt9bQ02zPPZG8X0MMWuxzvlbNorM2j16cPkFgSPlH8tVrfc44F3gfODsxGeZ\nYe0ilTlp/lhwfT+Ib5YO7hAgPUTuazraWuBWN+EeMTLIbFby2+Q3gyqc/znrFDGSdr57SPm4Yeix\nZGUdK1cwL4OCBmXCFKdrTFmadw43mjmc5bQClFr/BzY3f2KV70+lk9kPUGrMD3zh3R3xRMN1kx5a\n6PkM8NvGfbgBFLwtqzD3hBU9inmjBsbU0Nd0otprEZUjrrby+QDsYbZnPvalKE32KCn/2jzNRijV\nqqEQkmckoY/ZpvjiwToguJ3y743KT/JUszLuGcXuLeVz83cgi+KWfpHdaT6MvqW5vQ3DH3LoDeRU\n9QZVfVNV3wk/TXpkZVF40wz1m2dOZ5vSROwnvGkcYdbnHtcS0q/zfi7g5Z3pu9HNFcImf7Jv3tCA\nXRpd4ay+JZoY4hdHaNSzso7lMtaVImv4+MzDBwaUJaVm/aooUQRCldOC/3iPcHGLc9haPgSEmdIO\nFC53zuQCsziiOyWbY4VJpnHuXM74OV1brwpjBg2LlvW6VzHMdzlG7gAVxnjNAv6kNaSH8RBnOa0x\nL9XyEytXdJ75ybTsVhoBdzBo7bqXk+bXhh5z5dzU9D2SX7NfyMLIXq8usNn5YusL67rDCqYmX2jh\ncmkyf+Phj0oPmiAip4jIeiKyZvhp0iOrB65yCnsOl+5aF1TJKNzrfRZ7np+eQzvno1QA+3PWKbi5\nkvSPfENTaMCy+pbk34iVVWvYBEg9cHnxYVg6CWXRpf/LhIYxTGrd6X8YCBoLKKzPf3nA/ZTLzX+5\n1/2Msw085nzEWYuTRiRJQ7EP78m5VflhleuBuBtmF7MXVe4q8VoqXOHmWAdb5domd1jAn7TbCXum\nyxpEcdFyyBdQKSaU21GmJVq7ZifGSnFT6wLbRTRjD5+dlfpev0qeYhAYm74f8kc+dqTQNK768pb1\nrjRG+XHGZFXVYjyMJkW6FUSMU/2Vuf7zU4ISsySUq8yCROzSTptjbgjEfYU9zHZR1m8L/yBOl2uZ\nqxsHiaJKDsqqxnym6/KmdONM/Ttnu6UEKIqhsjiU1dXMNUArsm4YYtYKhl7p4ztNV+InbcGHshWt\n/C95wp0G2JjvIblN+FrW4ltdg3fdf0Xr9TFbp7yqFb7+C1u2nMYH3tPckpsZxXzj38Teo5P8SfR2\nYkm9Hf3duZi/8o2uySHujpS+bsrD/ls8p7vlcSGtV3+0u1XB8k3Hfawv6ndM8W9XetsXmLC1RXE0\nViuIG/SYipb9s9yx/MQoVXWTjM8yMZIhCku3lKvnDS8wkjv5PbjHvB/ELtPiE6GRPMGskaJGzHIe\n4QzZoKyRXOGrvwTelfKVuZiuMoULZCSfO3en+Hp18wAruyfs27/xs5ExNFV1k3zQ5vg3RPzIa+Vn\nxjpf86Y8HxlJsN7gOHcuz32yTyD3FZ/XU96/I+7rRP95Xm25s62OUoKYr42NPee9HbEHQNjb7R3U\nvCv3+O+hCHu88hqHeN1oYwaWOR/hEGeHDMJ4seFlw8n/oRSgVQsvj/K0sMQxvVp5ArDQSCpmdk0e\nMb+4fFtDaEzFsLx5lJXWeq8gImeIyMPB57RlXet9hQzLqyoQ3I0LqTevOS8FxsQ+bDbREA5xhbNN\nctip3O7HvMty+K3VFZHm3xw250TZjG2cI9nJ9Ahksiw5Oy6RbArExxnGuhrep0e50CzkRG8LzLSa\n1IPS03RnU+fkgB+ZHo30NZ2scneAPcx2mPkrUgAlaFkL/b97mB28AVFnS1uyCEde+A/QdP29qjDJ\n2Zsx5jv+9OOjvOU+x6M792ar3L58/HAlsbTiMbvSyBp1aZn6cqG1dw6dzH6pF0gp1IkWtnP96U8g\nuJtVZRDzs69DeRpT3fErzSv6/F5QaYzyBmA74Prgs10wbZnhQeeTVFWBhWCOroECsYCYlhFmIMPa\n2/RNYGuNrW5kjPLNlSRQzbHG+HXvRWQtO72Te3RdTis6zqxpxUvRLMJYV/E+PYqZV8kDZrml3/14\nAV6nKv7qXByJ5L7ovkF8PW1SJZz3hDsVzgu3r+wlk3F7JF9eGniSynjzOgC/tLwWFD7z7iX3VW3E\nJ5VX4rW6+z040ayB6VnDDfI9I9zVWWW1Kgbk2nKguysfek/ywCHFWmw0BrJjlGnuZiFUhanuxGJz\nG9AnqTGQ1i5Io+lr5v+QHiWwg6oeraqTg8+x2LayyxhSMFxx77R1qtliAcmbo5DjF3qZ3vpVEUl3\nc3NQGWXxsBVCbIxVBWfd0IjXZwiX/WCmyfP1geBuFL9czD9LG01dwSE3tZZp2rGoshJkqS5ZDub5\n7mps6R/APP+aqBXHms4iXjfjOdjrniJJqwpeq7ie2z3RRCyBc7iEW7xFOLeHXTQlGtrbpJIyU9oX\nO4ui04u1o6gcpX/b0p69FKhR/X4QU7CaCg01lCLSR0RmiMhMESkgVItIexF5VUR+EZFhefNWF5Fx\nIjJdRKaKSLdyx1upoTQiErF5gxLGJohcVI6whO1h72PM59aLtMgyhMVEVdMxy1CYV1Vo2ew8QJnt\n/TPKygIc7bcO1osNq9vZkqRFFHOPHXJbVfTGRpKw3NBtgPun/DhX7B2CsPoK57Gg/Zr0dA4g/3pa\n7c/4mufTbC5yrTzaCL2AjZzTg8ZtcLh0obt7MKrQSr7mx8U19rqNtYTuOeZ6a/vawhstbIJmF3mV\nKeZ+bm97KK32mBfV8seevlDjFKMHFR9uuwdUGuer3/Uu34Ez69iaVuG+cjRt/qQhPMpA8vFaYB+s\nOM8gEcn3Ir4BTgcuzdjEVdjGhVsCnYGyXRgrNZRnA8+JyPMi8gIwGTizwnWbBLaEzbaPddcNPZFi\niI1nSBc62rQm91W5agvruezp9YlKyb5m7Wh7SySMoSiPmpetes6R9lhO9LbgIv+bigL5dS+Ba6qb\nOO0d9jWdaOOelrncjt4AvjKXRFPSNBs7JL/RzI6STVaxXVLLnORsyiqrV5GbVYs7ZCQgbOb9maGm\nGTM6t+UtZwfG+N+x9hM/0MUZxBC3Awu9WyMt0aSnH0uaNQXS17s05awcki+jNOzLR+jqDsqcvzRg\nW3gshf00jEe5IzBLVT9R1d+AB4ADkwuo6tcB1zuXnC4iqwE9VPX2YLmcqi4ud7yVZr2fBbYAzsBa\n6faqukxFMcIhmrdbFUf4G0b12BbZUvjJci1XDCu0rsKMzL4x4ooX5a85ZYC3ow3MO9OwVSg/8icn\nFNMt1JJUFYY7a0aBfMtJs9v71FwDL8beTBaHsXJolKB6ypRrJlUJYqPwhDeN3qYLYFtcAPCMPe41\nfj2BVu45BSLFEBvFmKAOYz87vuC4h/s2TGH7f1vj+rJ5lAc5jA7uEIY/ezUGl+f23QkQTvVX5lH/\npQLSeD/TLk/SLBuWrN5wFFaz1AXFBaLjrouVvQiL9QYvPE9NjYpKwZ20dHroNHDovQGQdNfnB9Mq\nwSbA1yJyu4hMEZGbRaSsyGhJHqWI9FLVySKSqQ6a1XN7aaAYjzINe17pXtV2+k3+bA7kUdZ1ziTJ\nTWtjDisiJ2WX6e734HXnpdQ0CPted8ZMqK1wONfYHD27vWG+m6A0Fd/HQLNRBcNCZaBpw4Pep+Sm\njsb90jCjRxs6uMdl7hsUc2ct7tGlz7/F4lMC1Rvl7/olZ0prPvWvY0+dxPSFXRNxyuT2AYSu/p68\n6/6LOeYG1vr1G95utj293H0Ld9Lo+H1wKhct+VsQEqoPGuccGotHeZ5micPAJ8/P5ZPnP4m+v1zz\nYsG+RORgYB9VPTH4fiSwY5ZQj4hUA9+r6uXB9+2A14GdVPVtEbkS+E5VS9645TzKUJb5gIxPqSbV\nyxjWeIFwo+Rr9gknOZuxnjssKEmMf4NuklZqsUNi24geSBjJgAoTbC+kH7kHVPo2rs89lq67hSTv\nzm4vzfssvo/yRtKu31Y+iVXkd69hS28IC/wrE/zK5MMneMdU8cuimlRPoFAeDWBj/zDuXmUwfGpj\n70dzByBs7J7KNXJGgZHs729GT9OdYcYOwaY4z6Jq+a8trvUDfUwws2vq1Oqi7ihM/JVqj1CeJZGN\ncrSuls3Ordd27eioMQy9cvSYrNqTuuNXmmV+1t29Hd1G9Y4+RbAAaJP4vmEwrRLMB+ap6tvB94eB\nriWWB8p4lL9XWI+yCvN5LQtbrUirlIqQ8oG5h23cI6mUH5dUo3knF3qgipk2GrdjtpKQiJL7MF/d\nxaLG/BAp3ZgXa3F7NpY60NL1bCb7T9LLSXtsIoq5opZ3Tt8yGCrGCaYH/Slsy3scKg/RTj/CVcM7\nsh2znEcAxUwZjdt1JIgwLOdyhZfj5dyj7OIeVPLcrtF59PafyfNmk8sXqhg1BnqabgEdKgu/Dy+z\nsZFW3ypEY3mU/6cXVrTslXJulkfpAh8BewL/Bd4EBqlqQVIm8Ch/UNW/J6a9AJygqjOD+SuraqEU\nWQKVEs6HZXyOE5Gmr50rgl5me/AK+3v3NZ2KGsn0mz7snWzbB/QxW6MqnCXh9Uz344F0wkA1qe6i\nmKlxrDNuPSG4PSvxMsv1+g4Rn1PdeG6xFmQly4Y1xb2cPgXzch/W4vxfVcJIKv/0X+Mi/xvWk8+Y\nx0a87zxNO2bygDuPWe4j7OT34GwjeNuNZIz/HXNy10e91Xf1DowSXubxmqiaJYmJ7Mcn0jY98dN8\nod7GN1rFjWS4zyZCQQuSfGiq11Dd5xciTFAtre6NDYlRqqoBTsP275oKPKCq00XkJBEJh+PriMg8\n4C/ACBH5VERCIYEzgHtF5D1s1ru0YCeVZ723B07GBkw3AE4C+gC3iEi23lkTY7L7Du7ahdnuMHmS\nlaR5ykvyIWNDpypRBnWy805qmSS2ETv0jcVU42FnWhIr9nQuNAtLnsdg0xoo1pmxOOrGc5NIXq6S\nZS0Z3+ooFsxdbJcBInpUf7c73eQtztK/09vtjXmmhjGOJY6bcTW85r7El05rjs2tzQhndTbz/kz/\nQABZVYLfTHD3r8p78SmmUw1Pyr/p4/ZKDE2VtzbY3s7/pKbORiELTVGmV28E2pe2PBYgX9Fd2MU9\nMJqX1eExnm+XyVKuSvJI4wTV0vGSG8qjVNWnVLW9qm6hqhcF025S1ZuD/79Q1Y1UdQ1VXVNV26jq\nD8G891V1B1XdVlX7q2ox1eMIlRrKDYGuqnqmqp6JrcxpDfQEjqlwG42KkKyczLqKxEMw94JqtvL3\nS68UhRns35NNdm1r3krRf+Od/wAwwN0xY7lskvi57loltx62EDjbSGJflYRDildVmEk1iWtRbPlC\nTmnM37MeWo8Lp2CpT3Fs1tmpOspqW96p8kxuEnvIvrzpPGc97d7ViICZEogSK9zlfMEtE22sPTeu\nlvHubEKPNDwWERjnvxVNe9U8ijs17oXzgPspD5q38b+oZS4bA4K7cTW7eAdlshzqgsIyvcYNSdkS\nzbohLI8FYQv3JGL+qp0WDv9D/m8aaSpWi9Xywz914ZE2Pv6oepStgaSG2W/AOqr6c970pYaw0Xuy\nw2IqlKFgxOUDc3dirTQZ/Ub3+8xtp3ly9oa09Arln+bV1DA7ifq2BIDwQS1WNQTph6RwXlx2Kbi9\nqygfQsqfX8jfc863XvL+Tz0XaTMC3O59HRhP+6Ce6lwXUJNiT3p27gYbj8S2eFUNk12Cu06cmf/c\nXE54je/PTWGAs0O0nf/I5gXHONDbjq9arcJYScQrtdSQUVP/28qeSlA3z6oYNzLEAve+IiWoGgmE\nVHJMMYXIfq8vlrUH/UfVo7wXeENEqoPg5yvAfSLSAqis4r/REVBSxtYWbXw0XSYE8cpSKOwpksWT\n+07WAIQ/uTtlKE8rtf73nBnFNxuOwiyucJhkGUo7vHfXyxr61xWFxhMEt+9I2OxvvOhP4C3zEKpp\n3uhMeZQ+btgVRDGTaiNlJki3eL3dn87JO18JKLmvamk1N+65PtC1ycfvW9TSx2zDYMcyC5IkaFVh\nHWcYT7kfYCbb6fnsheLnZF8iTYHiJZ4xCktQ7YsiFAipDI0zNG4KoYu64A9Z662qo4ETgW+Dz8mq\nWquqP6rqEU15gOXw4tE7ZkqNhV7hTn7PgM6imGlhJY7i31WDea6GJ8wLRWgXytP+s4FHKilyOZDn\n3QlVzip0cIaQNaStrEFUGlmisHOchzOWDJujNX5sqb+/WUDvEXinmp7O/kVI0coDZkr07e49B3Cq\nyS8ptPXfxzoduMn7jlnmZrxWVbibxQmx6/xPuNHMYdUfRwYxY7uPkAR9pFk/iD3biim3l2UkZKl1\nF0cF12lEfYaklVz/wheRPfbfa/a8nEJS/bGEZhV9fi+o1KMEWBFYrKpXAZ+IyCZNdEx1gLCHty/c\nNwq2S9/coVf4mvsSl8g5gOB1irPYzkoGr1dV1GulcFgk7OP0CjxSpdr/JcVbtAYjWfFgva8hZm0e\n9N8JdBPt9NKtTrMz0qG6TmUo/qA1pKfOeGc2N3qLbefDrjXRfqLukxeOCuKasTcI0Icnuc77mX0j\nloEyy9zMRZ5wtrFGrp13IkOMLQe1IQvhBk5JVfMkz+9sA/e4n+GOTnvObcxABpo2NCrGxB6sTTo1\nJezIqBQvszgqZUvUfbsPmHeA8gpJ9cUfMkYZDLf/iu3tDbACcE9THVSl6G82sw7c4TWQ0qaE6CZS\n2J0XAGVm7uZo7tn9R6NqM7wDTZuCYZEt2ZPoU+OsyDjvY+714za3IBHpOYxPjnW/4TCnK/3d0AO1\nnlRxZGek4/Ubhrr31Akf2uCYVVIixLEHC5xXE8Q1QxEQZayZwbrOMFB4MlGLPUvagwqXeuB9+RdU\nJRKU/V4sKX1j5hKFVO6qCbx5ZajfnGdlz2j/oJiPahhrpvPpux14wJvHbn63It5Pw5IyNunU1BAu\ncst4lR9kebml2RItFucXW1QCxVxSm3jxNY23+0eNUf4J6Af8CKCqnwGrllxjKaD0TRzfRLc4i7CZ\nw7AXtNJNLEfOZlPnkX9DfNv8lrzYpYBCc9KtPa3np9w4IT38u9HMidYr+xAED3924ineV2PQYIoh\nDu5nHW+S7mSN253mw8AGCcOMFyiTC49LuuNguH5fdzfe9e9jUu4Zcq2viLZn3q/hAcduoJxcAAAg\nAElEQVRWCj3hTguMneAOror4sP15mCnOs6ntue2rGOJtSc8uVhn9BeeNTO+nv8lPCDUeCptxlYNi\nOhdLJgXXbEqRYf82hY6A/S2K31uHtSiMaZvHa4Le6MUguOdkiczka7w2DH/IGCWwRG0Jj300bBLn\ndwLFPFw8k5kWA4izyoc4xYfDY810K7XW/Ly8eJVd72R/1ahFwTOyNyDs2e9xLvO/CPhuklKP7m56\nAuUoIlIk8RSIaBRw47JRTtmm2MOdL2AMwnj/NTb0B/GW/1CQmY3jocd4naJs7RVeLnoxjHdmA2pV\nfxLtdVVtVr3GGRUl3071V8bbtoqefjcONW1Z9OvfqPFWigQ4qs0vAOzu7s9N/uzo2G1rDfvietFN\nhijyHu5nqpvUI8xvxhXzHotBcN8vk0zqmsF3vDzr/k549kUw1v26YJq7f1XeCCEL6evYxhwGFNN4\nrR+WN0NZaXOxs7DqQb2BC4EhwP2qenXTHl7R4wlEMWJBhvoMEXY1O/PCC/vg9koaQ4UpozJu2MT8\nival+I/UQgfQqeAevOw4a6D8urAW71JwLyx2HIXndbf/b46YMR6no13nbFNJtlQxj4zGPWgkd/jT\nOcbZMrVdESX3di1uEFP+p/8aq+u39HL3pfnC0/n10pb0HPM0LzpxVczV/nzW4FsGO50wo0bjjrJJ\nnJv8ObzKTtzpfJl5/EsPhfsuVf7Y32yWacBvMXFjtaY9vviZN2/U4nar/N5srBLGfbUy7/RJOfh3\n0Vys4lpvEekNWPcJnlbVSU15YGWORc2T4O5bKDwL8Lm5nHVdqwx0i5lV5uYr9YApZnJtniG102eZ\nmwMScCmkhYHrBiXXshZvUWPWidflOGISeO6qWtwzqhjuKxc5lQxC8l9gymTzVELpJ77ms/yb2MI5\nERBrRE8ZzaxrNqCDcxygmHdqEy0/7DK3mFlM1H0Z7/4n2n4lHQSbBjbjP9DNljxL4kYzm5PdYsmh\nSn4f5TNzFevM+g63Q9Z9kVByGl2LO7Lxlaway1DurY9WtOwzcuDvwlBWnPVW1UmqeraqngU8KyLL\nlBbk7lvIZZxhxhJTLmx8ysbOSiH9pjVza1LzLAWlcJ123ons4O9ehmRut32BKasLmrmut6gxOX9h\nYqocQpUiYcVFp/PdjxfgnmGP4zhuSS0XhjUshUhTXf3s8No+tIuWXBglvZhoH95rzVw4rJoPZNuA\ndA76WDXPX9ONL7Eq8uPN63jbVzHMX4GBfhuGmLVQFY53tog8sjt9e6zFOgg2FtLx4ySECXIA65ij\nym7DhmPC1iGF2yn3+4w1M1jfHYrbodh9EYeW3JENU7Iq7ByaX0bZMPyh6EEispqInCsi14rI3mJx\nGjAHOHTpHGLRoyv4HmeP7bzx3uwozpWGBiWQIQ0iFpv1NrE3mM0YKpeZLxOVOIp50v6vKrzlPJ8S\n3e3s75PBmWzIQ1wYUG/6NgFh+1bllzWv5ebmJxI+xNbzi5cbLDYr3VGmE8dllRvNnJjbOnRUwFMN\nzqVvDV+ZSyzJ+sEaDlnwD6ZLoALfr5aXpAebYcsbr5L/QxWucH9jD55PtF2V6Pc6xu0UCfkWr1wq\nj5jOlY3O3pHRvZKPe53P+MItZkiTCAj8nev3AlxbvqbyF16cgMs2zKVR2Dk0mQxtOJY3elA54d5H\ngUXAa1hJI6vgAENVNbscJr3+bVjdyi9UdZtgWkvgQWBjYC5waFiULiLnYuOfuWAfmXVhyRilFc3d\nFlAWmKvYwP2/vKXt+Zlza3EvrLZxsDWvofL4ZnpIJKJFywNFlOlmLE+yL/vrhKg+95eFtay4ZqVD\n6HLL1y8Wt4vZOa9dQ6Uof31e9B8P+urAqf5K9JPH+Ujb8552Zqz3DYt+vZCW7/+MWeDySy9YZfUq\nzjLC6XpN0Esn3kc/vx2POR+RfNABzOxa3M2qMJfU4p6Tjilv6g8IyPgNiVNWsu6yllYrjDUON8pF\nbrmBYeMdd2MNvXfSyRUt+5r0Wi6G3puq6jGqehMwCOiIVRYuayQD3I5tAJTEcOBfqtoe23vnXAAR\n6Yj1UrcE9gWuF5GSF+gE0zJRlSNcLmEbH02VAJp/1uJeaN/iv651DU/5z7KxP5CJ/vNMMuVCrek3\neOFvFotGqAodnCH8xVkv8fYVVlyzLh5EueXrd89Yr69uSNJP7NBSC0orLzCL6enEXL7r3Z/oPfll\nTl10mxVCVmW+uyHsWMuBBzzIKqtX8WZuHJe5SnNZgrkzJLIrZn4N+2DfjbEXZK+/t3kVI/wlXHzW\nUNuADOw6L9YmKpayrk25GHzImqhPZc3SRuEoqjz1LGu9ZY/lLetdzlD+Fv4TaMDNV9WssWwmVPVl\nrEeaxIHAncH/dwKhyGM/rK5cTlXnArOwTYSKYpp0JHkT/D2h8H29/Dn6/+1+W0XLPWZe4kO24hPn\nQfZzdquoRjeJkG94qonbbHwutvqln2kPD42icHhU1xtVGiSwkYVb3EUFvWagdP/mJP3EDi0lKq1c\n7eeTWNscG4UVQsqPquDuVcXGa8zgJMdW2ZzvjKZ7rgePe9PoluvJjt4A7s69z7ruMP5z1IbRNf14\n/XW5U45hov8Cz269S1Qt9bW5mNwLtYxxmnGeszqben9mtZ9PYs3fjmfRLuUqR8pzWN1Dlk6fmKaB\nBDqp5fu+/57wRzOUnUVkcfD5Htgm/F9E6pOhAGitql8AqOrn2OE8FDYMWkCZhkGvOMWGksIYp1n0\nfze3P2Gipp+zK2c5raN5pTLeSXmxEJd61kO5zvs54pd9hDVAj7kfwaE1QL5GYCnlnxhJDmTDGo5l\nQOExd2bexHL9m+21cf57ViR63MH0Qz47i8V3rMvX7u0MNc0B4V7nqOjl0c+0519O74g/+pjzEXfI\nsaDQQ15GFf7jtOPm3Cz+xrmRctJ2S6bgqM/+X0xgb2fPqFqqnT8Tb7eqoNGZ0CW3F4tXuomFK9zG\n2oF+Zbk2CvlIcx4lOnarD5oNGxfVQISjEmgZcnfdkfUCtY3Vkn3fK9cX2MI/qJ7lkw3D8mYom7wV\nhIhsDExIxCgXquqaifnfqOpaInIN8Jqq3hdMvxXbe7eggZmNUe6WmNI2+BTCdl78lQvNwjqIR9hS\nPHvj2eUHm9aRduQJZo2EIVP8mbVcudmJDHPXS20jHFKON68HJYmSNy9e1tJgliXXsgRE+cpcSivn\nbHJfj8ZbO+GBHVIND49iln8z7dwTGWfewsHQ3+mOSDJUEWgn+h2jTpbBxilNt1IuMgsZ7q7J0zoZ\nxzf0dvdOzQe43Z/Bsc6WqemvmLDNRONCRMkdXot7b/h7laaYlbvn0vdTY6Eucclyy84NPrDrrm14\n+eW7Gxyj3FKnlF8QmC5dl4sYZVPgCxFZB0BE1gXCV+4CIOkWlGkYtDuwGzVme6BtNHyL3qST7U0c\nimNYAd008fkyP9y18rD/Jtf48wLPSRjihkRp+yCGRhKst2cFMpQ7zVScdtWBkVTmmOuDevAw+QP7\n/BjkpMQmVUKcowSCGBIZyY39wzLOtdTLzBZMZXkuu5lu+PPjjOdQv1m0XFbrVpEsJWxAhVZOICyy\ndthDKMDDo7AZ8ZNQtZVL83QjQPLiufZ/ayTD7/H84pxUYXjw2+0je+YZyXg7aSNppze6kZwYiwjH\nRtLuSyQZvy3Gn83+Ha2RrJvDYr3bUsiwLXcVexHb+/xGM5uhfjP+6b+Wx65oi33edqdHj8F1Os5i\nWN48yqVhKPPHt48Rq6IfDTyamD5QRJoFykSbY5sGldx0KNx7qacM9w1/EXuznr1bLZZbeRuFN41y\nd+59znJaRds5xNmB050Necr7N1PNHXmHX4hx7lySVBozzCYENnX/zIeyNX39jpztw5O5ybRYLaid\nVXjFe5VOpi8Alwhs6Q1JDRs/cR/M6MFsb+Rb/JlR356U0vUt1Zz5wQ2BeG4cq3rBfQNnw1jGbGv5\nkJXlJwA+dh8u6OqoKsGxlkOp+Ksw1Nsg40EuJdkVbyPZtfF3h/2K02xUJSGNZ88nqxtj8ZiwBKGc\nyhBTpeqAwaVoQpbedZWzhD853QtEnBsbDTWUItJHRGaIyEwRKWgMJiLtReRVEflFRIYlpm8oIpNF\nZKqI/FtEClrcZqFJDaWI3Ae8CrQLmvscC1wE9BaRsIta2O9iGvAQVgh4InCKlogLxPG84CFT4SLX\nZb5j34SXunY4ka/MYw1M3GI2WDlqb4vCSc7NnOqvVPTB/nbJ3zBTatnN78adZioguJfH1SMXOi6f\nsR6XOtDH2zMyjKBcnvsvU92JABzhr89Dubd4wJsXy6Ep9HL7MML/NcXbO8G05ARniyAepbwpiVr1\nDoLTpYp9vV6M8RfzvOxGHBeNScjHyxac6sQisSd/f2PmOf6ysBLeXQlpsIQyUIzKJLuKqc5Xcjzm\nn3XnCzYu0i+NsA9TUnCkVEw4u6d8PfBq8RBO6Xp0SfwNw0al+aX1RUN4lCLiANdiGTWdgEEikq+K\n/A1wOnBpwa5hmKp2AnYCTs1YtwBNaihV9XBVXV9VmwfNfW5X1UWqulfQGGhvVf02sfyFqrq5qm5Z\njEMZIq1Crpg3aoLRS37iJHHzzqwqIjwrHOV1jvquvOy8yhp8l/lg9zPtaNn8XIZ2vpAXnDcCj9Ju\nY4DfliH+WqC230nzhaeDwlRvYkCvEYa560bbutf5zGpVar4cmvCdrMHmEle62OGZPeYBZhNOddoC\n0MEcAD2sN6sqPMm+3OV+yXCj7OgNYIz/beBhKsN9n7H+DLbwD+I6fy4/r3Ettd6K9toF6GO2yaMn\nKeaSLANUKTUlvU7TQXD/lD7u0g95rIRUbL4pkO6rHwoFRwr3ldV9snLkhV52Ln7ccR8eu17pFhbS\naHJ/+WigzNqOwCxV/URVfwMewLJpIqjq16r6DtYwJqd/HtIbg2Zj0ymTNIZlE6NsHHxqve1Qgdvt\nFnt0yb4iKbWcdrY9bYjk8PCQ3CZx3xWFMW6zQFg2hPKUeZbH3Jk8m3uKa6P2BvH2xjlzGet8E23D\nEtsBVSZJTCfNVjRKZiqVa+VntneS/VEsBvkbMVpGsoGxFaQz3AnROlP9O9iOd0CVPeQ5rswtYISz\nepSUush1mCFb8n9yJe/RJTi05LUjpSweHp+V3cqCFK1WaSxYDyibgZB1PMn/w4fcjj401YgOyqnv\nSKLGvDwXM7w/6oI4zCAFbZfrhnzN0GLQ1P8jzG9l6HF2maZAA4fe+QyZ+VRg7PIhIm2BbYFSfYmB\n5dhQ1mxg1cqTQzUzL0lStsiXwkoiOTwMY44RND8OJDzl7EtPv1tct5yP+0JFo3wItxGHALJo9LuY\nXTjU2yEw7MkhkD2YBf6VPGGe5353HlWM5tN34tFCGNsaJ4dygExgnHmLfZxegeFPhIhVuNRRTh5/\nZ6DRabdtLhgdNA+z362sW/r4iyGpbN4UsB5QukdP5bDH3VY+IRnPBpDPzqLUeSXXz0/IFBpte41t\n36BKjXp+mKHu3nZSxq70+mFfqPSLZIy7AuEQO1trMlym8bGskzlBj++HsRWAP5Rbfrk1lMmbPoQE\n911d5PttwD3LOITQoOcOXOX8yplcRrHhk9k5NHKFD8tVTtw3eRSjonVe9CdwlZnPK+6rqEpg2AuN\n7XoffcfzsjsoPOTMxes2khPNGpivavj4TTv876zvs5f0DlpPCHsY2/v6MvMFZl/7ElEV3IPT7RTc\n80fCpn+LvrdxTyt2uTKwtJgb9d/PX9z1bBgksQ1d/7J6H0dstDW6ruG8+hv1utP0vvHGFtRxx2yG\npBC0JPpCKeamfIeilNZk0/y+vy5plvn5cdLbfDfy6uhTBAuAZP+PMgyZNETEwxrJu1UrkzFabg3l\no+ZlQHnXvy+IRUnUHXEV+R6orJ/I094HmKm1JYyDRApEqsJBRR8CwW1rvdyepnvBw7Kb6YY5wt6g\nL0Rai0JPZ/+MLLE1tskb3dmyikujX0s5Kyfc7H3LmDWH4e08kklmUtAALfYgn3Nt35Ozvda8/fhW\n0bpmbi35SPbFbkpV8MqhmAvzY22xKEkpdDc9Ut9vyc2MwyBl9lnY/TKGNbaQNDDuk9lhiTDmWHlb\n2LobJNVCgY2REmbV84WgE8d8UrYi1tKEyXmZH9mlJyucOzz6FMFbwOYisrGINAMGYlkzxZB/cmOB\naUH/r4qw3BrKb7CNqbo4h9Pf3QmAMEd+l/MlYFtBpONShVAFmkPpG8XOW9s/NuYGChnZRQEhEGy1\ny9lhsfKC+waD77oJUIarn15HhbHeNzAt3p5ImH1fOV4ugbfZDhSq3FVRBQ8DIikj4fz3rKA/DXRz\nD46mu22TXEjlQX8Ke/EvQBFRbpKTMoZiyhP+8+xgdi9xncqhkgqlUOpOcM/Nf6AlQ4O0EK+7aW++\ncjFcKRBV6WAOiP4PxVTSscis+0Zo5f2VGea2OreFHehvRG9jRV7iZFM6tpi/rySGpPikxe7pZc7f\nxuTcij5ZCMqpTwOeAaZiS5+ni8hJInIigIisIyLzgL8AIwLWzSoisgtwBNBLRN4VkSkiUiSWFmO5\nNZRhuVbIQUzH9mJ0kI+i/0ONPe/LvwDKFf5/6Ws64W5uDYetV9bE9gJ8fB6DTWu+dm6Pvncy+9Gn\n2z9T+9rQP5wRJt0T/FPvQQabdYA4s32U3lVwnGvnjoWOsbe0thlCZ32f6xzLe0waUYCRckH0fz+/\nPXfI0aDw3vfbRgkQf/3LuG2t46LrYs9bEh8A4Qn2pZN7NCAckOtA6/E/cJ6ke52D0NfdPWoEFqJu\nfWOE2+S49KR5f80ry5PAkENjPtCdzH4F0wo1FwsRJ8tCCBfIyMxlU1Aym8aVwwPOPCa5tmAhTjbF\n16GnaZos9NJGQwwlgKo+FTBntlDVkGJ4k6reHPz/hapupKprqOqaAevmB1V9RVVdVd1WVbuoaldV\nfarc8TZ5CWNTQER00ZIVaNnsvMQ05ebcLN6jM9e5SW5gXJ5VKJGWLt0SUS7JfcXZbvF6X7Ccxlu8\nRTxlnqWPk89LK1YOZnUaT3Y3syVwj9XiHpCtUv2xuYFNvFM42rTm+h9OpcVqVTzsv8UCXZ+h7obR\nsR6Qa2/rtwVuNTM53tmCz80VgXBxUkYOFi25MLheyqv+ozhq6J7wMuOLAC1/PZ5FzW4teQ1Kn+sf\nA/1Mu4z6+FKwlVpHu1tFU2wJ7ZIS61SGPmbriJe5LNFYMmvO52XzJwD4667y/20JY6NgzebnMtz3\nIxEDVZjtbJ5nJCEVwM8zkpb6EAtYqArneK3KCgrc4i7i/7F37vFWzfn/f37WWkq66aLQ/SIRkSY1\npVREiiJFbpkiNSWNRiPi7PYxkWEiZKSRkclENK5J6SJKootSSCVdlS5EUfZnfX5/fNZ9rX3OPhXj\nfH+9Ho8enb322uuy91rv9b683q83Cjoa7UPLh9gWTeT5iZ8ZLI+m/8anaGM359RMZ8xLfOpJmM8n\nqGP+ERQ8Y2ynTPk8xtpf8Th/ZLBVzRNvUEo4N7EOBf/lNDvtFcc4vEgRKGC4yXzFq/Z7fM7JXvI+\n2D3SQ9YGBbtLjmeDHczpqSw0oF/++j24kasUQLrOTaAEkkRECoMeuhZsA40aSR1WFx1BIxlVUw9/\nRwq5QO+/sEFz/0vY0srp328FxdZQKiUYZYhAD7Zggfo94+3VDm0inEs6ze7kqJrjre/SIy62znU6\nYxQ/fJfvVI2BfP9mC7bW+d0NYUMx2siw1JzFRPuTECdys3yYMeZ+qHMvzcUi6ok1NLY70sOuTS9Z\nNRBiKeTMdGi7V2ZqMtCoxRzzI+S4fDobbwb0GPUxdDTa856xABDUM/p5vMhwAUPAuuF0Mc6hj9mQ\nJfI/dLRP99XFcSlSgIqO5RUF0oByL1gUHXvLPV74Sh6U31WUlXQtaGZd4U16PHSoUMurUoIy5fO8\nh597Xbm5ch1WHxqiaurh70hgttS/f3ZPtjCieXz97O2nB4mMmdu/3wiKraHUlJ7HAH+WxzzjA/qa\nDZx+ZpfXpvGJMY27zHKxRHwfWZljvhvo5A+F15fdSraEPP9mC3Lewt0NcVzz5Uu+sUVQ3RqsizpK\nUUNt5FVjNcuNt5hirGeitZ2q0hEaWDICs0NYdELPHIenMp9h3pRHJ3Eudc0/eg+Dkfa3nvjwxfJU\n5Gc+qV5z5xQz7Rl0s+uRrnUnILzRsdPNFZxAsCPI/14uID4KIBvcgsUvaTBz88RyEUnWbIJ25tzY\nO/7DUJH5JteuHOHkdwN7UH5+0b2uNJ0tEj088kupRemUi6sRmvR+0XRYc2s/LRJ+snL79xtBMTaU\nPt+v7qKv/cUKNhjPo0m0QYU2xWPyq5DxBD37WD+RlUczApyxCbrg41d6laMMVDDMetrYukK5SsF7\nRms626dxD34hoIN9Jn0ylXwPwRmR+4V80t/Y8hTgaw2+Zc9mvPyCEUIvH26U9y76181VWKfk0cuu\nwnB5wJtV08G8gKnPXEvKKuOpF51ttwOlK8Qp+0fOkBd4xqGPrMTHRlE8Do2iVnizIUkTsnBPTHmz\n1qMIc1oFjY1rnQmOYfgPQ4F1XNFU6YPQBUa/WUCnLXQKJNQNdMvhaZGMorPUgta++r8Pv4of/Z7C\nw+HiOMxplkyO/34jKMaGEtyLz2qZx1h7Pe1k09B7YRKt0AOtCOcp5SM++Tbe16p7s18Wl3mv/7Dz\nXzkeF14O8Z/2FzyrruUNYyXfmE87YYzi5b3dmGDtdMIyd9C9P8TpGnkinJF2wng9FbHtd+9xw0v/\n4WhxwPGy9Hegz11xpzzAreJhDogSPG8vYagN0zOzoHcaHPWiYdJmkTHX+QoUl/MiH1szaFlRzzGZ\n8GRRCOeHHxOt7UWspkNBXlKcAJ7LTV9Qx0rBcKMAdzvhtMUvn9d9wwxK2YURr+K7cIfD/Uo4Yih/\nfSgFA43azDEXO09TF/5Tfbo9iyG2hddpI6CXrIp5iw5/2sjmJF1YSgmqGYMBxRi5iZ+PeyjEzQwm\nzHvaNUOf1ZxGPV71Kq1HTOVMby+Mue8Y3a+eWvs3AD740xme9Nm5dnMmmVu4WJ5KD1NPxPipwqOU\nrJji/stvpq9xEk+hqTZCKN6e1gWAkUZJmoireMCAK42ztIKRGajMK5xhVL5w7jj6gYJF5jtwa4o+\nNz1WyDdeEHIj+heyCUabMifZsXBhQ/9+fe0KkbUO1jiJZGZAIhImZAqcaOTQjaPWP82CGYcrhP8V\ni8vFzFAWW3pQH/lIETX5glQW528BFQ/cyGDjYVJmmayfPMO+kJQaweVWC1ZnnvQ8vm8P3EfZBT9z\nX+s/cZdZPvSZoCK6PmbFLZmSjLEO8ERmLf3Nurxjv865xsWO+nolwmRin9h+TeZEJplbGGyXoC3v\nUJL9dDLaJp9T7HzdSX2CBfIVTpGrNE1o3Z00qrWMleYbvGq/Rxcj3M0S3E529fFsKBptyFWh9ydq\nFg/E6UMHR5fqL8segrxc0dFT1oh4vQo5Oh9zSOEG93DRg1iYo91pIY7Qgw4FN4tcWtKCEPG/FVxu\nvkQz8VGWz2hFngt5i66fz0ApHRbrWTCCq8z/YLbNcwZsKccbjCuigyIzNV9XIRW8IK4ABG3Ni3na\n/oyjhO7vft5ewp+lhVyc78tmKSht7OMheytrqM9lRgs6Ge4YjIRzir125dAELc2uHk1obO2bGGA8\nzjWyGl2MQO/y2+kIFehg5jnncl37tCxdndVSd76wib/ecXbv0DC33wrc1IpfNDm4+/nXNJIQTQ0A\nCMwhv/KANZnjv98Iiq1HKUQej2a+4mazVmCed25P9B6ytkOFUayz/0E984/IrfmoH8CsH32qBonb\netvN7LZ8aMxhuP1zYIiZbj+bbISf1Hv2/ZVyx9yNEIqnMp85Iyb894fZNvebBpmN93DxiVOYbi5n\nmpzLRUZbQHCNPNGpnIbPLWXvozmLWKdqc7NZO/E8m8m2fJhQ3fXOSwiqy6s9sWPQnq9cno/aDf9u\ndXmIPO2i6ETsbEj6vfT1OF5+UYTWw18H0SjBx/9t4r0PxVK7Pk2MXofuUb6To90594hHeUhQyi/O\nBCX4H5PrC/2sxxdEUNf4I0oJjOPznFbGCGaMABRyti8k8ZE5h4VyKiMNV4JK8Zhc7xlJPX5CIT/I\n9xStlRLcYDX0xC/ka7pwM8owtLhBjTzevKkbSgk6mW31Om+nuUE85R2ruy/5cZp5tKaT2ZYxQvcm\n97fLeqMgFtovaWMeMJI6B6uQa/MdapEAhWMkFdPsucyXL6MUfHjqaZht8gKixGEcHiMZPqeN9qMO\nzUt7v64QSQyrfx3PJ2kkRbKRhGJlJKcld4PpazYJQSUswQvDT8iyXhHxU47/fiMotoZSQ1+gdaQr\ncKuYLc6Hv0Uuhlez5F5W5DkqRP62/mu7qtgOreMCbdDK/05TkPrKY1EKXjcupqddy5G1co22hu7x\n9QVxNe1I8X5mqpdXtbrkMdH+hDukjZyQDwNS8FSaNrI5Sgn62+V4u905tDcvglQej9ibNGF+4gjM\nM/KYYywGBV8YLwPwhPG9Q30StDC6xTzJeZ92pKddi9NqL2KH9TQtZGuvKHWB3YSN1KDVFk1ab2F1\nc3qKfy0DIKhh3BwJ8ZPbQB+sPyhxMNqhIsrT/LXD4cKR7IG5FLSc58Anzv2Jj0wJvndwsnGF4Egx\n55eHHlcbMH4CXsm8S1ezNQ+prTSwV9PZPNd7+xpZjUlmNrm6eL93dMRqcN09P46kXKm7Et4rCPo7\nXqRe4gS1ld3qWF6lC3db5ZBz8tndpiTHjjmAeavvLQmhkKvyWdzgFJqZV4CAs2VbFplzaZFp7Sjk\nKKbL2eGqdkEQcI7dkiftmzj5qQ2YN2lOp+xxD+aUu0PnE08j/Fbwvw5zFUvkfzjLvJqDK3T9r6CQ\n9+Vj3nFoFfLDVsx5JUe70/VI6H1ICMmnKZ8rdyzfslqEwzbXSPaXZZ0nsOJ5e9qWHjEAACAASURB\nVDHzpR4cH8T5mSaBV/ECyaklVnqv3GmHwQOJ9UQPTuGGk+1/mEVN82bGiZu4yyrHbRmB0TaPSsbt\nmLc6RPQ5KU6xLyEt93LWyfP5Rjjka6W4QTxFzcyVARkxESPQe5jq3BBPpzyvAwXviQWcal6P1e9u\nLrYb8Yb9DjdPfgA3D+uqHx2skSy4gyZLz/gXdxdCdg7il7tncpsAKQITCgUNrJv877dIUJGWWn95\nYVoD7nrZZ/4kDX5Lkq37H6KYeZTF1lCmrDKQygtcEPoG6i0aMsQ8gdFyKxCWy3/C/J4u4nVAcBf3\n0MrsShR+B0jwpvZFdDeZ//H253bL+KFgmFzcSraER9Jev+82TkApwU5xHKwd7nWyvCNfp8KBvvpF\n+zSfbGxGnlGaZeZbVMHvZe9v1OUlEQw7FffJXaHj72NX1vzDbk6I1SfNanFy5CwFSkE91nKxeS6P\nLRjqLXel/6Pit7mi4A6aLD3jJ93zi5Gd9ciHbAj3POceboeFVg4uZysctkR8ud/+WvDnk2f+KD6U\nU7IMfjuYh4xi7/fpOEf0UPFzjv9+Iyi2hhIFpPMd8nRwoe5lHmLqpPMOU2tIuvSSvuZJPCbXsyOj\nhX+jG5Wf+Z06Pc2m3jbDatGKL+xx3ue/NF8MvCcQ7+Uh16d1G6SCA5QEBKXL6if6ZLGB2bVae9s+\n17yE3SXGay9ZgVkrD5m+h4vsxlzHs9rQCvg482+aGT1wQ/lesip3WhWZZ7/mJeOPVbvZYE7GXpX2\nvpLPjNf4s20iV6a9z4JgjLEfpcBsnQdCMc5e653TQnNerr9EEZHU7hdfXk1eHfncwaWICh75oNs7\nEz51UPsq+mejvNek5UnbVHxmR+fV+3NxmplXJGzjYKB4R75O6bIpJ9VwGFHM6EHF11A6iA9YShoh\ngCe/ppRgkFWL2834eNCOsjHWKVqUIjgpUb6cj9gyNLCm4CQjO7dQtc5HzPZfTzG/jK2jB5Tpi9lt\nvWxmfORUfhXWiLu5WT3Kp+Zr/EH8CxSOsVbIv+kK/ERzO0rB6fYnnC/eBvxhav9seE1of383JYMb\njgKCYx+09wEKmZdPP6MubhdTZ5lc8T7ciHP6NDabUQ+msBtfYW9KOzNkDnXG96EYmYPvAorD5ZrG\nU0ANjWjxJTgX53BBTwn4RXAk9P510U7MjSwR/OUvLpUn3qvbQ9ZGKcGwWNiieGNTD6eQIwKTFgXm\npXcneCb64u0gmwQmGGo5NxQMvP5BktraBtslqSO7h0bhurNtHlZ/ooF1E3+Ve6iS6cUl214DpfgU\nzb28QtZBLrmH6/88LtC2Jqh41DCuEFMYagtP6X0Uw0L7bJI5j8esH2kiz/fH8uKO9hUMTo2CmSlS\n8icuMtvTWuQ2SdBFWDkokj9b9Eup5AQhMKrnOTNkojO+Dw90CuXXgNu8IEJFyfAgseTQuuBZ5QeD\nXygnfIQe9OvALaRMMcLeWsreR0fheoPx6XJBDqULragjaFZ9bmDNgrpe/NBw5qtdoN69nibhJ8Y0\n5Gdp/mF+TzjxrzHG2M+X5otMsHbS1z6WfPt7rzd6lTiV3pnK3GWWY5v1LCOraFm0Wwytav6CuR7z\nrLv5t7nFoS0BKNJyLz+qUoz6PM0+oWfsbN9bxaGMKNqKuZwk1rL7wL10N6bSxT45dEM9ZG/lBL6G\nDvmkzaNRCobOLqjfWzmFMB9h5SA3f+aEjM3TfqfRLwqB/1sd/hvcHeXh4ZrkB0B4UFwU0fniSRAB\nDqt/Hv4gsewycAXPKs+OavbVBfbW51boKgKOeJS/DlYLv9Loht/lf+xH2jyGtDMOtptdn4ayi7de\nH7sywcquCy2pBkvM2cQRDsNd6NBQkeoyDBQhTUKzYdibiekCbrgdFIw3viXPLMsEZ47MJuM5Phcn\nA4K+mWO9iz7ofQLIr/VNoiWztNZhazGPHQ1K84ShCxI/lH2c8ea3gOAy0YIXjPVUOOoOhotyvGqs\n5g5L95a/Id/hVuN4hhu6DTMlf8LYclsodRCHSCyERdfxbnIFQ83jsq4ZVn06HHAl8wpAIvE6OxJ5\nipP8h1UQ2TUI9EMtadRyHAUVYwqSgcv+gCiIa7nZeI4NppYnDAtDazxh7clSpT9IHKKhFEJ0FEJ8\nJoRYLYS4Pcs6jwghvhBCLBNCnBlYfqsQ4hMhxHIhxCRnkmOBKLaGcpThHrriZjEWeU2a70qN0xQY\nQxu+qcaagKyUoh5r6SsrOJXdbPQK56Kf5oe2fhgeRVDQVF+geqZ4+GLdbVTiVftdhtv7tdGseb/X\n7dAi05pnDL+ve75z7K6Rg+CNpxhp76FplfmAL5ml3hjB1eJMqjqzctwHwXz5SmSetf5fvp1PE3ke\nQ21BHWM9p9mdmS9f0edjHc0txz8Khc7F0ttKuqmyIfqAcqFTD4cTSZJ5ESQSr7NjvLW7gLA2V+/V\nFfA9HN5u4TnbPfvuQT/8dH5eX1OFY77R2ptl728uW5X+IHEIhlIIYQCPARcCjYCrhBANI+tcBNRT\nSp0E9AOecJafCAwCzlJKNQYs9LjbAlFsDaVXuFmfzwijFOaksDJ40vrDjXKMt75lqNTezh1Wxexz\nRTol5YMUY+313t8b5KPecbjzoKeaQT6grhoOEtXpYpzDSKOEJ6Z6qXUOb8sZvGl3IuwBKTbbD+tz\ne1MXJny+pj6HZRFR3VodP2OqXIjrxbkUn1ZmF/ZXepRH7E3eNoZKgdXhbjZRkwdNRa0fvuITY5rj\nISoekZupyzqMpHbOBNQ1/5jTesHj+nVwmENvlY2OU1QkH1cyHSvbrKIkRGeSC6d9tqjq5IJxql+W\nFtLD+J0eGj3obOALpdRXSqmfgclANMTpCkwEUEp9AJQXQlR13jOB0kIICzgGEmX+QyjGhhLAHW0q\nAv8cbIh641rhHBXIpynhKNf4PEkQBfS9CgYatby/XYX1/rJcoN88jG+c32aSXIbc6o9pUPNHcL7Z\ngQpHDQMEl1stvNDoZboCgqsueBoQ9LFOcXq1IWi0l9vP0k3W56tXGzJejzN2PFr4Rt6v11Vwi1md\nfxvX8oRcywOWYkRmL9+YT6OUT1lyv79bjGrcYlQj95uicM/GH+aVXNmNhsk5t+P9ggizKVyEj7/w\nuTtR45UdbqeV/t38/RU0qyh6bNUtrZvqh8hFzdcqWJXy5i/9ojg0elA1IEiX2OQsK2idzUA1pdQW\n4O/ABmfZt0qptws73GJuKCFoOEKoOSq2nq+yoxhl76SWfQU+T9IXgG1oBmdPK0Cxxh4X2Z//9xPm\nHl5UHzJNzXXGGOjPTJez6W6czQV2E7aLqpgnpuhma0M2tblLD3IMpxJ6uiOCgUYdQBdv3EOYZ34Q\nOSZBY+M65vN7xGUjeH1Md0A5Hq1guLgvtPpssViTupUgZZZBBPiUoHTL5HTXkOdwkwiypi40n89f\nUfP63OOOb6ib+fvQEh0iZit6KIbL/YUf3yHC5d8m4nGdlil8pK9wVK00CjeaguPM6AO+oN8iMEwN\nPMZGVBs1uH7BrwWc6jdIyAE6onHFpA8rslW5P5sLb43w/x1mCCGORXubtYATgTJCiEJJov8HDCXo\nmyfqp4fJuOG2MMFimvKV8QIgIirZCRcPgnPw6TLz5SswOxVap7toRidxLhPN7cyxpzNbTvfaC2eY\ny3hWXMfUzPtMNXRovllUJ7Mj3xvhoL1YbbRG2tpQBMeeunDnobiV8m3ms1p96FbXs9Z40tD5qPBI\nBf3+WfZ5jMjsxc/TapK+ddHdNLI7ed5UUhEr+DW5le1wRbsgPl9RvBQRKnq0k7+jzPcD6CCbMNIM\npkuU93/YG/sFMeDgOJrZoo6DQWN5IZDLMLUgBHJNYRQjfyCa+bi+prKpSB0SsuUkq7eFViP8f8nY\nDATHCVR3lkXXqZGwzvnAOqXULqWUBKYCLQs73P8jhjIh/xUxZD2ss2kiz/OGK0l8A+IN91ru9mX7\ncPmBXxv/9pa1MrtAe33BaYl+xWS5xPtsO6OjVwB60V5ESu7jdv7GeOMmTwZukFEdq/LdHoeyoXWD\nV3gZbpTnbXsmk8pco4s/rvrRujt5w1oFS1IeEfgk+1KvSDLdnsUp9iWMsnd4qQSXgB5EWfEDm4Qe\ngOXm3RrLC1FK0JxFnjeVVMQKh8X6IRKvaOdmEJNpNMGHmr+dOeZH/FD2ca9F0ucU6nXElqFUsf7y\n6xnLg8LhC2eXm+6UzGzbDI7R9R9miVKCAYQfjr8c1eoQc5QfAvWFELWcinVP4NXIOq8CvQCEEC3Q\nIfY2dMjdQghxtBBCAOcBUdGGGIq1oYyqXvt5Rhhy7sjwykqPmXUrxa5nF8IZaY63fe+ym6zn5DMV\nw2xJvv29l09zeYSal+nnknrJKtSXl+Eake5GM9JGKa4UZ/GmWOHJsfnFFwdf3c7+So9S5vsB9JQ1\n6WB24Hcs0sWfrmndWlnvXs6WbXn6zJ4Mk9qTksKistBeYUejPZ8arzHMqMQZ1rVZtTk3qxN0mL/h\ndu8Yli/RVeIJxg78nG38BnHD4rAgyMHdSEEaje/55tLrLAKcQg114oMoJTjO/EvO+3fzuYUjHGUc\nfjrTL4HgGN3gw0xHLcHurOB9E384Kk834bDiEHKUjid4MzADWAlMVkp9KoToJ4RO1iulpgFfCiHW\nAOOAAc7yRcCLwFLgY/QX8mR8L2EUW5m1wXIkj1j7WZv5B3XNAc47vgTXQLsUY40fveWT5RJ6mk25\nQJ7Jm9Muw7wkRWN5YeDJ7G7c2QyKb+wHOM74S2Db/kpCKFpnWkRyh0G4clxX8bxcTPcr38B80fdy\nxcN5PDnoWl/FW8DozFavRx1UYJaNYrX9FHsox35K0MrwC3zj7S/oa5ykN/DlnfSq+U8mmtt4Q75D\nfWMtb6kL2a9KMNSMj4AtGIXJmf2v5c6KL+rLS1ljvhxbLv+bxrwsrrCf0yyhDbdDzaJ408HfL5ff\nGibLJXx81+DDI7M2KEe78+gRmbVDwhjzAEoJ6ll/pKM83VnqfJ9TUwEjqZffLTSnbIa5DPMSTSXq\nJN5EX4gBQrj34BAcN+SH0DYG2qU5127hrCaYZ30QSnTrvBE8ba9ipXzG6coRXGk2xXxR79P1SNWf\n8sMUDAVDrBNgiX+jLMO9OQQnmzfwNNdzjtmVwfbRgKCzbOQbSYA69zoq3IKLrXP5Vh3LLUY1z5vo\nY1dinL2WnnaNrDNo6tuXcpzdm6lyYSEE48Nz7cZpMcpRai8iVvtdKzEOYBERnuSZjORxujkQ3SHR\nSAKBtkuf+wjJ87ljKJKR1NtN/jvbukWpwOeAI505uUEIsV4I8bEQYqkQYpGzrIIQYoYQ4nMhxFtC\niGzlO+9mUEow3VwRfvPydEwUQSuBB3MuglGG/l9fiO7FGbhoHvbHPwyWJRhr7OMd4wPk52ltRBSh\nRLfrnfY2TnHCHu2ejpZfe9vtutLlQCqWS533HGiX0qGsAs7yc295Zlma2W05yz6PxZnJjB3yF5SC\nwTwEQCWxI7CPrYDiM3sCJ8muZP6VT3Pzcke+zSGuGzvpb9blQmbwuLUPUMjZ4e9pjfky34ypyeVW\ni8NCMC5Yn5KAtqaLAjQ2Q4hUxRu4v1UBYySybCfaj+/Pxc6OpNxvX1mhcKJ7gfCvvZZiQeLy/y1+\nMzzKXx3/S4/SBtoqpZoopc52lg0D3lZKnQzMBrLKobg3g/YmI102ihxFEcKG0yXmyrujVU1FW/GO\n97d5cl7AiMQvnl6yamjbf7aO96ghB+qAXKy3v1Po1sSxxo+etqV3As5/HxpzWWLOYrZoDw+nAUE9\nh+Q9m/O9fQwxjwcEDY3efGG+gvWHPFBxCotS0P3Ai/zwnaYCHXfOhuipwpA0V2ZqJp5bUVGwPmV2\n6K6SgiAKaAUsWnU92o+fG4Ker/69gt1UhwbBhUbSwyIoA5j9uOIkdRWpdv8GsD/Hf78R/C8NpUjY\nf1fgGefvZ4BLC96E4iLeBASbRPWEiqxGfXkpLrdRd8u8RhS6uq0/Y96TChC8NfXoMiPI9XO5hj41\nJQh/CJVerpQmAzeWHSlVNoXZNMVgWZJ25kVeuI4QjjajzhcNlKUQwuGwKUV5vqO6fZW3PfAHg+3+\n+T6iUBF6FChWqX/R3v4dM0ucz3mlNcd2V4mnEEKxxH7OCScVyzPPZpU/OzxQjpxcNgjKHXN3DttJ\nNkqNZKfEfSa2W66KMh2ChQ5/WdK+/REc2SvPB18ISdqm8HQEspPyXR3VyOfqJ/e2++rsv3Kt4kjo\nnTMUMFMI8aEQwm1xqOqU8FFKfQ0UUIHQxsqVDBtr/BjpZfXnRuuckE+kbmxHQnWi6jcuwVshJ+cz\n0ioBTf3e7yB0ZTl+UUd7vpUSocLRI9Z+du+/lxXWdO09KcVzo25koZyq319zB0oJbjmg55ffMOA/\nLKWJPqbFYS5cb3OCoysZOD4VlD7T534dE7mJJ7ncbEE/8aRnELrIk/mZkk44GRUp/iVwMLPCfRQW\nzq80pyXuM7Hd8tSopyUCMnT+soOD6+m7UMitRfPshsYifH0sLvsgqNB+MPDV2bMb+9xGUxQRR0Lv\nnNFKKXUW0AkYKIRwJb+DyPqY62sf62kvumhjN/dXGD2Ci61zEy4kQcUSd3ik6rp297i6j+dVCMx6\nNkxNUXXRutgx9JQ1GWTVYohtBrahDznc863heY9Aa9mCK80XUApePvoyGsnOmHflkRYjADC368fp\nd8eM4zS7M/3HPkQVcyj/tRdyU5NHQjfcy8YXjq6k3r87HuNBK9xVsY3jeVLcROtMC3obDSlnfE8r\n2ZJXjNU0N7QcXS+7SgJ530GsLfRQcPAhalI4nxvVJ9d9FrLe8NyUh6rZVztUMX+75gmFpIQiE0Oj\nD/AwBB3MDjkdy8Ej19EURcQRhfPcoJTa6vz/DfAyutF9m9u4LoQ4HsgqYjjeeJnR5izoqjj6DV0V\nnGd+AE+ntJDukDRKCTpYF8CKFC3s1o7upPbuXFL1unSjhKqi4D3hjOhslobL0j4pPYDJ1ka6Z+oy\netxd3jaukdWznnPQo5xnfMAMcxkg6GU0YqU5DaUEbxqOt9vaKU4o+GR2M55MDUYpwWVGC54yd/o3\nXEA02O2yGWUZsPF2lAp3VWwynmP23Isdb1nQWzRkvrXAEQbRxmGisT1E3vdTEORUWe0m64eOCXDa\nOgtGtr5pf1SERpnvB/g8xonaqPSVx3oPJr/LyidZR7dREOIeHF6TQgf7TF1AGpnNK/RnYNeR3dk8\n4yR+dPRBQT/Ig7J/iehSuMfpXscaTpODx+2MFLk23A63pWhinxcbglZ6z4DE13Htya+AucBc3n13\nYqHHlxOKWej9P+FRCiGOAQyl1A9CiNJo4mgazZLfpZS639GYq6CUGpbweeWNqxVQU/Zk684T+EvF\n+1kr6rNFncg8cyGgL87tqoommgfTio+nQq1ox9l/YJrqRDPzChrJToHwTTFefsGgPY8yoPw/eEtd\nwEpzGr3sqqxV9ZhvLaBjpjHTzeV69T+laDb6HbZRlX3qGHaYT9NT1sie82uagsXJN0eFAzfqYoyA\ndOYHUmYZ771h0tbzggTwUsoZJpYDt1FAyZ2D2F/x0YLXC0HxhFxHf1N7bYn800PBq6mcDEROENAx\nc7rDhNDfRxu7OfOMcK/8SHsPz6mrWLn2d9Agn/6ybHi42JJUgIHgb5tVeXBKPtlwlV2D/7gTLAWk\n5I+kjWQqVi4YLEvy9P4/sOeYcYyWmmc7UB1DWfuHwLwoxSv2e3Q1HKrVf/PgMn2MQ6TFaDNicSak\noE+avrKCoy8QxvH2dXxtxB0DOIzjai/K0e68+f83j7Iq8J4QYimwEHhNKTUDuB/oIIT4HG00o8oW\nHtzQuX+mLBuMyfx83EOMNI9isrHBM5KgPTdPk9Ihkk+V71PhxnBr6Ddjannha01jY6CKrukmP1V8\nlNEzhnOJeB0WpZhobPOGh+0Tx3D07kF0tRuw5O8N+dCYywbjec9rfX2f9kgSw8MsRvIxud6vWCti\nFd5RlqG3p/AnLiYYSV3ICkDhGUnXw0jyosIQoSmJy623PM8t7i3qcN/3Vgoaq+ogq5HUAhuxcwhi\neSQMVnh0sZK7bgFgeyzVreXqVprTHFqR8o3kP/I0F7JpmnZ20/DYVwXNGgSHrilm228GeLz4RtJZ\nP21klzjLpige9A5PEFvYc4wufLn5zrFiX2jKYjdZn65ma1iS0r+HYySZmIobSYA++vsOGklXDR/I\naiQPK4pZjrLYduYEPUq+CncltJItPdXy5A0oOmbO8L1AYKgtOEFtYYh5AkJo5Z8LDV3V7Cbr6dBO\nCFh0N1POupge5tlZNp7dq+th12aKsR6dR1Qhj2CK/JAe5tmcYV9IXzXOaXXM/iAtuWsQ+ys9wr8z\numsj5BENTxUQHmp0lKdzpfECX9tVuMMsaHSBgwUpaDkictzZoEAImmTOY6k5i8I83V6yChPN7Qyx\nLdaoul6BQS/fRgu7DQuNd+khazPF/JK/yj0hhZxGzpyi5CJO7uggz3Tyn8ldK33lsYy3vuW+zM7A\ndxZYd3kKGqdpIVuz0HyXOrJ7ZELnwcDZ/rwUtIn/pqEun3+k4I8F/e6H3k011u7FQKPeoXuUrXO0\nO+/+/+1RHjJa2S11cUQRMpIldw3yjKSbm4pWSSvs7+sZybp2d56Rn/CAoRhincBpdieUCvPYFovf\n6T+Ugmb5fnLbHZr1nPP/bSkG2trzE1tu85c70EYSQITnLm8Y5m3zY+OtsJF0JL3q25dytt3WE8DY\nX/FRUIJrrTNpI5uHw0bXSC6JeFsBTDdX0Fs0zCpGW+b7AeFcWMt0/LizQoCCpdYs/3UWTJCfMtHa\nTkN5CU/uu4n1oo733kRrO8wewUJD5/3cvvooEb4fTxZoJJvJtoDrwSm+ln+PrdPDrh3gygpa2K29\nURugPfzx5regonNpnCq0XA1npOkvy3ok+sKNpJ/TjCJ4zT4m1ycaSYh0+RRoJHUYDzjiK/FjidOi\nwhgqYdPweFHzoFDMcpTF1lDONxaE8mRu21kw9+bmBWeu9nujB8pS7C75T08lZZ35ItdP1MKyPTM1\n+MS74fyb+yvj+cjedbLzw6an6ZdXOxfog2nGGvsAUNUepH/Ph5IrpHNS3jZGy62Ooc/SUubkUdcY\nL7PImMtI66jwSAUF86wPsLbfiuZ8Bt6L5NiayPMIQ+8nqVXxh3KP88kBP6R0Cyc8OSK0XrIyt39s\nOr/pMwCix9DHbAhKj7X4oczjLDff8sN2hafSFC5SiFC6QAsNh3fsS78pPrTmwro7nZkwgoEizqc8\nn1nO3CCNnaISpYT+LavK6zwxE3f/UfQ1TwIFT9w+JP49BFDLvsLrBOogm9DVPCf0vvv9zLSWecW5\nQVYtL33RxD4v8Tt3HwYFYYwVeMjGIFgswi2KUfGPFeJ0DhuKWehdbA1lFG+Yq+hh19YvPkqFvchT\n0iCgr13Bm+/dfpHuyW2TaQHVBQjB5Mf7UCfTPbxhAXXs7vxetfaqn+7yZfjV8hayNYhAlVjBcz9d\n5Xt3QldNG8pLoJ2fU/RFMArAf/O0sXk2BUp4eozeOSqoXelLGDzCe6/M9wNim1lqvh3og/Z72hOF\nXhV6BpGLXs4x3xQ2vvEWRG+z2uMXgv6btTjLNXY1JxSPrBjar+K7/cfrMFboAXEIaCLPDxWzolMf\no9tcTFP/PQVNan3gvVyomhNFPyPMe/1CvMxSYxZ97Eo8yU0J+0g4YaDV/TNBgPXNrYlrfWW8wFmm\nM8HTYT0AgV51B0p54b1Swvt7qTmLhbPbByr5Ouf+oTk3/PmlCQ/oLNFuN7s+HeXpTDR8kkl1+2ot\nAehEJSV3DWK6EecfHzSKGT2o2OYom8h5/k03MAVj09S1u7POeFHnElfk0e6U18ODq95P0aT5e5RV\nP/iqPwJaZNqw0JxHF3kyr5qfZ9kpTJXv083p0BksSzDG3K/f2HA71Lpfa2CWgXZn6f2W3H0L+78t\nQ6rm3aTNeOWzrzw2YeCTzo/6XR/+coSgW6ZeIkezQKxKwalpetlVsJBMMA5u9vNQmZ3Xl6iIE2QZ\nJKCDPJMvqR36nK6oTwchGJPZxGAzTLc6xb6EU9SqnL+DLrIBs/edzzml32W6sYIm8rwEY42mNNW9\nN74ciOf2/JxyB9mEmbO6BMYHFw317UspqQ7klF9Nvl6Cx5eUg1QstKfyqBrEJHMLw+UBX/h4fB7D\n+ozIIefs47BVvU/P0e6s+G3kKIutoexov+g94YZKwQOmAgHjM6vpu3ISNE64cIX2/NycVza4yfgQ\nNt5OxeN3sOuop+I3m4DKmd7++AABEzKf0sc6hXbyd3xBA6fdMHoeik8zEyKjJ/Cu+aSbupXd0pvU\nCIpR9i7mqra+MMiClJNPLCIWpeDsEaTkT45R92+6MF0qd1STVztjfSOYkoLmP4Vyy3EKleIRe7Oe\nae4USTwIGJgp5UUHLirL3rERDs3stnxozM35mDvLU7UoRlbZMlf2TEcTw2wVmAgaRyu7JShCxcU+\nslIBI22zQwjFC5kPCygkZkNycSr5dRzBotRhM5Sn5Gh3Pv1tGMpiG3p7YcDyFA9YyqPK9LUa0KpR\nfFaQW/hZaL7rqZL7UlY6XyS23AbzUiEj6YXSNe5nV4mn+Kv8Lu6RKH/GSh3ZHdbeSR/rFLg9xUaq\nh42kQ2fpIWujlKChmTAX1rmGvjMqhIZc1ZHdA0YSQDDMqMh0a4VPPSrUSGaRITtbF2uC43c726fS\nSrY8KCPZRJ7HZvM5mtltQx1JAPRIx4zQicLviXbbP73cY+ShVyfTPWYkwf8Ngvv7nrJZWx6DtB4X\nb1irNB0pC7m+puzpGEkBuApUDh5KecU3F/PNBdQTYe83q5FcVXDHz2WZ+jl1ybSzm4Zl9EaPABTP\n2J+QlKYIQt8b4deHXrlPQDHLURZbj3KwHOlMUAwj6MV4tB7QObOMJkr/+8YzOAAAIABJREFUWVlU\nVxv5F3/gY+Mt7/0+mcpMMHc4W1LcJ3eFqDOagrOH0MUVJSYLPZVxmrpIFw+Ent2dNZeX7Ry33IY6\n8cHQsjLfD+CHso/TTjbNOgvbI6lH4HqFfWQlJlg7A6TsZFSTV7PZek5764a+Rnz6TCFI8AB5JuXn\nOT3EvZmBshRjrX08k1nJ9eZp3vIO9pmcq97R+VQBT2TWegR4F+3splhIWov32GhXL3yOtYC+mXA4\n21GGv5eedg32qVJ+T7QAZqUCRabkzyUilYJ0Ub19P8wPoqq8LrFbzKVaIaBVJkqTK9x7PHr3IH6q\nECj2CMiX31NdbaKPeQr32Tdzh3HcoXuUNXK0OxuPeJSHhDHWAapJnRQPttl1N17yPAjPSK5KwRUp\nXSV/OsXfPx/ODC5kxbaAR6FggrUD3k85FUR/noxLqtYUnMhv1jTNNbIavJDytvM+v2fDltre60pi\nV5wYDfrGCSBYZexe9SX/jRl6vR/K6mrtHCv7rOegkXS/HwhwDJ2wfrq5ImvbYIUDN+qHjcIzkgAz\n52n2QCu7peP1Oe8t8s+jh6wNZ6T17HS3N3ztnZ6RrCx7B8jo8et/rPUjPDUiNtBqprHMpwUp6G/V\no4NsosN4p9o/x1jMTGMZeaJ0yPhlEylG4a33mL2eavJqplsr/N9leYrJxsaAcASRSrx/zoUayUXZ\njWQd2T1xOUBD2YXr9ui2wZK7B3nfqWck79XH6lbLPeWqSLgP0Eh2TtxHUKg4ZCSd7XxAc08GUIoC\nm89zxxF60K+DNpnmnudYWezwlo8R+5lpLaPizzd6QhWNT14IL6S1AeyTpmOD/zLnu3OxTwh7bCV3\nDoKW+ZQRLidRMESaTLS2U25fv9C6LezW+uJUMMtoT9/LH/HeK8F+qHm/00MNbxgr4Yx0qFsFiN04\nQS9xivml/0agUCC23AbNwwZWU4PiHTabredi4Zwu5GjKzu6S/0zsFnKNbZnvB4TDU6daP99Y4I3F\nBZyw3T1AAc+kdM7YDV8XHOV9fzvMp9lb/nF4JHBcwb8VcEOaylKnJIJczoayC/TP07+rgpnWUgZ2\newCIDJdbPsLZr97uWOtHv/98dsIDC7jZqOU9HLzfJSnPnYDPxcmFr3R2OjLt04cb2kY7kBrKS/jM\nfI2fKj4GT6fYX+HRcEpgQgqGpxFbbmOpNYuKP9/obSMpreA9LJ1Ktns97hPHhB6aUfrR+3ZLasqe\nAOy9M/tDukg4Enr/8gh25gTD0A7yTPZTUle0hRMqG99HPq14x36dcw1N9Uks3CRgoH0MY5cN9cLs\nbna95AFlCeGNe4yd7UYcy262qyqFhrBPyGBoqfvNvfk6hwXOcQrldffEIOCo7bfy83GjmS2n0968\nSC//8k661Hwx7GkVgGayrUNfiVf029lN+VYdq/O+N6foNubfoYq2sfW22AOtsBAyqajj4gy7I2eo\nJQHN0DCyzbPJhrp2d57meu96chG8Ll22wAT7U/oYp+S8bTbdTucTXstJcd3FfPtlWhlBg6t4RobT\nGC6ukdWYZDqtvELziKOaBHXs7lRV21hovUuXTAMa3VXl8BRzKuRod3Ynh95CiI7Aw2hn7ymlVCyp\nLIR4BLgI2Av8QSm1LPCeAXwEbFJKFaJUUow9ShdzzMVwuzaaM81lHu1naEaHyt6T1eExguBc8xIv\n3AkZyWUprpHVqGpfR4i8LWDs5puhaRpeSFH+x36UUj+CIKbI4t7AwXSAe8O8YaxkkrGFmebS0Cfc\n0P54+zqG2vCI2sQ2qgJ+YaOv2SAUmoeI5QlwvUwNxYtqUaSo4lx7SmQfXKXg5+MeAoRjJJ2Lu869\nORhJ/0bwOH4bhtHRbB869srs9Itjj6VDRnKoLahYJVz4EFtuQxeaGnm90n6/ud7njjdqkg0fG9Nj\nRrKd3dQrmhXFSAKs+1cj30gKvALhHHOxlzJxKVWekXTTMCKu4BNC9fsjRlLF/g6mV4DQ4Dm9D8HG\n0HhrH5PMzbSTv9OeqSJRuOVL40V9j/w9lfODMSccQujtGLnHgAuBRsBVQoiGkXUuAuoppU4C+gFP\nRDYzGMj5CVTsDWVneSrc74dI7WRTKv58I28anRiYKeXnjlRAx1CBMPxQ1btYz0wzydyMhRawvdc6\nisyOfHplqkDNvzE6sxWuSFO75HqOFbqd7dXZ/hgBlwRsfXMr8zaeRyu7Jcfb1/lalflu2Bd+QLo3\n7tfGszxgwsuqK3PFuQDscMZFQDg0j80xj7RLZqrouTp6dragh9GM1qJoBSUApvnb7SwbJa4SIuJ7\nSHA4nA6kf9i+cfDbOiNYpMN3U2RCxsAtcL1hrmTDQH1vTLS2Y2y9jYHSkTSLiWyoBM1RH3PMxVk9\nUKDganSfwL4UAdYA9Dwvsk23u8kN6RXsLf844+3V1JeXeg8y65tbnQdCFJHurUdTbJ4dng9U374s\nLI2nKHD20RzzI7ghnVWgw8Of01lz2geFQ8tRng18oZT6Sin1MzAZPR0hiK7ARACl1AdA+YCEY3W0\nDm686pkFxdZQuj/at8KRxB+fouLPNzLHXMyuEv8kg8WbIpK8VngX4LrnG/Gm0CMD9pYLt7Rtdug8\nSgmsyndrQ6acKYkPpPjYfIsXcC6sDmlcyo37RM68VQ6+P4r5xgK+Np/lEfEnABoOX+Lt4+jdg5Iv\nTgWzDd8gzjM/oJVsFSqY6OR72BCV7Lgr8Xua8ObNtJItUUp47ZUugkWEGIXHRSffENQW62NakwCf\nWa95ub9wLjfsAbm9xLuOKvj6rC8v1XlPBXVYn8zFVNBk7Hve3/YJD0YoQ/7+2sgWHu/ReygGHiyN\nMkmjI/A9v4gKuuvZB3PCR+8e5CgN+b/L5FW9Q5/j+nw/H+vmShX0NU5ijfmy15KbqfIQbY+fG5sG\nWU1eDef5x111wDrn+vOx5vMzEkYoFxIlK9gvSsSXB0SE68tL+Z21mAYjj4+vdzA4tBxlNSDo/m5y\nlhW0zubAOg8BQymwHSKMYmsod8/X53yyWK0T/n3T7CrxT7gnj/syO/nMeJV1830PyA1FPcrNVWnW\n760NM1PJM1ZSqdA4h77yWP21/gQo+GZBTX1Dv5aiiTyfvlYDX4Ti2jQ0zoeuOqRZ5BCePbk3dHVR\n9x47+CIvFIbNcY2lgvnm/FDBJBSOfZqiobwkuX/3rhQ1O33O/A87hPiYLjx+3IxUTF+yqryOMt8P\n8ERcK9u9eYVLKV05TrnpnDnVqwTvKT3OCzmjHlB8xEIyvPB3cCrcHDA67NktXXFO1gIJCAZb1eHP\nKU+oGGBv+eO0x3W1/32uXNWMa+SJ8U2ckfaWV5NXe7+P69kHu5R+qvCoJxjipQJO1/twHx5DMwpu\ncfbbPvie/pybJqqc6c0c46Pw77wkpR8Ys/zj3mY963uP1zjfzSlBwxkZ/ft+ds94W5K0muuZX55i\njfEyM42lrB7+ddZtFAlZvci5kBnh/zvMEEJ0BrY5+UpB4b2p+nPFtZhTes827QkKOF5GhEYF8FYq\n3Fa28XaocT/W9lvJVHnI56EJAak8Otz9ig7NF6QY1iLFatWAqeYaQMt41WAj040V8S6VWLePU2h4\nN0W7lm8wx/zIq166KLevn6cxWBi6yAZebsjrGsn5i4IpchE9zLOZZC9jkX22xz3tYJ/JTCMejnr8\nzWj7YRIH0PlOEVAzcyUbxjaEW9K0k79jjvkR7WRTqrI9lvvqY1dmhTot3p8cxYMpWt06k52iEpXU\nTp/u4nQfufspKjy5u8EpGBM+p5rySjaYz8eKfH3sykwwdoTWzaUQWNTiEKC/+7GpkLA0AhiXivXa\nF45A4UtAh0yOXNgsOGydOTk7c/FijhCiBTBCKdXReT0MUMGCjhDiCWCOUup55/VnwLno3OS1aLNc\nCigLTFVK9SroKIqtR1ml9HZAcV9mJ19v1k/9HnYdwAmlbo9I5tfQ36GbuztVfKpzT0rBiLR/8fwD\nRhnCM5IAK41pXidQrEtFwcK/+JJsPaQ+BlqnmWN9xBBp6tB0w+24T/hcjKQbFgcT6FEj6Z7f2Xbb\nkEJP8Nje5CJQilXqlBBBP9vNoqo9qEPL6HUcMZKVZW+fqqLQ3vHgNM/Yn7BVaKGPA6Ikk8/rA0SH\nYGlFn6lSC5PEqSyK6fYszh7yDvM+7shnxmthTqDTfXQwRhICedExcaPjevkfWPNYKf/lLY8aScgu\nCOKmharK62JG0i+yqbAocBAK2vR7K7asqEbS9YBH2Tu1ZxzI00eVgYoZPgTqCyFqCSFKAD2BVyPr\nvAr0As+wfquU2qaUulMpVVMpVdf53OzCjCQUY0N5QOg5L3eYFT0jOMXQ3MOV5jRYlsY2TIbaInJR\nKFbKZ3hnW1s/9xRUbnlWt/JBckUyce7Ig/4FPMVc7w/0UujJhgqvkNHRjM9rTho9+qX1Ivy5gCIC\nMN9aQC+7ChfzekiB3IfiJsZjbB3KU9wYWj4782byRhVZu36C2GE+HTemCq43T+Ozd7Rc13xzAczV\n32dwCNYEcyeDZUleEFcAviI5T/ohe0ejPYvMubQ/441CjwXgDPtCj7caRQ+7TjgHGx2SNlCT1ifY\nn3o8RKUEjczrE7fXx64UCNXjI2l7m/8CwBJR+RtFXuV7nKp/wdqeQZX+rFgUvj504c7H3vKPs0RO\nZtikMUwyt4Te83/j8AC6IA5r8eYwQiklgZvRI2RWApOVUp8KIfoJIW5y1pkGfCmEWAOMAwqgFxSO\nYht617eXssZIDml83l4YZ9gXcgNPsUiczQFVgonf9eH2cn8NeFqK0fJr3uSimMdV8ecbqWJsY9OP\nNfihTKD4I4B5Kaq3+oJa6ivm//18+MsI/YajauSGc1EUJQSHZJGMo77RPMdgqsVVO9dh9AOh9/zv\nZ05seSIiqjrBVICHYXm8MbI9nc22ge3PDa/zQgqucB4o2YQ7hP5sCXVAG9lHUn5OrwiIzb/JAq/t\n0EszBMLUwHlHQ+x2tuZI+mGsorHsyPIrWsBLhR2ve78V/N1nu2aC6CCbeFQzd/14K6UCIQoOuQWU\n39ePBiU/L1RA5PCF3gXT23yUONLCeChYY7xMe7spR+8eREfZ2PMKn5Br+dCcQ779PY/Ym0JN/h8b\nb3HLW0/S3p7FC8Z6jq6Qp42kcJ6eQjCXc+M7E7Drr9V0nlEpeqmqdLCbQGcduk9t2ZFNxiTuZCT8\nJXCjjNV/xy54h3Kz55gotQtAsVC+lLActjvcSgTwmj5fl+cIfkeFayR04Sp+jWkj5iwPVDaj0/em\nyEUx6bGgkfQKCaPyPSMJUI+ENMAVge8lm3CHUlzC68w35zNBfgq3pGOdQ43tYHU+6SGvOAHfw0vq\nUHEx3VyhDaK3GeGrf9e916MlRUPsOcZiHca26ep9brn5VsBIZnE+BFygmtBLVg2t455TsIoevGa8\njh0BZ9nnwad5jJGbQnzcE9nin1N0pyqeagl52Eprj8aM5JvR0bqH06kqXj2MxdZQAswefTGty72n\nxzqcnM9ouZX+Vj2YOoI8owy3mNVZa9QL0ywuyucGq2EoTCn93QDdtqe0IZi5xb+IutgnUzVznZej\n+6HcP3hf/Z6Z5lKavPoeIOhmtgAEnU1tZB+TX0WONMLj65R2KrhJD0rB761u3qtgOOnRZBTQJd8z\njG6uMpYzi4Rm4TGnzo0Z4BxGvbAeVrM4oX7D7Zrgv+7OBBqKPrhTxafhM0rkBPrwCeOCPLMMPDnC\n6S1WnCk+Bnyu5nJHxET38we/P1cNSoQGsU23tOGIhqUevAeBphMF2QObred4Q84FktMjzM/mPWZx\ngBTMMJYx8Zo/htZxzymb1qeX51SwbFsTOCU/xiAotLvsoTzGy9V+Osnd/YqU1wsf5cO2ucDNKytm\n2jNoI1sUvI8ioXj1MBbb0FuuB7NOikfkJq1ZCDAsj2EjR4SGdi23/01jI04hOc7uzTeGQwgW0D9T\njicm3Qq90gy1BW+r9qzeezJ7yz/OE3Idg799iP0VH6X8j/2od/QaKtk7Y09pX8zX2WgIKmHZwSK8\nLSEUn2SeoZH5B0c7cApj5GZH9FaRsn+kotrlvU4WBi4YbWTzsGEU8RG62Y4vG66RJ8ZyZ0nb6ilr\nxrtGpqSgRzoUZp+hLmSHXSnOu3SEiwG4OY/hY+7yxWsLPe7gMsVw+XOWz2oExXVzbY9N2vfBalYW\nZR8ATezzOUmsoa69Tt83G26HmqOYLJfQ02wa++zhC71zpRkdfyT0PhScUWMhKPjLnvv9kHFUfiRB\nLmhsXAtATftK6stLMbbeRmN5oW8kARS8LLpCu58ovWcADxiKpeYs9pZ/nPL7+jGVbp6n8V2pcSwR\ns8JG8tkU3ez6zBAXAoL68rLQserjy/G3jgwEqyx7JwyDCmzr1VSo8KC5kYLB68Z566aNUgEPJLmg\nBPF2uCDmWR+EO1QUPCb0ccX1HsPnmpXraAgvrIyG/YBDbhchI1nm+wHa8+mhDV/QC/5YvBUykl6F\n2TWS96bgsXxGWiVoYzcPi1Cs8j38cPun8ItMq1N+R9SXd9LFbhDzuIOqRZ6RLERn0ttPABMW3Rx+\nO7CNHrKOJ/gR6sJB98YHObM15ZXwaJ5OZSRcg0uNt3lBrPfvG6fo2NNqGlG8ErGI5NBwxKP8xREa\nVxtA0hO8s30q36oKzJ94PvTOFiopJtif0cdsiJxzD2ZbnZvpJusx1Vrr8TSbybZ8uPJcmjR6L6Zw\nfpwMe6jTM7OyeG1xgYvSewbEuoNc1LSvZENsuNnhwxBpMtqU4RG/q/OcedcRRL1IpwgyVAmq2NsY\nalbx9RADaGR3YqURF//tZet158g3WUBLhhvu7B7FF/JJTjL7xT5TFET5q8lQPCa/4mazdoFr3Sd3\n5jTW19UD9TzdP6fg72ES+EL5X1os/jisuhSFgMGZEowxD9DKbkkJdcCjQ12gzqSivYvJ5ob458Zr\nruUDme0MNat42zpDXuhrrwYQLnxFPE4BR+8axAnlth5+hXO+zHHtOkc8ykPGbSmPbzhEWizepcfK\nBj2Fo8V+2oo50Cft0YTiXSqCO7kXlMBsezc97ZqcZneigvEt6cwPfG0+S2XZWxdBGqdZas0KTPkD\nlOLP4kF/wqAi0WvTnorQE/sclN4zgL2bj4ut63ZURI2km+8rt69fVskw7yASEPZAFKcbn9DTroEZ\npLIkGUlnk8H8n7uLB4RiqKnPIUmVZ6U5zfNO/HwkepiVgnbmRfyHqwKfEJxk3hTbTmKeMBseTXGJ\neF1vLZojDdGDRGTCYgImprjDqqQFJPqnQjJk1je3auK9g90l/0ljeaFnfNr9LUpvEvzeuoxrmsbb\nOEPXpYJVQufW56/sEOKMzhDLko0kwE35sDLl/R7utpKMJETz0hF7pOD0cit+GYXzYuZRFmtD2er+\nt70fcbSZ4WeHTN7EKQB0kQ14SaxlpHFUiB+4w3rau+nci/PrN1weomCysYFPzGlM3NFLGwZFSDSh\nW6YeQ60qAZ6jYJioGKHu+HmtyVLvN/NSOf89p+d3b7nHI21nWo08aGiDlVtV7UFK7hqkaUXts3gk\nK1Je+B8VrQ0XYAS9RUMmGxuZZ+jl/jhZlRgyD5XZHu4FPPQVnhDEs9a2OG/vnRSfxDzOcKHmGflJ\nTLG8l6wKy1OhQo2XBhiU9kSHVbUHwxxLhyjv9do/NyK861cjD6Dr0/TI1GaO9RGdx77oRS097No8\nVfEGj8fbRjYHBcutt7zxsmFSvGL3gftQY0b48mYBNBeLQq+9zqnT/d+5h107/JCOQikn1aC/v6Sq\nv1u0CacYkhGleZ0z8nCZjCNV718N8835odftMtpj/AStvbdIuN5T/Ek53voWNtzuG8BLIkZnVsqn\n3oSUyJWWAlOKx/6mjWxdu7umKKF4xN6kR4UuTXmV2X4HntQeVXBAvcMPjFZju8gGTveP8PJfLuWj\nkeykBQy8yqwv/OCRg7+8k6Gn5nuV0setfV4HTDJEiCriG3sRu3EhXJmNCs0m9sxHoJSIqZcTHysO\nBCks7md86pS1/VYmWtvg4/AMmpnWMprZbZ1jccjUilBXkmtMPQrO1ZHfvmvaM3T6oHUjAUqrFrmY\nYqwPnYv3EFLwjfA9Zx+C083lWbmhb5grfaX8BAyxLdagH9IFqaJ760uL939uGSPEu+mITNWHQt1B\nQ6SZRQnKQasU7w3P0k1UZBzxKH8VVLWvi92Yc6zFDJM2nxr6Qvh6vvYS+9rhIV18kQfzUwG1aMV4\nezUt7Nb+IHnHW+spa8IfMoFkunAoFoLb9vwdgHXGi44xc4QfmqThrDT3iLsBZz52RC3bfZrrm9zv\n7nh1lR+CRondLY336WHXpr/tFj58oYndL+n/q9bcqNXF3TNTLn0pCr1ON1mP5dZbtJCtY51Irxqf\nA4q/yu9iQ7PAoa009ZdnG0KWlOoIIfqQchAW6hC41KmesoZuRVXAdZHPKqjNelZa0xBbhnK9FRGs\n7ZoqvNdZ4Y0BcVFNXg3zwg9MbUyTPenRpkwU2jhLLImlAoLz2UN809g2M5zKZ6Cczq2AUe1m12OI\nDPOLRucP57ujxzHEzKL4o2DUIyO8F1XE9nBON5rayUqHOhj8mOO/3waKbTGnmvw8TAOJFCDOss9j\niTELBPTK+AWGaNeI22lygToTw5bJs0/658ET+cTpFYphtk1ZfuBbcSw7VUU9akEAn7vHo0jLvSEa\nTbDDpvDuHMVf5R49VOu/eXCZPseU/SNpI8ssGAh0m+SCOG2kh6zNBcyg78eTQsPT6tuXUp91TN90\nEdQcBUIgvrib++r+iWfVdVmMpd5+QQIRrWQr5s8+H7YCvdIO1Sq37o3xcnVM/T00WC5C8Rlvf8Ed\n6r5EDcoesjZTzPVZh3flgqSCVhDBazBGuwqghd2aL1Vt7zj0cLelFJTmSBr7CwJmpjjqzD1OlJSd\nvpVI2RKK+ZlXaGVeehiLOe/luPY5R4o5h4IYVy5SgFhiOCGk0sKu9eWllN4zwLtAXY/u1dXag5sh\nloWMZGhuyBPutgPcRUdpe5RhMNwsz3/UVUwwd1Lux36goFq9Nd5nQgUQYOkL53h/B41kkAbkebYI\n7pr3d0Ahm1je+2mzVEDOTJ9oWv4QfBmDDmW1cQ9SPUrvGej97UqCTTHX66JT0zQbpE/CXmO8zHRj\nuUcjQYGqfw/DjIoxI9nTdpW19fcWNZIuHamDPFOnUS5IU+3aNVSV18WMZDO7bSJ9qZusR1+rgS60\nBL6PqdbaQGpABLwtwX+4KqtQ7xRrPSV3DYoZyWBIWt++NPD7xDHx0z8mD5Nz4I7FmC3fjBhJhZzn\nP5QWGu+GjkN7wmGuZRRBIxmUcOOCNBdVmuZcI8l2p51smsxrVYJWZlQX91BxJPT+VVBHdvcMyzWy\nmpejS8qTVc1cxxrrZfY+71QC30/RvJJzgZ6ar3OKE/SF3VBeQjV5dZhm9FDKazusLHvDc6nAKFlt\nLDalTwIFe0qNg9GpkCEPGiIArgqEMM4NVU1eHeoKcQ16Xbs7ndu8BAjMOnnw3xSsSmnV9aCMHILU\nu3+LhV9B6FBW8Njem5lv+Wo8QWrSntLjAsO+9LnVsm5moDzGT/67orcBfchusn5sf5MN56YNckMD\n4VwJoY3h3F3t9AIFm41JiZ7ch0PO9b/Tv6W87ep8McyxPqLDeVpAppq8GlTYMI82/ap+UBg5hlAO\n2IcXkn55Jwco6f0+8VEg0OeUsXBGPizN02kLNL8xDEF7s2NsmdlGU9OiaZBmMq4QNcHamb3jiPBD\n+DjZm7XUI2WV8YpY7rG7ueCCxVC0cW02soAopkg4Usz5VfCl+aJ3QU+yNtPS1AWLlTP0gHhf+UQx\n1ehOl8zJ0NZZ9Pu0zxlUCs7Khybai/zMfM27IdvJ32li75A0RpO99JQ12GE9TbMr3/GOw6Xb1Ep9\n5uekLg67c3vX+lSNGFXFyV26+4wa+nXGi34BQQHd8mE/rBYN4f1UuGe3bX7IILiIKqn/UPZxz+OM\nPVgUoWKDtf1WrY5u7iNT9SH9oHCLHzXgbXsG0+Ws0KybICocuDE89/y8tPcduIwFHQ4Sa7kM4eHA\nNpx++s5nBGgrCq/3ebP1nFfkCsI1DNGJmomivTE4M5Tq3BuibL1qfe6QuR08l9Kz4ZWCJvneGAY7\nMqNdI+DZBZSTIK66/+Hsc3V7bkDpqsyeAYGCZTJcFsM3z9fUHr/yi1huDjwq2hyH8opbHw4/XHnD\n4uVRFtscJRNsPa8k2J6GrvSNNjMgYKL8hFXqVEaZAiHg48wkGpvXxraXi7hqf1mOJ8w9gSWKlPyJ\ntFWKSZml9Bz9GuZQ5yIWMD4Tz5uBQr59D+b5eQSJ5wNlqcgYg4OFQm7Mx6yRSydIwYjnurLvUwhY\nJKfwgTo7TtwWMCxjB9pKC9/eE3JdYAIlLJHPcZYZDruDrYIu3GmH7nYOvWVU03kqlLgTUMy2p9Pe\n6Mh8qfN1wfWy70shX8vHvCSP+vKyhOtM8Yp8j65m6wLzldGcc+6/j//5bjLb5NBcoM/x8OUoo/KR\n2dDlSI7ykOAOdYrMMxltOu66gl5GI6c1S6A2DGOQeNTXpuyZ4qhvbqX0ngGssV4OTQYMegiu9/GS\nuBzeTcEXdzvvCNJWKTpkzuQa80zMoXd7n2FxKkQqd9FRNsY83//8gF3aa8hqJJ35KFEPKAo33G4h\n22DWiCq+RBDlCL6WCuXT3Nzb5NV9wut9eWeAV6kClCOBUoJmRo8E4rbSlVWnPU57bgn6h6GKughr\na65KcZbpMwHcCrprJIOhZ5C61FNmn8SYOwR/sh52UjyC8dwExPN1naVfWa8se8OcICldYF6SB4gs\nD2NBV1PnrENGMsoyiPgzk62NIe8ymAJIFOVVxIykG40E+bLugDz3Q75nLmIzfA4NxcujLLaGsqN9\neuiCiPZDa4KxwHvS17yfdyZ2ZI61WHdmTE7zc5WH2PvVcZpnt0/URq9OAAAQbUlEQVQPAOtp13CU\na/SNvaf0OJrJtro9sU0+vB34yhTMnN01tJ+htoCmaarKXhz1za2hY3QpRC5+Pu7hrOc3UJby5qME\nc02ubJxHoJ6X8sJtnVf1t6+LHypMUA6oBTWSnaBLmpLV/cFk24Qj5RYhwVPn3kDuMIlyFPiuHdS1\ne+g/1g0HcAoFguut07yQsKa8MjzygIiRC5CngVgRZoK1M54+mJhisrWx4FGwCUjqZV5Ec/ZX0ime\n/7g518h5BrmVO8ynoV06ol/5O/rKCvrFilTIMOm0iIifw8B0wcTyz/Pg5Hzvob5V+OmDOdZiL1Q+\n3r4uUnhSWj4PTYz/q/wulBMOe6nhOUdFGkNSKIoXPajYGsrpxopQ8tm2rZB2YXDMK0CzTFv4Q1oP\nwgqMMHC7Hn7YoTt1Jk/q4+gFOje2gjJCt3k1lhdQ+aYNfj5pdsqbgldVXge3p3jAUPTKVGGb+Sw/\nH/cQc6yPQoTvFrJ1XGHbxd98L2Ks9WMo/+h6UlPM9UCAQN0mzm1zvwed9xThdrYA3JzV/rE+Z7Cw\nvvI2dnOnAhyJhhJ4loaTjG9V+51wkUnBUmsWPJlKFKedbG2MiYO4iBk/Rbj9EuD6NOX29mNv+ceL\nNPJgvrUgVq3+1HjN8+b6y3L+yNkAot1PQbSSLZljLGa8tZvG9oU0OvXDkGHaYD0P56RY+bdmsfNK\n+t08j69BPii8h3pIS9LjgSrGiX7sdiaVii23UVn2oYd1tte8EB1lGyLaA8wZ4RfgEiZwHjyKl0dZ\nfHOUpGJiElH17Wry6uRRp96GCA1xOmrHrRhGhv0VH6WV3ZIabKSs+j6WCzvJvpS26h3Gm7v0Rhzx\ngJ8qPBobPlb+x37ULbEmpkyeDV6ebUkKzkrT2L6QE9UWrbkZy4PFBTaKBAF1MlqWbZhUjDINb/ha\nON+n17W23erNHDrY/T0kt1JGfV/wMb+WokWn2XGJsnmphAdD9vygK/iRDZqXmJ183tc+FlPJmE5n\nwfJpTu7aLAXCyW0bexBCoZSgp13DYQMo7pO7uOOKR7KqorvXUvi6DnNC18l/UNccQCu7JfONBYnb\niR7fcPX/2jv3aKmq+45/vveOIiIkUAUChocBfKwEhHCRqtgQEgMafJAasY2JpgtMVNQSjNI0Ga5t\nasRGGm2sYnzFqmBs5LESwMRHfYchvKwCAeVGBYFEqVAILu6dX//Ye2bOzJx5XOBy567sz1pnzcw+\n5+z5nT1nfue39/79fns//2VfYrd1jfl/5FLJNaQ/wx/t2LxY70M3RnlPlUdPCWOUpZA0XtJ6Sb+T\nVML8gj1PHudSZ3kWJfIjWbYkHoF1yaJQO+5IOneW15PwxX2As9j2H+tyTvJYkhfrXmJe3dtFSnJ0\nyxg2/v4U7qnfCch1nQz29biDb7R05bVExJdweZIPOt+dVZLR4YGsZfDzfAvl1q3ucq8d9gPAJXUt\n7LJHWip2LDSOM9KnMz2dcG2RiTKyXFq2hXLjbs295sDtSf41UfAANWge1o2vtvQqsupGp8dkxwpz\nM+wFfp2+jun1vVmnk4sFjPqETmyMVURjz8hPMBFNX5dt20g6srf1cfKxvPHnjJLMRQ5Z1p0HoJ4W\n7kq4DEDR7muhbBnLPyNPY/1R2eu9q34XY1s+Tea/Pi/SfZ9Z36Ps0hGZB2704T+9JedLyy9ncULi\nSng66bw4Ij2VTFc+M8SRG8MU35/2z6yvWxxrRHTb+41sKrlU/bMcrb1FxxwaDs6irEZHSLpd0kZJ\nqyWd2ppzi+qqNYtSUh3wO2AcsBW34tpkM1sfOcbga8CA+EpWJZk09D9zLiuCk5onsv5vRsD8xmwq\nLOTcX5qPy7eSJqf78bydyZb6R+JnxJ9JwthGl1w18Z6re/YITr5hZTZ8EnIROC76ZmZpeXF/trX1\nS7mtZZsPOYtEksRZjcuT5dN0Za6l2tnRl5Pwl4X1NXmZjadblvLZ+gl5e7PtWIqlSRgfqXN2Mn+p\njIq4qKSj2cv0+o8xPZ3gtroSvnXChaWefjn57VxgcW6eCQNvho3fo3v/rew88icMT49jVSZAoYSF\netRO12Po9P40PnynBwxtdO+jPpeC6c0Jblv4HZjkrjMvSie7Dk80WquJcvdFqfWfEjv+nub53WBa\n68IK3X1WyR3IUfT7fifJzPRTh8iivL3Ko6+JW662Gh0xAbjazM6VdBrwIzMbXc25cdSiRTkK2Ghm\nvzez/cA8ICYsoCn77m/TffLWG0n03cXPE2/kxvjMOwzPb+Si9ABOrN8AwKDmC2juOSdn3fmxxyb6\nZ5+2m+4clq03m4ggE43oXTbWJxbT6Yr3WVfvlqXNWB+rEk/B5n/gT3uP5q++t7HsRa9NLGNSehBv\n1H2Cfi2TI3uiVmNkidOIkoxGjRTOTJZTktEZzvNGzQO872jWn7EpK8M1KnbCLqskAcY35meouaGx\nleNc4h/ru2VjlTNKsnf6Ur6cHpAXMYTh1+JpyveL/I9Z+VUOvNm9Dr4pK39OScK5LQUJOzz7etwB\ns5NOMXrf1yLHdPNeF5Nyv01eKGN22QmRXDXbe1o0RS4AuNi1febezSjJo3bmJ/Jt7jknpyQjMehx\nDvBR1iaWFY2pZi3ql3L1dNl1ZfHv+/1DGet9UBZlNTrifOCnAGb2G+AjknpVeW4Rtago+wLRf/c7\nvqwkD9dtzY6nXZ8Ww49dzaDmC/yT07g7/Ub2BvoXZvJK4nlua3mXTYkFdNtzRU6Z+HWTX6mLdK0i\nT+zNicfdrHODK7uvzg18D23+gvvTGNDvFmZpljvBjFv7Xcf+4+bw31s+V/6qvfvGj7U3O8GRS3mm\n7OsLyoU/ZhR3JmpkfMunimYmry89RJfnYrLIPzyeqV8RY6kaU5mb/RRdsK1w8qMw+0zemKZRtFjZ\nl9MDsrOw8RTPpm+re4jH6psYsKMprzzTHg8ntuYmj74Z/+cutbTtLxKvF8x+Gy+2LHCyV20Nu/DE\nuO/KPrxH3pTLlg5kr/Gxm2BRMmf1+QfLvu7/XjTk4WbPjY1n9s12taNjmfvej5HXit3Rsp4EkUXf\n4hJJl2qzA+OgInOq0RGljmm1foHa7Hp/CfiCmU31n78CjDKzayLHWJcug5nwrY/xwtyudO06hM9t\nmMhH7QOWNWyPqdVAgken0mPwu1xn/8Z29WJNeigvjHoTHpwKX3WKoG9qHFsanso791sr3uMY201j\nw8CimiekerLkjgvoOe0tdjQshTlTmTBmAUs2XQCT54LgtOVDeKLvD+nTJ6dEFqV+xnkNF7Wqbc5N\n9eAXDe+X2Gt8d8Vb/NPIfF/GoSuGs3bkKk5LDeE3Dbmxrs7PXcSfzvpZ3vn3pJ5nSsNZaOml2PiH\n2Lp1cU7mB6bAZTED8Iu/DhPvA+Djqc/wdsOz8eI9MQUuzJ0/LDWUNQ1rs9+dURR/kTqH9xqiMeOt\ncxzPkxnjspTxSf6HGQ1D8+q8fsUObh3ZK1uSTG2O/X0zxw9Onc7GhnLp6gqYPwUuzm+vy1JpHhhV\nxyXLj+DRBmctbR37Nn2eKRxLjSC4cHk3nnj3r+E8187F90GpNnLlI1KfZGWD9129ZCo8OrfouCtT\ne7izIW79I9i9ewPHXrKNzXN3MXx4b5YsufcQdL1nVXn0rLiudzU6YjFws5m95D//Gvg2MLDSubGY\nWU1twGhgaeTzjcANBcdY2MIWtvbbDvI/3tSK79p2gDriLuDiyOf1QK9qzo3bIlNoNUMKGCSpPy7p\n1mTIWyegJtwFAoHAgWFmAw6yioo6AhcjeRUwX9Jo4H/NbLukP1ZxbhE1pyjNrEXS1cCTuDHUe81s\nXYXTAoHAnwmldISkK9xum2tmv5R0jqRNwB7g8nLnVvrOmhujDAQCgVqjFme9y3IgzqLtgaQmSWsk\nrZLc4jOSukt6UtIGScskfaRSPW0s472StktaGykrKaOkmd6Bd52ks2tE3qSkdySt9Nv4yL52ldfL\ncLykpyW9JulVSdf48pps5xh5p/nymm7nNqe9J29aOQhcB2wC+gNHAKuBk9pbrhKyvgl0Lyi7Bfi2\nf38D8IN2lvFM4FRgbSUZgVOAVbjhmgH+d1ANyJsEpscce3J7y+vl6A2c6t8fA2wATqrVdi4jb023\nc1tvHc2iPCBn0XZCFFvs5wMP+vcPAgWxlYcXM3sB2FlQXErG84B5ZtZsZk3ARtzvcdgoIS/E+8ac\nTzvLC2Bm28xstX//f8A64HhqtJ1LyJvxM6zZdm5rOpqiPCBn0XbCgF9JSknKpFvvZWbbwd2QQNya\npu1NzxIyFrb9Fmqn7a/28bw/iXRha05eSQNwFvErlL4XakbuiLyZRJkdop3bgo6mKDsSZ5jZCOAc\n4CpJY3DKM0pHmEmrdRnvBE4ws1OBbcAP21meWCQdAzwOXOsttZq+F2Lk7RDt3FZ0NEW5BYimrj7e\nl9UcZvauf/0DsADXHdnu402R1Bsok5m13Sgl4xYgGkJSE21vZn8wP1iGy92V6fbVjLySEjil85CZ\nLfTFNdvOcfJ2hHZuSzqaosw6mko6EucsWu3iG4cNSUf7JzKSugBnA6/iZL3MH/Y1YGFsBYeXwmDq\nUjIuAiZLOlLSQGAQUC5Iu63Ik9crmQyTgMw6E7UiL8B9wOtm9qNIWS23c5G8HaSd2472nk1q7QaM\nx83EbQRubG95Ssg4EDcjvwqnIG/05T2AX3v5nwQ+2s5yPoJLNfUh8BbOKbd7KRmBmbhZzXXA2TUi\n70+Btb69F+DG/mpCXi/DGUBL5H5Y6e/hkvdCe8pdRt6abue23oLDeSAQCFSgo3W9A4FA4LATFGUg\nEAhUICjKQCAQqEBQlIFAIFCBoCgDgUCgAkFRBgKBQAWCogxUhaQWn17rVUkLJXU7iLoaJX32UMoX\nCLQlwY8yUBWSdplZN//+AWCDmd3cvlIFAoeHYFEGDoSXiWSIkTRD0nKfWSYZKf+uT7L8nKRHJE33\n5fdLmuTfj/OW6hqfleYIX75Z0ixJv/X7hvjys3wy5JV+X5fDeuWBP0uCogxUiwAk1QPj8DH2kj4P\nDDazUcBwYKSkMyWNBC4EPoXLoDSyqEKpE3A/cJGZDcMlY/5m5JAdZvZp3Ip6M3zZDOBKc5mZxgD5\ni1QHAm1AUJSBauksaSVu5bqewK98+dnA5/2+lcCJwGBczPBCM9tvLk3X4pg6TwTeNLM3/OcHgbMi\n+5/wr7/FZc8GeBGY45co6G5m6UNxcYFAOYKiDFTLXm/F9cNZl1f5cuEWmh9hZsPNbIiZ3d+Kesst\nPfyhf23BrxhqZrcAfwd0Bl7MdMkDgbYkKMpAtQjAzPYB1wIzJNUBy4CvZ8YKJfWRdBzO8psoqZNP\nOffFmDo3AP0lneA/Xwo8W1YI6QQze83MZuPS7p108JcWCJSn5tb1DtQsWfcIM1staQ1wiZk9LOlk\n4GVJALuBr5jZCkmLgDXAdlyKrg+idZnZh5IuBx73Y58p4O7C7yvgOkljcVbma8CSQ3mRgUAcwT0o\n0GZI6mJmeyR1Bp4DpphfuCoQ6EgEizLQlsyVdArQCXggKMlARyVYlIFAIFCBMJkTCAQCFQiKMhAI\nBCoQFGUgEAhUICjKQCAQqEBQlIFAIFCBoCgDgUCgAv8Pjzl9Nohee6AAAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f7675ef7c90>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "#### Set up subject networks ####\n", "# Parameters for subject's networks\n", "ncommunities = 5\n", "innetwork_dsity = .35\n", "outnetwork_dsity = .05\n", "hubnetwork_dsity = .20\n", "\n", "nodespercommunity = 50\n", "totalnodes = nodespercommunity*ncommunities\n", "\n", "##########\n", "# Construct structural matrix\n", "W = model.generateStructuralNetwork(ncommunities=ncommunities, innetwork_dsity=innetwork_dsity,\n", " outnetwork_dsity=outnetwork_dsity, hubnetwork_dsity=hubnetwork_dsity,\n", " nodespercommunity=nodespercommunity, showplot=False)\n", "# Construct synaptic matrix\n", "G = model.generateSynapticNetwork(W, showplot=False)\n", "\n", "# Define community affiliation vector\n", "Ci = np.repeat(np.arange(ncommunities),nodespercommunity)\n", "\n", "# Plot figure\n", "plt.figure()\n", "# norm = MidpointNormalize(midpoint=0)\n", "plt.imshow(G,origin='lower',interpolation='none')\n", "plt.xlabel('Regions')\n", "plt.ylabel('Regions')\n", "plt.title('Synaptic Weight Matrix', y=1.04, fontsize=18)\n", "plt.colorbar()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 1.2 Visualize group average resting-state FC from simulated data (analogous to Fig. 4B)\n", "#### Visualize both Pearson FC and multiple linear regression" ] }, { "cell_type": "code", "execution_count": 119, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAS8AAAEXCAYAAAD898IYAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f766691f390>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAATEAAAEXCAYAAADFkHNDAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nF+ITZ5rzoJAUAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f7666e31bd0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "fcmat_pearson = np.zeros((totalnodes,totalnodes,len(nsubjs)))\n", "fcmat_multreg = np.zeros((totalnodes,totalnodes,len(nsubjs)))\n", "\n", "##########\n", "# Load in subject FC data\n", "scount = 0\n", "for subj in nsubjs:\n", " indir = datadir + '/restfc/'\n", " # Load in pearson FC matrix\n", " filename1 = 'subj' + str(subj) + '_restfc_pearson.txt'\n", " fcmat_pearson[:,:,scount] = np.loadtxt(indir + filename1, delimiter=',')\n", " # Loda in multreg FC matrix\n", " filename2 = 'subj' + str(subj) + '_restfc_multreg.txt'\n", " fcmat_multreg[:,:,scount] = np.loadtxt(indir + filename2, delimiter=',')\n", " \n", " scount += 1\n", " \n", "##########\n", "# Plot group FC averages\n", "plt.figure()\n", "avg = np.mean(fcmat_pearson,axis=2)\n", "np.fill_diagonal(avg,0)\n", "plt.imshow(avg ,origin='lower',interpolation='none')#,vmin=0)\n", "plt.xlabel('Regions')\n", "plt.ylabel('Regions')\n", "plt.title('Group Rest FC Matrix\\nPearson FC', y=1.04, fontsize=18)\n", "plt.colorbar()\n", "plt.tight_layout()\n", "\n", "plt.figure()\n", "avg = np.mean(fcmat_multreg,axis=2)\n", "np.fill_diagonal(avg,0)\n", "plt.imshow(avg ,origin='lower',interpolation='none')#,vmin=-.08,vmax=.08)\n", "plt.xlabel('Regions')\n", "plt.ylabel('Regions')\n", "plt.title('Group Rest FC Matrix\\nMultiple Regression FC', y=1.04, fontsize=18)\n", "plt.colorbar()\n", "plt.tight_layout()\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# 2.0 Compute out-of-network intrinsic FC (analogous to Fig. 4D)" ] }, { "cell_type": "code", "execution_count": 120, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAagAAAEYCAYAAAAJeGK1AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAIABJREFUeJzt3Xe8HFX5x/HPN4EAwg+kJhA6oQiIIAgRMITeDSAiTaoa\nRBCx0ESaIIKoiKhUI0UJKCAIQVq4iEAARTqBBAid0FvoN8/vj+dsMpm7Zfbu7r17c5/367Wve3fm\nzMzZ2dl55pw5c47MjBBCCKHdDOjtDIQQQgjlRIAKIYTQliJAhRBCaEsRoEIIIbSlCFAhhBDaUgSo\nEEIIbSkCVAhNJmlRSRdLekHSdEk39Hae2p2ksZLe7+18dIekLdP3vEuLt3NA2s66rdxOO4kA1QSS\nPi3p/XTw7NHb+elrJM0v6RhJ90p6W9I0SQ9JOkXSok1Y/6GSvt6DeTsd2An4LbAncHKNbYxOx850\nSRuUmb9ymndqdz5DWscP2vzYtPTqlsw+3KaBdTSyj3rigdJu76PcMZZ/dUqaP5d+TknfknSTpFck\nfZT+3iTp25LmasonqmGOnthIP7AnMCfwJLAf8OfezU7fIekzwD+BocDlwDlAJ/BF4HvAvpK2NbN7\nGtjM94FHgYt6KG+bAdeaWdXAVIYBpwAb1rlcET8E/sfsfWw2GiS6tY/M7HpJ85jZRw1uv5ZzgDEN\nbMeA04D7y8ybVvpH0hDgGmAt4I60zEvAQsBI4DfAesA+3cxHYRGgmmM/4BbgKuB0Scua2ZTezJCk\nec1sWu2UvUfSp4CrgcWArc3sxszscyX9AbgJuErSZ83stXbPm6SBwKLAG93Y7H+AL0oaZWZXNfYJ\n+gZJ85nZu72dj+7I5r0HghPm3f40up1bzWxcpZmSBFyJB6dvmdn5uSS/lrQysH2D+SjGzOLVwAv4\nPDAd2ANYGPgQOCGXZiDwIjChwjq+k9axTWbaXMDRwMPA+8DreABcI7fsppntHww8kvJwVJq/HvAn\n4HH8Kult4F/A9hXysgkwAXgPeAH4JbB62sZRubQCDgL+m1n3TcCIgvvu0LTen1ZJc3BK87PMtP3T\ntPXLpP838Hhmv0/HSz3TM69OYIlm5w34aZntdQK719jW6JRuF/xK9WFAmfkrp3WdWmbZrdM+fzMd\nJ/8D9ssdR5X2wWLAJel7G5hZZmRK80JuWzum6dtnps0B/BgvoX4AvAL8FVglt2zpMxyWjtX/pfz+\nPs2/BHgvt8yngOvSsbhTwX2Y/Q1tmba5C/At/LfxAV7T8b2i+yileQkYB6wD3Ai8BTyc5m1V2k69\n286kHwFcn7bzPvAs8A9grUyaA9I6180tOxdwFF4yeg+/OLoLDzAV90+F/fjVtI3zi/yGW/2KElTj\n9gfeAa4ws/clXQPsDRxTSmBmnZL+AnxP0kpm9nhuHXsBU/HqJCTNif8IvgBciBepFwS+CdwhaQMz\nyxfTfwh8GjgfP8ifTtO/AgzDTwDPAIuk/F0laRcz+1tpBZJG4ieEV4GT0uf6GvAlylef/AXYGbgM\nOA+YB6/uvFnSl83suuq7jp3Tes+tkmYMHiS/gv8ISypV58yYnvb7nvi9oOfxe0FKs19vQd4uAx7D\nv7Nb8O8C4PYa2yp5Fw9yv8WPq/OqJZZ0MH5s/As4AT+xbQWcJ2kZMzsWv+LeE/gdMAU4lZn74E3g\nZvwkui5wZ5peuugZLOkzZvZomr4J8AlwayYbfwO+DFyb8j0Uv2jZXNL6ZvZILtu7pTR/AM6kQkkz\n3d8bB6wAbGFm/662L2o4FL94/CMejPcBfilpipn9ndr7CPxYGIb/Lv8CXIof76V5lY7HWttG0mp4\ncHoa+BXwMjAED1qr48G87HbSvaDxwHD8tzsG+BhYAxiFVwtmzS9p4dy098ys1EClyHHfc3o7Qvbl\nF37l8jqZqw38x9oJbJlLuwb+oz8xN32lNP0XmWk/wk8EG+fSzo9fWd2QmVY6mbwMLFgmj/OUm4aX\nqO7LTb8XLwktmZk2ED9xdZIpQTHzSmvv3DoGpvU8VmD/vQm8WiDdw2n7g9L7/dP7ciWo20glqMy0\nWfZZwe+2u3krldrOqWNbM65u8RLJpJTnudP8LiUoYGm8pHxumfWdleYtkZn2IjCuTNrl07p/nJl2\nOx5s3wUOykx/CLgr8377tOyY3DrXSZ/n+sy00md4D1i2TD5mlKDwQDAZP2GvWu8+zEwrlWKeyv4O\ngPnw3+3NuXWU3UeZeZ3AbmXmzSgtdWfb+O+9E1it4GdcNzPtmPz3V2XZ6WVencAxue+4s3Ts9fYr\nWvE15ivAAsAFmWnj8BLIftmEZvYAXgTPtxLaG79iuTAzbQ/8xPeApIVLL2AQfsW7USplZY0xsy5X\nozbzyghJ80haCJgX6ABWlzR3mrcEsCZwuZk9l1m+EziDmVeU2Ty+AVyby+OC+A3WYZKWzecn5//w\nqpJa3k5/FyiQtll6JW9m9gleZTYUb4hRydfwYDYmu//Td3AN3mhnkwLbexIvWW8Cfu8SL7mPw2+Q\nb5qmLwasil+tl+yAH7sn5db5H7xEsImk+XKbvNKq3J+VtA4eIN8DvmhdS2DdcW72d2B+3+g/wIp1\nrudFM7ukBdt+C/997SRpUJ3r3x2vffl5wfRH4Y14Sq/NmfXcMz/QaWYf1JmPlogqvsbsj9e5vyBp\nhcz0G4CdJS1kZtmqpAvw4v1IM+tI0/YAHjCzBzPpVsFPMK+U2WapiL8QfmCWTCqXQUmD8RPI9vjN\n+/y6Po1XCS6XpuWrH8GrrfI+k5Z9udx207oH41UmlbxDsRN7qQlskYBRWGpaO092mpmV9mlT8yZp\nQfwCI7MpK7vvzOwyST8EDpd0doVVroKf1CpVfZX2fxHjgV3TyXEjvBQ4Hq9mOizdON8krTMboJYD\nPjKzyWXW+TBeilgWvyovKXucJoPwqtFXgS+ZWbO+76fKTHsNr3qrR7nP2YxtXwjsChyHf+d34gH+\nEjN7vsb6V8AbPnQWyIvh55rxVdK8DQyVNJeZfVhgnS0VAaqbUulgZHqbP6mXgsieeOmj5C94/fZe\nQIekjfGqml/nlh8A3IffV8qXXEry91DeK5NH4TfQV8CfzbkXP5F24vezdqH7z8IJD2x7Vsljravf\nh/BWa0ub2TNlN+JX9CsCk21mS6lqzYnrOaZ/x6wlWpO0lJm90EDeKhmHN1gp+QBvBFDJEfh3dzRd\n7yOA73PDS1KV7qdVCwZZ4/GS/AbAxsBTZvaMpPH4xc3aeID6GK9CbUSX4zTjY7xqcR+84dDPGtxW\nSaWTd6XjtpJqee/2tlNpZRNJ6wFb4PeeTgSOk/RVq30vt5kewi8+18IbS/WqCFDdV6rC+wblr55P\nSmlmBCgze1nS9cBXJB2IB6qP8cCVNQlY1MxuaTCPawGrAUeb2Sw/9rT9rCnp78pl1rNKmWmT8CqC\nOxuoDrgCWB/fh8dUSLMvfpxenpn2Ov4DX6hM+uWYWe1WUimg/Qy/qZxVKrV2N2+VHMSsJbKqV7xm\nNj4dK9/Gr6bzSsHnFTO7tcz8LqusMm88vj83w6v0bk7T/4Pvy83wi7G7ct/1k3h187AypajV8Hsc\nUwrkbUYezWx/SZ8AJ0qa08yOr2P5RlXbR63fuNldeOs7JC2D3xI4AW/8UMlkYDVJAwuWomq5HL9w\n/QZtEKDiHlQ3pJLJ3sCDZjbGzK7Iv/Cbvp+VtHZu8QvwG6Vfx3sb+KeZ5avyLsSL2YdU2P5iBbNa\nOmBn+Z4lfY7ccwypKuE+vB58qUzaOYDv0vXHeyFeDVn2KrdgHs/Gq0B+KGmzMutYB7+SfBFv3VRS\nKrFulkv/dbzpdN67lAlmZvaomY3PvT5uMG9lmdl/c9spElSOwBvi/JSu+38s3pDmp+XuW8h7N8le\ngJbdBylvL+D7dCe8Mc/4NH063kJwT7wUnq8a+jse2I7MbXttvCRws3XjGSczG42Xbo+VdGK9yzeg\n4j5qpTKt6jCzp/GqwFr5+TNelXtYk7LzNzww7SNp33IJJK0i6UdN2l5VUYLqni2BpajeFPNyvE55\nf/w5oZKr8RZiv8AD1QVdlvQT3mbAr9LJsQO/J7I0foX7dspDLQ8DE4Ej0/2Wx/Hi+zeBB/BnuLJ+\ngDd1vys9iPoWXjdeCnDZJtyXStoaOCSdrEuNQ5bEq4qWonzJawYzmyZp+7TsdZIuT5+11FtDqSHG\nKDN7NbPcI5I6gO+kk3Dps2yPX9XnTQD2knQcfj9tOvD3anXs3c1bA7pUN5nZ/enxhD3IBSgze0rS\nd/ET+SOSLsZb/i0GlC5AlmPmPcIJwG6SjsFLX9PxBgulqsnx+HM2+ftM49O68tMxs2skXY2fzBbD\nr/SXxKvn3sZ78OgWMztY0sfAUakkdXiBxeqtssurtY9a5URJG+JN9Z/C7wHuiN+/y5cg85/xF8C2\naR3r46Xfj4DPAkuZ2XZVlu3CzEzSTvgzWOelIHUtfr97QfyRk+2Bi+v5gN3W280I++ILryf/hNrN\nQifiV0Fz5ab/Hj/RvQrMWWHZgXjJ5W48OL2Dn1wvADbJpNuUKg+DAsuk/E7FrxDvBLbDr8q7PLCK\n32u4E69vfxG/P7Y+/mMt94Dh1/Gr7DfxJupPpO3tWMf+nB+vRrsXP7G9i9eFn4xXdZZbZgj+QOib\neCD9B34/6DZyTdzxK8y/pe/ik3Kfu1l5S99bJ3B2HZ+/4kOU6ft7P80v96DuhnhJZip+X+tZ/Fmd\ng4E5cvvritw+WCwzf+c07YHc+ldP09/Jri/3eY/EH9R9H68ivYzyD+p2Aj+qsA8uAaaVmf7ztNwv\n692H+EVcJ5nm39W2V20f4Q+tX1th2122U8+28d/wpXhwmpb24e3A1yt8xnIP6v6EmQ/1v4b/hvcv\ncoxV+Exz4NV8N6X8fJj+3pzWNajo8d3ISykzIVQk6Wv4j2pn8+rLEEJouQhQYYZ0b21Oy1RppPsb\n/8KfkRpqPdgfXgihf4t7UCHrU8BkSX/G71ctgt+DWg3vASOCUwihx0SAClkf4o0CRgGL4zdVJwIH\nmFl79M0VQug3ooovhBBCW4rnoEIIIbSlCFAhhBDaUgSo2ZSkKakvtchHFZKWkTQ9PZzZp0naJ32W\nEb2dlxCaIQJUHyJpOUnnSHpU0jRJr0t6RNKf5IMNZk2nl/sWSxrKg6S9K3X51NNSXqZnXp2S3pT0\nb0l791AeNpJ0bOoZJM9oj+8cSfNLOlrS/yS9IekdSU9KulLS/rm0TfmOJY2SdGyj66my/jG57/99\nSS9JulXSiZKWq72Wmttom+O9HUQrvj4idSd0K97S7kL8qfF58N4TtsB7OejILLIybXKyatA+eG8K\nv+nlfJQYnpf/4Bd4S+FP3I+RtLiZFR2Xp7tG4j1bjKFrp7gX4kM0tLprnqok/R++f5bFe/A4H+9+\nZ3m854vvMnO0YWjed7wD3gFzKzuYNbxLqGn4+XMRfDTi7+P9Nh5pZvnRCeqxD+11vPeqCFB9x7HA\n3MB6ZvZQfma+c1ab2elpaL5/Z3vUkPQn/LmxwySdYq1tGluxP7W03V4NTsm38M5lDzGzM/Mz6+js\nuF6N9sVX1OU26zhvSFoS77PuNEnPmdlfeygvs7Wo4us7hgGvlQtO4EN5ZN+Xu/dTmiZpDUk3pmqX\nqZJOkzRA0lzp/+dS9cWtklbJreO4VL2xdD4PRe83Sdpc0lhJT0h6L1UBXZ+/dyLpKXwAvWVz1Woj\nMmmGSbpI0guSPpT0lKRTJXUZa0nShpJuT9t8SdIZeIe9DTGzF/G+6Bag66CQSNosfb430n69X9Lo\nMunWl3SdpBdTuuckXStp3TR/DDOH/piS2SfHpPld7kFlqiU3lvRDSZMlfSDpMUl7lcnDAEk/Sd/l\n+5Luk/TVat97GcPS37LHQvZYrfUdS/pCqlp7TF6t/ba8SnWHXL5vwUtP5NazVybNEEl/kPR0Olae\nl3S2pC7fWb3MR6HemTIjDDfreC+6L2YnUYLqO54AVpK0o5ldWSB9uat4w6ukbsA7p/wrXj14KKnz\nW7yUdjJedfEj4Eq8B/TsOiqVEIqWHPbBe0a+AHgOH978G8BNkjY2s9tTukPwzkIXxoc/L10hPwoz\nhnW4Ge9V/Czgebwn7+8C60vayNIYOfLB4G7Eq8VOZmZP7RvUke+y5D2qL43f93szN+9bwB/wzjtP\nxKuGNgf+IGl5S710S1oJ/15exAeXnIp3crth+kx3p884P16VdQjeKSh4b+5Q/bv5Gf7dnoVXE38b\nr5acZGZ3ZtL9Du8M9Ga8p+xF8c6Np1RZd94T+He1r6QjrPo4RVW/Y7xX75Xx4/XplG5v4ApJu5vZ\n2JTuRPyCe0O89/fSeu4AkA8hMwE/552f8jgMOBAYKWkdM3un4Ocry8wmSboNGCFpRTMrjdm1D004\n3uvYF7OPnuiRNl6Nv4DheG/V0/Fezc/H68JXqZD+KWB8mWmdwE656f9J06/MTT84Td88M+3YNG3p\nOraZnzZPmWUXxXtLviY3/RbgyQqf8X78XtynctNHpf20V2baHWn/rZCZNgc+QFwncEyB72DvlHZv\n/OSwKD7a7F/T9Ety6YfgvUtfVGZdp+ODVS6b29dr18hDtf1fyt+I3LTp+JAvAzPTl0j748+Zaaum\ntNfm1rsaM3v37rLdMvn4NH4C7cRHXf4rPl7RBqTOAer4jssdK3PjPZw8lJs+BuissJ6rUl4Wz03/\nfPoeinz/Y9JnWqhKmt+kNNu24HgvvC9ml1dU8fURZjYBPxn+Cb+K3oeZYwHdquItiJ63rj2S/zv9\nPSM3/Tb8Km7F7uS5EjN7v/S/pHklLYRfnd/FrMOiVyRpdXzMm0uAeSQtXHrhwWgaXjokVeEMx8eA\neiKTj0/w4UTquXch/ET1Cl7KuQcf6O9cfOyvrK8Cg4A/ZvOX8ngNPlRFaTDEt9K6d5Q0Vx35Kep3\nlinJ2MxBCrPf7XbMbARCJu3DlB/VtywzexM/8Z+Clyh3wkuttwFPSNq8jnVlj5V50rEyH159+BlJ\nNato5S0et8XHYvso9z08g49Ku0XRPNVQargyo5VlM473Muvp1r7oa6KKrw9JJ4r9YEaVxUZ4VcEI\n4O+S1k4n3WqeKjPtjfR3SoXpXUb8bISk5fEqpy3wq+2s6QVXU6p2PB4fFjvP8Coy8NZj4CXPvEcK\nbi/rODyoz4W34DoM77sw30BhFTzo3Ex52TyOxaumjgQOlTQBDwpjzeyZbuQxv51y3/treNVkSeki\n5/EyaR8Dtiq9kTQ3sw5hD/CWpSHhzTsWPgofcHBBfJDHXfDxw66Q9DkzKze45CzSxcVJwJfpOlqy\n4cdPrVF7V8ar//bHfy95RvmBLrujFJhmtLBs0vHerH3Rp0SA6qPM7Fl8VMuLU733+vjJ8o4ai1a7\nH1BpXraEUe0+RM3jSdK8+JX0PHjp5SF8MLzp+Alt41rryOXpl/gowOW8UWF6IwyvTik1ALhO0kS8\nJHcC/hmyeTT8pPxShfU9CWDeNHxL+eMEW+IXHccDx0nazcyuajDfRb7benwNL0mWGLAv3tR9Fmb2\nBt4J8ThJz+FBeFf8pF3LjXiAOR2vpnwL/yz7AbtRrKFX6TNeTPkRrMGrYpthjfT3MWjq8Q7N2Rd9\nSgSo2cNdeIAa2gPbKjWvXQivHgEgVUstjg+VXc2mKd0+ZjbLyUxSuRNWpYBY2k5nJlhUUio9lBuC\nfrUay9ZkZpdKOgAv+ZyVKfGU8vhagTyW1vUf/J7gSZKGAvfhDQBKAaqVTdinpL8r07U0nd93/2Rm\n9WTJwwW2MQEPGNljtexnkrQGfsI/zsxOyM37VplFKu2byWneoKLfQ3ekhi5fAh43s8lpclOO927s\ni9nCbBdxZ1fypsoDy0yfB7/ihu5VV9XrcfwEkz85fZ9ix1PpSn6WtJK2wEuAee/iLaBmYWb/w69G\nDyh3/03SwFS1hHmz5gnAKEnDMmnmxFswNuOkfzxe5Xd0ZtpleLXf8alKLJ/H+eUDQpLuh8zCzJ7H\n73UtlJlcqsJZKJ++Cf6Bf7eHSJpRspL0WXL3aMxsqpmNz72mpvTDJeWr/0p2xPd39lgt+x1T+VhZ\nHW/JmPdumj9LNZr5M0vjgJ1Sa84uJC1SIb+FyJvf/xXffz/OzGrK8V5lPZX2xWwhSlB9x6+BhSVd\nDTwIvIc3Gd8dv9F9QbpH1Wo34dUXJ6Qf9VN40971gFcLLP9vvLrrlymwPIeP1vt1/HOtnks/AdhW\n0pl49WUncLOZvZqWuRl4QNIfSS368ObDOwFHMLPK6ft4C6k7JP0Ov3m/K/6Dr7eRRBdm1iHpdmBv\nST8zsylm9rykb+MNKB6VdBHeum1R/Gr4y3jLuWeAo9NJ6xp8nyrNXxlvbJDdHwJOlQ8s+QFe5Vj6\n7svlr9DnM7NHJJ0DfBNvAn0lfq/jQOBevJFOkWC+B97E/Fq8efxr+H3MbfAqrYeAP+Y+U5fvGG9e\n/TD+APS8+HG3Mv4g8AMpP+TW8x28Cf+1eOu8u8xsCt6s/jbgX5IuBP6Hf/fL460+L6D8vcxyvirp\nXfz8uTAeaL5MCu65RkhNOd67sS9mD73djDBexV54ieW3+A/rZfzK/BX84N27TPqn8BN51Wlpetmm\ny3iXK53AT3LTh+FXpO/iVX6X4NUYhbaJ/yjH4Seut/BWSBvg9zQ+yaWdBz/Bv4ifcPLNqJfCn9N5\nEj9Zv4K3rDsRH6I+u64N8RPGe2l9Z+ABostnrPAdlJpx71Rh/hZp/vm56V8ELsdPVB/gJ6mb8Wdd\nBqU0I9J+fBJvgfgq/uzUvmW280O82upDMk3kqdzMfJZpmXm3AE/kpgn4CV7F9z5+8tsVfyaqE1ik\nwH5aFT/Z35Y+6wfpe/5vWvd8Rb/j9P1eireYfJdUEqbMMZvyfioe8EvryT5qsBAe7CemY+B1/FGF\nX1HhcY1cPkvNzEuv99N3eitegl62wnJNOd7r2RezyysGLAwh1CTpH3g/gPNbnDRCD4l7UCGEGSrc\nK1sDb2J+cwSn0JOiBBVCmEHeR+BeeMenr+DPm30zzd7QzO7vrbyF/icCVAhhBklfwO8frYnfs3kH\nv5d0gnnLyRB6TK9U8UnaStJESY9LOrxCmjMkTZL3prxmrWXlg7g9J+ne9Nqq3HpDCJWZ2T1mtrWZ\nLW5mc5nZIma2YwSn0Bt6vJm5pAHAmfgDbC8A90i6yswmZtJsjXfquWJ6buEsYHiBZX9lZr/qyc8T\nQgihNXqjBLUuMMnMnjYfVG8s3lQyaxTp+RUzuwtYQNLgAsv21IBlIYQQWqw3AtRQ4NnM+9L4KEXS\n1Fr2oFQleF6VJ9lDCCH0AX2lmXmRktHvgeXNbE384bmo6gshhD6sN7o6ep5Zu/hfMk3Lp1mqTJpB\nlZY1s1cy08/F+xXrQlI0WwwhhDZjZl0KIr1RgroHGCZpmdRR5q74QGJZV+PPYiBpOPCmeUeUFZeV\nNCSz/E54f19l9XR3Hccee2yvdxnSrq/YN7F/Yt/E/qmkYoCSNFjS5ZK2qZJmm5SmcE/A5qN6HgTc\ngHd+ONbMHpU0utRtvJmNA56SNBk4G++ssuKyadWnSnpA0n34QH6HFs1TCCGE9lOtiu8QfKycSoPB\ngY/4eRrwXeCYohs1s3/iPfFmp52de39Q0WXT9L2Kbj+EEEL7q1bFtx1wjplVHJI4lWjOxbuaDxWM\nHDmyt7PQtmLfVBf7p7LYN9XNDvunYldHkqYB25nZLVVXIG0MXGNm87Ygf00nyarVeYYQQuhZkrA6\nG0nUcxaPM34IIYSmqhagplBslMZ1UtoQQgihaaoFqH8Ah0hauFKC1HrvELo2Ew8hhBAaUi1AnYb3\n4HCnpB2zA5lJmlvSjsAdePXeaa3NZgghhP6m6nhQklYFLsebdX+CD2AGsCgwEHgc2CnzLFLbi0YS\nIYTQXio1kqg5YKGkgXjPDJsxs/uhZ4GbgCtSU/M+IwJUCCG0l24HqNlNBKgQQmgvdTczl7RftQYS\nIYQQQitVayRxLrBC6Y2kAZKekbR667MVQgihv6sWoPLFLeHDWwxqXXZCCCEE11cGLAwhhNDP9MaA\nhf1CR4e/Sv+X+m0cOXLm/yGEECqr1lnsdHzspYlp0gB8eI0DgUn59GY2vkV5bKreaMUnQTQcDCGE\n8upuZp4ClNH1XlRWab6Z2cBmZLTVIkCFEEJ7qRSgqlXxbdzC/IQQQghVxYO6PbLNKEGFEEIl3RkP\nKoQQQug1EaBCCCG0pX7ZzFyq1u6jFaxHtzl48DK89NKUHtteCCG0Qr+8BzX7j1Av+tv3GkLou+Ie\nVAghhD4lAlQIIYS2VPgelKTlgV2ApYG5c7PNzPZvZsZCCCH0b4UClKQdgMvwEtfLwIe5JHHDI4QQ\nQlMVaiQh6UHgRWAPM3ul5blqoWgkEUII7aU7XR1lLQ/8oK8HpxBCCH1H0UYSE4GmDf8uaStJEyU9\nLunwCmnOkDRJ0n2S1iy6rKQfSJouaaFm5TeEEELPKxqgDgOOSg0lGiJpAHAmsCWwGrCbpFVyabYG\nVjCzFYHRwFlFlpW0JLA58HSj+QwhhNC7ilbxHYeXoB6VNAl4PTffzGyjgutaF5hkZk8DSBoLjGLm\nuFOk9xemFd8laQFJg4Hlaiz7a+BHwNUF8xJCCKFNFQ1QncBjTdrmUODZzPvn8KBVK83QastK+jLw\nrJk92PNdGYUQQmi2QgHKzEa2OB+1VI04kuYBjsKr9wosc1zm/5HpFUIIoSd0dHTQ0dFRM11vdBb7\nPP6wb8mSaVo+zVJl0gyqsOwKwLLA/fLi05LAfyWta2Yvd83CcY3kP4QQQgNGjhzJyJEjZ7w//vjj\ny6Yr3NWRpMUlnSbpHklPpL+nShpSZ97uAYZJWkbSIGBXut4zuhrYK213OPCmmU2ttKyZPWRmQ8xs\neTNbDq/6W6t8cAohhNAXFO1JYiXgNmBB4HZgMjAEOATYS9KXzGxSkXWZWaekg4Ab8AB5vpk9Kmm0\nz7ZzzGycpG0kTQamAftWW7bcZqhRLRhCCKG9Fe1J4kpgdWBzM5uSmb4MHiweNrOdWpXJZoqeJEII\nob1U6kmlc+rxAAAb7klEQVSiaIB6EzjAzMaWmbcb8HszW7ApOW2xCFAhhNBeGh0PahDwToV576T5\nIYQQQtMUDVD3AQennhxmSC3mDkzzQwghhKYp2sz8BOAavCeJS/GezYcAXwVWBLZtTfZCCCH0V4Xu\nQYF30gqcCKyFt5Az4L/AT8zs+pblsMniHlQIIbSXhhpJ5Fb0Kby5+Rtm9l6T8tdjIkCFEEJ7aVqA\n6usiQIUQQnupe8BCSccA55nZC+n/aszMftpoJkMIIYSSiiUoSdOB4WZ2d/q/GjOzgU3PXQtECSqE\nENpL3SUoMxtQ7v8QQgihJ0TgCSGE0JYKBShJK0laN/N+HkknS/pH6rw1hBBCaKqiJagzgZ0z708C\nfgAsAfxa0neanbEQQgj9W9EA9Tl8mA1Sd0d7AYeb2dr4w7vfak32Qggh9FdFA9QCwGvp/7XwB3X/\nlt53AMs3N1shhBD6u6IBaiowLP2/BfCEmT2b3s8HfNLsjIUQQujfinYWezVwsqTVgX2AszPzPgs8\n2eR8hRBC6OeKBqgjgLmBLfFgdVJm3pfxUXVDCCGEpom++GZL0ZNECKHvqLsnidzCA4ABZvZJZtqW\nwOrAzWYWAxaGEEJoqqJVfJcAH+LNy5F0APD7NO9jSdua2U0tyF8IIYR+qmgrvuHAuMz7HwHn4c3P\nrwB+3OR8hRBC6OeKBqjFgOcBJA0DlgPONLN3gDF4S74QQgihaYoGqLeBhdP/I4FXzeyB9L4Tb+EX\nQgghNE3Re1B3AEdI+gT4HrNW9w0Dnmt2xkIIIfRvRUtQh+ElqKvx0tJxmXlfA+5sbrZCCCH0d3U9\nByVpYTN7LTfts8BLZvZKszPXCvEcVAghtJdKz0HVNWChmb0maT5Jy0iaM017sN7gJGkrSRMlPS7p\n8AppzpA0SdJ9ktastaykEyTdL+l/kv4paUg9eQohhNBeCgcoSdtJuhd4C3iC1HJP0nmSdq9jPQPw\n8aW2BFYDdpO0Si7N1sAKZrYiMBo4q8Cyp5rZ58xsLeBa4NiieQohhNB+io6ouwNwFfAqcHhuuaeA\nvevY5rrAJDN72sw+BsYCo3JpRgEXApjZXcACkgZXW9bM3s0sPy8wvY48hRBCaDNFS1DHAmPMbAvg\n9Ny8h/Auj4oaCjybef9cmlYkTdVlJZ0o6Rlgd+CYOvIUQgihzRQNUJ8BLk3/5+++v8HMZ6RapcvN\ns3LM7GgzWxr4M3Bwa7MUQgihlYo+B/U2sEiFecsC9TSSeB5YOvN+yTQtn2apMmkGFVgW4C/4s1rH\nlc9CdvLI9AohhNATOjo66OjoqJmuUDNzSX/GG0WMAN4BPgbWBh4BbgPuM7NvFcmYpIHAY8CmwIvA\n3cBuZvZoJs02wHfMbFtJw4HTzWx4tWUlDTOzyWn5g4EvmdkuZbYfzcxDCKGNNDTcBt4Z7N14cBiH\nn+GPANbAO4zdoWhGzKxT0kH4IIcDgPNTgBnts+0cMxsnaRtJk4FpwL7Vlk2r/rmklfDGEU8DBxTN\nUwghhPZT+EFdSUsCx+NNvBcDXgP+CRxjZs9WW7adRAkqhBDaS6USVNEqvgWAD8zsw1ZkridFgAoh\nhPbS7Z4kJM2Bl5a2aEXGQgghhHJqBqg0zPtUfFiNEEIIoUcUfQ7qYuAbrcxICCGEkFW0Fd8UYHdJ\n9+BdHr1I7kaOmf2xuVkLIYTQnxVtJFGrXzszs4HNyVJrRSOJEEJoL40+B7Vck/MTQgghVFXXgIWz\ngyhBhRBCe2nKgIUhhBBCTylUxSfpKSoXO6bjgxj+FzjDzB5qUt5CCCH0Y0VLULcCA4HF8QEKJ6S/\nS+BB7mlge+AeSeu3IJ8hhBD6maIB6ja8lLScmW1qZrub2aZ444m3geuAYcD9eH99IYQQQkOKBqjD\ngRPM7KXsRDN7ETgRONzMpgG/wYdlDyGEEBpSNEAtBVTqKPYDZg67XhpUMIQQQmhI0QD1KPADSXNl\nJ0qaG/hhmg9+T2pq87IXQgihvyr6oO5hwDXAM5LGAS/jY0JtA3w6/QVYHx9MMIQQQmhIPQMWrgoc\nDayHt+Z7EW/Nd2J2uPZ2Fw/qhhBCe2lowMLZSQSoEEJoL03pSULSAEmrS9pI0rzNy14IIYQwq8IB\nStJ3gJeAB4DxwMpp+t8lfbc12QshhNBfFQpQkr6JP+P0d2AXIFsUuw34SvOzFkIIoT8rWoL6PvBL\nM/sWcGVu3kRSaSqEEEJolqIBajng+grzpuFNzUMIIYSmKRqgXgWWrTBvZbwHiRBCCKFpigaoa4Bj\nJC2fmWaSFgEOxe9NhRBCCE1T6DmoFIhux/vkuwsYAdwBrIL3KrG+mb3Vwnw2TTwHFUII7aWh56DM\n7FVgHeBkYE7gCbybpDOBL/aV4BRCCKHviJ4kZktRggoh9B1N6UmiwoqHSPp1nctsJWmipMclHV4h\nzRmSJkm6T9KatZaVdKqkR1P6yyXN3/1PFUIIobfVDFCSFpO0TroPlZ0+VNJvgSeBg4puUNIAvGpw\nS2A1YDdJq+TSbA2sYGYrAqOBswosewOwmpmtCUwCjiyapxBCCO2nYoCS9GlJV+G9lt8FvCDplDTv\naOBx4EC8hd9n69jmusAkM3vazD4GxgKjcmlGARcCmNldwAKSBldb1sxuMrPpafkJwJJ15CmEEEKb\nqTYe1En4OE/nA/fiD+seIOkzwHZAB3Comd1f5zaHAs9m3j9H12Hiy6UZWnBZgP3w4BVCCKGPqhag\ntgN+bmY/KU2QdCdwBTDWzHZvdeYyutw8q5hQ+jHwsZn9pYX5CSGE0GLVAtQSwM25aTelv+c2sM3n\ngaUz75eka08Uz+PPXOXTDKq2rKR98FLfJtWzcFzm/5HpFUIIoSd0dHTQ0dFRM13FZuaSpgPDzezu\nzLSBwMfAOmZ2b3cyltbxGLApfn/rbmC37Ki8krYBvmNm20oaDpxuZsOrLStpK+CXwAgze63K9qOZ\neQghtJFKzcyrlaAA1pE0X+b9APzs/gVJs3QQa2bji2TEzDolHYS3uhsAnJ8CzGifbeeY2ThJ20ia\njHdGu2+1ZdOqf4uXsG6UBDDBzA4skqcQQgjtp1YJyqh+/6c038xsYPOz13xRggohhPbSnRLUxi3M\nTwghhFBVdHU0W4oSVAih72hZV0chhBBCK0SACiGE0JYiQIUQQmhLEaBCCCG0pQhQIYQQ2lLdAUrS\nfJKWkTRnKzIUQgghQB0BStJ2ku4F3sKHfP9smn6epJ7sODaEEEI/UChASdoBuAp4FTg8t9xTwN7N\nz1oIIYT+rGgJ6lhgjJltAZyem/cQsHpTcxVCCKHfKxqgPgNcmv7Pd1HwBrBw03IUQgghUDxAvQ0s\nUmHessArTclNCCGEkBQNUDcCR+aG2DBJcwEHAdc1PWchhBD6tUKdxUpaFh8c0IBxwF7A34A1gAXw\nAQxfaFkumyg6iw0hhPbSUGexZjYF+DxwDbA50AmMACYA6/WV4BRCCKHviOE2ZktRggoh9B0x3EYI\nIYQ+peKIupL+WMd6zMz2b0J+QgghBKD6kO+bULwuLOqTQgghNFXcg5otxT2oEELfEfegQggh9CnV\nqvhmIWkg/vzTF4GhwPPAHcBFZtbZmuyFEELor4o+qLsMcD2wEvAcMBUYDCwJPAZsZWZPtzCfTRNV\nfCGE0F4areI7E5gf2NDMljazL5jZ0sCX8J4kftu8rIYQQgjFS1DTgAPN7IIy8/YBzjSz+ZqfveaL\nElQIIbSXRktQ7wIvV5j3MvBedzMWQgghlFM0QF0MHFBh3mjgwuZkJ4QQQnBFA9RkYC1JD0o6TtK3\n09+HgDWByZL2K71qrUzSVpImSnpc0uEV0pwhaZKk+yStWWtZSTtLekhSp6TPF/xcIYQQ2lTRe1DT\n61inmdnAKusaADwObAq8ANwD7GpmEzNptgYOMrNtJa0H/MbMhldbVtLKwHTgbOCHZnZvhe3HPagQ\nQmgjle5BFX0Oarkm5mVdYFKpWbqkscAoYGImzShStaGZ3SVpAUmDUz7KLmtmj6VpXT5kCCGEvqdQ\ngGryM05DgWcz75/Dg1atNEMLLhtCCGE20Fe6OopSUQgh9DPVhtt4EtjRzO6X9BTVb9yYma1QcJvP\nA0tn3i+ZpuXTLFUmzaACyxZwXOb/kekVQgihJ3R0dNDR0VEzXcVGEpLGACeY2VOS/kSNlgVmtm+R\njKU+/R7DGzq8CNwN7GZmj2bSbAN8JzWSGA6cnhpJFFn2FryRxH8rbD8aSYQQQhup1EiiV4bbkLQV\n8Bu8ivF8M/u5pNF4SeyclOZMYCtgGrBvqVVeuWXT9B3wLpcWAd4E7jOzrctsOwJUCCG0kYYClKRj\ngPPM7IUy8xYHvmlmJzQlpy0WASqEENpLowGqE/iimd1dZt7awN3Vnn1qJxGgQgihvTTaF1+1VnQL\nAh92K1chhBBCBdVa8Y0ENslMGi1pu1yyeYBtgYebn7UQQgj9WbUHdTcCjk7/G1Culd5HwCPAd5uc\nrxBCCP1cPX3xDS93D6qviXtQIYTQXhrqi8/M+kqPEyGEEGYT1e5BLV1pXjlm9kzj2QkhhBBctZ4k\nplNHXVg0M28nUcUXQug7ulPFtx+z/5k8hBBCm+qVro56U5SgQgihvTT6oG4IIYTQowq14pP0xxpJ\nzMz2b0J+QgghBKD4kO+b0LVebCHg//Cew99sZqZCCCGEos9BLVtuuqQRwFnAHk3MUwghhNB4IwlJ\n3wT2NrMNm5Ol1opGEiGE0F4a6kmihieBtZqwnhAC0NHhr9L/I0f6/yNHzvw/hP6goRKUpDmAMcC6\nZrZy03LVQlGCCt01ZMiyTJ36dA9v1ag+2k1zDR68DC+9NKXHtlevCN6zp0YHLBxfZvIgYCVgYeAA\nMzu34Vz2gAhQobskEcdO+5Cgj2Q11NBoFd8Auv4y3wGuAMaaWUdj2Qsh9HU9X8K0dNHQc9q9hDm7\niZ4kZkt95yq4L4kSVI0lY/+EbmplI4kQQghtYHa7R1etN/NNys6owMzK3adqO1GC6n199UcUJYQa\nS8b+qSga2FRXdyOJ3HAblT5laQ9YDLfRTuJHVE13f0hxAq6xZOyfykvFvqm+ZDcD1NvA5ek1rdoG\nzOzWbuWsh0WAqrJUv/gRQeyfaiJAVRfHTmU9G6BGAHsDO+OXuFcCF/SVqrxKIkBVWapf/Igg9k81\nEaCqi2Onsh4MUJkF5wZ2Ar4ObAa8CPwZuNDMHu1WbnpRBKgqS/WLHxHE/qkmAlR1cexU1gsBKreS\nxYHdgb2A1YE/mNlB3cpRL4kAVWWpfvEjgtg/1USAqi6OncqaH6DqHbDwNWBKehmwYLdyE0IIIdRQ\nKEBJ2kDSWXj13gXAu8C2eLVf3SRtJWmipMclHV4hzRmSJkm6T9KatZaVtKCkGyQ9Jul6SQt0J28h\nhBDaQ8UAJWmYpOMlPQH8C1gZ+CEwxMz2MLPrzWx6vRuUNAA4E9gSWA3YTdIquTRbAyuY2YrAaHzM\nqVrLHgHclDqtHQ8cWW/eWqejtzPQxjp6OwNtrqO3M9DGOno7A22uo7cz0LBqJajHgUOAW/HGEfun\n/xeTtHz+Vcc21wUmmdnTZvYxMBYYlUszCrgQwMzuAhaQNLjGsqPw0h3p7w515KnFOno7A22so7cz\n0OY6ejsDbayjtzPQ5jp6OwMNq9XV0fzAPnhz81qKPqg7FHg28/45PPDUSjO0xrKDzWwqgJm9JGmx\ngvkJIYTQhqoFqH17LBe1daergdm9yUwIIczWKgYoM7ug0rwGPQ8snXm/ZJqWT7NUmTSDqiz7kqTB\nZjZV0hDg5cpZ6NmuddzxPbq17g9DMPvvG4j9U01jQ1j09P6JY6e6vnTsdNUbvZnfAwyTtAzeKnBX\nYLdcmquB7wCXShoOvJkCz6tVlr0ar448Ba+SvKrcxsu1tQ8hhNB+ejxAmVmnpIOAG/BGGueb2aOS\nRvtsO8fMxknaRtJkvA/Afastm1Z9CnCZpP2Ap4FdevijhRBCaKJ+N2BhCCGEvqHeniRme5LGSLq6\nt/NRiaS9Jb3T2/kIIYRW65cBKgWh6ZI609/S/2u0aHu3SDqjzPSvpGFN6tVWxV5Jf0r78Me56Rul\n6QsVXE/ZiwNJR0n6t6R3JXU2K989oZX7RtIyks6T9ISk99Lfn6UOnvuEFu8fSbpK0tOS3pf0gqSL\nJC3RzM/QKq3+XWXmzyXp/rTOzzea72bqlwEquREYknktDjzcC/loq2DTTQa8D/xI0sJl5jVqED4m\n2elNWFdPa+W+WQX/DY8GVgUOwjty7kv7qdXHzs3AV4GV8FEZlgeuaMJ6e0Kr903JacAzTV5nU/Tn\nAPWhmb1iZi9nXmWvziUdJmlyukq9X9IemXl7SpomaaXMtJPTVVtd/QGWq76rdLUkaTt5v4PvSxov\nabl6ttUCt+CdCB9TKYGkVSVdI+ltSVMl/SX1EIKkY/HWl9tmSrQjAMzsODP7NfC/1n+MlmjJvknd\nje1nZjeZ2RQzuw44CfhKD3ymZmrV/jEzO8PM7jazZ81sAvBz4AuSBvXA52qGlv2u0vxRwEi8G7u2\na+HcnwNUIZJOwlsRfhv4DHAycJa8v0DM7GJ8MMdLJM0haSTwA+DrZvZWrdWXmVbuKiY/bW78gN0b\nGI734nF5oQ/UOtPx/hAPKBcs5c+m3Qo8AKwDbArMy8zHAU4DLgNuAgbjJdo7Wp/tHtGT+2YB4I1m\nZr4H9Mj+SRd5ewATzOyj5n+MlmjZvpG0JPB7/FGdD1r6KbqpPweorSW9k3ldm08g6VPAocA3zOzG\n1AfgWOA8/DmtkgPxoUd+h/cheJqZ/Su3utG57b2T0nbHQOC7ZjbBzO7He5VfQ9Im3VxfU5jZP4Hb\n8av4vAOB+8zsKDN73Mwewp9bW1fSOmY2Da/OyJZsP+mxzLdYT+wb+fOBP8CPwz6llftH0s8lvQu8\nincAsH2rP08ztWLfyDvevhj4RVqmLfXnAHUrsAbwufT6Rpk0q+KllX/mAssBeF02AGb2Nn5QfBN4\nBfhJmXWNzWyr9PpRN/M+HX/gubT9Z4AXUn572+HAVyWtlZv+eWCj3H4s1Xuv0NOZ7CUt2zepSuc6\n4Hoz+00zM92DWrV/TgXWBDYHOvERwfuaZu+bH+NBq3S/su2q96B3epJoF++Z2VM10pQC+HbM2kkt\nwMe59xsBnwCL4Z3s5qtZ3jKzJ7MTJL2USzOdrgfKnBXy1nY3NAHM7B5JVwC/AH6amTUAuAa/ws9/\nxqk9lL1e1ap9k6p5bsarefZqTm57Xqv2j5m9DrwOTJY0EXhW0gZmdntzct56Ldg3mwAbSsqfxyZI\nutTMujXWX7P15wBVxCPAh8CyZnZrpUSS1gOOBnbE7w2dR/duVL8CfErSfGb2bpqWv2ICPyjXBSak\n7S8NLAE8WiZtbzgK33dbZabdi7emeqZSYxTgI4r3it9XNXXfSFocH//sQWD37ozR1mZafeyU0szV\n7Rz2nmbum33we1UlS+A99OxOG9377c9VfDWlIHEacJqkfSWtIOlzkkZL+gaApPmAi4Dfm9m1+E3Y\nzeVdLtXrLrxrp5PTtr6CN87I6wROlzRcPtrwBcCDZnZzN7bZdGb2BHA2Pp5Yye/wG/iXSVpX0nKS\nNpN0tqTSD2UKsLqklSQtLGkOAElLSfocsFx6/7n0yv7A+oRm7psUnG7F+6X8PrCopMHp1Sd/203e\nP8MlHShpDUlLp3u0fwGeBP7dgx+rKZq5b9L99EdKL2BSSvukmb3QU5+plj55EPckM/sJcBxehH4I\nv8rYCShVD56Ot4A5PKWfDHwPDyCl+1SFquPM7A1SgMOra76Bl8zyPsBvmF4I3JnW325Ni3+KV3ka\ngJm9CGyAB9fr8H35W/yzfJiWORcvBf4H741+/TT9BPxK8ZT0/t70WrvVH6JFmrVvtsDvM2yE9z/5\nAh6sXsB7+u+rmrV/3gd2xqs/J6Y09wEj+lArvrxm/q7y2u62QfTFF0IIoS1FCSqEEEJbigAVQgih\nLUWACiGE0JYiQIUQQmhLEaBCCCG0pQhQIYQQ2lIEqBBCCG0pAlQIBcnH65ou6XXlxvqSNDDNqzhu\nT4V1jpJ0aHNz2n3yUVzz/U6G0CsiQIVQvwVIPYc0wQ74kC7twmjDHgVC/xQBKoT63QAcLGnR3s5I\ns6jvjDAb+pEIUCHUx4AT8aENyvWTOIOkZSX9WdLLkj6Q9D9JO2Tmj8FHRR6aqgenS3pS0gBJb0g6\nKpN29TT/X7ltPCfplMz7IZIulPRK2ub9kvbILVOqqvySpMskvUHqGb/C59hX0oeSDkvv55X0W0lP\np21MlXSDpJWK7MAQiorhNkKo34vAmcAhkk4zsy73bOTDad8NvIT3Pv0q8DXgckmjzOwavBPcRfGh\nurfHg96HZlYKRJsAP0ur3ATv/HRdSfOY2fspICyBd4ZaGgH6X3gV5BHAc8CewEVpmfNy2bwYuATv\naLjsuSAFyWPwUaUvSpNPx8dIOxKYDCyMd1j66SI7L4SiIkCF0D2nAKOBYyk/GvPxeGlrhJm9mabd\nmMbuOgG4xsyekvQK8JGZ3ZNb/hbgJElzmtnHwMbAn/ABCTcEbsSD1sfMHDpiP7x385Fmdluadr18\nQMMTJZ1vs/YO/VczO6Lch5Mk4Ax83KAd0rDjJcOBP5vZnzLTriq3nhAaEVV8IXRDGhrll8BeklYs\nk2RLYBzwTmrhNzCNb3UD8Dn5OGLVjAfmAdZPwWIj4HrgdjwwgQet/5jZe+n9l4DnM8Gp5GK8pLZq\n9iMAf6+w7TmAscCuwKa54ARwD7CPpCMlrd1Xx54K7S8OrBC679fAG3iJKG8xvLTzceb1EXBqmr9w\ntRWb2QPAa3gQWgv4P3xwwlvSNICReCArWQivfsx7KTM/q1xa8CrCbfCRVfMlO4CD8IHz9sWrMV+W\n9CtJ81T+RCHULwJUCN1kZtOAk/Eht9fMzX4N+Bs+qOI6udcX8EEFa7kVLy1tAtxnZm/hAenzkjbA\nS0W3ZNK/Dgwps54hmfmzfIQK230N2DZt95J8CcnM3jOzH5vZSsCy+OCZB+H3qkJomghQITTm98Dz\neMu+7An/n8AawCNmdm+Z18cp3Yd4VV4544H18AYJpZLSf4H38FGeP8Sr/EpuBZaU9MXcevbAR1J9\npOiHMrN/AVvjJamxlarxzOxZM/s18CCwetH1h1BEBKgQGpCGDv8pfs8p6xi8quw2SXtJGpF6jfix\npGxrukeAhSQdIGkdSdmT/C3AnPi9pVvS9qbjLfU2BSaY2YeZ9H/CW9VdIWl/SVtKuiilPTrXQKLI\nZ/s3sFX6bJele2hIukPSEZK2lbSRpGPxYHx9PesPoZYIUCE0bgwwKTshNT1fB7gPrwK7AS9tjWDW\n+0bn4Q0STgLuAq7OrONRYCp+/yr7/NN4vLSWXQ+pscSItK2T8UYQnwX2NLPz6/g8MwKZmd2BB6hN\nmRmkbsWrNS8GrgF2Ar5nZmfWsY0QalKdF1UhhBBCj4gSVAghhLYUASqEEEJbigAVQgihLUWACiGE\n0JYiQIUQQmhLEaBCCCG0pQhQIYQQ2lIEqBBCCG0pAlQIIYS29P8CoZFyCUK0egAAAABJRU5ErkJg\ngg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f7675ab0bd0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "outofnet_intrinsicFC = np.zeros((ncommunities,len(nsubjs)))\n", "indices = np.arange(nodespercommunity*ncommunities)\n", "\n", "##########\n", "# Calculate average out-of-network degree across subjects\n", "scount = 0\n", "for subj in nsubjs:\n", " for net in range(0,ncommunities):\n", " # if net == hubnet: continue \n", " net_ind = np.where(Ci==net)[0]\n", " net_ind.shape = (len(net_ind),1)\n", " outofnet_ind = np.setxor1d(net_ind,indices)\n", " outofnet_ind.shape = (len(outofnet_ind),1)\n", " outofnet_intrinsicFC[net,scount] = np.mean(fcmat_multreg[net_ind, outofnet_ind.T, scount])\n", " \n", " scount += 1\n", " \n", "# Compute average stats\n", "fcmean = np.mean(outofnet_intrinsicFC,axis=1)\n", "fcerr = np.std(outofnet_intrinsicFC,axis=1)/np.sqrt(len(nsubjs))\n", " \n", "##########\n", "# Plot figure\n", "fig = plt.bar(range(len(fcmean)), fcmean, yerr=fcerr)\n", "# fig = plt.ylim([.09,0.10])\n", "fig = plt.xticks(np.arange(.4,5.4,1.0),['FlexHub', 'Net1', 'Net2', 'Net3', 'Net4'],fontsize=14)\n", "fig = plt.ylabel('Multiple Regression FC', fontsize=16)\n", "fig = plt.xlabel('Networks', fontsize=16)\n", "fig = plt.title(\"Average Out-Of-Network IntrinsicFC\\nSimulated Resting-State Data\", fontsize=18, y=1.02)\n", "fig = plt.tight_layout()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# 3.0 Run group analysis on network-to-network information transfer mapping output using simulated data \n", "\n", "#### Note: Activity flow mapping procedure (and subsequent data) was generated on the compute cluster. Code that generated data is included in model.py. We demonstrate the 'predicted-to-actual' similarity analysis below." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 3.1 Network-to-network information transfer mapping on simulated neural data\n", "\n", "Region-to-region activity flow mapping is performed already (with provided simulation data); we only perform the predicted-to-actual similarity analysis.\n", "\n", "* Perform for two tasks: (1) topdown only task conditions (stimulation of hub network only); (2) topdown and bottom up task conditions (stimulation of both hub network and local networks)." ] }, { "cell_type": "code", "execution_count": 121, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Empty variables for topdown task analysis\n", "ite_topdown = np.zeros((ncommunities,ncommunities,len(nsubjs)))\n", "# Empty variables for topdown and bottomup task analysis\n", "ite_topdownbottomup = np.zeros((ncommunities,ncommunities,len(nsubjs)))\n", "\n", "##########\n", "# Run predicted-to-actual similarity for every network-to-network configuration (using RSA approach)\n", "for i in range(ncommunities):\n", " for j in range(ncommunities):\n", " if i==j: continue\n", " fromnet = i\n", " net = j\n", " nblocks = nblocks\n", " \n", " ## First run on topdown only task conditions\n", " inputs = []\n", " for subj in nsubjs: inputs.append((subj,net,fromnet,topdown_only,nblocks,Ci,nodespercommunity,datadir))\n", " # Run multiprocessing across subjects\n", " pool = mp.Pool(processes=nproc)\n", " results_topdown = pool.map_async(analysis.predictedToActualRSA, inputs).get()\n", " pool.close()\n", " pool.join()\n", " \n", " ## Second run on topdown and bottomup task conditions\n", " inputs = []\n", " for subj in nsubjs: inputs.append((subj,net,fromnet,topdown_and_bottomup,nblocks,Ci,nodespercommunity,datadir))\n", " # Run multiprocessing\n", " pool = mp.Pool(processes=nproc)\n", " results_topdownbottomup = pool.map_async(analysis.predictedToActualRSA, inputs).get()\n", " pool.close()\n", " pool.join()\n", " \n", "\n", " ## Get results and store in network X network X subjects matrix\n", " scount = 0\n", " for subj in nsubjs:\n", " # Obtain topdown task results\n", " ite = results_topdown[scount]\n", " ite_topdown[i,j,scount] = ite\n", " # Obtain topdown and bottom up task results\n", " ite = results_topdownbottomup[scount]\n", " ite_topdownbottomup[i,j,scount] = ite\n", " \n", " scount += 1\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 3.2 Statistical testing on results and plot" ] }, { "cell_type": "code", "execution_count": 122, "metadata": { "collapsed": false, "scrolled": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAA1gAAAGMCAYAAADHrwO4AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAWFgLf6GAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f7675239ad0>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAA1gAAAGJCAYAAACXYpILAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nzMwsJ77AMjMzMzMzy8n/B8Ua0TcjK8qUAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f7674279910>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "# Instantiate empty result matrices\n", "tmat_topdown = np.zeros((ncommunities,ncommunities))\n", "pmat_topdown = np.ones((ncommunities,ncommunities))\n", "tmat_topdownbottomup = np.zeros((ncommunities,ncommunities))\n", "pmat_topdownbottomup = np.ones((ncommunities,ncommunities))\n", "\n", "# Run t-tests for every network-to-network configuration\n", "for i in range(ncommunities):\n", " for j in range(ncommunities):\n", " if i==j: continue\n", " \n", " ##########\n", " ## Run statistical test for first task (topdown only stim)\n", " t, p = stats.ttest_1samp(ite_topdown[i,j,:],0)\n", " tmat_topdown[i,j] = t\n", " # Make p-value one-sided (for one-sided t-test)\n", " if t > 0:\n", " p = p/2.0\n", " else:\n", " p = 1-p/2.0\n", " pmat_topdown[i,j] = p\n", " \n", " ##########\n", " ## Run statistical test for second task (topdown and bottomup stim)\n", " t, p = stats.ttest_1samp(ite_topdownbottomup[i,j,:],0)\n", " # Make p-value one-sided (for one-sided t-test)\n", " tmat_topdownbottomup[i,j] = t\n", " if t > 0:\n", " p = p/2.0\n", " else:\n", " p = 1-p/2.0\n", " pmat_topdownbottomup[i,j] = p\n", "\n", "##########\n", "# Run FDR correction on p-values (exclude diagonal values)\n", "\n", "## TopDown Task\n", "qmat_topdown = np.ones((ncommunities,ncommunities))\n", "triu_ind = np.triu_indices(ncommunities,k=1)\n", "tril_ind = np.tril_indices(ncommunities,k=-1)\n", "all_ps = np.hstack((pmat_topdown[triu_ind],pmat_topdown[tril_ind]))\n", "h, all_qs = mc.fdrcorrection0(all_ps)\n", "# the first half of all qs belong to triu, second half belongs to tril\n", "qmat_topdown[triu_ind] = all_qs[:len(triu_ind[0])]\n", "qmat_topdown[tril_ind] = all_qs[len(tril_ind[0]):]\n", "binary_mat_topdown = qmat_topdown < .05\n", "\n", "## TopDown and BottomUp Task\n", "qmat_topdownbottomup = np.ones((ncommunities,ncommunities))\n", "triu_ind = np.triu_indices(ncommunities,k=1)\n", "tril_ind = np.tril_indices(ncommunities,k=-1)\n", "all_ps = np.hstack((pmat_topdownbottomup[triu_ind],pmat_topdownbottomup[tril_ind]))\n", "h, all_qs = mc.fdrcorrection0(all_ps)\n", "# the first half of all qs belong to triu, second half belongs to tril\n", "qmat_topdownbottomup[triu_ind] = all_qs[:len(triu_ind[0])]\n", "qmat_topdownbottomup[tril_ind] = all_qs[len(tril_ind[0]):]\n", "binary_mat_topdownbottomup = qmat_topdownbottomup < .05\n", "\n", "##########\n", "# Plot figures for topdown task\n", "# (Unthresholded plot)\n", "plt.figure(figsize=(12,10))\n", "plt.subplot(121)\n", "norm = MidpointNormalize(midpoint=0)\n", "plt.imshow(np.mean(ite_topdown,axis=2),norm=norm,origin='lower',interpolation='None',cmap='bwr')\n", "plt.title('Network-to-Network ITE (using RSA)\\n(Unthresholded)\\nTopDown Tasks',fontsize=16, y=1.02)\n", "plt.colorbar(fraction=.046)\n", "plt.yticks(range(ncommunities), ['FlexHub', 'Net1', 'Net2', 'Net3', 'Net4'])\n", "plt.xticks(range(ncommunities), ['FlexHub', 'Net1', 'Net2', 'Net3', 'Net4'])\n", "plt.ylabel('Network ActFlow FROM',fontsize=15)\n", "plt.xlabel('Network ActFlow TO',fontsize=15)\n", "\n", "# (Thresholded plot)\n", "plt.subplot(122)\n", "threshold_acc = np.multiply(binary_mat_topdown,np.mean(ite_topdown,axis=2))\n", "norm = MidpointNormalize(midpoint=0)\n", "plt.imshow(threshold_acc,norm=norm,origin='lower',interpolation='None',cmap='bwr')\n", "plt.title('Network-to-Network ITE (using RSA)\\n(FDR-corrected)\\nTopDown Tasks',fontsize=16, y=1.02)\n", "plt.colorbar(fraction=.046)\n", "plt.yticks(range(ncommunities), ['FlexHub', 'Net1', 'Net2', 'Net3', 'Net4'])\n", "plt.xticks(range(ncommunities), ['FlexHub', 'Net1', 'Net2', 'Net3', 'Net4'])\n", "plt.ylabel('Network ActFlow FROM',fontsize=15)\n", "plt.xlabel('Network ActFlow TO',fontsize=15)\n", "plt.tight_layout()\n", "# plt.savefig(outputdir + 'SFig_CompModel_RSA_topdownOnly.pdf')\n", "\n", "\n", "##########\n", "# Plot figures for topdown and bottomup task \n", "# (Unthresholded plot)\n", "plt.figure(figsize=(12,10))\n", "((12,10))\n", "plt.subplot(121)\n", "norm = MidpointNormalize(midpoint=0)\n", "plt.imshow(np.mean(ite_topdownbottomup,axis=2),origin='lower',interpolation='None',norm=norm,cmap='bwr')\n", "plt.title('Network-to-Network ITE (using RSA)\\n(Unthresholded)\\nTopDownBottomUp Tasks',fontsize=16, y=1.02)\n", "plt.colorbar(fraction=.046)\n", "plt.yticks(range(ncommunities), ['FlexHub', 'Net1', 'Net2', 'Net3', 'Net4'])\n", "plt.xticks(range(ncommunities), ['FlexHub', 'Net1', 'Net2', 'Net3', 'Net4'])\n", "plt.ylabel('Network ActFlow FROM',fontsize=15)\n", "plt.xlabel('Network ActFlow TO',fontsize=15)\n", "\n", "# (Thresholded plot)\n", "plt.subplot(122)\n", "threshold_acc = np.multiply(binary_mat_topdownbottomup,np.mean(ite_topdownbottomup,axis=2))\n", "norm = MidpointNormalize(midpoint=0)\n", "plt.imshow(threshold_acc,origin='lower',interpolation='None',norm=norm,cmap='bwr')\n", "plt.title('Network-to-Network ITE (using RSA)\\n(FDR-corrected)\\nTopDownBottomUp Tasks',fontsize=16, y=1.02)\n", "plt.colorbar(fraction=.046)\n", "plt.yticks(range(ncommunities), ['FlexHub', 'Net1', 'Net2', 'Net3', 'Net4'])\n", "plt.xticks(range(ncommunities), ['FlexHub', 'Net1', 'Net2', 'Net3', 'Net4'])\n", "plt.ylabel('Network ActFlow FROM',fontsize=15)\n", "plt.xlabel('Network ActFlow TO',fontsize=15)\n", "plt.tight_layout()\n", "# plt.savefig(outputdir + 'SFig_CompModel_RSA_topdownbottomup.pdf')\n", "\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# 4.0 Run group analysis on network-to-network information transfer mapping output using SVM decoding (as opposed to predicted-to-actual RSA analysis) \n", "\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 4.1 Network-to-network information transfer mapping on simulated neural data USING SVMs" ] }, { "cell_type": "code", "execution_count": 123, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Empty variables for topdown task analysis\n", "svm_topdown = np.zeros((ncommunities,ncommunities,len(nsubjs)))\n", "# Empty variables for topdown and bottomup task analysis\n", "svm_topdownbottomup = np.zeros((ncommunities,ncommunities,len(nsubjs)))\n", "\n", "##########\n", "# Run predicted-to-actual similarity for every network-to-network configuration (using RSA approach)\n", "for i in range(ncommunities):\n", " for j in range(ncommunities):\n", " if i==j: continue\n", " fromnet = i\n", " net = j\n", " nblocks = nblocks\n", " \n", " ## First run on topdown only task conditions\n", " inputs = []\n", " for subj in nsubjs: inputs.append((subj,net,fromnet,topdown_only,nblocks,Ci,nodespercommunity,datadir))\n", " # Run multiprocessing across subjects\n", " pool = mp.Pool(processes=nproc)\n", " results_topdown = pool.map_async(analysis.predictedToActualSVM, inputs).get()\n", " pool.close()\n", " pool.join()\n", " \n", " ## Second run on topdown and bottomup task conditions\n", " inputs = []\n", " for subj in nsubjs: inputs.append((subj,net,fromnet,topdown_and_bottomup,nblocks,Ci,nodespercommunity,datadir))\n", " # Run multiprocessing\n", " pool = mp.Pool(processes=nproc)\n", " results_topdownbottomup = pool.map_async(analysis.predictedToActualSVM, inputs).get()\n", " pool.close()\n", " pool.join()\n", " \n", "\n", " ## Get results and store in network X network X subjects matrix\n", " scount = 0\n", " for subj in nsubjs:\n", " # Obtain topdown task results\n", " svm = results_topdown[scount]\n", " svm_topdown[i,j,scount] = svm\n", " # Obtain topdown and bottom up task results\n", " svm = results_topdownbottomup[scount]\n", " svm_topdownbottomup[i,j,scount] = svm\n", " \n", " scount += 1\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 4.2 Statistical testing on results and plot" ] }, { "cell_type": "code", "execution_count": 124, "metadata": { "collapsed": false, "scrolled": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAA1gAAAGPCAYAAABBO3EWAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\ncWXXYu8uaAAAAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f7666c62850>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAA1gAAAGPCAYAAABBO3EWAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nSZIkSVJH/j/yjSYbwk4WpQAAAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f76668b67d0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "# Instantiate empty result matrices\n", "tmat_topdown_svm = np.zeros((ncommunities,ncommunities))\n", "pmat_topdown_svm = np.ones((ncommunities,ncommunities))\n", "tmat_topdownbottomup_svm = np.zeros((ncommunities,ncommunities))\n", "pmat_topdownbottomup_svm = np.ones((ncommunities,ncommunities))\n", "\n", "# Perform accuracy decoding t-test against chance, which is 25% for a 4-way classification\n", "chance = .25 \n", "for i in range(ncommunities):\n", " for j in range(ncommunities):\n", " if i==j: continue\n", " # Run statistical test for first task (topdown only stim)\n", " t, p = stats.ttest_1samp(svm_topdown[i,j,:],chance)\n", " tmat_topdown_svm[i,j] = t\n", " # Make p-value one-sided (for one-sided t-test)\n", " if t > 0:\n", " p = p/2.0\n", " else:\n", " p = 1-p/2.0\n", " pmat_topdown_svm[i,j] = p\n", " # Run statistical test for second task (topdown and bottomup stim)\n", " t, p = stats.ttest_1samp(svm_topdownbottomup[i,j,:],chance)\n", " tmat_topdownbottomup_svm[i,j] = t\n", " # Make p-value one-sided (for one-sided t-test)\n", " if t > 0:\n", " p = p/2.0\n", " else:\n", " p = 1-p/2.0\n", " pmat_topdownbottomup_svm[i,j] = p\n", "\n", "\n", "## TopDown Tasks\n", "# Run FDR correction on p-values (Don't get diagonal values)\n", "qmat_topdown_svm = np.ones((ncommunities,ncommunities))\n", "triu_ind = np.triu_indices(ncommunities,k=1)\n", "tril_ind = np.tril_indices(ncommunities,k=-1)\n", "all_ps = np.hstack((pmat_topdown_svm[triu_ind],pmat_topdown_svm[tril_ind]))\n", "h, all_qs = mc.fdrcorrection0(all_ps)\n", "# the first half of all qs belong to triu, second half belongs to tril\n", "qmat_topdown_svm[triu_ind] = all_qs[:len(triu_ind[0])]\n", "qmat_topdown_svm[tril_ind] = all_qs[len(tril_ind[0]):]\n", "binary_mat_topdown_svm = qmat_topdown_svm < .05\n", "\n", "## TopDown and BottomUp Tasks\n", "# Run FDR correction on p-values (Don't get diagonal values)\n", "qmat_topdownbottomup_svm = np.ones((ncommunities,ncommunities))\n", "triu_ind = np.triu_indices(ncommunities,k=1)\n", "tril_ind = np.tril_indices(ncommunities,k=-1)\n", "all_ps = np.hstack((pmat_topdownbottomup_svm[triu_ind],pmat_topdownbottomup_svm[tril_ind]))\n", "h, all_qs = mc.fdrcorrection0(all_ps)\n", "# the first half of all qs belong to triu, second half belongs to tril\n", "qmat_topdownbottomup_svm[triu_ind] = all_qs[:len(triu_ind[0])]\n", "qmat_topdownbottomup_svm[tril_ind] = all_qs[len(tril_ind[0]):]\n", "binary_mat_topdownbottomup_svm = qmat_topdownbottomup_svm < .05\n", "\n", "####\n", "## Plot figures for Top Down Task\n", "# Unthresholded map\n", "plt.figure(figsize=(12,10))\n", "plt.subplot(121)\n", "mat = np.mean(svm_topdown,axis=2)\n", "norm = MidpointNormalize(midpoint=0)\n", "plt.imshow(mat,norm=norm,origin='lower',interpolation='None',cmap='bwr')\n", "plt.title('Network-to-Network ITE (using SVMs)\\n(Unthresholded)\\nTopDown Tasks',fontsize=16, y=1.02)\n", "plt.colorbar(fraction=0.046)\n", "plt.yticks(range(ncommunities), ['FlexHub', 'Net1', 'Net2', 'Net3', 'Net4'])\n", "plt.xticks(range(ncommunities), ['FlexHub', 'Net1', 'Net2', 'Net3', 'Net4'])\n", "plt.ylabel('Network ActFlow FROM',fontsize=15)\n", "plt.xlabel('Network ActFlow TO',fontsize=15)\n", "plt.tight_layout()\n", "# plt.savefig(outputdir + 'SFig_CompModel_SVM_topdownOnly_Unthresholded.pdf')\n", "# Thresholded map\n", "plt.subplot(122)\n", "mat = np.mean(svm_topdown,axis=2)\n", "mat = np.multiply(binary_mat_topdown_svm,mat)\n", "norm = MidpointNormalize(midpoint=0)\n", "plt.imshow(mat,norm=norm,origin='lower',interpolation='None',cmap='bwr')\n", "plt.title('Network-to-Network ITE (using SVMs)\\n(FDR-corrected)\\nTopDown Tasks',fontsize=16, y=1.02)\n", "plt.colorbar(fraction=0.046)\n", "plt.yticks(range(ncommunities), ['FlexHub', 'Net1', 'Net2', 'Net3', 'Net4'])\n", "plt.xticks(range(ncommunities), ['FlexHub', 'Net1', 'Net2', 'Net3', 'Net4'])\n", "plt.ylabel('Network ActFlow FROM',fontsize=15)\n", "plt.xlabel('Network ActFlow TO',fontsize=15)\n", "plt.tight_layout()\n", "# plt.savefig(outputdir + 'SFig_CompModel_SVM_topdownOnly.pdf')\n", "\n", "####\n", "## Plot figures for Top Down AND Bottom Up Task\n", "# Unthresholded map\n", "plt.figure(figsize=(12,10))\n", "plt.subplot(121)\n", "mat = np.mean(svm_topdownbottomup,axis=2)\n", "norm = MidpointNormalize(midpoint=0)\n", "plt.imshow(mat,origin='lower',interpolation='None',norm=norm,cmap='bwr')\n", "plt.title('Network-to-Network ITE (using SVMs)\\n(Unthresholded)\\nTopDownBottomUp Tasks',fontsize=16, y=1.02)\n", "plt.colorbar(fraction=0.046)\n", "plt.yticks(range(ncommunities), ['FlexHub', 'Net1', 'Net2', 'Net3', 'Net4'])\n", "plt.xticks(range(ncommunities), ['FlexHub', 'Net1', 'Net2', 'Net3', 'Net4'])\n", "plt.ylabel('Network ActFlow FROM',fontsize=15)\n", "plt.xlabel('Network ActFlow TO',fontsize=15)\n", "# Thresholded map\n", "plt.subplot(122)\n", "mat = np.mean(svm_topdownbottomup,axis=2)\n", "mat = np.multiply(binary_mat_topdownbottomup_svm,mat)\n", "norm = MidpointNormalize(midpoint=0)\n", "plt.imshow(mat,origin='lower',interpolation='None',norm=norm,cmap='bwr')\n", "plt.title('Network-to-Network ITE (using SVMs)\\n(FDR-corrected)\\nTopDownBottomUp Tasks',fontsize=16, y=1.02)\n", "plt.colorbar(fraction=0.046)\n", "plt.yticks(range(ncommunities), ['FlexHub', 'Net1', 'Net2', 'Net3', 'Net4'])\n", "plt.xticks(range(ncommunities), ['FlexHub', 'Net1', 'Net2', 'Net3', 'Net4'])\n", "plt.ylabel('Network ActFlow FROM',fontsize=15)\n", "plt.xlabel('Network ActFlow TO',fontsize=15)\n", "plt.tight_layout()\n", "# plt.savefig(outputdir + 'SFig_CompModel_SVM_topdownbottomup.pdf')\n", "\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# 5.0 Statistical testing with FWE permutation testing" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 5.1 Statistical testing on results and plot RSA results\n", "## (Supplementary Fig. 3A-D)" ] }, { "cell_type": "code", "execution_count": 125, "metadata": { "collapsed": false, "scrolled": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAA1gAAAGMCAYAAADHrwO4AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nWUH+D7fKjEQuqEwQAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f7666a1a050>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAA1gAAAGJCAYAAACXYpILAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f7675461fd0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "# Instantiate empty result matrices\n", "tfwe_topdown = np.zeros((ncommunities,ncommunities))\n", "pfwe_topdown = np.ones((ncommunities,ncommunities))\n", "tfwe_topdownbottomup = np.zeros((ncommunities,ncommunities))\n", "pfwe_topdownbottomup = np.ones((ncommunities,ncommunities))\n", "\n", "\n", "indices = np.ones((ncommunities,ncommunities))\n", "np.fill_diagonal(indices,0)\n", "flatten_ind = np.where(indices==1)\n", "\n", "## FlexHub Tasks\n", "t, p = pt.permutationFWE(ite_topdown[flatten_ind[0],flatten_ind[1],:], permutations=1000, nproc=15)\n", "tfwe_topdown[flatten_ind[0],flatten_ind[1]] = t\n", "pfwe_topdown[flatten_ind[0],flatten_ind[1]] = p\n", "binary_mat_topdown = pfwe_topdown > .95\n", "\n", "## FlexHub Tasks\n", "t, p = pt.permutationFWE(ite_topdownbottomup[flatten_ind[0],flatten_ind[1],:], permutations=1000, nproc=15)\n", "tfwe_topdownbottomup[flatten_ind[0],flatten_ind[1]] = t\n", "pfwe_topdownbottomup[flatten_ind[0],flatten_ind[1]] = p\n", "binary_mat_topdownbottomup = pfwe_topdownbottomup > .95\n", "\n", "\n", "##########\n", "# Plot figures for topdown task\n", "# (Unthresholded plot)\n", "plt.figure(figsize=(12,10))\n", "plt.subplot(121)\n", "norm = MidpointNormalize(midpoint=0)\n", "plt.imshow(np.mean(ite_topdown,axis=2),norm=norm,origin='lower',interpolation='None',cmap='bwr')\n", "plt.title('Network-to-Network ITE (using RSA)\\n(thresholded)\\nTopDown Tasks',fontsize=16, y=1.02)\n", "plt.colorbar(fraction=.046)\n", "plt.yticks(range(ncommunities), ['FlexHub', 'Net1', 'Net2', 'Net3', 'Net4'])\n", "plt.xticks(range(ncommunities), ['FlexHub', 'Net1', 'Net2', 'Net3', 'Net4'])\n", "plt.ylabel('Network ActFlow FROM',fontsize=15)\n", "plt.xlabel('Network ActFlow TO',fontsize=15)\n", "\n", "# (Thresholded plot)\n", "plt.subplot(122)\n", "threshold_acc = np.multiply(binary_mat_topdown,np.mean(ite_topdown,axis=2))\n", "norm = MidpointNormalize(midpoint=0)\n", "plt.imshow(threshold_acc,norm=norm,origin='lower',interpolation='None',cmap='bwr')\n", "plt.title('Network-to-Network ITE (using RSA)\\n(FWE-corrected)\\nTopDown Tasks',fontsize=16, y=1.02)\n", "plt.colorbar(fraction=.046)\n", "plt.yticks(range(ncommunities), ['FlexHub', 'Net1', 'Net2', 'Net3', 'Net4'])\n", "plt.xticks(range(ncommunities), ['FlexHub', 'Net1', 'Net2', 'Net3', 'Net4'])\n", "plt.ylabel('Network ActFlow FROM',fontsize=15)\n", "plt.xlabel('Network ActFlow TO',fontsize=15)\n", "plt.tight_layout()\n", "# plt.savefig(outputdir + 'SFig_CompModel_RSA_topdownOnly_FWER.pdf')\n", "\n", "\n", "##########\n", "# Plot figures for topdown and bottomup task \n", "# (Unthresholded plot)\n", "plt.figure(figsize=(12,10))\n", "((12,10))\n", "plt.subplot(121)\n", "norm = MidpointNormalize(midpoint=0)\n", "plt.imshow(np.mean(ite_topdownbottomup,axis=2),origin='lower',interpolation='None',norm=norm,cmap='bwr')\n", "plt.title('Network-to-Network ITE (using RSA)\\n(Unthresholded)\\nTopDownBottomUp Tasks',fontsize=16, y=1.02)\n", "plt.colorbar(fraction=.046)\n", "plt.yticks(range(ncommunities), ['FlexHub', 'Net1', 'Net2', 'Net3', 'Net4'])\n", "plt.xticks(range(ncommunities), ['FlexHub', 'Net1', 'Net2', 'Net3', 'Net4'])\n", "plt.ylabel('Network ActFlow FROM',fontsize=15)\n", "plt.xlabel('Network ActFlow TO',fontsize=15)\n", "\n", "# (Thresholded plot)\n", "plt.subplot(122)\n", "threshold_acc = np.multiply(binary_mat_topdownbottomup,np.mean(ite_topdownbottomup,axis=2))\n", "norm = MidpointNormalize(midpoint=0)\n", "plt.imshow(threshold_acc,origin='lower',interpolation='None',norm=norm,cmap='bwr')\n", "plt.title('Network-to-Network ITE (using RSA)\\n(FWE-corrected)\\nTopDownBottomUp Tasks',fontsize=16, y=1.02)\n", "plt.colorbar(fraction=.046)\n", "plt.yticks(range(ncommunities), ['FlexHub', 'Net1', 'Net2', 'Net3', 'Net4'])\n", "plt.xticks(range(ncommunities), ['FlexHub', 'Net1', 'Net2', 'Net3', 'Net4'])\n", "plt.ylabel('Network ActFlow FROM',fontsize=15)\n", "plt.xlabel('Network ActFlow TO',fontsize=15)\n", "plt.tight_layout()\n", "# plt.savefig(outputdir + 'SFig_CompModel_RSA_topdownbottomup_FWER.pdf')\n", "\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 5.2 Statistical testing on results and plot using SVM analysis (as opposed to predicted-to-actual RSA analysis) (Supplementary Fig. 3E-H)" ] }, { "cell_type": "code", "execution_count": 126, "metadata": { "collapsed": false, "scrolled": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAA1gAAAGPCAYAAABBO3EWAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAIABJREFUeJzs3XmYHFW5x/HvL0CI7LKvAZQrwlVRZFdgABX0Kii4sG8q\nICogXOWCCAl4L4sCIqiIAgKigKgsohAwCWHfERAiyA4Ja9hDFpL3/nGqk0qlu6d7pqe7a+b3eZ5+\nZurUqaq3emb6nXPq1ClFBGZmZmZmZtZ/wzodgJmZmZmZ2WDhBpaZmZmZmVmLuIFlZmZmZmbWIm5g\nmZmZmZmZtYgbWGZmZmZmZi3iBpaZmZmZmVmLuIFVhaS9JM2WNEXSkoV1C2Trju7DfneQ9J3WRdo/\nkn4j6ek+bjsg55LFNFvS+CrrtsnWbdGH/R4s6QstCbIFJD0h6fw+bLdl9h5sLWn17PveXmOzbX9T\np86fGjz+TyVd0WzcDe57XCXWdlKyj6Tbsr/5NyX9W9LvJW2Q1flztm6hGvtYTNJbks7JlvfKvbdr\nVam/RW791k3EuoOk5yQt0tfztcHDuaqhbZ2r+sG5quq+nat6j3XI5yo3sOpbEji8hfv7PNA1SQuI\n7NUXA3UulXg2l/SpOuubdQjQNUmLvp9HfttJwCaFF8A5hbIDc9u9AGxcZbvv9XZQSe8F9geO6Ufs\n9XyDubG208nAWcB4YFdgh6xsGdJ7BXAe6fPgszX28SVgBPCbQvnrwB5V6u+VrWvq9yAiLgcmA99t\nZjsb9JyranOu6h/nqvk5V/XCuQoW7HQAXW4M8G1Jp0bEi50OplUkDY+IGZ2Oo45JwEvAD0k/g0Gj\nRe+9ACJiJnB7Yf8AkyLi9irbAcyIiDv6eNxDgHsj4p4+bl9XREwciP3WI2kEKVGeFhH5f1D/Dvwi\nt3wVMAXYE/hzlV3tCTwVERMK5X8CdieX6LNjfhG4FNi7D2GfBRwr6fgu/zu29nGu6gznql52A85V\nreBcVT6+glVbkD40BRzVW2VJa0i6UNILkqZJukfS53PrzyX1BKySu9z6mKRhkl6RdGSu7gey9RMK\nx3hG0om55RUlnS/pxeyY/5C0W2GbyuXfzSVdIukV4NY657GPpOmSavYS1TqX3Pr3ZZepX5E0VdIt\nkrbt7T3MmQ38ANigkaES2VCE6yS9nl0yv1rSf+bWPw6MBHbPxXuOpPWz7zfL1f12VnZsrmytrOzT\nubKNsmO+kR3zOkkbFuL6jaSnJW0i6SZJU4ETqSL7PThL0qvNXIZvF0nDgd2ACwvle2fvzchC+ShJ\nswtlB0t6MPudmCLpDkk75NaPV27YheYOMfmcpNOz3/MXJV0gaYnCvpdVGibxWrbvs7Ptehumsygw\nHHi+3vln/yD8Hvi0pHcXjr0asAVQHEYTwAXAGpI+livfkfS58sfsa35fG0oaI+ml7H16VNIZhf1e\nArw724+Zc1XtOs5VzlWVcucq56q2cgOrvsnAGcB+2S9mVZJWJfXOfBA4GPgccBfwR0mVy7THAn8F\nXmTuZe8vRMRsYAKQ/6DaGngb2EjSu7JjvA9YmdRbgdK41gnAtsD/kC4V3wdcIOlrVcL8LfAYsFNW\nv9p5HEnqCflaRJxU812pcS7ZPlYCbsreiwNJl6NfAa5qJnFFxJXAbdmxapL0X8B1pEvYuwG7AIsD\nN0haJav2edKH0tW5eI8D7gFeZd73fitgaqFsG2Am6f1G0odIl+iXJPUG7QEsAVwv6YP508jq/B74\nHbBd9rV4DiNIvUefA7aMiAEd2610b8Y8rwY225R0LjcWymsN3ZmnPPtn6sekpPdp0vCGPwBLF7ap\n5iekf2R2AUaRfodPK9T5M+lv4XDgK6Sf1+l19pkOGPEy8DjwXUn71/s7Jw29GA7sXCivDKu4oMo2\nT5J+b/JDL/bI4n0rX1HSoqTf0Zmk36vtgNEURhpkMT+UrTcD56panKucqyqcq5yr2isi/Cq8SD1e\ns4D3kFrfrwC/ztYtQPoDOjpX/2zSh+JShf2MAe7OLZ9LujRbPN4hpF/ghbLlPwM/A94APpmVHQBM\nBxbJlr+Vxbh5YV/XAs8Byp3LbODHVY57LvAUqWfi9Ox42zX4HtU6lx8DM4A1c2XDgInAnc3sl5Q4\nZgO7Z8vbZOe8Ra7+I8CYwj4WIyXUU3JljwPnVzneZcDfs+8FvAz8qPBe/x64ObfNpaRL8IvnyhbP\ntr20cC6zgM9WOe7jpF6kpUiJ4BFgjQbeny2z92TrGutnA8fWeW9nV3nNAg7t5bjfA94BFqzxtzKy\nUH4MMCu3fHpvP39gHDC2yrmeU6h3OjA1t/yprN5OhXqXF39fahx3Y9I/dLOy/TwD/BrYsErdB4Bb\nCmUPAjfVeF/eA+yT/W4MB1YiJaWtiz9L4KPZNh9o4PfgfGBiI3+rfg3eF85VjbxHzlXOVZXfL+cq\n56q2vXwFqxcR8QrpJsI9Jf1HjWrbknrJ3sj1tCxISlrrSVqsl8OMBd4FbCZJpF/ma0i9a5Xeqa1I\nf/RTs+XNgWcj4obCvn4LLAesmz8N0odzNQsCF5F6OraJiKvzK/vQe7Q5cGtEPD7n4Knn8/fAhyvv\nRSP7jdQ7NhYYVa2O0ow37wV+V9jXNOAW0qXw3owFNs2GFXyE1PN1Einxbp7V2Yr0gZo/x79ExBu5\nWN8AriD97PJmksZEV7MKKWGNADaNiCcaiLe/nid9OG6Qe21I9R6tvJWB1yPinT4e9w7Sz/+nSjNs\nvauJbf9aWL4fWFjS8tnyxqSEWvwdv7SRnUfEbcDapN7KH5P+odgTuEXS7oXq55F669eCNPwGeD/z\n3zCc9wdgYVKv767A5Kje8/sIqZf6LEm7ZVcbanmR9DMxA5yrnKsA5ypwrqpwruowN7AacyqpZ7DW\nEIDlSb/kM3OvGaQPP0gzvNQUEfeReg22In1wLg5cT/qg3Cqr1kP6gK1YmjQspOi53Pq8anUhfUh/\nBriZ9MFSVDmXmcCMXsYI9xaXgHdL2rK43zr7O5LUs1IZSpIfB1z50Dqb+d/7/6KX9z0zjvSBshnp\nPf5HpJvEbwS2krRudpxG3/t3F8pejKwbp4oPAusAF0fESw3E2gozI+KeiLi78OrtxvgRpJ7SPomI\n80kzL21EGlowRdIfJa3ewOZTCsuVOEZkX1cCXomIWYV6dceqF+KbGRFjIuJ7EbE56Z++54BTClV/\nS/oncM9seU/SP0mX1Nn3m6Qeyj2z14U16r1O+nt/lnRV4ClJ90uqNn79beaev1mFc5VzlXOVcxU4\nV3WcZxFsQES8Jel4Uo/Bj6tUeZk0dvUECjcCZiY1cJjrST2Ab5Jmv3lN6SbK45RuOlyOeXumpgDv\nq7KfFXPr5zmNGsd9mTRzzFXA7yXtmvXiVWxQqP+vXs5jSi6GvJWyGF4hjfkv7reqiLhd6VkWR5E+\n9IqxAxxBGtte1OusNRFxv6SXSUM6PsLc5DQW+DLpEvx0Ug9tRa1zXJF0fvMcos7hrwb+AZwkaXpE\n/LS3eDvoZdIQkaJp2dfhhfL5/mGIiF8Bv1J6Xs+nSAnhItKY+f6YTPpnaIFC4lqhrzuMiH9Luhg4\nRNKylX8qImKypGtJN6EfR/oduSIiXutll+eT/sbE/OPi88e9D/iSpGGkv5EjgIslrRcRD+aqLs3c\n338zwLmqUN+5yrkqz7nKuaqt3MBq3M9Jz9L4IfN/EF1Nuhn1wYio13MynTS8opqxpN7H2cz94LyL\ndBPrKOb/4Lwe+KKkTSPillz5bqTnR+R/weuKiAlKsw79FbhI0s6VxBURdzd5LtcDB0saGRFPQZp1\niHQz591ZDwlArf1WcxRwL/BNcu99RPxL0hPAf0b9G53rxQvpJuBPki6f/ywrGwscT7oh+faImJar\nfz3wGUmLRsRbAJIWJ11Wb+qm34g4WWkGo59IGhYRP2lks2aO0SITgeGSVo6I/D9hT5I+iD8A/BvS\nkBpSUqoq+4D/g6RNgP16OW4j53or6bPsC8w71OLLvW2YDY9aIiKK/+RB6rF9GygmpPNIN4AfT0rO\n5zUQ47XAxaTey4dy5VXPL/v7u13pIbE7ZLHk/6bXpPd/IG1ocq5q7Fycq5rgXOVcVa2yc1VtbmA1\nKCJmZL0AZzH/L9rRpFmEblCapvIJ0uX3D5BuoK0MGXgQ+LqkA4A7gWkR8UC2bhywEGnM9AnZMSvT\n334WuL6QEH9DmgXqT5KOIvVe7U7q3dqvzqX+Wud3o6TtgL8Bl2SJq94Y5lrncirppslrJY0i3Yx8\nILAWaXhH0yLiAUkXkcYEF8/rm8BlkhYmXfp+idQbtBnwZC4JPEh6IOR/kS6nvxQRT2brxpGS1TtA\n5T6Be7LYe5h/uM1xpGEdYzV3KuLDSUnxuD6c36mSZgGnZomreKm/qFrPc6OGS9q4SvnUiLi/znYT\nsuNuxLzjx+8AHgV+lCWr6aSf98LzBCz9kvR+3kL6p2pt0gxF1/QSb6/nGhHXSrqJNB58OVLy/CLw\noazK7Jobp2FHT2Q9gNeR/o6WIc0CtS1wYqRpb/MuI/0z853sXHo7h0oS2q3Kqjnnl/1u7pft/3HS\nDfAHZce6pbDdRqRZ48zm4Vw1H+cq5ypwrnKuarfogpk2uu1FblaVQvkCpJb4LHIzM2XrViYltKdJ\nl6KfJf0y75qrswhpTOvL2T4eK+xjcrbtIrmyQ7K6P6gS5wqkHokXSL0X9wK7NHIu2bpzSR/s+bJN\nSEMH/kRhFp5CvZrnAvxHtv0rpF7Nm8lmmGrgvZ8vpqz8PaQPxHcozLRDunH0iiyWqaRZdn4HbJyr\nszapN+/NLN5zcuven5UVZ9e5rNrxsnUbkm4Mf530YTwG+Ggj55Kteww4r1B2YHa8/67z/myZxVpr\nZqZZwOg67+2sGq/7GvjZ3AqcXaV8HVJv6Oukf9gOYf6ZmfbI6jyX/a4+ShrCtFiuzjiyWbLqnStV\nZoMiJZrfkXrwpmTnumdW74N1zmkh4DBSz/5TpL+/V0k98F+ts91Z2b7nm/Gst7+7WudHGkb1++y9\nmUoal/8XCjNEAR/Ltlu3kb8pvwbvq9bvGc5VNHIuOFfVPZdsnXOVc5VzVR9elelRzczqkrQX6Tkf\nK8W8w1C6UtZDvxewdMzfs1dakn5BSlhbdjoWM7Nu41zVHYZ6rnIDy8wakg2ruI/UM9jb0JC2yhLq\nksA/SUM+tiPdaH5SRHy/k7G1kqQVSL2G20bETb3VNzMbapyrOs+5yvdgmVmDImKWpH2A9TsdSxVv\nke7zeC8paT0OHBER1WZSK7M1gMOGasIyM+uNc1VXWIMhnqt8BcvMzMzMzKxF/KBhMzMzMzOzFnED\ny8zMzMzMrEXcwLK2k/TT7In3SNpb0mxJ76lRd7ak4rM9GjnGlpKOqVK+erbPfZuPvPX6en697O/o\nBuqNl9TUgyZ72d9vJD2eW15R0luSNmjVMczM2qWQpyp5o/iaVfn8lvQvSddV2c8vsroHVFn3gqRL\ncsvVjlE5zvYDeb7dRNJe2T1Urd7vPHlP0oezPLVqq49l5kkurK0kvRfYn/QME0gPYxyIGwF7gKMl\nHRfpwXk2r1a/5/P8HCPiOUm/Ij0/pKfFxzIzGzBV8lTF/wJXFsqeyb5eD+wqaYGImJVbvzlpYoMt\ngDNzx3g/sGy2Xd45pGcXFf2rmXMoub1Jz3I7t8X7nSfvRcS9ksaQHrrc8gadDW1uYFm7HQLcGxH3\nDPBxVPjamp1KCw2m51QMsF8C/5S0QUTc2elgzMwaVCtPPR4Rt9fY5nrgq6QH+94KIGkZ0sNtTwO+\nVKi/Jekf/hsK5ZPqHKNjJA2PiBlVyoeRJkybVWWzMjgLuEzSERHxXKeDscHDQwStbSQNB3YjPcW8\nr/v4jaSns0v7E7LL+w9L2j9X5xigMkxuZmWIRWFXC0gaLWmSpFckXSFplcKxHpd0gaR9JD0kaTrw\nmWzduySdKOkxSdOzr0dKUm77RSWdLulJSdMkPS9pjKT3VTmvb2f7eD0bxrBulTrfkTQxO96kbN+L\nN/Ce7ZzFP03S/ZI+X6PespLOlPRMVvchSV+vUm8bSXdJelvSI5L2q7a/iHgIuB/4Wm8xmpl1g1ye\nurDJTSeQOvS2yJVtAbwBnA6sonmHwm8OvBoR9/Uj3Hlkn+E/l/RU9hn+lKTzJC2Uq7OdpJslTZX0\nqqQ/F3NSloNukPRZSXdLepv0rKbKMMYfSjpc0mPAdOADueM3kkPWyHLr5Kzeo5JOzdaNIzU+P5Yb\nIjm2sO2FSsMrp0m6p1pOazTvAWNIP6O9m3qzzXrhK1jWTpuSHrBX7LFrRgBLkJLfT4DRpEv7v5A0\nMSKuB34FrArsC2wGVBsieARwc7bt8sApwAXA1oV6WwHrAaOAF4AnlB5iOAZ4P3As8ABpKMnRwLuB\n72bb/gT4bHasfwPLAB8DliocYw9gInAQMJw0rO4ySe+vDG+U9H/A/5AS9V+AdYEfAh8iJaOqJH0i\ne6+uBA4FliP1pi6UHbNSb3HgJtJzOY4GngC2Jb2vwyPiZ1m9dYCrgNuBLwMjSD+DxYB3qoQwIXsP\nzMzKoJKnbqyyblj2+T9H5cpNRDwt6UlSo+qkbPXmwC0R8bikZ7J1j+XWVTuGisfIH6cWSUsBt5Dy\ny3Gkzq3lgR1IeWWmpO1I+eM60hW1xbO6N0j6cERMrhwOeB8pVxyXxTwld7i9SQ+RPYw0/HFSEzlk\nDeAO4E3gKFJuHAl8Ktv3gcBvSRcA9iM1Wl/Ptl2VlHueIz1L6iXgK8AfJe0QEX/J6jWU9yrvq6Rb\nSA/8PaHee2zWlIjwy6+2vIDvkf4JXzBXthcwC3hPjW1mA8fmls/N6m+RKxtO+qA9M1d2TFZvWGF/\nq2f7/Huh/LCs/oq5ssdJSWC5Qt09srofK5QfCUwDls2W7wd+3Mt7Mps0tn6BXNlO2f43yZbfne33\n7MK2u2Xbf7awv6NzyzcBDxS22zirNzZX9gNgavHnQBo+8ULlfSQlrReAEbk6q5J6MR+rcn77Ft9X\nv/zyy69ufdXIU5W8MSv7Oju3PCxX7zfAK7nlO4Ejs+8vBM7Jvl8j2/6wwrGrHaNStnQvcR8LzAQ+\nVKfOnVm+yce8BjAjn6uAcdl78MEq+5hNuu9seKG80RxyPqnBtEKdOMcBE6qUnw08DyxVKB8D3J1b\nbijvFd67qZ3+3fNrcL08RNDaaWXg9YiodqWjGVMjYkJlIdK48IdJvWCN+lth+f7sa3Eft0bEi4Wy\nbYEngVslLVB5AdeSGnuVG6PvAPaWdISkjyqNVa/m2pi3d/J+Uq9dJZZNSD1vxSErF5GSYNUrWNnx\nNgAuzZdHxG2k3sXiOd0GPFk4pzGkG7ErQxY3Af4aEdNy+3uGlNCqqbx3K9dYb2bWTerlqeNIn6mV\n14Yx7yRKE4AllIawL04a/VDJVTcyd/hg5f6r4gQXkCa52KDw2hB4FdLnev4zWpozLP2TwB1RY8ih\npEWAjwAX52OOiCdIn9/FPPJERNxPdVfH/PdjNZpDPgn8JSKer7HverYF/gq8kTvGgtkx1pO0WJN5\nr+JFYGFJS/chJrOqPETQ2mkE6UpHXiWJzTckItcgKSa6V6rse3q2/0ZNKSxX4iruYzLzW57U61dt\nsosgDQUE+Ha2/T6k4XyvSDof+H5EvN1ELJUP/XliiTS04eXc+qJlSQ2zaomsWLY88F56P6eV6uxv\njSrllfN8V40Yzcy6SbU8VfFURNxdZ9v8fVgrknJXZcKKG4AzJK2crX8TqLavyb0c4+/MbQwFaYj2\nsaTP6HvrbPfuLLZqOe05YKNiHHX2VSsvNpJDlmHuzIvNWh7YkzTypdYxFqHxvFfhPGUt5waWtdPL\nzH//0QukD/2VgUcK6ypXPfrS09Uq1aYzf5k0Jv1LVJ+l8AmAiHgL+D7wfUmrAV8ETiQl7yOaiGFK\ndpwVgYcqhVnv4DLM30CreImU7Faosm4F5u3Ne5n0Ph9E9XOqTBE8uc7+qqk0/l6qsd7MrJtUy1MN\niYh/S5rM3AbWnZUrPRHxgKRXSI2jyr1ZfXmEyH6ke6cqJmVfXwJWmb/6HK+Q8tmKVdatyPx5pN6j\nPGrlxUZySG9x1vMyqRF7Qo1jTCINp2w071U4T1nLuYFl7TQRGC5p5YioJIXbSL1HOzH/cIkvkj7I\nx/fhWJUeyHeRbsJtpauBHYG3IuLhRjaIiKeBUyXtTjbjUhNuJY2R35k0Nr1iZ9KVv/E1jjlb0h2k\n93FUpVzSxqSrTU/kql8NfAt4OiLqJZlbgM9IelflKlzWePwY8GyV+mtmsT9eZZ2ZWbeplqeaMYE0\nWdKKzB0eWHELaVKG99LHZzxFRLEjsmIMqTPvg9WG9kXEVEl3AV+SNCoiAtJDlEmTQZ3Wl3hyGs0h\nY4AvSFqhzjDB6cy94lU8xibAgxFR6yojTeS9ijWzuGvu06xZbmBZO1WGT2wEXAYQEa9L+l/gWEkj\nSLP+zCDN3vcd4NyI6MsDFh/Mvv63pL8BsyLirl62afSZWReSZlEaK+lk4B+ke6/WAj4H7BAR0yTd\nDFxBuqfqTdIDdz9Ek4k1Il7JjvM/kqaSxqCvSzb7U0RcVWfzY4BrJF1Oei7V8qSkUxzicSppVsAb\ns+ly/wUsSpopcfOIqExx+0PSlbtrJf2INGPUMaQhJtVsTLovYL7np5iZdaH58lQftv8KqYHwv4V1\nNwDH5+pVs0rWGCh6Muo/p+lUYFfguiyn3k+aPW97YP9sRMUPSLMIXiXp56QrYaNIV7dO6eW8etNo\nDjkG+DRwSzY77r9JEyVtGxF7ZHUeBL4h6cuk2QrfyDozjyZ1yt4g6QxSY+ndpE7LNSPia7ljNJL3\nKjam9s/DrG86PcuGX0PrRboac3aV8j1JH5xvkmYiuo80vaoK9c4lJZri9uPIzQxImuL1dNI//u+Q\nGliQZoOaBexb2H5L5p+d8DHgvBrnMZz0Yf8g6QrcS1n8P2DubEnHA3eRktcbpIbYNwv7mQWMLpRV\nYtyzUH4waYjgNNLVop8Ci1XZ3w8KZV/JtnublHR3AMYy/0yKSwInkxLatOy9ux44qFBv6+y83iYl\nx6+Tbsx+rFBvBPAa8I1O/9755ZdffjX6KuapWnmjxrbrZnVnAEsU1m2arXsTWKjKtrPqvA5t4NjL\nAmdm+WEaaTKmc/LHIk2HfhNpZMcrwJ+A/yjsZxxwfY1jzJezcusazSFrMndG2qmk2wN+lFu/Aqkh\n+Fp2vPyMtyuTZiZ8OpcLrwF2LRyj0by3anaMT3f6986vwfVSRL1htmatJWkv0vOhVorcTHQ2+Ej6\nCikRrhoRb3Q6HjOzRjhPDR2SDgf2B94b/ofYWsjTtFu7/ZZ0I+qBnQ7EBtz3gJPcuDKzknGeGgIk\nLUyalOMHblxZq7mBZW0V6XlP+5CGBdggJWkF0v0LJ3c6FjOzZjhPDRlrAD+JiOIzJs36zUMEzczM\nzMzMWsRXsMzMzMzMzFrEDSxrK0mzG3g9NkDHPr5wnNcl/UvSBZK2HohjtlqVc6j2mpVNb9uqYy6Z\n7ffQVu3TzKxbOU/1j/OUmZ+DZe23SWH5MuBe0nMrKs+hGsiH/b1DeiiugEVID3z8MunZIb+OiP0G\n8NitcAbw59zyjsB3Sc/fyj/csdbDKM3MrD7nqf5xnrIhzw0sa6uIuD2/LGk68FJE3NHGGPLHGg+c\nnU3VerykeyLiF+2KpVkR8SzpuR8ASPpI9u29ETGpM1GZmQ0ezlP94zxl5iGC1uUk7SPpPknTJL0g\n6RxJyxXqTJb0K0nfkPSopLcl3S7p440eJyJOJD2U8JDCvteRdKWkVyVNlXRjfpiGpM2yYQnr58q+\nm5UdmSv7QFa2VbZ8QGU7SRdnw0CekfRjSS3r+JB0kqR7s/0/L+lqSesV6rxb0lmSns7e58mS/ipp\ntTr7XVzS2Oz9Xisr20LSeElTJL0p6WFJJ7TqXMzMupHzVP84T9lg5AaWdS1JBwFnA3eTnsL+fWB7\nYKzS8yvytgUOIA1D2AUI4GpJqzdxyL8Ba0laNjv+SOBm4D9IDyL8Mump8NdI6sm2uR14C8iPjd+K\nNL1vvmwb0lPnb8qWK9N3Xkh6yvzngV8BhwKHNRFzTZKGAcsDJwGfBb6axX+jpDVyVc8CPgUcAXwC\n+AbwMLB4jf2uBNwALAVsGhH/zv6ZuIo0/GNX4L+A44ERrTgXM7Nu5DzVP85TNmhFhF9+dewFPA6c\nX6V8IdKH4FWF8m2A2cDXcmWTScljuVzZUsBrwC9zZccDM+rEchAwC/hgtnwG6YN+lVydBYHHgBtz\nZVdX4gQWAF4HfpTFtFBW/mdgbG6b/bPz+F4hhmtJwygaff/2z2JeuYG6w7L3dRJwTK78aeCoOtst\nmcV6KCmJPwaMBRYv/FxmAat2+nfKL7/88quVL+cp5ym//Gr25StY1q0+ACxN6jmbIyL+DjwPbFmo\nf0NEvJir9ypwDbBpE8es3Lxc6bXbPNvvnLHkEfEOcDGwkaThWfFY4OOSFgA2BhYGTiAlis0kKYt3\nXOF4Afy1UHY/MLKJmOuS9FlJN0h6mXTj9HRgBWDtXLU7gG9KOkzSh+vsbgNSz+ZdwHYR8UZu3T9J\nvaHnS/qKpBVbdQ5mZl3KeaoFnKdsMHIDy7rV0qQP9slV1j2Xrc97vkq954FVmjhmZSx35ZhL1zn+\nAqQeM0gJaTFgQ6AHuDMiXgZuJQ3D+Aipp3JslX1NKSxPp0XDFSRtSZr96hlgT2AjUvJ5vHCMfUj/\nIHwLuCsbA/9/khYq7PITwDLAryJiRn5FRDxH6h18Hfg1MEnSXZK2a8W5mJl1IeepfnKessHKDSzr\nVlNIPXXVephWZP4P/BWq1FuB3ExGDfgM8HCWdCoxVDv+SqRhBq9ly3cBb5A+uLdmboIamyubCtzW\nRCyt8CXgxYjYJSKuiog7I+JuUvKZIyJei4j/jog1gbWA04DDSUMt8k4CLgAuk/TJ4sEi4vaI+Dwp\nSW8OvAz8ud5NyGZmJeY81X/OUzYouYFl3eoBUuLYOV8oaRtSQioOY9hc0vK5eu8m3VB8cyMHk3QE\naTjCybm5NecTAAAgAElEQVTi67P9rpirtwApIdxa6R2LiNnABGA70lCPfOLaiHTj7k3ZsI12WoSU\nYOeQtCNzezTnExGPR8T/AU+Qhr/kzY6IvYGLgCskbVtjH7Mi4ibgONIwlPf19QTMzLqY81T/OU/Z\noOTnYFlXioiZkkYDP5F0Dmk8+erAD0lJ7cLCJi8B10o6jnSj6xGk4RH/V9y3pI2zb9/F3Ac4foJ0\no/Gvc1V/DOxGmg1qNKl37yDSEI19C7sdR0p605ibLG8DZpJ6yY6k/a4G9pL0S9L79yHS7FXzDFOR\ndC+px+9B0s3S2wFrkB6qWc1XSQnxMkk7RsTfJO1CepjklcCTpN7Bw0g/l7tae1pmZp3nPNUSzlM2\nKLmBZZ0WzL1Zd94VEadLegP4DmlK29dJH4yHR8T0QvVrSNPknkQaGnEf8KmIeKpQbwHmJpa3SGPX\nbwO2iYjxheM/pfSMkhOAX5JmNroH2DYiri/sd1x2HrdUYouIdyTdSEqKxZ7Meqq+H82KiEskrUma\nznZ3Uuw7AT8vHGMCsAcpWQn4N2n2q98WYopsvwF8XdI7wJ8k7URKejsCo0k9t6+RxvZvk93IbWZW\nVs5TVU69ibq1d+I8ZYOU0u+gWXlJmgxcGRH7dToWMzOzIucps6HF92CZmZmZmZm1iBtYNhjUHL5h\nZmbWBZynzIYQDxE0MzMzMzNrEV/BMjMzMzMzaxE3sMzMzMzMzFrEDSwzMzMzM7MWcQPLzMzMzMys\nRdzAMjMbYtaQQn1/PdHp+M3MbHAre57yLIJmZkOMpD5/8guICLUyHjMzs7yy56kFO3nwMpDkFqiZ\ndZVOJw7rPs5VZtZthnKucgOrATFyZFuPN+rVVxm11FJtPSYARx3V9kOOuuIKRm2/fXsPuuii7T1e\nZtQf/8ionXZq70Hffru9xwNGXX45o3bYoe3HZd99237IUaNGMWrUqLYeU8NaNLK7r/uZPbs1x7eW\nGxK56okn2nu8TCf+1jthqJwn+FwHWktyVYnzlO/BMjMzMzMzaxFfwTIzG4pK3DNoZmZDQInzlK9g\ndaGeESM6HULb9Ky9dqdDaJueddbpdAhtMaR+pj09nQ7BrGOGVK4aIn/rQ+U8wedqA8uzCPZCUrR7\nXHvHdOAerI7o0D1YHdGBe7A6pgP3YHWChg3r943DkiKGD+/btjNmDOkbl7vVkMlVHboHy8ya099c\nVfY85StYZmZmZmZmLeIGlpmZmZmZWYt4kgszs6GoVdO9m5mZDYQS56nyRm5mZmZmZtZlfAXLzGwo\nKnHPoJmZDQElzlPljdzMzMzMzKzL+AqWmdlQVOKeQTMzGwJKnKfKG7mZmZmZmVmXcQPLzMzMzMys\nRTxE0MxsKCrx0AszMxsCSpynyhu5mZmZmZlZl/EVLDOzoajEPYNmZjYElDhPlTdyMzMzMzOzLuMr\nWGZmQ1GJewbNzGwIKHGeKm/kZmZmZmZmXcYNLDMzMzMzsxbxEEEzs6GoxEMvzMxsCChxnipv5GZm\n1hUkbSdpoqSHJR1eo06PpHskPSBpXGHdMEl3S7qiPRGbmdlQ085c5StYZmZDUYt6BiUNA84AtgEm\nAXdIujwiJubqLAn8DPhURDwradnCbg4GHgSWaElQZmZWfi28gtXuXFXKK1iSZkv6UW75MElH97LN\nlpI2rVK+U7a/9QciVjOzQW4j4JGIeDIiZgIXATsU6uwK/DEingWIiJcqKyStCnwG+HWb4m0b5yoz\ns67R1lxVygYWMB3YUdLSTWzTA2yWL5C0GHAQcGvrQjMzK4Fhw/r2mt8qwNO55Weysrz3AUtLGifp\nDkl75NadCnwXiFaeXpdwrjIz66vW5Sloc64q6xDBd4CzgEOBo/Irsst5ZwKrZUWHkC4FHgC8I2k3\n4NsRcRNwHHAC8L02xW1mVirjp09n/IwZ/d3NgsD6wNbAosAtkm4B1gaej4h7JfUA6u+BuoxzlZnZ\nAGtRnoIW5qqyNrCCNEbyfkknFtadBpwSETdLWg24JiLWlXQm8EZEnAIg6SPAqhHxN0lOWmZmVfQs\nvDA9Cy88Z3n0W28VqzwLjMwtr5qV5T0DvBQR04BpkiYA6wEfBbaX9BngXcDiks6PiD1bexYd41xl\nZjbAGshT0OZcVdYGFhHxpqTzSDecvZ1b9QlgHUmV1uVikhbJb5utOwXYK188kPGamXWV1t08fAew\nlqTVgcnAzsAuhTqXA6dLWgBYGNiY1Lj4I3AkpHuPgMMGUeMKcK4yM+uz1k7T3tZcVdoGVuY04G7g\n3FyZgI2zG9jmFmqenLQ48J/A+CyBrQhcLmn7iLi7eJBRr7465/ueESPoGTGiZSdgZlbP+PHjGT9+\nfKfDqCkiZkn6FjCGdF/v2RHxkKT90+o4KyImSroGuA+YBZwVEQ92MOx2c64ys0HNuWpeiijffcWS\n3oiIxbPvTyS1Qs+OiGMl/Ra4NyJ+nK1fLyL+IelQYImIGFVlf+OAQyPinirrIkaOLBYPTkcd1Xud\nwWDRRTsdQfu8/XbvdQaLffftdARtoWHDiIh+XcWQFLHqqn3b9pln+n38ocK5agA88USnIzCzBvQ3\nV5U9T5V1FsF8q/BkYJlc2cHABpL+IekBYP+s/ErgC9kDwj5WZX/+h8HMzFrJucrMbAgq5RDBiFgi\n9/0LwGK55ZdJvYTFbR4h3ahWbX9bD0CYZmbdq7Vj260K5yozs34ocZ4qb+RmZmZmZmZdxg0sMzMz\nMzOzFinlEEEzM+unEg+9MDOzIaDEeaq8kZuZmZmZmXUZX8EyMxuKStwzaGZmQ0CJ81R5IzczMzMz\nM+syvoJlZjYUlbhn0MzMhoAS56nyRm5mZmZmZtZl3MAyMzMzMzNrEQ8RNDMbiko89MLMzIaAEuep\n8kZuZmZmZmbWZXwFy8xsKCpxz6CZmQ0BJc5T5Y3czMzMzMysy/gKlpnZUFTinkEzMxsCSpynyhu5\nmZmZmZlZl3EDy8zMzMzMrEU8RNDMbCgq8dALMzMbAkqcp8obuZmZmZmZWZfxFSwzs6GoxD2DZmY2\nBJQ4T5U3cjMzMzMzsy7jK1hmZkNRiXsGzcxsCChxnipv5GZmZmZmZl3GDSwzMzMzM7MWcQPLzGwo\nGjasb68qJG0naaKkhyUdXmX9lpJelXR39joqt25JSX+Q9JCkf0raeADP2szMyqKFeQram6t8D5aZ\nmfWZpGHAGcA2wCTgDkmXR8TEQtUJEbF9lV2cBvw1Ir4kaUFgkYGN2MzMhpp25yo3sBrx1FOdjqA9\nRozodATt8fbbnY6gffbZp9MRtM9vf9vpCMqldTcPbwQ8EhFPAki6CNgBKCYtFTeUtASweUTsDRAR\n7wCvtyqwIefppzsdgZlZ67R2kou25ioPETQzs/5YBcj/Z/9MVla0qaR7JV0lad2sbE3gJUnnZsMx\nzpL0roEO2MzMhpy25ipfwTIzG4oa7Bkc//rrjH+93xeV7gJGRsRUSZ8GLgPeR8pB6wPfjIg7Jf0E\n+B/gmP4e0MzMSq69eQpamKtqNrAkHd1EQBERxzVR38zMSqBniSXoWWKJOcujJ00qVnkWGJlbXjUr\nmyMi3sx9/zdJP5e0NKkH8emIuDNbfSkw343H9ThXmZkNbQ3kKWhzrqp3BWsU8DbwFlXGIxYE4KRl\nZjb03AGsJWl1YDKwM7BLvoKkFSLi+ez7jQBFxJRs+WlJ74uIh0k3Hz/Y5PFH4VxlZmb1tTVX1Wtg\nPQqsTrpcdhHwp4h4o2/nZGZmXaVFNw9HxCxJ3wLGkO7rPTsiHpK0f1odZwFflPQNYCapMfSV3C4O\nAi6UtBDwGNDszCzOVWZmg1ELJ7lod65SRNReKW1AauF9GVgWuBr4PfCXiBgSU7FJqvMODTLnn9/p\nCNpjxoxOR9A+Q2kWwQsv7HQEbaE99yQiertSU38fUsQmm/Rt21tv7ffxW825KvuZqqt+LANj1qxO\nR2BmDdCwYf3KFWXPU3WbhhFxZ0T8d0SMBLYDniPNIf+CpAslbdGOIM3MrMVa/ADHTnKuMjMbhEqc\npxqOIiImRMSBwGrAmaTLZocMVGBmZmbNcq4yM7NOa3iadkkfIw3B+CKwOGkGjV8MUFxmZjaQuqSX\nr9Wcq8zMBokS56m6DSxJ65MS1VeAFUjj2r8DXBERUwc+PDMzs/qcq8zMrJvUew7Wv0hPLh5LepDW\nnyKiJU/xMjMzawXnKjMz6zb1rmD9BzAN+Cjp6cUnqc4MRRGxfGtDMzOzAVPioRcFzlVmZoNRifNU\nvQbW6LZFYWZm1jfOVWZm1lVqNrAiwknLzGywKnHPYJ5zlZnZIFXiPNXMLILDgGWyxZcjYvbAhGRm\nZtY3zlVmZtZpvTYNJW0v6TrgTdLDG58D3pR0naTPDnSAZmY2AEr8AMdqnKvMzAaZEuep3qZp/xnw\nDeBR0gMbn8xWrQ78F3C5pF9ExLcGNEozM7ManKvMzKyb1JumfW9gP+BA4KziMAtJhwFfB86QdHtE\nnD+QgZqZmRU5V5mZWbepdwXrG8DpEXFmtZUREcBZktYhJTYnLTOzsuiSYRQt4FxlZjYYlThP1Yv8\nP4G/NLCPK4EPtCYcMzOzpjhXmZlZV6l3BWsWMLyBfQzP6pqZWVmUuGewwLnKzGwwKnGeqhf5XcDO\nDexj56yumZlZuzlXmZlZV6l3BetU0sxLzwLHR8Sb+ZWSFgWOAPYAth+4EM3MrOVK3DNY4FxlZjYY\nlThP1WxgRcSVko4A/hc4QNI45p36tgdYCjgyIq4a6EDNzMyKnKvMzKzb1G0aRsSJwMbAFcCHSTMw\nHZh9fwWwUVanrSTNlvSj3PJhko7uZZstJW2aW95f0n2S7pE0QdL7BzJmMzMbGM5VZmbWTeo+aBgg\nIu4C9mlDLM2YDuwo6fiImNLgNj3Am8At2fKFEfFLAEmfIw0z+XSrAzUz60olHnpRjXOVmdkgU+I8\n1e/IJS0h6fBWBNOEd4CzgEOrxLOspEsl3Za9NpW0OnAAcIikuyV9rDBOfzFgdnFfZmY2ODhXmZlZ\nu/R6BUvSisBqwJMR8UKufBXgO8DXgRFAO4dfBPAz4H5JxeOeBpwSETdLWg24JiLWlXQm8EZEnFKp\nKOlAUuJbCNi6TbGbmXVeiXsGq3GuMjMbZEqcp2o2sCQtC/wO2CYrmi3pl8DBwA+BQwAB5wEnDHCc\n84mINyWdl8Xzdm7VJ4B1JClbXkzSIjX28XPg55J2Bn4A7F2t3qjc9z3Zy8ysHcY/9BDjH3qo02F0\nLeequUZFzPm+B+iZs2szs4E1fvx4xo8f3+kwuka9K1g/JN00fCTwD9JsTP8DrA9sApwDHBMRzw50\nkHWcBtwNnJsrE7BxRMzMV1T9RHMxcGatlaP6Hp+ZWb/0rLMOPeusM2d59GWXtWbHJe4ZLHCuyoxy\ng8rMOqSnp4eenp45y6OPPbb/Oy1xnqoX+bbA9yPixIi4OrvJdjdSwjohIr7WwYQlgIh4BbgE+Gpu\n3RhST2GqKK2XffsGsESufK3cNp8FHh6oYM3MBjNJ20maKOnhevc5SdpQ0kxJO+bKviPpgWymvAsl\nDW/y8M5VZmbWq3bmqnoNrFVJPW55d2Zfr+ztJAZY5L4/GVgmV3YwsIGkf0h6ANg/K78S+ELlxmHg\nW9kbdTdpCMlebYrdzGzQkDQMOIPU0PlPYJdqU4ln9U4ArsmVrQx8G1g/Ij5EGlWxc5MhOFeZmVld\n7c5V9YYILgDMLJTNyr5Oq38aAysilsh9/wJpZqXK8stUOemIeARYL1d000DGaGbW1Vo39GIj4JGI\neBJA0kXADsDEQr1vA5cCGxbKFwAWlTQbWASY1OTxnavMzAaj1g4RbGuu6m0WweMl5Z/dURngfZKk\nV3LlERFf6WVfZmY2+KwCPJ1bfoaUyObIev8+HxFbSZqzLiImSToZeAqYCoyJiOv6EINzlZmZ1dPW\nXFWvgTWB1FpbrlB+fbZdsdzMzMqivTcP/wTIj3cXgKSlSD2IqwOvAZdK2jUiftfEvp2rzMwGo/ZP\nctGyXFWzgRURPS0J1czMSmv8iy8y/sUX61V5FhiZW141K8vbALgom5J8WeDTkmYCw4HHImIKgKQ/\nAZuRpl1viHOVmdnQ1kCegjbnql4fNGxmZoNQgz2DPSusQM8KK8xZHj2xOFydO4C1JK0OTCbdV7RL\nvkJEvKfyvaRzgSsj4opsCMYmkkYA00nPsrqj6XMxM7PBp3V5Ctqcq2pGnk1h+KFC2b6Slq63QzMz\nGzoiYhbwLdK04/8ELoqIhyTtL2m/apvktr2ddDPxPaRnWAk4q5njO1eZmVlv2p2r6l3BWgsYUVmQ\ntADwK+BeYEqtjczMbGiJiKuBtQtlv6xRd9/C8mhgdD8O71xlZma9ameuanaIoB8Tb2Y2GLT/5uF2\ncq4yMyu7Euep8kZuZmZmZmbWZXq7gjVC0iKFuvmyOSJiaksjMzOzgVPinsEqnKvMzAabEuep3hpY\n46qU3VCj7gL9jMXMzKwvnKvMzKxr1Gtg7dO2KMzMrL1K3DNY4FxlZjYYlThP1XvQ8HntDMTMzKxZ\nzlVmZtZtyts0NDMzMzMz6zLNTtNuZmaDQYmHXpiZ2RBQ4jxV3sjNzMzMzMy6jK9gmZkNRSXuGTQz\nsyGgxHmqvJGbmZmZmZl1mYauYEm6AJgA3BgRDw1sSGZmNuBK3DNYi3OVmdkgUuI81egQwaWAE4Cl\nJE0BbiQ9xPEG4O6ImDVA8ZmZmTXKucrMzDquoaZhRHwOWBb4CDAamA4cBtwKvCrp2gGL0MzMrAHO\nVWZm1g0anuQiIgK4D7hP0l+ALYB9s69bD0x4ZmY2IEo89KIe5yozs0GixHmq0XuwPgBsnr22AFYg\nJbAbgNOzr2ZmZh3jXGVmZt2g0StY9wFvA+cCXwVuiYjXBywqMzMbWCXuGazDucrMbLAocZ5qtIF1\nMfBx4ABgU+AGSROACRHx0kAFZ2Zm1gTnKjMz67iGGlgRsQuApDVJwy42J83U9F5J/wKuj4hvDFiU\nZmbWWiXuGazFucrMbBApcZ5qeJILgIh4HHhc0l3A3cCXSUlsbWDwJq3ll+90BO0xe3anI2iPffbp\ndATtc8EFnY7ArO2GbK5abrlOR2BmZjQ+ycUmzL1x+GOkZ41MAW4CvotvHDYzsw5zrjIzs27Q6BWs\nm4FnScnp+8ANEfHPAYvKzMwGVomHXtThXGVmNliUOE812sB6T0Q8MZCBmJmZ9ZNzlZmZdVyjk1w8\nASBpOPBBYGnSsIv7I2LGgEVnZmYDo8Q9g7U4V5mZDSIlzlMNRy7pe8DzwO3ANdnX5yV9d4BiMzMz\na4pzlZmZdVpDDSxJhwDHA78DtgLWyb7+Djhe0kEDFqGZmbXesGF9e1UhaTtJEyU9LOnwWoeUtKGk\nmZJ2bHbbRjhXmZkNIi3MU9DeXNXoPVjfBE6IiO/nyv4FTJD0KnAQ8NMG92VmZoOEpGHAGcA2wCTg\nDkmXR8TEKvVOIF1VamrbJjhXmZnZfNqdqxodIrgaMK7GuvHAqg3ux8zMBpeNgEci4smImAlcBOxQ\npd63gUuBF/qwbaOcq8zMrJq25qpGG1hPAZ+qse6T2XozMyuL1g29WAV4Orf8TFY2h6SVgc9HxC8A\nNbNtk5yrzMwGi9YOEWxrrmp0iOBPgZ9KWprUqnseWB74ErA3cHCD+zEzsxIZ/8wzjH/mmf7u5idA\nv+6vapBzlZnZENOiPAUtzFWNTtN+hqTpwDHAvkCQWnaTgAMi4tetCMbMzNqkwelve0aOpGfkyDnL\no2+/vVjlWWBkbnnVrCxvA+AiSQKWBT4t6Z0Gt22Yc5WZ2SDSujwFbc5VjV7BIiJ+JenX2U5XAiYD\nz0RENLoPMzMbdO4A1pK0Oikv7Azskq8QEe+pfC/pXODKiLhC0gK9bdss5yozM6uirbmq4QZWduAg\njUF8ure6ZmbWxVr0AMeImCXpW8AY0n29Z0fEQ5L2T6vjrOImvW3bgpicq8zMyq6FDxpud66q2cCS\ndGBzcccvmqhvZmaDRERcDaxdKPtljbr79rZtM5yrzMysEe3MVfWuYJ3R6E5IrTwnLTMzazfnKjMz\n6yo1G1gR0brrcmZm1l1aOPSik5yrzMwGqRLnqfJGbmZmZmZm1mVqNrAkjZG0dqFsa0mLDnxYZmY2\noFr7AMeOca4yMxukSpyn6kXxCWDJykI2ReG19ONmZDMzsxZzrjIzs67S1DTtpAc2mplZ2XVJL98A\nca4yMyu7Euep8kZuZmZmZmbWZXprYEWDZWZmZp3iXGVmZl2jtyGC10h6p1D29yplRMTyrQvLzMwG\nVImHXlThXGVmNtiUOE/Va2CNblsUZmZmfeNcZWZmXaXeg4a7NmlJmg2cHBHfzZYPAxaNiGPrbLMl\nMCMibsmWvwN8DZgJvAjsGxFPD3jwZmbdoMQ9g3nOVWZmg1SJ81RDkUs6R9KaNdatLumc1obVq+nA\njpKWbmKbHmCz3PLdwEcj4sPAH4EftS48MzNrN+cqMzPrBo02DfcGlquxbllgr5ZE07h3gLOAQ4sr\nJC0r6VJJt2WvTSWtDhwAHCLpbkkfi4jrI2JattmtwCrtC9/MrMNK/ADHOvbGucrMbHAocZ5q5jlY\ntWZk+gBp2EI7BfAz4H5JJxbWnQacEhE3S1oNuCYi1pV0JvBGRJxSZX9fBf42sCGbmVkbOFeZmVlH\n1WxgSToYODhbDOAySdML1UYAKwC/GZDo6oiINyWdR4rx7dyqTwDrSKo8aHIxSYvU2o+k3YGPAlvW\nqjPqzTfnfN8zfDg9w4f3J3Qzs4aNf+ghxk+c2OkwupZz1VzOVWbWKePHj2f8+PGdDqNr1LuC9SBp\nvLdIwxvGAZMLdWYAE4FLBiS63p1GGp9+bq5MwMYRMTNfcW4Om6fsE8ARwBbF+nmjFlusJcGamTWr\nZ5116FlnnTnLoy+/vDU77pJhFC3gXJVxrjKzTunp6aGnp2fO8uhja87l07gS56l6swheC1wLIOkN\n4FcRMaldgfVCABHxiqRLSMMmzs7WjSH1FP4YQNJ6EfEP4A1giTk7kD4CnAlsGxEvtzF2MzNrEecq\nMzPrNo02Dc8BVqy2QtL62fjxdsqPsT8ZWCZXdjCwgaR/SHoA2D8rvxL4QuXGYeAkYFHgD5LukXRZ\nm2I3M+u8Et88XIdzlZnZYFHiPNXoJBe/AB4mDXEo2hVYG/hcq4LqTUQskfv+BWCx3PLLwM5VtnkE\nWC9X9MmBjNHMzNrOucrMzDqu0WbeJsDYGuvGZevNzKwsStwzWIdzlZnZYFHiPNVoFItQe+pbSMMX\nzMzMOsm5yszMOq7RBtb9wC411u0C/LM14ZiZmfWZc5WZmXVco/dgnQD8UdLCpOeITAZWAvYCdspe\nZmZWFl0yjKLFnKvMzAaLEuephhpYEfFnSXsBx5MSVJCmn30W2D0iPKuRmZl1lHOVmZl1g4abhhFx\nAbAasC6wRfZ1ZET8foBiMzOzgdLCm4clbSdpoqSHJR1eZf322XTk90i6PZt+HEmrShor6Z+S7pd0\nUH9Py7nKzGyQaPEkF+3MVY0OEQQgIgKYWAjm48AuEfHNZvZlZmblJ2kYcAawDTAJuEPS5RGRzxXX\nRcQVWf0PApcA6wDvAIdGxL2SFgPukjSmsG3TnKvMzCyv3bmqT4MbJX1E0kmSngQmUOVZHmZm1sVa\n1zO4EfBIRDwZETOBi4Ad8hUiYmpucTFgdlb+XETcm33/JvAQsEqrTtG5ysysxFp7BautuarhBpak\n90k6RtJE4E7gsOwAO5FuIjYzs6FnFeDp3PIzVEk8kj4v6SHgSmDfKuvXAD4M3NafYJyrzMysirbm\nqroNrGzM4X9LuouUoI7KgjuUdOPw/0XEnyNiRr39mJnZ0BYRl0XEOsDngR/m12VDLi4FDs56B5vi\nXGVmZq3QqlxV8x4sSROAzUjJ6VbgYOCSiHhB0pLAqf07BTMz65gGp78d/+9/M/7RR+tVeRYYmVte\nNSurKiJulPQeSUtHxBRJC5IS1gURcXlDQeU4V5mZDVKty1PQ5lxVb5KLj2df/w6cCPw9u3HYzMyG\niJ611qJnrbXmLI++9tpilTuAtSStTnru1M4UHvYr6b0R8Wj2/frA8IiYkq0+B3gwIk7rY4jOVWZm\nQ1gDeQranKvqNbC2yg78ReAa4EVJfyDdFPbPRnZuZmZdqkUPcIyIWZK+BYwhDTs/OyIekrR/Wh1n\nATtJ2hOYAbwNfBkgmwJ3N+B+SfeQnlt1ZERc3UQIzlVmZoNRCx803O5cVbOBFRHXA9dnwWxLSmB7\nAQcCz2c7X62/J2xmZuWWJZm1C2W/zH1/EnBSle1uAhbo57Gdq8zMrFftzFW9Ng0j4p2IuCoidgeW\nJyWv20itu/Ozh27N97AuMzPrYi1+gGOnOVeZmQ0yJc5TTUUREdMi4pKI+AKwAvA10g1ixw1EcGZm\nZs1yrjIzs07qczMvIl6PiHMj4lOkmTjMzMy6inOVmZm1W71JLhoWES+0Yj9mZtYmXTKMop2cq8zM\nSqTEeaq8kZuZmZmZmXWZllzBMjOzkilxz6CZmQ0BJc5T5Y3czMzMzMysyzTUwJK0YS/r92xNOGZm\n1hYlnv62FucqM7NBpMR5qtEorpb0oWorsoc7nt26kMzMzPrEucrMzDqu0QbW74BrJb0/XyjpSOBU\nYP9WB2ZmZtYk5yozM+u4hia5iIhvSxoB/F3SFhHxqKTjgUOB3SPi4gGNstOWXrrTEbTHHnt0OoL2\nuPDCTkfQPgst1OkI2mf69E5HUC5dMoyilZyrhkiuMrOhocR5qplZBPcDzgfGShoL7AzsFBF/GZDI\nzMzMmudcZWZmHdVwAysiQtJewEXATsBnImLcgEVmZmYDp8Q9g/U4V5mZDRIlzlM1G1iSXgSixjbD\ngYslzSmMiOVbHp2ZmVkdzlVmZtZt6l3B+hnVk5aZmZVdiXsGC5yrzMwGoxLnqZoNrIgYBSBpGLAS\n8Akbx04AACAASURBVFpEvNmmuMzMzHrlXGVmZt2mkabhMOAJ4OMDG4qZmVmfOVeZmVlX6HWSi4h4\nR9KTwCJtiMfMzNqhxEMvqnGuMjMbZEqcpxqN/ETg+5KWHchgzMzM+sG5yszMOq7Rado/RRrb/qSk\nu4Dnmfem4oiIr7Q6ODMzGyAl7hmsw7nKzGywKHGearSBtSzwr/9v787D5CrrtI9/7wARQiQOYJAt\ngUmACK+AgIhAoBUXXFgEURgdEETjgsDoKDK8CpFxZlxAWXQCCrw6okBwLgUFBTHNphEkCCQQSMAB\nwhL2ISwJWX7vH8/p5HTRXV1ddWo5Xffnus7VdfbfqXTq7vOc55yqGDczM+skziozM2u7mk6wIuLt\nzS7EzMxaqMQtg4NxVpmZjSAlzqm6Kpe0TtGFmJmZFclZZWZm7VDzCZakPSVdLWkJsFTSEklXSXpb\nE+szMzOrmbPKzMzaraYugpLeBfyG1Lf926QbhzcBPgT0Snp/RPy+aVWamVmxStz1YjDOKjOzEaTE\nOVVr5d8ArgB2jIivR8R52c8dgV8D/9a0Cs3MrKNJ2l/SfEn3STppgPn/IOmObLhJ0psq5o+SNEfS\nFQ2W4qwyM7MBtTKraj3BehPww4iIAeadn803M7OyGDWqvqGCpFHAucB7gB2AIyRNqVjsAWCfiNgJ\n+FfghxXzTwDuLuConFVmZiNFQTkFrc+qWk+wngMmDTJvUjbfzMy6z+7Agoh4MCKWA5cAB+UXiIjZ\nEfG/2ehsYPO+eZK2AN4H/KiAWpxVZmY2kJZmVa3fgzUT+HdJzwOXR8RSSeuS+rX/G/DjGrdjZmad\noLi+7ZsDD+fGF5GCbDDHAlfnxr8LfAkYV0Atziozs5Gi2HuwWppVtZ5gnQRsRAqnH0t6ARibzft5\nNt/MzGxQkt4OHA3snY2/H1gcEX+V1AOowV04q8zMrCFFZFWtXzT8MvBRSacDbwE2BR4Dbo2I+fWV\nb2Zmna533jx6767a5fwRYEJufItsWj+SdiTdB7V/RDybTd4LOFDS+4D1gNdK+klEHFlPrc4qM7Pu\nU0NOQYuzSgPfC2x9JEVMqbwHboSaN6/dFbTGxRe3u4LWWWutdlfQOsuWtbuCltAxxxARDV3pkRRx\n2WX1rfvhD/fbv6S1SI9F3490MnMLcERE3JNbZgJwHfCPETF7kJr2Bb4YEQfWVViX65qsGvqPKDPr\nABo1qqGsKjKnsu21NKtq/R6sRcANwI3AjRExt5b1zMxsZIuIlZKOA64hPTjpgoi4R9K0NDvOB74K\nbAj8QJKA5RFRre97XZxVZmY2kFZnVa33YH0XmAqcDmwo6VngZrIQA/4SESvqKcDMzNqgwJuHI+K3\nwHYV087Lvf4k8MkhtnE9cH2DpTirzMxGioK/aLiVWVVT5RFxRkQcHBEbAzsCpwAvAJ8nhZcffWtm\nZm3lrDIzs05Qz6nhy8DSbFhGepLGQ0UWNRRJqyR9Ozf+RUlfG2KdfSW9LTc+VdJtkpZLOqSZ9ZqZ\ndZwCv8CxQzmrzMzKrMQ5VVMVko6TdKmkR4H5wOdILYFfAsZHxPZNrHEgy4BDJG04jHV6gD1z4w8C\nRwFd9MQDM7ORy1llZmadoNZ7sM4mtQZeAHwrIhY1r6SarCA9QvELwP/Nz5C0MTAD2DKbdCLwKPBp\nYIWkjwKfj4ibs+X9GEUzs5HBWWVmZm1X6wnWZ0g3Dh8EfFbSXNKTmm4AboiIJ5pU32AC+D5wl6Rv\nVsw7CzgzIv4oaUvgdxGxvaQZwJKIOLPFtZqZdZ4O6UZRMGeVmdlIUeKcqvWLhs8DzgOQNJEUYPuQ\nntS0raT7IuKNTaty4JpekPRj4ARSi2WfdwJvzB6vCDBW0phW1mZmZq3nrDIzs05Q6xWsvNdVDAI2\nL7KoYTgLmANclJsm4K0RsTy/4JoMG77Tnnxy9eueMWPoWX/9urdlZjYcvfPn0zt/fvEbLnHLYI2c\nVc4qM2uR3t5eent7i91oiXOq1i8a/gqpJXBPYBzwFHAT8E3Sd4vc3qwCBysJICKelXQZ8AlSn3tI\nXyB2AvAdAEk7RcQdwBJgg2rbG8xpr399ETWbmQ1bz5Qp9EyZsnp8+hVXtLGazuasclaZWXv09PTQ\n09Ozenz617/evmI6QK2nhp8GngFOAraPiPERcUhEfC8ibouIVc0rcUD5m33PADbKTTsB2E3SHVn/\n+2nZ9CuBD0qaI2kvSbtJehj4EDBD0l2tKt7MrO1K/PjbKpxVZmYjRYlzqtZ7sLZqch3DEhEb5F4/\nAYzNjT8NHD7AOguAnSomb1m5nJmZlZOzyszMOkGt34O1UtLug8zbVdLKYssyMzMbHmeVmZl1glof\nclGt3/c6pO/6MDOzsuiQbhQFc1aZmY0UJc6pQU+wJE0AtspNerOkdSsWW5f0DfN/K740MzOz6pxV\nZmbWaapdwToaOJV0Q24A/znIci8DxxZcl5mZNVOJWwYrOKvMzEaiEudUtROsHwCXk7pc3Al8NPuZ\n9wrwUEQsa055ZmZmVTmrzMysowx6ghURTwJPAkjaGngsIl5pVWFmZtZEJW4ZzHNWmZmNUCXOqZoq\nj4gHAUn6jKQLJF0jaRvSxI9IemNTqzQzMxuCs8rMzDpBTU8RlLQtcC0wDrgN6AFem82eCrwfOLIJ\n9ZmZmdXEWWVmZp2g1se0nw08BBwAvEDqz97neuCbBddlZmbNVOKuF1U4q8zMRooS51StJ1hTgcMi\n4jlJa1XMWwxsWmxZZmZmw+asMjOztqv1BGspsN4g8zYHniumHDMza4kStwxW4awyMxspSpxTtVZ+\nLfAvksblpoWk1wCfB64qvDIzM7PhcVaZmVnb1XoF60vAzcBCUoAF8DVgB2A0cEhTqjMzs+Yocctg\nFc4qM7ORosQ5Vetj2h8GdgJmAFsB95P6ss8Edo2Ix5tVoJmZWS2cVWZm1glqvYJFRDwLfDUbzMzM\nOo6zyszM2q28197MzKx+o0bVNwxA0v6S5ku6T9JJA8zfTtIfJS2V9IWKeeMkzZR0j6R5kt7apCM2\nM7MyKTCnoLVZNegVLEl/qOHQ+0RE7DeM5c3MbASQNAo4F9gPeBS4VdKvImJ+brGnSQ+ZOHiATZwF\nXBURh0laGxgzzP07q8zMrKpWZ1W1LoJP11DvpsCepBuJzcysLIq7eXh3YEFEPAgg6RLgIGB1aEXE\nU8BTkj6QX1HSBsDUiPh4ttwK4Plh7t9ZZWY2EhX7kIuWZtWgJ1gRcdhg8yRNAE4CPgA8BXy36iGZ\nmdlItTnwcG58ESnIarE1KcwuIj2c4i/ACRHxcq07d1aZmVkNWppVNT/kAkDSZOBk4GPAE9nr84YT\nhmZm1gFqbBnsnTOH3jlzmlXF2sAuwOci4i+Svgd8BTi1kY06q8zMRoDOyCmoI6tqOsGStANwCnAY\n6ezvBODCiHil4ZLNzKxj9eyyCz277LJ6fPqFF1Yu8ggwITe+RTatFouAhyPiL9n45aQrTnVxVpmZ\ndZ8acgpanFVVTw0l7Srpv4E7SWduxwLbRMQMB5aZmQG3ApMlTZQ0GjgcuKLK8up7ERGLgYclbZtN\n2g+4e7gFOKvMzGwILc2qak8RvBp4N3AXcHhEzKytfjMz63gF3TwcESslHQdcQ2q0uyAi7pE0Lc2O\n8yVtQuqz/lpglaQTgO0j4gXgeOBiSesADwBHD2f/ziozsxGqwIdctDqrFDHwQ5UkrcpePgOsGnCh\n/oWPr+kIS0ZSxJQp7S6jNebNa3cFrXHxxe2uoHXWWqvdFbTOsmXtrqAldMwxRISGXrLKNqSI2bPr\nW3ePPRref5GcVUnXZNXdw77AaWZtoFGjGsqKsudUtXuwpresCjMza61iH3/bTs4qM7ORqMQ5Ve0x\n7Q6tPnPntruC1jjjjHZXYEUbPbrdFbTOihXtrsDawFmV0w1Z9Z3vtLsCa4ZuySrnVNcY1mPazcxs\nhChxy6CZmXWBEudUeSs3MzMzMzPrMD7BMjMzMzMzK4i7CJqZdaMSd70wM7MuUOKcKm/lZmZmZmZm\nHcZXsMzMulGJWwbNzKwLlDinylu5mZmZmZlZh/EVLDOzblTilkEzM+sCJc6p8lZuZmZmZmbWYXyC\nZWZmZmZmVhB3ETQz60Yl7nphZmZdoMQ5Vd7KzczMzMzMOoyvYJmZdaMStwyamVkXKHFOlbdyMzMz\nMzOzDuMrWGZm3ajELYNmZtYFSpxT5a3czMzMzMysw/gEy8zMzMzMrCDuImhm1o1K3PXCzMy6QIlz\nqryVm5mZmZmZdRhfwTIz60Ylbhk0M7MuUOKcKm/lZmZmZmZmHcZXsMzMulGJWwbNzKwLlDinylu5\nmZl1BEn7S5ov6T5JJw2yzNmSFkj6q6Sdc9P/SdJcSXdKuljS6NZVbmZm3aKVWeUTLDMzq5ukUcC5\nwHuAHYAjJE2pWOa9wKSI2AaYBszIpm8GfB7YJSJ2JPWqOLyF5ZuZWRdodVa1/ARL0kpJcyTdnv2c\nIGlfSVfWub2jJJ1TMW2WpF2GWO8iSYfUs08zs9IbNaq+4dV2BxZExIMRsRy4BDioYpmDgJ8ARMSf\ngXGSNsnmrQWsL2ltYAzwaDMOd7icVWZmbVZcTkGLs6od92C9GBH9AkXS1kA0sM1G1jUzs/ptDjyc\nG19ECrJqyzwCbB4RcySdATwEvARcExG/b2axw+CsMjMbOVqaVe3oIqiqM6Uxki6QNFvSbZIOyKaf\nKOmC7PWbsj6Q6w65M2lJ7vWhki7KzX6XpFuz/pjvr/N4zMzKp9iWwbpIeh2pxXAisBkwVtI/FLqT\n+jmrzMzaqQNyCurLqnZcwVpP0hxSeD0QEYdWzD8FuC4iPiFpHHCLpN8DZwGzJB2cLfOpiFgqCeBw\nSXtn6wuYlNteZYthfnxiRLxF0uRs25Mi4pVCjtLMbATo/dOf6P3Tn6ot8ggwITe+RTatcpktB1jm\nnaQceAZA0n8DewI/a7DsIjirzMxKoIacghZnVTtOsF6q7HZR4d3AAZK+lI2PBiZExL2SjgbuBGZE\nxOzcOpdExPF9I5Jm5eZVa4W8DCAiFkq6H5iSbb+f06ZPX/26Z9996enpqbJJM7Pi9C5cSO/99xe/\n4Rpb+Xr22ouevfZaPT79e9+rXORWYLKkicBjpBt/j6hY5grgc8ClkvYAnouIxZIeAvbIrvAsA/bL\nttcJnFVmZjVqSlYVl1PQ4qzqxO/BEnBoRCwYYN62wBLS5bla5VsBK7tp5OeJQfrHn3bqqcPYnZlZ\ncXomT6Zn8uTV49OvvbaN1bxaRKyUdBxwDanb+QURcY+kaWl2nB8RV0l6n6SFwIvA0dm6t0i6HLgd\nWJ79PL89RzJsziozs4yzqr92nGBV7dcO/A44nvQ4RCTtHBF/zbpgnAXsA5wr6dCI+EUN+3tc0nbA\nAuCDwPO5eYdJ+gnw98DWwL3DOxQzM4uI3wLbVUw7r2L8uEHWnQ5MH2hemzmrzMxGkFZmVTtOsIZ6\nitLpwPck3Uk6w3wAOBA4Ezgn6yJxLPAHSdfXsI+Tgd8ATwB/Acbm5j0E3AK8FpjmPu1m1jWacCPw\nCOOsMjNrpxLnlCL81NhqJEWsWNHuMlrjzDPbXYEVbXTVLxofWbrk/6n++Z+JiKGurlTfhhTxaH1f\nN6XNNmt4/1a8rskq59TI1C1Z1Q3/RzONZlXZc6oT78EyM7NmK3HLoJmZdYES51R5KzczMzMzM+sw\nvoJlZtaNStwyaGZmXaDEOVXeys3MzMzMzDqMT7DMzMzMzMwK4i6CZmbdqMRdL8zMrAuUOKfKW7mZ\nmZmZmVmH8RUsM7NuVOKWQTMz6wIlzqnyVm5mZmZmZtZhfAXLzKwblbhl0MzMukCJc6q8lZuZmZmZ\nmXUYn2CZmZmZmZkVxF0Ezcy6UYm7XpiZWRcocU6Vt3IzMzMzM7MO4ytYZmbdqMQtg2Zm1gVKnFPl\nrdzMzMzMzKzD+AqWmVk3KnHLoJmZdYES51R5KzczMzMzM+swPsEyMzMzMzMriLsImpl1oxJ3vTAz\nsy5Q4pwqb+VmZmZmZmYdxidYHai3t7fdJbRM7/33t7uElumWY+1dsKDdJbRM78KF7S6hfqNG1TeY\nZZxVI0+3HCc4q0qhxDnVGVVYP73XX9/uElqmqz7Mu+RYS/tBXodu+Tc1G4izauTpluMEZ5U1l0+w\nzMy6UYEtg5L2lzRf0n2SThpkmbMlLZD0V0k7D2ddMzPrQgVfwWplVvkhF7bGJpu0fp9jx7Znv+3Q\njmNdZ53W7g9g/fVh/PjW73fFitbvs13H2kEkjQLOBfYDHgVulfSriJifW+a9wKSI2EbSW4EZwB61\nrGvWT7vyoluyql3H2S1Z1Y6cAmcVrc8qRUQTD6f8JPkNMrOOEhFqZH1JEXUGvdZeu9/+Je0BnBoR\n783Gv5JKjG/mlpkBzIqIS7Pxe4AeYOuh1rXaOKvMrNM0klVF5lS2vZZmla9gDaHRP2TMzDpScTcC\nbw48nBtfBOxewzKb17iu1cBZZWYjTrEPrGhpVvkEy8ys+zyoUaMm1rnu4gL275MBMzOrpt05BQ1k\nlU+wzMy6TERsVeDmHgEm5Ma3yKZVLrPlAMuMrmFdMzPrMgXnFLQ4q/wUwYJIWilpjqTbs58TJO0r\n6co6t3eUpHMqps2StMsQ610k6ZB69lkUSaskfTs3/kVJXxtinX0lvS03PlXSbZKWt/t4qinoWP9J\n0rzsiTXXStqy2vrtUNBxTpN0Z/Z/5AZJU5pZc72KONbc9EOz7VX9f1tytwKTJU2UNBo4HLiiYpkr\ngCNhdT/45yJicY3rWoGcVf1q6Iqs6pacAmeVs6qqlmaVT7CK82JE7BIRb85+PpRNb+TG47LetLwM\nOETShsNYpwfYMzf+IHAUcHGBdTVDEcc6B9g1InYGfgF8e6CV2qyI47w4InaMiDeTjvG7BdZXpCKO\nFUljgeOB2cWV1nkiYiVwHHANMA+4JCLuyf5I+VS2zFXA3yQtBM4DPltt3TYcRjdxVq3RLVnVLTkF\nzqqh9OCsaklW+QSrOFX7aUoaI+kCSbOz1q4DsuknSroge/2mrNVk3SF3Ji3JvT5U0kW52e+SdKvS\n8/rfX+fxNGIFcD7whcoZkjaWdLmkP2fD2yRNBD4NnJi1qO4VEQ9FxFw6P7iLONbrI2Jpttps0s2U\nnaaI43wht9pYYFVrSh+2ho81W/x04D9IITiiRcRvI2K7iNgmIv4jm3ZeRJyfW+a4iJgcETtFxJxq\n61pTOavW6Jas6pacAmcV4KwaTCuzyvdgFWc9SXNI4fVARBxaMf8U4LqI+ISkccAtkn4PnAXMknRw\ntsynImKpJIDDJe2drS9gUm57lR/m+fGJEfEWSZOzbU+KiFcKOcraBPB94C5JlY+wPAs4MyL+qNTF\n4HcRsb3SozGXRMSZLayzCEUf6yeAq5tbcl0KOU5JnyWFwTrAO1pU+3A1fKyS3gxsERFXS/pyS6s3\nq85Z1b+WbsiqbskpcFb1cVa1mU+wivNSRFTru/pu4ABJX8rGRwMTIuJeSUcDdwIzIiJ/ifaSiDi+\nb0TSrNy8aq2QlwFExEJJ9wNTsu23TES8IOnHwAnAy7lZ7wTeqCyVgbGSxrSytqIVdaySPgbsCuzb\ntGIbUMRxRsQPgB9IOhz4KvDxJpZct0aONZt3Jqnb0OrJzazXbBicVTndklXdklPgrMo4q9rMJ1it\nI+DQiFgwwLxtgSXAZsPYXr4VsLKbRn6eaF/XhbNI/bbzXUIEvDUilucXXPMZUFoNHaukdwInA/tU\nLt9hivo3vZT0DemdrN5jfS2wA9CbBdgbgF9JOjDf3cCsQzmrkpGYVd2SU+Cscla1me/BKs5Qn7q/\nI91EmBaWds5+jiP959gH2EhSZXeNwTwuaTtJo4APVsw7TMkk0rdP31vjNosigIh4ltRC+YncvGtI\nLS1pQWmn7OUSYINq2+tQDR9rdol+BnBgRDzd7ILrVMRxTs6t8wHgvmYV26CGjjUino+I8RHx9xGx\nNel+hQMcWNYhnFVrdEtWdUtOgbOqj7OqzXyCVZyhWt5OB9ZRujF4LvD1bPqZwDkRsRA4Fvh3SRvX\nsI+Tgd8ANwGPViz3EHBLNn9ai/u0Q/86zwA2yk07AdhN0h3Z+zAtm34l8EFlN15K2k3Sw8CHgBmS\n7mpV8cPU8LEC3wLWB2YqPRb2ly2qfTiKOM7jJM1Vuv/jRPp3S+gkRRxr5fY69Q8v6z7OqjW6Jau6\nJafAWeWs6hCK6OQH35iZmZmZmZWHr2CZmZmZmZkVxCdYZmZmZmZmBfEJlpmZmZmZWUF8gmVmZmZm\nZlYQn2CZmZmZmZkVxCdYZmZmZmZmBfEJljVE0qmSVkm6eoB5MyX9YZjbe322zQnFVTl8kk6T9GQD\n6++bvS831Ln+uySdMMD0vve7crgmt8wqSZ+tt/Y66/3bIHX1DSslHZlbfgdJl0paLOllSfdKmi5p\nTCvrNrORzzk16PrOKeeUNcna7S7ARox3S9o1Im5rcDvjgVOBWaQvoWyXYOgv5KzmiOznnpK2iIhF\nw1z/3cChwFkDzHsOeA/9vxDwf4dfYqEOBl6TG/8dMBP4UW7a/QCS3g78Grgd+BywGNgNOAV4r6Se\niHipFUWbWVdxTvXnnHJOWZP4BMuK8AywiPTBc0iD2xKNBUZjO5fWBlYVsI0PAdcB7wAOB74z3M1U\nmbciIm6ts7ymiIg78uOSVgCLIuKWiunrAT8FbgX2i4iV2awbJf0euA34V+ALza/azLqIc+rV23BO\nOaesSdxF0IqwCvgGcJCkHaotKGlLSZdIelrSi5J+K2nbbN5E4M5s0d6+S/bZvP+R9JXcdqZl84/L\nTfuipEW58fUknS3psezy/i2S3lVRz6ysi8gnJS0EXgY2HaT2c7K63zLE+/Ee4O+AbwKzWdNKWLm9\nD0r6s6SXJD0l6dfZ+3Mq6YN7Yq7rwoVD7LMqScdJuk/SUkkLJJ2Ym7dVto89ctN+nk37P7lpV0r6\nr0bqAD4MvAE4JRdaAETEXaRQO1bSug3ux8wszznVn3NqcM4pa5hPsKwQETETWEBqHRyQpL8Dbga2\nAT4FHAasD1wr6TXAY8BHSa1inwH2AN6WrX4jMDW3uamkkKmclu9L/iPgKOB0UteAh4DfSNqzorS9\ngE8DXwYOoKIbg5Ifkj50315Dq9wRwJOklsGfAzv3hXNum/8I/IL0nh0GfBy4D3g98EPgZ8DjwFuz\n9+H0ivXXyg/VipH0SeBs4JfAB4DLgDMkfRkgIv4HeIT+7+Xe5N5fSQL2pP/7W4+pwLMRcfMg839J\n+p3YpcH9mJn145zqxzk1OOeUNS4iPHioeyD1Q38ie30UsByYnI3PBP6QW/Z00gf6uNy015H6an8m\nG9+B1NK4T8V+PkX6wOsbf5D0YfxobtpTue1MAVYCH8vNF3AXcHVu2izgRWDjgY6L1AjxX6QP9ik1\nvB/rAs8D52Tj47P35NSKOhYBM6ts59vAA4O836sqhpXAO3LLrAI+W7GvH1Vs5/vAs8DobPxnwBXZ\n662BFcC5wM+yaTtm+3ljjb8XTwJfG2D61cBtVdbbKav/sHb/bnvw4GFkDM6pV70fzqlwTnlo7uAr\nWFaknwIPAycPMn8/4FrghVyL1guk/sy7DbHtG4BxknbKumhsDnwLeL2kSVkXgQ1JLYgAfd0jLu/b\nQEQEKUz3rtj2bRHx1AD7XBu4BNiHFKTzh6gR4EBSy9Yl2T6fAHrp3/1iO2Az4P/VsL2BPAfsSnrP\ndiMd658HWXaLbF+XV0y/FNgAeFM2fgOphRTS8d4BXJm97pv2TETcU2fNZmadwDnlnDJrOp9gWWEi\n9VX+FvAxDfz42o2Bj5BayvqGV4AeYMshtj2f1PI3NRvmRnri0V+z8b1JLYdzs1U2BV6IiKUVm1oM\njJG0TsW0gYwB9ie1bt5frb6cI7LtzZM0TtI40pOItpH05myZjUg3SD9W4zYrrYiI2yNiTm54cZBl\nN832VXmMi0mthhtm4zcCr8v+AJiajf8J2ETSVqT396Y66817BJhYZf7ErN5HCtiXmVk/zinAOTUU\n55Q1zE8RtKJdSOrfftIA854B5gFf59VPH1pSw7ZvIrVQPceaPtY3ZtPWJfWb7/MYMFbSuhXhtQnw\nUkQsz00b7GlQz5OC9ipJj0fEYC2eAEjagBR0o0nHmhekULsdeJp0/APepFywx7J9ja+YvklW0zMA\nETFP0jNkraDAVyLieUl3ZuNTgTMKqOcG4GhJe0bEHweYfxCpK0yjj1E2MxuMc8o5VY1zyhrmK1hW\nqIh4hfQBdwyv/mC+jtR3/e6KVq05EbEgW+aV7OdAT+e5gTUtgzdUTNubNd0uID1eFdJjaPM+VLHc\nUMczi3Rz7xck/csQix9KCq0jSa2d+eFaUggC3Etq+TqqyrZeYeD3YLgWAY+SjiHvI6Rgvis37WbS\nDdKT6P+HwTGkJyrV/L5VMZN0U/Q3Km96zlolPwacHxHLCtiXmdmrOKecU0NwTlnDfAXLmuE84F9I\nT/PpzU0/k/T0pVmSziF9eG8C7AvcGBGXkp6g9DJwlKTngeWx5kshb8y2MZ41H6w3kT5og9wHa0TM\nl/Rz4Nysxe5+0g3I2wHThnMwEfFrpW93/6mk5yPi3EEWPQKYHxEXV86QtBHwC0l7R8RN2ZORfirp\np6QnOAG8nXSz7hxgPqnbw1HAXOCpiHhwOHVntYek04AZWcvftaQgnQacnP2h0edG0k3L83N9/W8E\njie11s0Z7v4HqOdlSR8ldUfplXQ2a77A8WRSy+nXGt2PmdkQnFMVnFOr63FOWePa/ZQND+UeyD2d\nqWL6yaSn+VxXMf0NwAWkLgEvAw8APyH31B+yAACWAStz00eRWrPuqdjm3aSbkNeumL4u6Rvm+/Z1\nC/DOimVmAZfWclykFrLlwJEDLD+e1Jp30iDv02hSl4vv56YdTGrBfIn0NKMrgS2zea/J3qfHsBjA\nfAAAAL5JREFUs/fxwmrvd8W+VpI9pSo37XOkx+suBRYCxw+w3u7Zuv9ZcVwrgWuH+XvxBPDVKvO3\nJ91gvTj7t5mfHdt67f6d9uDBw8ganFOr5zmn+m/LOeWhaYMiBuvWa2ZmZmZmZsPhe7DMzMzMzMwK\n4hMsMzMzMzOzgvgEy8zMzMzMrCA+wTIzMzMzMyuIT7DMzMzMzMwK4hMsMzMzMzOzgvgEy8zMzMzM\nrCA+wTIzMzMzMyuIT7DMzMzMzMwK8v8BqtUoIDPPQdEAAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f7675670210>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAA1gAAAGPCAYAAABBO3EWAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAIABJREFUeJzs3Xe8HFX5x/HPN4HQEenSEQRRbEhH4AL6A7FgQQWRZgHE\nAooNFAigUiyAojQBAVFUVARRCBhC6C30IkgvoUcCBJKQPL8/ztlkMtndu/dm75a73/frNa9758yZ\nmWd29+5z58yZM4oIzMzMzMzMbN6NaHcAZmZmZmZmw4VPsMzMzMzMzJrEJ1hmZmZmZmZN4hMsMzMz\nMzOzJvEJlpmZmZmZWZP4BMvMzMzMzKxJfIJVhaTdJc2U9IKkN5SWjczLDhnEdneQ9I3mRTpvJP1W\n0mODXHdIjiXHNFPSuCrLtsnLthjEdveT9PGmBNkEkh6WdNYg1tsyvwZbS1o1/97fNDav+9s6df7a\n4P5/IemCgcbd4LYvr8TaSkr2lHR9/pt/WdJ/Jf1B0vq5zt/ysvlrbGNRSa9IOj3P7154bdesUn+L\nwvKtBxDrDpKekrTwYI/Xhg/nqobWda6aB85VVbftXNV/rD2fq3yCVd8bgO82cXsfAzomaQGRp8EY\nqmOpxLO5pP+rs3yg9gc6Jmkx+OMorvsksHFpAji9VLZvYb1ngI2qrPed/nYqaQ1gb+DQeYi9ni8z\nO9ZW+hlwCjAO+CywQy5bivRaAZxJ+j74cI1tfApYEPhtqXwysGuV+rvnZQP6HETE34GJwLcHsp4N\ne85VtTlXzRvnqrk5V/XDuQrma3cAHW4M8DVJx0bEs+0OplkkjYqIae2Oo44ngeeAH5Leg2GjSa+9\nACJiOnBDafsAT0bEDVXWA5gWETcOcr/7A7dGxC2DXL+uiLh3KLZbj6QFSYny+Igo/oP6b+DEwvxF\nwAvAbsDfqmxqN+DRiBhfKv8r8DkKiT7vc0fgPGCPQYR9CnC4pCM7/O/YWse5qj2cq/rZDDhXNYNz\nVffxFazagvSlKeAH/VWWtJqkcyQ9I+k1SbdI+lhh+RmkloAVC5dbH5Q0QtIkSQcV6q6bl48v7eNx\nSUcX5peXdJakZ/M+b5O0S2mdyuXfzSX9SdIk4Lo6x7GnpKmSarYS1TqWwvK18mXqSZKmSLpW0rb9\nvYYFM4GDgfUb6SqRuyJcJmlyvmR+saS3F5Y/BKwCfK4Q7+mS1su/b1qo+7VcdnihbM1c9sFC2YZ5\nny/lfV4maYNSXL+V9JikjSVdLWkKcDRV5M/BKZL+N5DL8K0iaRSwC3BOqXyP/NqsUiofLWlmqWw/\nSXfnz8QLkm6UtENh+TgVul1odheTj0j6Zf6cPyvpbEmLl7a9tFI3iRfztk/L6/XXTWcRYBTwdL3j\nz/8g/AH4oKQ3lva9MrAFUO5GE8DZwGqSNiuUf4L0vfKX/LO4rQ0kjZH0XH6dHpB0Qmm7fwLemLdj\n5lxVu45zlXNVpdy5yrmqpXyCVd9E4ARgr/zBrErSSqTWmXcA+wEfAW4G/iKpcpn2cOCfwLPMvuz9\n8YiYCYwHil9UWwOvAhtKWijvYy1gBVJrBUr9WscD2wLfI10qvh04W9IXq4T5O+BB4JO5frXjOIjU\nEvLFiDim5qtS41jyNt4EXJ1fi31Jl6MnARcNJHFFxIXA9XlfNUn6EHAZ6RL2LsDOwGLAlZJWzNU+\nRvpSurgQ7xHALcD/mPO13wqYUirbBphOer2R9E7SJfo3kFqDdgUWB66Q9I7iYeQ6fwB+D2yXf5aP\nYUFS69FHgC0jYkj7divdmzHH1MBqm5CO5apSea2uO3OU53+mfkpKeh8kdW/4M7BkaZ1qjiP9I7Mz\nMJr0GT6+VOdvpL+F7wKfIb1fv6yzzbTDiOeBh4BvS9q73t85qevFKGCnUnmlW8XZVdZ5hPS5KXa9\n2DXH+0qxoqRFSJ/R6aTP1XbAYZR6GuSY78nLzcC5qhbnKueqCucq56rWighPpYnU4jUDeDPp7HsS\n8Ju8bCTpD+iQQv3TSF+KS5S2MwaYUJg/g3Rptry//Ukf4Pnz/N+AXwEvAR/IZfsAU4GF8/xXc4yb\nl7Z1KfAUoMKxzAR+WmW/ZwCPklomfpn3t12Dr1GtY/kpMA1YvVA2ArgXuGkg2yUljpnA5/L8NvmY\ntyjUvx8YU9rGoqSE+vNC2UPAWVX2dz7w7/y7gOeBn5Re6z8A1xTWOY90CX6xQtlied3zSscyA/hw\nlf0+RGpFWoKUCO4HVmvg9dkyvyZb11g+Ezi8zms7s8o0A/hmP/v9DvA6MF+Nv5VVSuWHAjMK87/s\n7/0HLgfGVjnW00v1fglMKcz/X673yVK9v5c/LzX2uxHpH7oZeTuPA78BNqhS907g2lLZ3cDVNV6X\nNwN75s/GKOBNpKS0dfm9BN6b11m3gc/BWcC9jfytehq+E85VjbxGzlXOVZXPl3OVc1XLJl/B6kdE\nTCLdRLibpLfUqLYtqZXspUJLy3ykpPUuSYv2s5uxwELAppJE+jBfQmpdq7RObUX6o5+S5zcHnoiI\nK0vb+h2wDPC24mGQvpyrmQ84l9TSsU1EXFxcOIjWo82B6yLioVk7Ty2ffwDeXXktGtlupNaxscDo\nanWURrxZA/h9aVuvAdeSLoX3ZyywSe5W8B5Sy9cxpMS7ea6zFekLtXiM/4iIlwqxvgRcQHrviqaT\n+kRXsyIpYS0IbBIRDzcQ77x6mvTluH5h2oDqLVpFKwCTI+L1Qe73RtL7/wulEbYWGsC6/yzN3wEs\nIGnZPL8RKaGWP+PnNbLxiLgeWJvUWvlT0j8UuwHXSvpcqfqZpNb6NSF1vwHeytw3DBf9GViA1Or7\nWWBiVG/5vZ/USn2KpF3y1YZaniW9J2aAc5VzFeBcBc5VFc5VbeYTrMYcS2oZrNUFYFnSh3x6YZpG\n+vKDNMJLTRFxO6nVYCvSF+diwBWkL8qtcrU+0hdsxZKkbiFlTxWWF1WrC+lLenvgGtIXS1nlWKYD\n0/rpI9xfXALeKGnL8nbrbO8gUstKpStJsR9w5UvrNOZ+7T9EP697djnpC2VT0mt8W6SbxK8CtpL0\ntryfRl/7N5bKno3cjFPFO4B1gD9GxHMNxNoM0yPiloiYUJr6uzF+QVJL6aBExFmkkZc2JHUteEHS\nXySt2sDqL5TmK3EsmH++CZgUETNK9er2VS/FNz0ixkTEdyJic9I/fU8BPy9V/R3pn8Dd8vxupH+S\n/lRn2y+TWih3y9M5NepNJv29P0G6KvCopDskVeu//iqzj9+swrnKucq5yrkKnKvazqMINiAiXpF0\nJKnF4KdVqjxP6rt6FKUbAbMnG9jNFaQWwJdJo9+8qHQT5RFKNx0uw5wtUy8Aa1XZzvKF5XMcRo39\nPk8aOeYi4A+SPptb8SrWL9X/Tz/H8UIhhqI35Rgmkfr8l7dbVUTcoPQsix+QvvTKsQMcSOrbXtbv\nqDURcYek50ldOt7D7OQ0Fvg06RL8VFILbUWtY1yedHxz7KLO7i8GbgOOkTQ1In7RX7xt9Dypi0jZ\na/nnqFL5XP8wRMSpwKlKz+v5P1JCOJfUZ35eTCT9MzSylLiWG+wGI+K/kv4I7C9p6co/FRExUdKl\npJvQjyB9Ri6IiBf72eRZpL8xMXe/+OJ+bwc+JWkE6W/kQOCPkt4VEXcXqi7J7M+/GeBcVarvXOVc\nVeRc5VzVUj7BatyvSc/S+CFzfxFdTLoZ9e6IqNdyMpXUvaKasaTWx5nM/uK8mXQT62jm/uK8AthR\n0iYRcW2hfBfS8yOKH/C6ImK80qhD/wTOlbRTJXFFxIQBHssVwH6SVomIRyGNOkS6mXNCbiEBqLXd\nan4A3Ap8hcJrHxH/kfQw8Paof6NzvXgh3QT8AdLl81/lsrHAkaQbkm+IiNcK9a8Atpe0SES8AiBp\nMdJl9QHd9BsRP1Maweg4SSMi4rhGVhvIPprkXmCUpBUiovhP2COkL+J1gf9C6lJDSkpV5S/4P0va\nGNirn/02cqzXkb7LPs6cXS0+3d+KuXvU4hFR/icPUovtq0A5IZ1JugH8SFJyPrOBGC8F/khqvbyn\nUF71+PLf3w1KD4ndIcdS/Jtenf7/gbTe5FzV2LE4Vw2Ac5VzVbXKzlW1+QSrQRExLbcCnMLcH7RD\nSKMIXak0TOXDpMvv65JuoK10Gbgb+JKkfYCbgNci4s687HJgflKf6aPyPivD334YuKKUEH9LGgXq\nr5J+QGq9+hypdWuvOpf6ax3fVZK2A/4F/Cknrnp9mGsdy7GkmyYvlTSadDPyvsCapO4dAxYRd0o6\nl9QnuHxcXwHOl7QA6dL3c6TWoE2BRwpJ4G7SAyE/RLqc/lxEPJKXXU5KVq8DlfsEbsmx9zF3d5sj\nSN06xmr2UMTfJSXFIwZxfMdKmgEcmxNX+VJ/WbWW50aNkrRRlfIpEXFHnfXG5/1uyJz9x28EHgB+\nkpPVVNL7vcAcAUsnk17Pa0n/VK1NGqHokn7i7fdYI+JSSVeT+oMvQ0qeOwLvzFVm1lw5dTt6OLcA\nXkb6O1qKNArUtsDRkYa9LTqf9M/MN/Kx9HcMlSS0S5VFs44vfzb3ytt/iHQD/Nfzvq4trbchadQ4\nszk4V83Fucq5CpyrnKtaLTpgpI1OmyiMqlIqH0k6E59BYWSmvGwFUkJ7jHQp+gnSh/mzhToLk/q0\nPp+38WBpGxPzugsXyvbPdQ+uEudypBaJZ0itF7cCOzdyLHnZGaQv9mLZxqSuA3+lNApPqV7NYwHe\nktefRGrVvIY8wlQDr/1cMeXyN5O+EF+nNNIO6cbRC3IsU0ij7Pwe2KhQZ21Sa97LOd7TC8vemsvK\no+ucX21/edkGpBvDJ5O+jMcA723kWPKyB4EzS2X75v19q87rs2WOtdbITDOAw+q8tjNqTLc38N5c\nB5xWpXwdUmvoZNI/bPsz98hMu+Y6T+XP6gOkLkyLFupcTh4lq96xUmU0KFKi+T2pBe+FfKy75Xrv\nqHNM8wMHkFr2HyX9/f2P1AL/hTrrnZK3PdeIZ/393dU6PlI3qj/k12YKqV/+PyiNEAVsltd7WyN/\nU56G71Trc4ZzFY0cC85VdY8lL3Oucq5yrhrEVBke1cysLkm7k57z8aaYsxtKR8ot9LsDS8bcLXtd\nS9KJpIS1ZbtjMTPrNM5VnaHXc5VPsMysIblbxe2klsH+uoa0VE6obwDuInX52I50o/kxEfH9dsbW\nTJKWI7UabhsRV/dX38ys1zhXtZ9zle/BMrMGRcQMSXsC67U7lipeId3nsQYpaT0EHBgR1UZS62ar\nAQf0asIyM+uPc1VHWI0ez1W+gmVmZmZmZtYkftCwmZmZmZlZk/gEy8zMzMzMrEl8gmUtJ+kX+Yn3\nSNpD0kxJb65Rd6ak8rM9GtnHlpIOrVK+at7m5wceefMN9vj62d4hDdQbJ2lAD5rsZ3u/lfRQYX55\nSa9IWr9Z+zAza5VSnqrkjfI0o/L9Lek/ki6rsp0Tc919qix7RtKfCvPV9lHZz0eH8ng7iaTd8z1U\nzd7uHHlP0rtznlqp2fsy8yAX1lKS1gD2Jj3DBNLDGIfiRsA+4BBJR0R6cJ7Nqdmv+RzvY0Q8JelU\n0vND+pq8LzOzIVMlT1X8CLiwVPZ4/nkF8FlJIyNiRmH55qSBDbYATirs463A0nm9otNJzy4q+89A\njqHL7UF6ltsZTd7uHHkvIm6VNIb00OWmn9BZb/MJlrXa/sCtEXHLEO9HpZ/N2ag0/3B6TsUQOxm4\nS9L6EXFTu4MxM2tQrTz1UETcUGOdK4AvkB7sex2ApKVID7c9HvhUqf6WpH/4ryyVP1lnH20jaVRE\nTKtSPoI0YNqMKqt1g1OA8yUdGBFPtTsYGz7cRdBaRtIoYBfSU8wHu43fSnosX9ofny/v3ydp70Kd\nQ4FKN7nplS4WpU2NlHSYpCclTZJ0gaQVS/t6SNLZkvaUdI+kqcD2edlCko6W9KCkqfnnQZJUWH8R\nSb+U9Iik1yQ9LWmMpLWqHNfX8jYm524Mb6tS5xuS7s37ezJve7EGXrOdcvyvSbpD0sdq1Fta0kmS\nHs9175H0pSr1tpF0s6RXJd0vaa9q24uIe4A7gC/2F6OZWSco5KlzBrjqeFKD3haFsi2Al4BfAitq\nzq7wmwP/i4jb5yHcOeTv8F9LejR/hz8q6UxJ8xfqbCfpGklTJP1P0t/KOSnnoCslfVjSBEmvkp7V\nVOnG+ENJ35X0IDAVWLew/0ZyyGo5t07M9R6QdGxedjnp5HOzQhfJsaV1z1HqXvmapFuq5bRG8x4w\nhvQe7TGgF9usH76CZa20CekBe+UWu4EIYHFS8jsOOIx0af9ESfdGxBXAqcBKwOeBTYFqXQQPBK7J\n6y4L/Bw4G9i6VG8r4F3AaOAZ4GGlhxiOAd4KHA7cSepKcgjwRuDbed3jgA/nff0XWArYDFiitI9d\ngXuBrwOjSN3qzpf01kr3Rkk/Br5HStT/AN4G/BB4JykZVSXp/fm1uhD4JrAMqTV1/rzPSr3FgKtJ\nz+U4BHgY2Jb0uo6KiF/leusAFwE3AJ8GFiS9B4sCr1cJYXx+DczMukElT11VZdmI/P0/S+XKTUQ8\nJukR0knVMXnx5sC1EfGQpMfzsgcLy6rtQ+V9FPdTi6QlgGtJ+eUIUuPWssAOpLwyXdJ2pPxxGemK\n2mK57pWS3h0REyu7A9Yi5YojcswvFHa3B+khsgeQuj8+OYAcshpwI/Ay8ANSblwF+L+87X2B35Eu\nAOxFOmmdnNddiZR7niI9S+o54DPAXyTtEBH/yPUaynuV11XStaQH/h5V7zU2G5CI8OSpJRPwHdI/\n4fMVynYHZgBvrrHOTODwwvwZuf4WhbJRpC/akwplh+Z6I0rbWzVv89+l8gNy/eULZQ+RksAypbq7\n5rqblcoPAl4Dls7zdwA/7ec1mUnqWz+yUPbJvP2N8/wb83ZPK627S17/w6XtHVKYvxq4s7TeRrne\n2ELZwcCU8vtA6j7xTOV1JCWtZ4AFC3VWIrViPljl+D5ffl09efLkqVOnGnmqkjdm5J8zC/MjCvV+\nC0wqzN8EHJR/Pwc4Pf++Wl7/gNK+q+2jUrZkP3EfDkwH3lmnzk053xRjXg2YVsxVwOX5NXhHlW3M\nJN13NqpU3mgOOYt0wrRcnTgvB8ZXKT8NeBpYolQ+BphQmG8o75Veuynt/ux5Gl6TuwhaK60ATI6I\nalc6BmJKRIyvzETqF34fqRWsUf8qzd+Rf5a3cV1EPFsq2xZ4BLhO0sjKBFxKOtmr3Bh9I7CHpAMl\nvVepr3o1l8acrZN3kFrtKrFsTGp5K3dZOZeUBKtewcr7Wx84r1geEdeTWhfLx3Q98EjpmMaQbsSu\ndFncGPhnRLxW2N7jpIRWTeW1W6HGcjOzTlIvTx1B+k6tTBvEnIMojQcWV+rCvhip90MlV13F7O6D\nlfuvygNcQBrkYv3StAHwP0jf68XvaGlWt/QPADdGjS6HkhYG3gP8sRhzRDxM+v4u55GHI+IOqrs4\n5r4fq9Ec8gHgHxHxdI1t17Mt8E/gpcI+5sv7eJekRQeY9yqeBRaQtOQgYjKryl0ErZUWJF3pKKok\nsbm6RBROSMqJblKVbU/N22/UC6X5SlzlbUxkbsuSWv2qDXYRpK6AAF/L6+9J6s43SdJZwPcj4tUB\nxFL50p8jlkhdG54vLC9bmnRiVi2RlcuWBdag/2N6U53trValvHKcC9WI0cysk1TLUxWPRsSEOusW\n78NanpS7KgNWXAmcIGmFvPxloNq2Jvazj38z+2QoSF20Dyd9R99aZ7035tiq5bSngA3LcdTZVq28\n2EgOWYrZIy8O1LLAbqSeL7X2sTCN570K5ylrOp9gWSs9z9z3Hz1D+tJfAbi/tKxy1WMwLV3NUm04\n8+dJfdI/RfVRCh8GiIhXgO8D35e0MrAjcDQpeR84gBheyPtZHrinUphbB5di7hO0iudIyW65KsuW\nY87WvOdJr/PXqX5MlSGCJ9bZXjWVk7/naiw3M+sk1fJUQyLiv5ImMvsE66bKlZ6IuFPSJNLJUeXe\nrME8QmQv0r1TFU/mn88BK85dfZZJpHy2fJVlyzN3Hqn3KI9aebGRHNJfnPU8TzqJParGPp4kdads\nNO9VOE9Z0/kEy1rpXmCUpBUiopIUrie1Hn2SubtL7Ej6Ih83iH1VWiAXIt2E20wXA58AXomI+xpZ\nISIeA46V9DnyiEsDcB2pj/xOpL7pFTuRrvyNq7HPmZJuJL2OoyvlkjYiXW16uFD9YuCrwGMRUS/J\nXAtsL2mhylW4fPK4GfBElfqr59gfqrLMzKzTVMtTAzGeNFjS8szuHlhxLWlQhjUY5DOeIqLcEFkx\nhtSY945qXfsiYoqkm4FPSRodEQHpIcqkwaCOH0w8BY3mkDHAxyUtV6eb4FRmX/Eq72Nj4O6IqHWV\nkQHkvYrVc9w1t2k2UD7BslaqdJ/YEDgfICImS/oRcLikBUmj/kwjjd73DeCMiBjMAxbvzj+/Jelf\nwIyIuLmfdRp9ZtY5pFGUxkr6GXAb6d6rNYGPADtExGuSrgEuIN1T9TLpgbvvZICJNSIm5f18T9IU\nUh/0t5FHf4qIi+qsfihwiaS/k55LtSwp6ZS7eBxLGhXwqjxc7n+ARUgjJW4eEZUhbn9IunJ3qaSf\nkEaMOpTUxaSajUj3Bcz1/BQzsw40V54axPqfIZ0g/Ki07ErgyEK9albMJwNlj0T95zQdC3wWuCzn\n1DtIo+d9FNg796g4mDSK4EWSfk26EjaadHXr5/0cV38azSGHAh8Ers2j4/6XNFDSthGxa65zN/Bl\nSZ8mjVb4Um7MPITUKHulpBNIJ0tvJDVarh4RXyzso5G8V7ERtd8Ps8Fp9ygbnnprIl2NOa1K+W6k\nL86XSSMR3U4aXlWlemeQEk15/cspjAxIGuL1l6R//F8nnWBBGg1qBvD50vpbMvfohA8CZ9Y4jlGk\nL/u7SVfgnsvxH8zs0ZKOBG4mJa+XSCdiXyltZwZwWKmsEuNupfL9SF0EXyNdLfoFsGiV7R1cKvtM\nXu9VUtLdARjL3CMpvgH4GSmhvZZfuyuAr5fqbZ2P61VScvwS6cbsB0v1FgReBL7c7s+dJ0+ePDU6\nlfNUrbxRY9235brTgMVLyzbJy14G5q+y7ow60zcb2PfSwEk5P7xGGozp9OK+SMOhX03q2TEJ+Cvw\nltJ2LgeuqLGPuXJWYVmjOWR1Zo9IO4V0e8BPCsuXI50Ivpj3VxzxdgXSyISPFXLhJcBnS/toNO+t\nlPfxwXZ/7jwNr0kR9brZmjWXpN1Jz4d6UxRGorPhR9JnSIlwpYh4qd3xmJk1wnmqd0j6LrA3sEb4\nH2JrIg/Tbq32O9KNqPu2OxAbct8BjvHJlZl1GeepHiBpAdKgHAf75MqazSdY1lKRnve0J6lbgA1T\nkpYj3b/ws3bHYmY2EM5TPWM14LiIKD9j0myeuYugmZmZmZlZk/gKlpmZmZmZWZP4BGsYkTSzgenB\nIdr3kaX9TJb0H0lnS9p6KPY5ryQtUOX1eUHStZJ2HOQ2t5F0cJXyNSQdKmmleY98UHGdK6nq81Mk\nbZuPfdN53Ee117PadHf/WxvQfo+T5Pu8zLqEc9XAOFfNWuZcZV3Dz8EaXjYuzZ8P3Ep6JkTlGU9D\n+SC910kPnBWwMOlhip8mPZfjNxGx1xDue16cCJyZf18S+DzwJ0kfjoh/DnBb7wcOID2jqmhN0vtw\nKfD4PMQ6WJGnesvnbQcRUyWVP4P/ZM5nv0AaNreZ+js2M+sszlWD41zlXGVdwidYw0hE3FCclzQV\neC4ibmxhDMV9jQNOy8OgHinplog4sVWxDMATxddO0hjSszU+RfrSHYhaDysWPfDFWuUzOB14tlxu\nZr3LuWrQnKuaxLnKhpq7CPYwSXtKul3Sa5KekXS6pGVKdSZKOlXSlyU9IOlVSTdIel+j+4mIo0kP\n/Nu/tO11JF0o6X+Spki6qthFQ9Km+TL9eoWyb+eygwpl6+ayrfL8PpX1JP0xdwF5XNJPJfXbqBAR\nM0mjR81findFSedIei6/DrcoPWm+svxI0tDkIwtdDKZI2pbZye+qXD5D0oZ5vVGSjpL0sKSpkh7M\nXTRGFra9dl5vT0nHSHpa0ov5PRsl6a2SLpX0kqT7lJ5BNWjNeN8b2Mf7JJ2f35tXJN0t6QeSyq/7\nxyRdn9/HyZLukvSNfrb9qRzzD/P8KEk/kfRQ4fN+uaR3N+t4zGxoOFfVjNe5yrnKOpRPsHqUpK8D\npwETSE84/z7wUWCs0rMhirYF9gG+DexMat26WNKqA9jlv4A1JS2d978KcA3wFtJD/j5Nuhx/iaS+\nvM4NpKfNF/vFb0VKKMWybUhPdL86z1da384hPcH9Y8CpwDdJXSLKRkgamadlJP2ANHzrHysVJC0G\nXJX3/628zf8A50raNVc7ATib9FT4jUjdYLbIx1n5kv1SLt8EuDOXnZuXnwp8iPQMloOBk6vEegiw\nBLALcDiwK6nbyHnAX4CP57jOlvTmKusPRDPe93pWB64jvf8fJB3H/sDxlQqS3gH8GbgF+ATpdT8B\nWLzWRiV9lfTeHxARP8jFPyJ1p/kx8AHgC6T35Y1NOhYzGwLOVXNwrqrOuco6T0R4GqYT8BBwVpXy\n+YHngItK5dsAM4EvFsomkhLHMoWyJYAXgZMLZUcC0+rE8nXSl/k78vwJpCS1YqHOfMCDwFWFsosr\ncQIjgcnAT3JM8+fyvwFjC+vsnY/jO6UYLgVuLcwvkOvNyD8r0zTgW6V1v5XrbVAqvxJ4tL/XgZQA\nZgCblsrfm/f57VL5Ebn+W/L82rnehaV6F+V6Hy+ULVPeJvAH4L4a781csTX6vjfwGZwInNJg3ZHA\nV/LnYlQu+wLpXgzVWe9YYHL+/Ud5/R2rvE+/adffoidPnmpPOFc5V80uc65yrhoWk69g9aZ1STfI\nzvFwvYj4N/A0sGWp/pUR8Wyh3v+AS0gtW42q9PeutNhtnrf7RGG7r5Na4jaUNCoXjwXel7sgbERK\nNEeRrr5uKkk53stL+wvm7pN+B7BKldhOBNbP09bA0aR++F8p1NkceCDmvkfgd8CKktaodeD92CLH\nWn7Q4e9ngb0cAAAgAElEQVRIr9kWpfKLS/P35p9jKgX5vZoErDzImCqa8b7XJGlJScfnbiZTgenA\nL4FRpFZZgJtJ7/WfJe0gqVYrniT9hpT0to+I80rLbwQ+JekQSRsVu7SYWcdyrpqTc1V1zlXWcXyC\n1ZuWJH1RTqyy7Km8vOjpKvWeBlYcwD4rX6CVfS5ZZ/8jgTfk+cuBRYENgD7gpoh4nnS5fivgPaTW\nqrFVtvVCaX4qsGCVek9GxIQ8jYuIg0kjNR0laeEG4q0sH4zKek+Vymttd1JpfhowIyJeqVJePNbX\nSa9rNSMLdYqa8b7X82dgJ9I/CduQ/mn4Xl62IEBE3Ap8hPR5OBd4VtIVlXsCChYgdcuYQOoeU/Y9\n4Kd5f9cAz0n6de5OY2adyblqTs5Vs+sUOVdZx/EJVm96gdTitHyVZcsz95f9clXqLUcavahR25Mu\n+z9fiKHa/t9E6gLwYp6/GXiJ9KW2NbOT09hC2RTg+gHE0oi7mD18b714ly8sH4zKeuXXeF63W/ZM\nlX1UrJB/lpNUM973qiQtRfqnY3REnBwRV0XEBFLL4Bwi4uKI+ADpn5MPAosA/5RUTMqvkfqrvxs4\nv3zzcURMi4gjIuJtwErAQcAewDHzeixmNmScq/rnXOVcZR3IJ1i96U7Sl+FOxUJJ25C+lMpdGDaX\ntGyh3htJfaGvaWRnkg4k9cv+WaH4irzd5Qv1RpKGm70uIqbBrFGSxgPbkS73F5PWhsCHgatzl41m\nelf+Wel2cAXpxuf3lOrtQho694E8P5U0MlO5BW4q6R+FhUrlV+TynUrlnyO13F4xuPDncjmwkKTt\nqyzbEXgkIh4plc/T+96PRfLPWe9b7kKzW60VImJqRFxKurH4jZRaJyPiZtKzXTYGLih03SlvZ2Kk\nIZivJXVBMrPO5FzVP+cq5yrrQH4OVg+KiOmSDgOOk3Q6qS/5qsAPSQmt3Mf6OeBSSUeQbkg9kHSp\n/sflbUvaKP+6ELMf3vh+0s2mvylU/SnpC39sjmUK6ebilUkj6BRdTkp4rzH7C/N6UgvS5qQWnnmx\nUiHuxXO8nwP+EhGV7g+nAl8lfRkeTOoWsQfpYZXFL9rKk9+/I+ky4PWIuIXU/3wm8EVJU0jdIu6O\niAmS/gb8WNJCpNGotiB1Ezg9Iv47j8dWcRHp5tnfSzoKuCkf6675eHeusk7D7/tARcSjku4BDlZ6\nsv3LwJeZncwAkPQt4O2kfvtPkFpLvwP8t/CPQnG7E5SGT74MuFDSDhHxmqRLSf8A3EZqcd6E9N79\ncF6PxcyGhnPVXJyrnKusW7R7lA1PQzeRRjk6s87yPUh/xK+SLrmfBixdqjMROIU0BOqDue71zD3C\n0JGk7hKVaTJpCNazgL4a+18H+DvwP9IoQFcBW1Wp9+68zX+Xyi8mtSptWCrfO9dfoUqMrxTmFyjF\nXIn7NtIQuaNK669AuqH32fw6TGDuEYBGAieRujm8DkwpLNs3v4bT8r42zOXzkxLBw6TE/ABp6NsR\nhXXXzut8tsoxTa3ymj1JaQQl0j8SPwLuy/t5kdS6um2V9Rt63xv4DM4VR2HZWqTRsiaTEtJRpFbh\nGcA7c52tgAuBx3IMj5HuOVilsJ1jgRdL216X9Jkek9/nH+T4nyclyDspjb7lyZOn9kw4VzlXzVnm\nXOVc1fWT8htsVpWkiaThVvdqdyzWOn7fzayb+DurN/l9t07le7DMzMzMzMyaxCdY1p9g9vNArHf4\nfTezbuLvrN7k9906krsImpmZmZmZNYmvYJmZmZmZmTWJT7DMzMzMzMyaxCdYZmZmZmZmTeITLDMz\nMzMzsybxCZaZWY9ZTQoNfnq43fGbmdnw1u15yqMImpn1GEmD/uYXEBFqZjxmZmZF3Z6n5mvnzruB\nJJ+BmllHaXfisM7jXGVmnaaXc5VPsBoQ66zT0v2NfvZZRi+zTEv3CcD227d8l6OvuYbRm27a2p1O\nndra/WWjr7+e0Rtt1NqdLrdca/cHjB47ltFbb93y/fL977d8l6NHj2b06NEt3adGNKln92C3M3Nm\nc/ZvTdcTuequu1q7v6wdf+vt0CvHCT7WodaUXNXFecr3YJmZmZmZmTWJr2CZmfWiLm4ZNDOzHtDF\necpXsDpQ38ILtzuElulbeeV2h9AyfSuu2O4QWqJv9dXbHULL9PX1tTsEs7bpqVzVI3/rvXKc4GO1\noeVRBPshKVrdr71t2nAPVlu06R6stmjDPVht04Z7sNpBI0bM843DkiJGjRrcutOm9fSNy52qZ3JV\nm+7BMrOBmddc1e15ylewzMzMzMzMmsQnWGZmZmZmZk3iQS7MzHpRs4Z7NzMzGwpdnKe6N3IzMzMz\nM7MO4ytYZma9qItbBs3MrAd0cZ7q3sjNzMzMzMw6jK9gmZn1oi5uGTQzsx7QxXmqeyM3MzMzMzPr\nMD7BMjMzMzMzaxJ3ETQz60Vd3PXCzMx6QBfnqe6N3MzMzMzMrMP4CpaZWS/q4pZBMzPrAV2cp7o3\ncjMzMzMzsw7jK1hmZr2oi1sGzcysB3RxnureyM3MzMzMzDqMT7DMzMzMzMyaxF0Ezcx6URd3vTAz\nsx7QxXmqeyM3MzMzMzPrML6CZWbWi7q4ZdDMzHpAF+eproxc0kxJPynMHyDpkH7W2VLSJlXKP5m3\nt95QxGpmNtxJ2k7SvZLuk/TdOvU2kDRd0icKZd+QdKek2yWdI2lUa6Iees5VZmado5W5qitPsICp\nwCckLTmAdfqATYsFkhYFvg5c17zQzMy6wIgRg5tKJI0ATgC2Bd4O7CzprTXqHQVcUihbAfgasF5E\nvJPUq2KnITne9nCuMjMbrCblKWh9rurWE6zXgVOAb5YXSFpa0nmSrs/TJpJWBfYB9pc0QdJmufoR\npBdxassiNzMbXjYE7o+IRyJiOnAusEOVel8DzgOeKZWPBBaRNB+wMPDkUAbbYs5VZmadoaW5qltP\nsAL4FbCLpMVKy44Hfh4RGwE7AqdFxCPAScCxEbFeRFwt6T3AShHxr5ZGbmY2vKwIPFaYfzyXzZJb\n/z4WEScCqpRHxJPAz4BHgSeA/0XEZUMeces4V5mZdYaW5qquHeQiIl6WdCawH/BqYdH7gXUkVV6Y\nRSUtXFw3L/s5sHuxeCjjNTPrKA3ePDxu6lTGTZ3nCyfHAcX+7gKQtASpBXFV4EXgPEmfjYjfz+sO\nO4VzlZnZILU2T0ETc1XXnmBlxwMTgDMKZQI2ypf/Zhdqjpy0GKn/5bicwJYH/i7poxExobyT0c8+\nO+v3voUXpm+RRZp2AGZm9YwbN45x48a1bf99CyxA3wILzJo/7OWXy1WeAFYpzK+Uy4rWB87N37dL\nAx+UNB0YBTwYES8ASPor6f6jYXOClTlXmdmw1s5c1UCeghbnqm49wRJAREyS9CfgC8BpedkYUkvh\nTwEkvSsibgNeAhbP600Glp21Mely4JsRcUu1nY1eZpkhOgwzs/r6+vro6+ubNX/Y4Yc3Z8PNG/72\nRmDNfP/QRNKNvzsXK0TEmyu/SzoDuDAiLpC0IbCxpAVJ9xdtk7c3XDhXmVlPGJJc1dxh2luaq7r5\nHqyKnwFLFcr2A9aXdJukO4G9c/mFwMdLNw4Xt+duF2ZmAxQRM4Cvkk4Y7gLOjYh7JO0taa9qqxTW\nvYF0M/EtwG2k7+FThj7qlnGuMjPrAK3OVYqIest7nqSIddZpdxitsf327Y6gNZrTT7c7LLdcuyNo\nne9/v90RtIRGjCAi5umfbEkRq6zSf8Vq6z766Dzv35qvZ3LVXXe1OwIza8C85qpuz1PdegXLzMzM\nzMys4/gEy8zMzMzMrEm6dZALMzObF829edjMzKy5ujhPdW/kZmZmZmZmHcZXsMzMelEXtwyamVkP\n6OI81b2Rm5mZmZmZdRhfwTIz60Vd3DJoZmY9oIvzVPdGbmZmZmZm1mF8gmVmZmZmZtYk7iJoZtaL\nurjrhZmZ9YAuzlPdG7mZmZmZmVmH8RUsM7Ne1MUtg2Zm1gO6OE91b+RmZmZmZmYdxlewzMx6URe3\nDJqZWQ/o4jzVvZGbmZmZmZl1GJ9gmZmZmZmZNYm7CJqZ9aIu7nphZmY9oIvzVPdGbmZmZmZm1mF8\nBcvMrBd1ccugmZn1gC7OU90buZmZmZmZWYfxFSwzs17UxS2DZmbWA7o4T3Vv5GZmZmZmZh3GJ1hm\nZmZmZmZN4i6CZma9qIu7XpiZWQ/o4jzVvZGbmVlHkLSdpHsl3Sfpu3XqbSBpuqRP5PmVJI2VdJek\nOyR9vXVRm5lZL2llrvIVrEY8/3y7I2iNRRdtdwStMWpUuyNonYMOancErTN6dLsj6C5NahmUNAI4\nAdgGeBK4UdLfI+LeKvWOAi4pFL8OfDMibpW0KHCzpDHlda1BvZKrzKw3NPEKVqtzla9gmZnZvNgQ\nuD8iHomI6cC5wA5V6n0NOA94plIQEU9FxK3595eBe4AVhz5kMzPrMS3NVb6CZWbWi5rXMrgi8Fhh\n/nFSIptF0grAxyJiK0lzLCvUWQ14N3B9swIzM7Mu1tx7sFqaq2qeYEk6pLF4AYiIOGIA9c3MrHcc\nBxT7u6u4MHe5OA/YL7cONsy5yszMmqRpuareFazRwKvAK+UdVBGAk5aZ2TAzbvJkxk2eXK/KE8Aq\nhfmVclnR+sC5kgQsDXxQ0vSIuEDSfKSEdXZE/H0QIY7GucrMrGc1kKegxbmq3gnWA8CqwM2kfop/\njYiX+tugmZl1gQa7XvQtsQR9Sywxa/6wJ58sV7kRWFPSqsBEYCdg52KFiHhz5XdJZwAXRsQFueh0\n4O6IOH6AR1DhXGVmNhw1L09Bi3NVzcgj4i3ApsBdpBa/pyX9VdKnJC3UyMbNzGx4i4gZwFeBMaR8\ncW5E3CNpb0l7VVul8oukzYBdgK0l3SJpgqTtBrh/5yozM6ur1bmq7iAXEXETcBPwLUlbkM72TgBO\nl3QBcHJEjB/A8ZmZWSdo4s3DEXExsHap7OQadT9f+P1qYGQT9u9cZWY23DT5QcOtzFUNRx4R4yNi\nX2Bl4CTgM8D+A9mZmZnZUHKuMjOzdmt4mPZ8eWwnYEdgMdKNXicOUVxmZjaUmtwy2Cmcq8zMhoku\nzlN1T7AkrUdKVJ8BlgMuBr4BXBARU4Y+PDMzs/qcq8zMrJPUew7Wf4DVgbHAoaSRmfodA9HMzKxV\nnKvMzKzT1LuC9RbgNeC9wHrAMWlY+OoiYtnmhmZmZkOmi7telDhXmZkNR12cp+qdYB3WsijMzMwG\nx7nKzMw6Ss0TrIhw0jIzG666uGWwyLnKzGyY6uI8NZBRBEcAS+XZ5yNi5tCEZGZmNjjOVWZm1m79\nnhpK+qiky4CXgafy9LKkyyR9eKgDNDOzITBixOCmDuVcZWY2zHRxnupvmPZfAV8GHiA9sPGRvGhV\n4EPA3yWdGBFfHdIozczManCuMjOzTlJvmPY9gL2AfYFTyt0sJB0AfAk4QdINEXHWUAZqZmZW5lxl\nZmadpt4VrC8Dv4yIk6otjIgATpG0DimxOWmZmXWLDulG0QTOVWZmw1EX56l6kb8d+EcD27gQWLc5\n4ZiZmQ2Ic5WZmXWUelewZgCjGtjGqFzXzMy6RRe3DJY4V5mZDUddnKfqRX4zsFMD29gp1zUzM2s1\n5yozM+so9a5gHUsaeekJ4MiIeLm4UNIiwIHArsBHhy5EMzNrui5uGSxxrjIzG466OE/VPMGKiAsl\nHQj8CNhH0uXMOfRtH7AEcFBEXDTUgZqZmZU5V5mZWaepe2oYEUcDGwEXAO8mjcC0b/79AmDDXKel\nJM2U9JPC/AGSDulnnS0lbVKY31vS7ZJukTRe0luHMmYzMxsazlVmZtZJ6j5oGCAibgb2bEEsAzEV\n+ISkIyPihQbX6QNeBq7N8+dExMkAkj5C6mbywWYHambWkbq460U1zlVmZsNMF+epeY5c0uKSvtuM\nYAbgdeAU4JtV4lla0nmSrs/TJpJWBfYB9pc0QdJmpX76iwIzy9syM7PhwbnKzMxapd8rWJKWB1YG\nHomIZwrlKwLfAL4ELAi0svtFAL8C7pBU3u/xwM8j4hpJKwOXRMTbJJ0EvBQRP69UlLQvKfHND2zd\notjNzNqvi1sGq3GuMjMbZro4T9U8wZK0NPB7YJtcNFPSycB+wA+B/QEBZwJHDXGcc4mIlyWdmeN5\ntbDo/cA6kpTnF5W0cI1t/Br4taSdgIOBParVG/3y7AbEvlGj6BvVyCNXzMzm3biHH2bcww+3O4yO\n5Vw1m3OVmbXLuHHjGDduXLvD6Bj1rmD9kHTT8EHAbaTRmL4HrAdsDJwOHBoRTwx1kHUcD0wAziiU\nCdgoIqYXK87OYVX9ETip1sLRiy46DyGamQ1e32qr0bfaarPmDxs/vjkb7uKWwRLnqsy5yszapa+v\nj76+vlnzhx1++LxvtIvzVL3ItwW+HxFHR8TF+SbbXUgJ66iI+GIbE5YAImIS8CfgC4VlY0gthami\n9K7860vA4oXyNQvrfBi4b6iCNTOzIeNcZWZmHaXeCdZKpBa3opvyzwuHJpyGReH3nwFLFcr2A9aX\ndJukO4G9c/mFwMcrNw4DX5V0p6QJpC4ku7codjMzax7nKjMz6yj1ugiOBKaXymbkn68NTTiNiYjF\nC78/QxpZqTL/PLBTlXXuB95VKLp6KGM0M+toTex6IWk74DhSo91p5WdOSfoocARpBLzpwDci4uq8\n7A3Ab4B18/LPR8T1A9i9c5WZ2XDU5C6CrcxV/Y0ieKSk4rM7Kp3Dj5E0qVAeEfGZfo/MzMyGFUkj\ngBNIg0w8Cdwo6e8RcW+h2mURcUGu/w5Sd7l18rLjgX9GxKckzQdUHeihH85VZmZWU6tzVb0TrPGk\nlsFlSuVX5PXK5WZm1i2a1zK4IXB/RDwCIOlcYAdgVtKKiCmF+rOe5SRpcWDziNgj13sdmDzA/TtX\nmZkNR829gtXSXFXzBCsi+gYVvpmZ9ZIVgccK84+TEtkcJH0MOJJ0wvOhXLw68JykM0jd4m4C9ouI\nV8vr1+JcZWZmDWhprur3QcNmZjYMNdgyOO6ZZxj37LPzvLuIOB84X9L7SEOrf4CUg9YDvhIRN0k6\njjTE+qHzvEMzM+tuLc5T0LxcVe9Bw/cBO0bE7YWyzwPnR8QLtdYzM7Pho2/ZZelbdtlZ84fdc0+5\nyhPAKoX5lXJZVRFxlaQ3S1qS1IL4WERURv07D/juQOJzrjIz620N5Cloca6qd2q4JrBgZUbSSOBU\nYLV6GzQzs55yI7CmpFUljSKNjHdBsYKkNQq/rweMiogXIuJp4DFJa+XF2wB3D3D/zlVmZtafluaq\ngXYRrPuIeTMz6xJNunk4ImZI+irpwbmVoW/vkbR3WhynAJ+UtBswDXgV+HRhE18HzpE0P/AgsGcT\nwnKuMjPrdk0c5KLVucr3YJmZ2TyJiIuBtUtlJxd+PwY4psa6twEbDGmAZmbW81qZq/o7wVpQUmWc\n9/mqlBV3PKVcZmZmHarJD3BsM+cqM7PhpovzVH8nWJdXKbuyRt2R8xiLmZnZYDhXmZlZx6h3gtWM\nfvBmZtaJurhlsMS5ysxsOOriPFXvQcNntjIQMzOzgXKuMjOzTtO9p4ZmZmZmZmYdxqMImpn1oi7u\nemFmZj2gi/NU90ZuZmZmZmbWYXwFy8ysF3Vxy6CZmfWALs5T3Ru5mZmZmZlZh2noCpaks4HxwFUR\ncc/QhmRmZkOui1sGa3GuMjMbRro4TzXaRXAJ4ChgCUkvAFeRHuJ4JTAhImYMUXxmZmaNcq4yM7O2\na+jUMCI+AiwNvAc4DJgKHABcB/xP0qVDFqGZmVkDnKvMzKwTNDzIRUQEcDtwu6R/AFsAn88/tx6a\n8MzMbEh0cdeLepyrzMyGiS7OU43eg7UusHmetgCWIyWwK4Ff5p9mZmZt41xlZmadoNErWLcDrwJn\nAF8Aro2IyUMWlZmZDa0ubhmsw7nKzGy46OI81egJ1h+B9wH7AJsAV0oaD4yPiOeGKjgzM7MBcK4y\nM7O2a+gEKyJ2BpC0OqnbxeakkZrWkPQf4IqI+PKQRWlmZs3VxS2DtThXmZkNI12cpxoe5AIgIh4C\nHpJ0MzAB+DQpia0NDN+k9cwz7Y6gNRZaqN0RtMbBB7c7gtY58MB2R9A6I0e2OwLrED2bq559tt0R\nmJkZjQ9ysTGzbxzejPSskReAq4Fv4xuHzcyszZyrzMysEzR6Besa4AlScvo+cGVE3DVkUZmZ2dDq\n4q4XdThXmZkNF12cpxo9wXpzRDw8lIGYmZnNI+cqMzNru0YHuXgYQNIo4B3AkqRuF3dExLQhi87M\nzIZGF7cM1uJcZWY2jHRxnmo4cknfAZ4GbgAuyT+flvTtIYrNzMxsQJyrzMys3Rod5GJ/4EjgJNJz\nRp4GlgM+AxwpaWpE/GLIojQzs+bq4pbBWpyrzMyGkS7OU41G/hXgqIj4SkSMj4j/5J9fAY4Gvj50\nIZqZWSeTtJ2keyXdJ+m7VZZ/VNJtkm6RdIOkzRpdd4Ccq8zMrKpW5qpGT7BWBi6vsWwcsFKD2zEz\ns2FE0gjgBGBb4O3AzpLeWqp2WUS8KyLeA3wB+M0A1h0I5yozM5tLq3NVoydYjwL/V2PZB/JyMzPr\nFiNGDG6a24bA/RHxSERMB84FdihWiIgphdlFgZmNrjtAzlVmZsNF8/IUtDhXNTpM+y+AX0haEjiP\n1K99WeBTwB7Afg1ux8zMhpcVgccK84+TktEcJH2MdH/UMsCHBrLuADhXmZlZNS3NVY0O036CpKnA\nocDngQAEPAnsExG/aWQ7ZmbWIRq8eXjcY48x7vHH53l3EXE+cL6k9wE/JF1RairnKjOzYaTFeQqa\nl6savYJFRJwq6TekPuxvAiYCj0dEDGbHZmbW+fpWXpm+lVeeNX/Y9deXqzwBrFKYXymXVRURV0l6\nc77KNKB1G+FcZWbWWxrIU9DiXNXwCVbeWZAukT3WX10zM+tgzRv+9kZgTUmrkk5mdgJ2LlaQtEZE\nPJB/Xw8YFREvSOp33cFwrjIzGwaaO0x7S3NVzRMsSfsOIOiIiBMHUN/MzIaBiJgh6avAGNLASadF\nxD2S9k6L4xTgk5J2A6YBrwKfrrfuQPbvXGVmZv1pda6qdwXrhIHEDThpmZn1oIi4GFi7VHZy4fdj\ngGMaXXeAnKvMzKxfrcxVNU+wIqJ7H59sZmb1NbfrRds4V5mZDVNdnKe6N3IzMzMzM7MOU/MES9IY\nSWuXyraWtMjQh2VmZkOquQ9wbBvnKjOzYaqL81S9KN4PvKEyI2kkcCnz1lfezMysmZyrzMysowxo\nmHbSAxvNzKzbdUgr3xBxrjIz63ZdnKe6N3IzMzMzM7MO098JVjRYZmZm1i7OVWZm1jH66yJ4iaTX\nS2X/rlJGRCzbvLDMzGxIdXHXiyqcq8zMhpsuzlP1TrAOa1kUZmZmg+NcZWZmHaXeg4Y7NmlJmgn8\nLCK+necPABaJiMPrrLMlMC0irs3z3wC+CEwHngU+HxGPDXnwZmadoItbBoucq8zMhqkuzlMNRS7p\ndEmr11i2qqTTmxtWv6YCn5C05ADW6QM2LcxPAN4bEe8G/gL8pHnhmZlZqzlXmZlZJ2j01HAPYJka\ny5YGdm9KNI17HTgF+GZ5gaSlJZ0n6fo8bSJpVWAfYH9JEyRtFhFXRMRrebXrgBVbF76ZWZt18QMc\n69gD5yozs+Ghi/PUQJ6DVWtEpnVJ3RZaKYBfAXdIOrq07Hjg5xFxjaSVgUsi4m2STgJeioifV9ne\nF4B/DW3IZmbWAs5VZmbWVjVPsCTtB+yXZwM4X9LUUrUFgeWA3w5JdHVExMuSziTF+Gph0fuBdSRV\nHjS5qKSFa21H0ueA9wJb1qozuvB7X57MzFph3COPMO7RR9sdRsdyrpptdMw+t+wD+uTnLZtZa4wb\nN45x48a1O4yOUe8K1t2k/t4idW+4HJhYqjMNuBf405BE17/jSf3TzyiUCdgoIqYXK6pKopH0fuBA\nYIty/aLRzYjUzGwQ+lZdlb5VV501f9hVVzVnwx3SjaIJnKuy0T6hMrM26evro6+vb9b8YYfXHMun\ncV2cp+qNIngpcCmApJeAUyPiyVYF1g8BRMQkSX8idZs4LS8bQ2op/CmApHdFxG3AS8DiszYgvQc4\nCdg2Ip5vYexmZtYkzlVmZtZpGj01PB1YvtoCSevl/uOtVOxj/zNgqULZfsD6km6TdCewdy6/EPh4\n5cZh4BhgEeDPkm6RdH6LYjcza78uvnm4DucqM7PhoovzVKODXJwI3Efq4lD2WWBt4CPNCqo/EbF4\n4fdngEUL888DO1VZ537gXYWiDwxljGZm1nLOVWZm1naNnuZtDIytsezyvNzMzLpFF7cM1uFcZWY2\nXHRxnmo0ioWpPfQtpO4LZmZm7eRcZWZmbdfoCdYdwM41lu0M3NWccMzMzAbNucrMzNqu0XuwjgL+\nImkB0nNEJgJvAnYHPpknMzPrFh3SjaLJnKvMzIaLLs5TDZ1gRcTfJO0OHElKUEEafvYJ4HMR4VGN\nzMysrZyrzMysEzR6BYuIOFvS70ijMC0FPA/8JyLq9Xc3M7NO1MUtg/U4V5mZDRNdnKcGFHkk90bE\n1flnSHqfpF8NVYBmZtbZJG0n6V5J90n6bpXln83Pe7pN0lWS3lFaPiI/9+mCZsTjXGVmZmWtzFUN\nX8Eq7eA9pBuGPwOsDEwCvjKYbZmZWRs0qWVQ0gjgBGAb4EngRkl/j4h7C9UeBLaIiBclbQecypxD\npu8H3A0sThM5V5mZdbEmXsFqda5qOHJJa0k6VNK9wE3AAcA9pH7ub2p0O2ZmNqxsCNwfEY9ExHTg\nXGCHYoWIuC4iXsyz1wErVpZJWgnYHvhNM4JxrjIzsypamqvqnmBJWknStyTdTEpQPwAeA75JunH4\nxxHxt4iY1tChmZnZcLMiKS9UPE4hKVXxReBfhfljgW9T//lVdTlXmZlZP1qaq2p2EZQ0HtiUlJyu\nI9+sifIAACAASURBVF0W+1NEPCPpDXlHZmbWjdpw87CkrYA9gffl+Q8BT0fErZL6SPlmoNt0rjIz\nG47aNMhFM3JVvXuw3pd//hs4Gvi3R2EyM+st4/77X8Y98EC9Kk8AqxTmV8plc5D0TuAUYLuImJSL\nNwM+Kml7YCFgMUlnRcRuAwjRucrMrIc1kKegxbmq3gnWVqSbg3cELgGelfRnUp/Fu/o7CjMz62AN\ntgz2rbUWfWutNWv+sEsvLVe5EVhT0qqkB/vuRMods0haBfgLsGtEzMqCEXEQcFCusyVwwABPrsC5\nysxseGpenoIW56qakUfEFRGxD7A88FHgMmB3YDxpBI0gjcpkZmY9KiJmAF8FxpBOaM6NiHsk7S1p\nr1ztYGBJ4NeSbpF0QxP371xlZmZ1tTpX9TtMe0S8DlwEXCRpQVIC2xnYDjhL0kHAWRFx9GCDMDOz\nFmti3/aIuJj0YN9i2cmF378EfKmfbVwBXDEPMThXmdn/t3fn4ZLV9Z3H358GEQmKUQMuDeiAghJc\ncEeQNu7jgoKMMDISoxEXFCSPQcJERCaLGlEQEyBBJ4kaEJwn7goqLbiCNAoizaIGaDZFRXbZvvPH\nOU1Xl3epe2/dWm69X89Tz71n/57iUp8+v/M7v9JS0udnsAaZVXP9ouHbq+rTVfVKYAuaETauAo6c\ny34kSVosZpUkaZjmfWlYVTdW1cer6gU0D4pJkjRSzCpJ0qDN2kWwF1X1i37sR5I0IEMa/naYzCpJ\nGiNjnFPjW7kkSZIkjZi+3MGSJI2ZMW4ZlCRNgDHOqfGtXJIkSZJGTE8XWEmeOsvyuX4xpCRpmJYt\nm99rhJlVkrSEjHFO9VrFV5I8fqoFSQ4ATuxfSZIkzYtZJUkaul4vsD4FnJ5k+86Z7Rc3fgjYv9+F\nSZI0R2aVJGnoehrkoqrelmRj4OtJnl1VP03yd8DBwL5VdfKiVjlsj5+yQXTpeec7h13BYBx22LAr\nGJwRuVU+ELfcMuwKxssS/NuY+KzaccdhVyBJ/TPGOTWXUQTfCPwb8I0k3wD2Bvasqi8sSmWSJM2d\nWSVJGqqeL7CqqpLsB5wE7An896o6Y9EqkyQtnjFuGZyJWSVJS8QY59S0F1hJfgnUNNtsBJyc5N6Z\nVbV536uTJGkGZpUkadTMdAfro0wdWpKkcTfGLYNdzCpJWorGOKemvcCqqvcAJFkGPAz4bVXdPKC6\nJEmalVklSRo1vVwaLgP+C9hlcUuRJGnezCpJ0kiYdZCLqroryeXAJgOoR5I0CGPc9WIqZpUkLTFj\nnFO9Vv4+4LAkD1nMYiRJWgCzSpI0dL0O0/4Cmr7tlyc5F7iO9R8qrqp6db+LkyQtkjFuGZyBWSVJ\nS8UY51SvF1gPAS7umpYkaZSYVZKkoevpAquqnrPYhUiSBmiMWwanY1ZJ0hIyxjk1r8qT3KffhUiS\n1E9mlSRpGHq+wEqyc5IvJ7kJuD3JTUm+lOSZi1ifJEk9M6skScPWUxfBJM8HvkjTt/0DNA8ObwG8\nCliZ5CVV9bVFq1KS1F9j3PViOmaVJC0hY5xTvQ5y8TfA54C9qqpzRKb3JvkM8LeAoSVJGiazSpI0\ndL1eGu4I/HNXYK11QrtckjQuli2b32sKSV6UZHWSS5IcMsXy7ZJ8J8ntSQ7uWvaOJD9Ocn6STybZ\naAFnZVZJ0lLRx5yCwWZVrxdYNwDbTLNsm3a5JGnCJFkGHAu8ENgB2CfJ9l2r/Qp4G023vc5tH97O\n36mqHk/Tq2LvBZRjVkmSfs+gs6rXC6xTgL9Lsm+SjduDbZxkX5ouF5/ucT+SpFHQv5bBpwGXVtXl\nVXUncBKwe+cKVXV9VZ0L3DXF9hsAf5BkQ2AT4OoFnJVZJUlLRX/vYA00q3q9wDoE+ALwr8AtSX4L\n3NJOf6FdLkmaPI8AruyYXtPOm1VVXQ18ELgCuAq4YYGDUJhVkqSpDDSrev2i4duA1yQ5Engq8DDg\nGuCcqlrdyz4kSeNn5YUXsvInP1mUfSd5IE0L4tbAb4FTk/zPqvrUfPZnVknS5FnMnIL5ZVWvowgC\n0AaUISVJ467H4W9X7LgjK3ZcNzbEEZ/5TPcqVwFbdUwvb+f14nnAz6rq1wBJ/h+wMzCvC6y1zCpJ\nWgL6l1Mw4KzqqfIka5J8Ksmbk/xxj8VIkpa+c4Btk2zdjqq0N81Q6dNJx+9XAM9on5MK8FzgovkW\nYlZJkqYx0Kzq9Q7Wh4BdgSOBByX5DfBt4Kz29YOqmuqBMEnSKOrTFzhW1d1JDgBOo2m0O7GqLkqy\nf7O4TkiyBfAD4P7APUkOBB5XVWcnORU4D7iz/XnCAsoxqyRpqejjFw0POqsy9deFzLBB0yq4C/Ds\n9ucjgNuqatM57WhMJKl6/OOHXcZgnHfesCsYjMMOG3YFWgy33jrsCgYixxxDVWX2NWfYR1J16qnz\n2/ZVr1rw8QfBrFqifvjDYVcgqQdZtmxBWTHuOTWfS8PbgNvb1+9obqFd0c+iZpPkniQf6Jj+iyTv\nnmWb3ZI8s2N61yTnJrkzyR6LWa8kjZw+f4HjCDKrJGmcjXFO9foM1gFJTk5yNc2Dw2+l+cLGdwKb\nV9XjFrHGqfwO2CPJg+awzQqaB9LWuhzYD/hkH+uSJA2JWSVJGgW9PoN1DE1r4InA+6tqzeKV1JO7\naPo+Hgz8784FSR4CHAds2c46iObLwN4E3JXkNcDbqurb7fpz6yMpSRpVZpUkaeh6vcB6M82Dw7sD\nb0nyY+DMta+q+sUi1TedAj4KXJDkfV3LjgaOqqrvJNkS+GpVPS7JccBNVXXUgGuVpNEzIt0o+sys\nkqSlYoxzqtcvGj4eOB4gydY0AfZsmpGaHpPkkqp67KJVOXVNNyf5V+BAmhbLtZ4HPLYdRhFg0ySb\nDLI2SdLgmVWSpFEwpy8abj2w6xWa0ZmG4WhgFfDxjnkBnl5Vd3auuC7D5u4911577+8rNt2UFZsu\nyUGoJI2glWvWsHLNIvR0G+OWwR6ZVWaVpAFZuXIlK1eu7O9OxzinerrASvIumpbAnYHNgOuBbwHv\no/lukUGP7x2AqvpNkk8Dr6fpcw/N+PYHAv8AkOQJVfUj4CbgATPtbzrveehD+1GzJM3ZiuXLWbF8\n+b3TR5x99hCrGW1mlVklaThWrFjBihUr7p0+4r3vHV4xI6DXS8M3Ab8GDqH5wq3Nq2qPqvpwVZ1b\nVfcsXolT6nzY94PAgzvmHQg8JcmP2v73+7fzPw+8MsmqJM9K8pQkVwKvAo5LcsGgipekoRvj4W9n\nYFZJ0lIxxjnV6zNYj1zkOuakqh7Q8fsvgE07pn8F7D3FNpcCT+iavWX3epKk8WRWSZJGQa/fg3V3\nkqdNs+zJSe7ub1mSJM2NWSVJGgW9DnIxU7/v+9B814ckaVyMSDeKPjOrJGmpGOOcmvYCK8lWwCM7\nZj0pycZdq21M8w3zP+9/aZIkzcyskiSNmpnuYL0OOJzmgdwC/mma9W4D3tDnuiRJi2mMWwa7mFWS\ntBSNcU7NdIH1j8CpNF0uzgde0/7sdAdwRVX9bnHKkyRpRmaVJGmkTHuBVVW/BH4JkORRwDVVdceg\nCpMkLaIxbhnsZFZJ0hI1xjnVU+VVdTmQJG9OcmKS05I8mmbmq5M8dlGrlCRpFmaVJGkU9DSKYJLH\nAKcDmwHnAiuA+7eLdwVeArx2EeqTJKknZpUkaRT0Okz7McAVwMuAm2n6s6/1TeB9fa5LkrSYxrjr\nxQzMKklaKsY4p3q9wNoV2KuqbkiyQdey64CH9bcsSZLmzKySJA1drxdYtwP3m2bZI4Ab+lOOJGkg\nxrhlcAZmlSQtFWOcU71WfjrwV0k265hXSe4LvA34Ut8rkyRpbswqSdLQ9XoH653At4HLaAKsgHcD\nOwAbAXssSnWSpMUxxi2DMzCrJGmpGOOc6nWY9iuBJwDHAY8EfkrTl/0U4MlVde1iFShJUi/MKknS\nKOj1DhZV9Rvgr9uXJEkjx6ySJA3b+N57kyTN37Jl83tNIcmLkqxOckmSQ6ZYvl2S7yS5PcnBHfOX\nJ/lGkguTXJDk7Yt4xpKkcdLHnILBZtW0d7CSfKOHU1+rquq5c1hfkrQEJFkGHAs8F7gaOCfJZ6tq\ndcdqv6IZZOIVXZvfBRxcVT9MsilwbpLTurad7fhmlSRpRoPOqpm6CP6qh3ofBuxM8yCxJGlc9O/h\n4acBl1bV5QBJTgJ2B+4Nnqq6Hrg+yUs7N2yfibq2/f3mJBfRDKfe8wUWZpUkLU39HeRioFk17QVW\nVe013bIkWwGHAC8Frgc+NOtpSZKWokcAV3ZMr6EJsjlJ8kjgicD357KdWSVJ6sFAs6rnQS7anW4L\nHArsC/yi/f34qrptrgVKkoZohIa/bbtcnAocWFU392F/ZpUkjbsRyimYW1b1dIGVZAfgMGAvmqu/\nA4GPVdUdC6xVkjTCVq5axcpVq2Za5Spgq47p5e28niTZkCaw/r2qPjuvItfty6ySpAnTQ07BgLNq\nxgusJE+mCavdgUuBNwCfqKq7ey1IkjS+Vuy0Eyt22une6SM+9rHuVc4Btk2yNXANsDewzwy7TNf0\nx4CfVNXR863RrJKkydVDTsGAs2qmUQS/DLwAuADYu6pO6WWHkqQx0KeuF1V1d5IDgNNovvrjxKq6\nKMn+zeI6IckWwA+A+wP3JDkQeBzNlwK/BrggyXk0g1D8VVV9pdfjm1WStET1sYvgoLNqpjtYL2x/\nLgc+muSjsxS+eW+nKElaStqQ2a5r3vEdv18HbDnFpt8GNljg4c0qSdKsBplVM11gHTGXHUmSxsiI\nPTy8AGaVJC1FY5xTMw3TbmitNfuDc0vDO94x7AoG4847h12BFsMGC70RonFkVnWYhKw66KBhVzA4\nZtXSY05NjDkN0y5JWiLGuGVQkjQBxjinxrdySZIkSRoxXmBJkiRJUp/YRVCSJtEYd72QJE2AMc6p\n8a1ckiRJkkaMd7AkaRKNccugJGkCjHFOjW/lkiRJkjRivIMlSZNojFsGJUkTYIxzanwrlyRJkqQR\n4wWWJEmSJPWJXQQlaRKNcdcLSdIEGOOcGt/KJUmSJGnEeAdLkibRGLcMSpImwBjn1PhWLkmSJEkj\nxjtYkjSJxrhlUJI0AcY4p8a3ckmSJEkaMV5gSZIkSVKf2EVQkibRGHe9kCRNgDHOqfGtXJIkSZJG\njHewJGkSjXHLoCRpAoxxTo1v5ZIkSZI0YryDJUmTaIxbBiVJE2CMc2p8K5ckSZKkEeMFliRpQZK8\nKMnqJJckOWSadY5JcmmSHyZ5Ysf8zZKckuSiJBcmefrgKpckTYpBZtXAL7CS3J1kVZLz2p9bJdkt\nyefnub/9knyka94ZSXaaZbuPJ9ljPseUpLG3bNn8Xl2SLAOOBV4I7ADsk2T7rnVeDGxTVY8G9geO\n61h8NPClqnos8ATgosU54bkxqyRpyPqUUzD4rBrGM1i3VNV6gZLkUUAtYJ8L2VaSNH9PAy6tqssB\nkpwE7A6s7lhnd+DfAKrq+21L4BbAbcCuVfWn7bK7gBsHWPtMzCpJWjoGmlXD6CKYGRcmmyQ5Mcn3\nkpyb5GXt/IOSnNj+vmOS85NsPOvBkps6ft8zycc7Fj8/yTnt7cKXzPN8JGn89K9l8BHAlR3Ta9p5\nM61zVTvvUcD17V2aVUlOSHK/Pp7lQphVkjRMfbyDxYCzahh3sO6XZBVNeP2sqvbsWn4Y8PWqen2S\nzYCzk3yN5tbcGUle0a7zxqq6PQnA3kl2abcPsE3H/rpbDDunt66qpybZtt33NlV1R1/OUpKWgJXf\n/S4rv/vdxdr9hsBOwFur6gdJPgy8Czh8sQ44B2aVJI2BRc4pmEdWDeMC69bubhddXgC8LMk72+mN\ngK2q6uIkrwPOB46rqu91bHNSVb197USSMzqWzdQK+WmAqrosyU+B7dv9r+c9Rxxx7+8rdtuNFStW\nzLBLSeqflWvWsPKqq/q/4x6Hv13xrGex4lnPunf6iA9/uHuVq4CtOqaXt/O619lymnWurKoftL+f\nCkz54PEQmFWS1KNFyar+5RQMOKtG8XuwAuxZVZdOsewxwE3Aw+ewv85WwO5uGp3LwjT9499z+Cg0\npkqaRCuWL2fF8uX3Th9xzjlDrGZK5wDbJtkauAbYG9ina53PAW8FTk7yDOCGqroOIMmVSR5TVZcA\nzwV+MrjSF8SskqSWWbW+kXsGC/gq0NnC98T252Y0XS+eDTw4SXd3jelcm2S7dvSQV3Yt2yuNbWj6\nV17c4z4lSUBV3Q0cAJwGXEhzl+aiJPsneWO7zpeAnye5DDgeeEvHLt4OfDLJD2lGZvrbgZ7A9Mwq\nSVoiBp1Vw7iDNdsoSkcCH05yPs0F4M+AlwNHAR9pu0i8AfhGkm/2cIxDgS8CvwB+AGzasewK4Gzg\n/sD+9mmXNDF67HrRi6r6CrBd17zju6YPmGbbHwFP7Vsx/WNWSdIw9TGnYLBZlSpHjZ1Jkqq77hp2\nGYNx8MHDrmAw7rxz2BVoMWywwbArGIgceyxVNdvdlZn3kVRdffX8tn34wxd8fPXfxGTVpOQUmFVL\n0YTkFCw8q8Y9p0bxGSxJ0mLrc8ugJEl9NcY5Nb6VS5IkSdKI8Q6WJE2iMW4ZlCRNgDHOqfGtXJIk\nSZJGjBdYkiRJktQndhGUpEk0xl0vJEkTYIxzanwrlyRJkqQR4x0sSZpEY9wyKEmaAGOcU+NbuSRJ\nkiSNGO9gSdIkGuOWQUnSBBjjnBrfyiVJkiRpxHiBJUmSJEl9YhdBSZpEY9z1QpI0AcY4p8a3ckmS\nJEkaMd7BkqRJNMYtg5KkCTDGOTW+lUuSJEnSiPEOliRNojFuGZQkTYAxzqnxrVySJEmSRowXWJIk\nSZLUJ3YRlKRJNMZdLyRJE2CMc2p8K5ckSZKkEeMF1ghauXLlsEsYmJVr1gy7hIFZedVVwy5hICbl\nPGHM/36XLZvfS2qZVUvPRH1+T9K5juvf7xjn1GhUofWs/OY3h13CwIzt//TzsPLqq4ddwkBMynnC\nZAW01M2sWnom6vN7ks7VrBo4L7AkaRL1sWUwyYuSrE5ySZJDplnnmCSXJvlhkifOZVtJ0gTq8x2s\nQWaVg1xoneXLB3/MBzxg8Me9667BHm+tiy+GLbcczrEHaVjnucEGgz/m6tWT8d90BkmWAccCzwWu\nBs5J8tmqWt2xzouBbarq0UmeDhwHPKOXbaX1DCOnYHKyalJyCoZzrsPIKTCrGHxWpaoW8XTGXxLf\nIEkjpaqykO2TVM3zH2/ZcMP1jp/kGcDhVfXidvpdTYn1vo51jgPOqKqT2+mLgBXAo2bbVr0xqySN\nmoVkVT9zqt3fQLPKO1izWOg/ZCRpJPXvQeBHAFd2TK8BntbDOo/ocVv1wKyStOT0d8CKgWaVF1iS\nNHkuz7JlW89z2+v6cHwvBiRJMxl2TsECssoLLEmaMFX1yD7u7ipgq47p5e287nW2nGKdjXrYVpI0\nYfqcUzDgrHIUwT5JcneSVUnOa39ulWS3JJ+f5/72S/KRrnlnJNlplu0+nmSP+RyzX5Lck+QDHdN/\nkeTds2yzW5JndkzvmuTcJHcO+3xm0qdzfUeSC9sRa05PMnJPovbpPPdPcn77/8iZSbZfzJrnqx/n\n2jF/z3Z/M/5/O+bOAbZNsnWSjYC9gc91rfM54LVwbz/4G6rquh63VR+ZVevVMBFZNSk5BWaVWTWj\ngWaVF1j9c0tV7VRVT2p/XtHOX8iDx+P60PLvgD2SPGgO26wAdu6YvhzYD/hkH+taDP0411XAk6vq\nicBngA9MtdGQ9eM8P1lVj6+qJ9Gc44f6WF8/9eNcSbIp8Hbge/0rbfRU1d3AAcBpwIXASVV1UfuP\nlDe263wJ+HmSy4DjgbfMtO0QTmOSmFXrTEpWTUpOgVk1mxWYVQPJKi+w+mfGfppJNklyYpLvta1d\nL2vnH5TkxPb3HdtWk41nPVhyU8fveyb5eMfi5yc5J814/S+Z5/ksxF3ACcDB3QuSPCTJqUm+376e\nmWRr4E3AQW2L6rOq6oqq+jGjH9z9ONdvVtXt7Wbfo3mYctT04zxv7thsU+CewZQ+Zws+13b1I4G/\npwnBJa2qvlJV21XVo6vq79t5x1fVCR3rHFBV21bVE6pq1UzbalGZVetMSlZNSk6BWQWYVdMZZFb5\nDFb/3C/JKprw+llV7dm1/DDg61X1+iSbAWcn+RpwNHBGkle067yxqm5PArB3kl3a7QNs07G/7g/z\nzumtq+qpSbZt971NVd3Rl7PsTQEfBS5I0j2E5dHAUVX1nTRdDL5aVY9LMzTmTVV11ADr7Id+n+vr\ngS8vbsnz0pfzTPIWmjC4D/AnA6p9rhZ8rkmeBCyvqi8n+cuBVi/NzKxav5ZJyKpJySkwq9Yyq4bM\nC6z+ubWqZuq7+gLgZUne2U5vBGxVVRcneR1wPnBcVXXeoj2pqt6+diLJGR3LZmqF/DRAVV2W5KfA\n9u3+B6aqbk7yr8CBwG0di54HPDZtKgObJtlkkLX1W7/ONcm+wJOB3Rat2AXox3lW1T8C/5hkb+Cv\ngT9dxJLnbSHn2i47iqbb0L2zF7NeaQ7Mqg6TklWTklNgVrXMqiHzAmtwAuxZVZdOsewxwE3Aw+ew\nv85WwO5uGp3LwvC6LhxN02+7s0tIgKdX1Z2dK677DBhbCzrXJM8DDgWe3b3+iOnXf9OTab4hfZTN\n91zvD+wArGwD7KHAZ5O8vLO7gTSizKrGUsyqSckpMKvMqiHzGaz+me1T96s0DxE2KydPbH9uRvM/\nx7OBByfp7q4xnWuTbJdkGfDKrmV7pbENzbdPX9zjPvslAFX1G5oWytd3LDuNpqWlWTF5QvvrTcAD\nZtrfiFrwuba36I8DXl5Vv1rsguepH+e5bcc2LwUuWaxiF2hB51pVN1bV5lX136rqUTTPK7zMwNKI\nMKvWmZSsmpScArNqLbNqyLzA6p/ZWt6OBO6T5sHgHwPvbecfBXykqi4D3gD8XZKH9HCMQ4EvAt8C\nru5a7wrg7Hb5/gPu0w7r1/lB4MEd8w4EnpLkR+37sH87//PAK9M+eJnkKUmuBF4FHJfkgkEVP0cL\nPlfg/cAfAKekGRb2PwdU+1z04zwPSPLjNM9/HMT63RJGST/OtXt/o/oPL00es2qdScmqSckpMKvM\nqhGRqlEe+EaSJEmSxod3sCRJkiSpT7zAkiRJkqQ+8QJLkiRJkvrECyxJkiRJ6hMvsCRJkiSpT7zA\nkiRJkqQ+8QJLC5Lk8CT3JPnyFMtOSfKNOe7vj9p9btW/KucuyXuS/HIB2+/Wvi9nznP75yc5cIr5\na9/v7tdpHevck+Qt8619nvX+fJq61r7uTvLajvV3SHJykuuS3Jbk4iRHJNlkkHVLWvrMqWm3N6fM\nKS2SDYddgJaMFyR5clWdu8D9bA4cDpxB8yWUw1LM/oWcM9mn/blzkuVVtWaO278A2BM4eoplNwAv\nZP0vBPzt3Evsq1cA9+2Y/ipwCvAvHfN+CpDkOcAXgPOAtwLXAU8BDgNenGRFVd06iKIlTRRzan3m\nlDmlReIFlvrh18Aamg+ePRa4r7CwwFjYwZMNgXv6sI9XAV8H/gTYG/iHue5mhmV3VdU58yxvUVTV\njzqnk9wFrKmqs7vm3w/4BHAO8NyqurtddFaSrwHnAv8HOHjxq5Y0Qcyp39+HOWVOaZHYRVD9cA/w\nN8DuSXaYacUkWyY5KcmvktyS5CtJHtMu2xo4v1115dpb9u2y/0ryro797N8uP6Bj3l8kWdMxfb8k\nxyS5pr29f3aS53fVc0bbReTPk1wG3AY8bJraP9LW/dRZ3o8XAn8IvA/4HutaCbv398ok309ya5Lr\nk3yhfX8Op/ng3rqj68LHZjnmjJIckOSSJLcnuTTJQR3LHtke4xkd8/6jnffHHfM+n+TfF1IH8D+A\nhwKHdYQWAFV1AU2ovSHJxgs8jiR1MqfWZ05Nz5zSgnmBpb6oqlOAS2laB6eU5A+BbwOPBt4I7AX8\nAXB6kvsC1wCvoWkVezPwDOCZ7eZnAbt27G5XmpDpntfZl/xfgP2AI2m6BlwBfDHJzl2lPQt4E/CX\nwMvo6saQxj/TfOg+p4dWuX2AX9K0DP4H8MS14dyxz/8FfIbmPdsL+FPgEuCPgH8GPgVcCzy9fR+O\n7Np+g87XTMUk+XPgGOA/gZcCnwY+mOQvAarqv4CrWP+93IWO9zdJgJ1Z//2dj12B31TVt6dZ/p80\nfxM7LfA4krQec2o95tT0zCktXFX58jXvF00/9F+0v+8H3Als206fAnyjY90jaT7QN+uY90Cavtpv\nbqd3oGlpfHbXcd5I84G3dvpymg/jqzvmXd+xn+2Bu4F9O5YHuAD4cse8M4BbgIdMdV40jRD/TvPB\nvn0P78fGwI3AR9rpzdv35PCuOtYAp8ywnw8AP5vm/b6n63U38Ccd69wDvKXrWP/StZ+PAr8BNmqn\nPwV8rv39UcBdwLHAp9p5j2+P89ge/y5+Cbx7ivlfBs6dYbsntPXvNey/bV++fC2Nlzn1e++HOVXm\nlK/FfXkHS/30CeBK4NBplj8XOB24uaNF62aa/sxPmWXfZwKbJXlC20XjEcD7gT9Ksk3bReBBNC2I\nAGu7R5y6dgdVVTRhukvXvs+tquunOOaGwEnAs2mCdPUsNQK8nKZl66T2mL8AVrJ+94vtgIcD/7eH\n/U3lBuDJNO/ZU2jO9fvTrLu8PdapXfNPBh4A7NhOn0nTQgrN+f4I+Hz7+9p5v66qi+ZZsySNAnPK\nnJIWnRdY6ptq+iq/H9g3Uw9f+xDg1TQtZWtfdwArgC1n2fdqmpa/XdvXj6sZ8eiH7fQuNC2HP243\neRhwc1Xd3rWr64BNktyna95UNgFeRNO6+dOZ6uuwT7u/C5NslmQzmpGIHp3kSe06D6Z5QPqaHvfZ\n7a6qOq+qVnW8bplm3Ye1x+o+x+toWg0f1E6fBTyw/QfAru30d4EtkjyS5v391jzr7XQVsPUMUEZV\n0wAAA3hJREFUy7du672qD8eSpPWYU4A5NRtzSgvmKILqt4/R9G8/ZIplvwYuBN7L748+dFMP+/4W\nTQvVDazrY31WO29jmn7za10DbJpk467w2gK4taru7Jg33WhQN9IE7ZeSXFtV07V4ApDkATRBtxHN\nuXYqmlA7D/gVzflP+ZByn13THmvzrvlbtDX9GqCqLkzya9pWUOBdVXVjkvPb6V2BD/ahnjOB1yXZ\nuaq+M8Xy3Wm6wix0GGVJmo45ZU7NxJzSgnkHS31VVXfQfMD9Gb//wfx1mr7rP+lq1VpVVZe269zR\n/pxqdJ4zWdcyeGbXvF1Y1+0CmuFVoRmGttOrutab7XzOoHm49+AkfzXL6nvShNZraVo7O1+n04Qg\nwMU0LV/7zbCvO5j6PZirNcDVNOfQ6dU0wXxBx7xv0zwgvQ3r/8Pgz2hGVOr5fZvBKTQPRf9N90PP\nbavkvsAJVfW7PhxLkn6POWVOzcKc0oJ5B0uL4Xjgr2hG81nZMf8omtGXzkjyEZoP7y2A3YCzqupk\nmhGUbgP2S3IjcGet+1LIs9p9bM66D9Zv0XzQFh0frFW1Osl/AMe2LXY/pXkAeTtg/7mcTFV9Ic23\nu38iyY1Vdew0q+4DrK6qT3YvSPJg4DNJdqmqb7UjI30iySdoRnACeA7Nw7qrgNU03R72A34MXF9V\nl8+l7rb2SvIe4Li25e90miDdHzi0/YfGWmfRPLS8uqOv/1nA22la61bN9fhT1HNbktfQdEdZmeQY\n1n2B46E0LafvXuhxJGkW5lQXc+reeswpLdywR9nwNd4vOkZn6pp/KM1oPl/vmv9Q4ESaLgG3AT8D\n/o2OUX9oAwD4HXB3x/xlNK1ZF3Xt8yc0DyFv2DV/Y5pvmF97rLOB53WtcwZwci/nRdNCdifw2inW\n35ymNe+Qad6njWi6XHy0Y94raFowb6UZzejzwJbtsvu279O17fv4sZne765j3U07SlXHvLfSDK97\nO3AZ8PYptntau+0/dZ3X3cDpc/y7+AXw1zMsfxzNA9bXtf9tVrfndr9h/0378uVrab3MqXuXmVPr\n78uc8rVor1RN161XkiRJkjQXPoMlSZIkSX3iBZYkSZIk9YkXWJIkSZLUJ15gSZIkSVKfeIElSZIk\nSX3iBZYkSZIk9YkXWJIkSZLUJ15gSZIkSVKfeIElSZIkSX3y/wHU6+IR/uZ5gQAAAABJRU5ErkJg\ngg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f7666e26510>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "# Instantiate empty result matrices\n", "tfwe_topdown_svm = np.zeros((ncommunities,ncommunities))\n", "pfwe_topdown_svm = np.ones((ncommunities,ncommunities))\n", "tfwe_topdownbottomup_svm = np.zeros((ncommunities,ncommunities))\n", "pfwe_topdownbottomup_svm = np.ones((ncommunities,ncommunities))\n", "\n", "# Perform accuracy decoding t-test against chance, which is 25% for a 4-way classification\n", "chance = .25\n", "\n", "indices = np.ones((ncommunities,ncommunities))\n", "np.fill_diagonal(indices,0)\n", "flatten_ind = np.where(indices==1)\n", "\n", "## TopDown Tasks\n", "tmp = svm_topdown - chance\n", "t, p = pt.permutationFWE(tmp[flatten_ind[0],flatten_ind[1],:], permutations=1000, nproc=15)\n", "tfwe_topdown_svm[flatten_ind[0],flatten_ind[1]] = t\n", "pfwe_topdown_svm[flatten_ind[0],flatten_ind[1]] = p\n", "binary_mat_topdown_svm = pfwe_topdown_svm > .95\n", "\n", "## TopDown and BottomUp Tasks\n", "tmp = svm_topdownbottomup - chance\n", "t, p = pt.permutationFWE(tmp[flatten_ind[0],flatten_ind[1],:], permutations=1000, nproc=15)\n", "tfwe_topdownbottomup_svm[flatten_ind[0],flatten_ind[1]] = t\n", "pfwe_topdownbottomup_svm[flatten_ind[0],flatten_ind[1]] = p\n", "binary_mat_topdownbottomup_svm = pfwe_topdownbottomup_svm > .95\n", "\n", "####\n", "## Plot figures for Top Down Task\n", "# Unthresholded map\n", "plt.figure(figsize=(12,10))\n", "plt.subplot(121)\n", "mat = np.mean(svm_topdown,axis=2)\n", "norm = MidpointNormalize(midpoint=0)\n", "plt.imshow(mat,norm=norm,origin='lower',interpolation='None',cmap='bwr')\n", "plt.title('Network-to-Network ITE (using SVMs)\\n(Unthresholded)\\nTopDown Tasks',fontsize=16, y=1.02)\n", "plt.colorbar(fraction=0.046)\n", "plt.yticks(range(ncommunities), ['FlexHub', 'Net1', 'Net2', 'Net3', 'Net4'])\n", "plt.xticks(range(ncommunities), ['FlexHub', 'Net1', 'Net2', 'Net3', 'Net4'])\n", "plt.ylabel('Network ActFlow FROM',fontsize=15)\n", "plt.xlabel('Network ActFlow TO',fontsize=15)\n", "plt.tight_layout()\n", "# plt.savefig(outputdir + 'SFig_CompModel_SVM_topdownOnly_Unthresholded.pdf')\n", "# Thresholded map\n", "plt.subplot(122)\n", "mat = np.mean(svm_topdown,axis=2)\n", "mat = np.multiply(binary_mat_topdown_svm,mat)\n", "norm = MidpointNormalize(midpoint=0)\n", "plt.imshow(mat,norm=norm,origin='lower',interpolation='None',cmap='bwr')\n", "plt.title('Network-to-Network ITE (using SVMs)\\n(FWE-corrected)\\nTopDown Tasks',fontsize=16, y=1.02)\n", "plt.colorbar(fraction=0.046)\n", "plt.yticks(range(ncommunities), ['FlexHub', 'Net1', 'Net2', 'Net3', 'Net4'])\n", "plt.xticks(range(ncommunities), ['FlexHub', 'Net1', 'Net2', 'Net3', 'Net4'])\n", "plt.ylabel('Network ActFlow FROM',fontsize=15)\n", "plt.xlabel('Network ActFlow TO',fontsize=15)\n", "plt.tight_layout()\n", "# plt.savefig(outputdir + 'SFig_CompModel_SVM_topdownOnly_FWER.pdf')\n", "\n", "####\n", "## Plot figures for Top Down AND Bottom Up Task\n", "# Unthresholded map\n", "plt.figure(figsize=(12,10))\n", "plt.subplot(121)\n", "mat = np.mean(svm_topdownbottomup,axis=2)\n", "norm = MidpointNormalize(midpoint=0)\n", "plt.imshow(mat,origin='lower',interpolation='None',norm=norm,cmap='bwr')\n", "plt.title('Network-to-Network ITE (using SVMs)\\n(Unthresholded)\\nTopDownBottomUp Tasks',fontsize=16, y=1.02)\n", "plt.colorbar(fraction=0.046)\n", "plt.yticks(range(ncommunities), ['FlexHub', 'Net1', 'Net2', 'Net3', 'Net4'])\n", "plt.xticks(range(ncommunities), ['FlexHub', 'Net1', 'Net2', 'Net3', 'Net4'])\n", "plt.ylabel('Network ActFlow FROM',fontsize=15)\n", "plt.xlabel('Network ActFlow TO',fontsize=15)\n", "# Thresholded map\n", "plt.subplot(122)\n", "mat = np.mean(svm_topdownbottomup,axis=2)\n", "mat = np.multiply(binary_mat_topdownbottomup_svm,mat)\n", "norm = MidpointNormalize(midpoint=0)\n", "plt.imshow(mat,origin='lower',interpolation='None',norm=norm,cmap='bwr')\n", "plt.title('Network-to-Network ITE (using SVMs)\\n(FWE-corrected)\\nTopDownBottomUp Tasks',fontsize=16, y=1.02)\n", "plt.colorbar(fraction=0.046)\n", "plt.yticks(range(ncommunities), ['FlexHub', 'Net1', 'Net2', 'Net3', 'Net4'])\n", "plt.xticks(range(ncommunities), ['FlexHub', 'Net1', 'Net2', 'Net3', 'Net4'])\n", "plt.ylabel('Network ActFlow FROM',fontsize=15)\n", "plt.xlabel('Network ActFlow TO',fontsize=15)\n", "plt.tight_layout()\n", "# plt.savefig(outputdir + 'SFig_CompModel_SVM_topdownbottomup_FWER.pdf')\n", "\n" ] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.13" } }, "nbformat": 4, "nbformat_minor": 0 }
gpl-3.0
PYPIT/COS_REDUX
docs/nb/Coadd_script.ipynb
1
8669
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Coadd script" ] }, { "cell_type": "code", "execution_count": 94, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# imports\n", "import glob\n", "import pdb\n", "\n", "import matplotlib.pyplot as plt\n", "\n", "from scipy.interpolate import interp1d\n", "\n", "from astropy.table import Table, vstack\n", "\n", "from xastropy.xutils import xdebug as xdb" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Testing" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": true }, "outputs": [], "source": [ "x1d_path = os.getenv('DROPBOX_DIR')+'/COS-LRG/tmp/'" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": true }, "outputs": [], "source": [ "x1d_files = glob.glob(x1d_path+'*x1d.fits')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Check an x1d file" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false }, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "WARNING: UnitsWarning: The unit 'angstrom' has been deprecated in the FITS standard. Suggested: 10**-1 nm. [astropy.units.format.utils]\n", "WARNING: UnitsWarning: The unit 'erg' has been deprecated in the FITS standard. Suggested: cm2 g s-2. [astropy.units.format.utils]\n", "WARNING: UnitsWarning: 'erg /s /cm**2 /angstrom' contains multiple slashes, which is discouraged by the FITS standard [astropy.units.format.generic]\n" ] } ], "source": [ "tbl = Table.read(x1d_files[0])" ] }, { "cell_type": "code", "execution_count": 15, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "&lt;Table length=1&gt;\n", "<table id=\"table4572632464\" class=\"table-striped table-bordered table-condensed\">\n", "<thead><tr><th>SEGMENT</th><th>EXPTIME</th><th>NELEM</th><th>WAVELENGTH [16384]</th><th>FLUX [16384]</th><th>ERROR [16384]</th><th>GROSS [16384]</th><th>GCOUNTS [16384]</th><th>NET [16384]</th><th>BACKGROUND [16384]</th><th>DQ [16384]</th><th>DQ_WGT [16384]</th></tr></thead>\n", "<thead><tr><th></th><th>s</th><th></th><th>Angstrom</th><th>erg / (Angstrom cm2 s)</th><th>erg / (Angstrom cm2 s)</th><th>ct / s</th><th>ct</th><th>ct / s</th><th>ct / s</th><th></th><th></th></tr></thead>\n", "<thead><tr><th>str4</th><th>float64</th><th>int32</th><th>float64</th><th>float32</th><th>float32</th><th>float32</th><th>float32</th><th>float32</th><th>float32</th><th>int16</th><th>float32</th></tr></thead>\n", "<tr><td>FUVA</td><td>1128.192</td><td>16384</td><td>1185.08305117 .. 2496.14189625</td><td>0.0 .. 0.0</td><td>2.04881e-16 .. 6.06116e-17</td><td>0.0 .. 0.0</td><td>0.0 .. 0.0</td><td>0.0 .. 0.0</td><td>0.0 .. 0.0</td><td>128 .. 128</td><td>0.0 .. 0.0</td></tr>\n", "</table>" ], "text/plain": [ "<Table length=1>\n", "SEGMENT EXPTIME NELEM ... BACKGROUND [16384] DQ [16384] DQ_WGT [16384]\n", " s ... ct / s \n", " str4 float64 int32 ... float32 int16 float32 \n", "------- -------- ----- ... ------------------ ---------- --------------\n", " FUVA 1128.192 16384 ... 0.0 .. 0.0 128 .. 128 0.0 .. 0.0" ] }, "execution_count": 15, "metadata": {}, "output_type": "execute_result" } ], "source": [ "tbl[0:1]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Segment A" ] }, { "cell_type": "code", "execution_count": 20, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Load\n", "sega_tbls = []\n", "for x1d_file in x1d_files:\n", " tbl = Table.read(x1d_file)\n", " sega_tbls.append(tbl[0:1])" ] }, { "cell_type": "code", "execution_count": 31, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "array([ 1185.08305117, 1185.16248733, 1185.24192356, 1185.32135985,\n", " 1185.40079622])" ] }, "execution_count": 31, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# Grab one wavelength array\n", "wave = sega_tbls[0]['WAVELENGTH'][0,:].data\n", "wave[0:5]" ] }, { "cell_type": "code", "execution_count": 37, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Sum exposure time\n", "total_time = np.zeros_like(wave)\n", "for sega_tbl in sega_tbls:\n", " total_time += sega_tbl['DQ_WGT'][0,:]*sega_tbl['EXPTIME']\n", "#xdb.xhist(total_time)" ] }, { "cell_type": "code", "execution_count": 42, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Find DQmin for all exposures -- Why are we doing this step??\n", "dqmin = np.ones_like(wave).astype(int) * 99999\n", "for sega_tbl in sega_tbls:\n", " # Reset DQ\n", " dq = sega_tbl['DQ'][0,:].data\n", " reset_1024 = dq == 1024\n", " dq[reset_1024] = 2\n", " dqmin = np.minimum(dq, dqmin)" ] }, { "cell_type": "code", "execution_count": 52, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Find DQ_WGT max for all exposures\n", "DQWmax = np.zeros_like(wave)\n", "for sega_tbl in sega_tbls:\n", " # Reset DQ\n", " dqw = sega_tbl['DQ_WGT'][0,:].data\n", " DQWmax = np.maximum(dqw, DQWmax)\n", "#xdb.xhist(dqwmax)" ] }, { "cell_type": "code", "execution_count": 63, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Generate calib values\n", "total_counts = np.zeros_like(wave)\n", "for sega_tbl in sega_tbls:\n", " # \n", " total_counts += DQWmax * sega_tbl['GCOUNTS'][0,:]\n", "xdb.xplot(wave, total_counts)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Calibration" ] }, { "cell_type": "code", "execution_count": 88, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Calibration\n", "wave_calib, calib = [], []\n", "for sega_tbl in sega_tbls:\n", " # \n", " gddq = (sega_tbl['DQ'] > 0) & (sega_tbl['FLUX'] > 0)\n", " # Append\n", " wave_calib.append(sega_tbl['WAVELENGTH'][gddq].data.flatten())\n", " calib.append( (sega_tbl['NET'][gddq] / sega_tbl['FLUX'][gddq]).data)\n", "#xdb.xhist(total_counts)" ] }, { "cell_type": "code", "execution_count": 89, "metadata": { "collapsed": false }, "outputs": [], "source": [ "wave_calib = np.concatenate(wave_calib)\n", "calib = np.concatenate(calib)\n", "# sort\n", "srt = np.argsort(wave_calib)\n", "wave_calib = wave_calib[srt]\n", "calib = calib[srt]\n", "xdb.xplot(wave_calib, calib)" ] }, { "cell_type": "code", "execution_count": 82, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Cut down\n", "gdwv = wave_calib < 2100. # Anything above that is junk" ] }, { "cell_type": "code", "execution_count": 92, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Spline\n", "f = interp1d(wave_calib[gdwv], calib[gdwv], bounds_error=False, fill_value=0.) # cubic behaves badly" ] }, { "cell_type": "code", "execution_count": 96, "metadata": { "collapsed": false }, "outputs": [], "source": [ "plt.clf()\n", "ax = plt.gca()\n", "ax.scatter(wave_calib[gdwv], calib[gdwv])\n", "ax.plot(wave, f(wave))\n", "plt.show()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.11" } }, "nbformat": 4, "nbformat_minor": 0 }
bsd-2-clause
davidvilla/python-course
numpy.ipynb
1
50976
{ "metadata": { "name": "", "signature": "sha256:b2c6b63633de46f21d7e507ff9b026fb5bdf7b9f4c98c469bbc08e92526e25b9" }, "nbformat": 3, "nbformat_minor": 0, "worksheets": [ { "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# numpy\n", "\n", "Es un m\u00f3dulo Python para el manejo de arrays (vectores) y matrices. El componente esencial es el tipo **array**. Este notebook es un resumen de http://goo.gl/fiLYpb.\n", "\n", "Cuando uses numpy/matplotlib en IPython, [evitar usar pylab](http://nbviewer.ipython.org/github/Carreau/posts/blob/master/10-No-PyLab-Thanks.ipynb)" ] }, { "cell_type": "code", "collapsed": false, "input": [ "import numpy as np\n", "a1 = np.array([])\n", "a1" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 1, "text": [ "array([], dtype=float64)" ] } ], "prompt_number": 1 }, { "cell_type": "markdown", "metadata": {}, "source": [ "A diferencia de la lista est\u00e1ndar de Python, todos los elementos de un array deben ser del mismo tipo." ] }, { "cell_type": "code", "collapsed": false, "input": [ "a1.dtype" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 2, "text": [ "dtype('float64')" ] } ], "prompt_number": 2 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Pero se puede convertir a otro:" ] }, { "cell_type": "code", "collapsed": false, "input": [ "aux = a1.astype(int)\n", "print(aux.dtype)\n", "print(aux)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "int64\n", "[]\n" ] } ], "prompt_number": 3 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Para especificar el tipo de los datos se pueden utilizar los tipos de Python, sus nombres como cadenas o variables definidas en el m\u00f3dulo **numpy**:" ] }, { "cell_type": "code", "collapsed": false, "input": [ "np.array([1], dtype=int)\n", "np.array([1], dtype='float64')\n", "np.array([1], dtype=np.complex128)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 4, "text": [ "array([ 1.+0.j])" ] } ], "prompt_number": 4 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Los operadores est\u00e1n sobrecargados con su sem\u00e1ntica matem\u00e1tica:" ] }, { "cell_type": "code", "collapsed": false, "input": [ "a1 = np.array([1, 2, 3])\n", "\n", "print(a1 + [4, 5, 6])\n", "print(a1 * 3)\n", "print(a1 * [4, 5, 6])\n", "print(a1 >= 2)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "[5 7 9]\n", "[3 6 9]\n", "[ 4 10 18]\n", "[False True True]\n" ] } ], "prompt_number": 5 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Y adem\u00e1s son multidimencionales:" ] }, { "cell_type": "code", "collapsed": false, "input": [ "a2 = np.array([[1, 2, 3, 4], [5, 6, 7, 8], [9, 10, 11, 12]])\n", "a2" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 6, "text": [ "array([[ 1, 2, 3, 4],\n", " [ 5, 6, 7, 8],\n", " [ 9, 10, 11, 12]])" ] } ], "prompt_number": 6 }, { "cell_type": "code", "collapsed": false, "input": [ "print(\"El array tiene {} dimensiones\".format(a2.ndim))\n", "print(\"Su tama\u00f1o es {0[0]} filas y {0[1]} columnas\".format(a2.shape))" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "El array tiene 2 dimensiones\n", "Su tama\u00f1o es 3 filas y 4 columnas\n" ] } ], "prompt_number": 7 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Es posible ver un array con un estructura diferente. Es importante se\u00f1alar que esta operaci\u00f3n no crea un array nuevo ni mueve los datos, solo los accede de un modo diferente." ] }, { "cell_type": "code", "collapsed": false, "input": [ "a3 = np.array(range(8))\n", "a3" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 8, "text": [ "array([0, 1, 2, 3, 4, 5, 6, 7])" ] } ], "prompt_number": 8 }, { "cell_type": "code", "collapsed": false, "input": [ "a3.reshape(2, 4)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 9, "text": [ "array([[0, 1, 2, 3],\n", " [4, 5, 6, 7]])" ] } ], "prompt_number": 9 }, { "cell_type": "code", "collapsed": false, "input": [ "x = np.arange(1,5).reshape(2,2)\n", "y = np.arange(5,9).reshape(2,2)\n", "print(x * y)\n", "print(np.dot(x, y))" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "[[ 5 12]\n", " [21 32]]\n", "[[19 22]\n", " [43 50]]\n" ] } ], "prompt_number": 10 }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Construir arrays\n", "\n", "La funci\u00f3n `arange` es similar al `range` est\u00e1ndar, pero devuelve un `numpy.array`." ] }, { "cell_type": "code", "collapsed": false, "input": [ "np.arange(10)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 11, "text": [ "array([0, 1, 2, 3, 4, 5, 6, 7, 8, 9])" ] } ], "prompt_number": 11 }, { "cell_type": "markdown", "metadata": {}, "source": [ "La funci\u00f3n `linspace()` es similar, pero es m\u00e1s adecuada para valores flotantes. Se puede indicar la cantidad de valores a crear entre los l\u00edmites indicados." ] }, { "cell_type": "code", "collapsed": false, "input": [ "x = np.linspace(0, 10, num=20)\n", "x" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 12, "text": [ "array([ 0. , 0.52631579, 1.05263158, 1.57894737,\n", " 2.10526316, 2.63157895, 3.15789474, 3.68421053,\n", " 4.21052632, 4.73684211, 5.26315789, 5.78947368,\n", " 6.31578947, 6.84210526, 7.36842105, 7.89473684,\n", " 8.42105263, 8.94736842, 9.47368421, 10. ])" ] } ], "prompt_number": 12 }, { "cell_type": "code", "collapsed": false, "input": [ "np.zeros((2,3))" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 13, "text": [ "array([[ 0., 0., 0.],\n", " [ 0., 0., 0.]])" ] } ], "prompt_number": 13 }, { "cell_type": "code", "collapsed": false, "input": [ "np.ones(6)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 14, "text": [ "array([ 1., 1., 1., 1., 1., 1.])" ] } ], "prompt_number": 14 }, { "cell_type": "code", "collapsed": false, "input": [ "np.identity(5)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 15, "text": [ "array([[ 1., 0., 0., 0., 0.],\n", " [ 0., 1., 0., 0., 0.],\n", " [ 0., 0., 1., 0., 0.],\n", " [ 0., 0., 0., 1., 0.],\n", " [ 0., 0., 0., 0., 1.]])" ] } ], "prompt_number": 15 }, { "cell_type": "code", "collapsed": false, "input": [ "np.diag([1, 2, 3])" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 16, "text": [ "array([[1, 0, 0],\n", " [0, 2, 0],\n", " [0, 0, 3]])" ] } ], "prompt_number": 16 }, { "cell_type": "code", "collapsed": false, "input": [ "np.random.rand(5)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 17, "text": [ "array([ 0.01921827, 0.93944384, 0.68174967, 0.04461026, 0.64737613])" ] } ], "prompt_number": 17 }, { "cell_type": "code", "collapsed": false, "input": [ "np.random.rand(3, 4)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 18, "text": [ "array([[ 0.85878249, 0.38752053, 0.49107638, 0.67692526],\n", " [ 0.53540974, 0.31223857, 0.62396065, 0.2384783 ],\n", " [ 0.18899872, 0.57617881, 0.89084486, 0.80863298]])" ] } ], "prompt_number": 18 }, { "cell_type": "code", "collapsed": false, "input": [ "np.empty((2,3))" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 19, "text": [ "array([[ 6.93918287e-310, 6.93918287e-310, 0.00000000e+000],\n", " [ 1.27319747e-313, 1.27319747e-313, 1.27319747e-313]])" ] } ], "prompt_number": 19 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Las funciones matem\u00e1ticas de `numpy` aceptan y devuelven arrays en lugar de valores escalares." ] }, { "cell_type": "code", "collapsed": false, "input": [ "sin_x = np.sin(x)\n", "print(sin_x)\n", "\n", "abs_sin_x = abs(sin_x)\n", "print(abs_sin_x)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "[ 0. 0.50235115 0.86872962 0.99996678 0.86054034 0.48818921\n", " -0.01630136 -0.5163796 -0.87668803 -0.99970104 -0.85212237 -0.47389753\n", " 0.03259839 0.53027082 0.88441346 0.99916962 0.84347795 0.4594799\n", " -0.04888676 -0.54402111]\n", "[ 0. 0.50235115 0.86872962 0.99996678 0.86054034 0.48818921\n", " 0.01630136 0.5163796 0.87668803 0.99970104 0.85212237 0.47389753\n", " 0.03259839 0.53027082 0.88441346 0.99916962 0.84347795 0.4594799\n", " 0.04888676 0.54402111]\n" ] } ], "prompt_number": 20 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Por tanto, `linspace` nos da un espacio unidimensional discreto. `mgrid` ofrece algo parecido para un espacio bidimensional. Devuelve dos arrays bidimensionales, uno creciente por columnas y otro por filas." ] }, { "cell_type": "code", "collapsed": false, "input": [ "a_2D = np.mgrid[0:5,0:5]\n", "a_2D" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 21, "text": [ "array([[[0, 0, 0, 0, 0],\n", " [1, 1, 1, 1, 1],\n", " [2, 2, 2, 2, 2],\n", " [3, 3, 3, 3, 3],\n", " [4, 4, 4, 4, 4]],\n", "\n", " [[0, 1, 2, 3, 4],\n", " [0, 1, 2, 3, 4],\n", " [0, 1, 2, 3, 4],\n", " [0, 1, 2, 3, 4],\n", " [0, 1, 2, 3, 4]]])" ] } ], "prompt_number": 21 }, { "cell_type": "code", "collapsed": false, "input": [ "x, y = a_2D\n", "z = (x+y) ** 2\n", "z" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 22, "text": [ "array([[ 0, 1, 4, 9, 16],\n", " [ 1, 4, 9, 16, 25],\n", " [ 4, 9, 16, 25, 36],\n", " [ 9, 16, 25, 36, 49],\n", " [16, 25, 36, 49, 64]])" ] } ], "prompt_number": 22 }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Indexaci\u00f3n" ] }, { "cell_type": "code", "collapsed": false, "input": [ "a2" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 23, "text": [ "array([[ 1, 2, 3, 4],\n", " [ 5, 6, 7, 8],\n", " [ 9, 10, 11, 12]])" ] } ], "prompt_number": 23 }, { "cell_type": "code", "collapsed": false, "input": [ "a2[1,2]" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 24, "text": [ "7" ] } ], "prompt_number": 24 }, { "cell_type": "code", "collapsed": false, "input": [ "a2[:,2]" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 25, "text": [ "array([ 3, 7, 11])" ] } ], "prompt_number": 25 }, { "cell_type": "code", "collapsed": false, "input": [ "a2[1,1:]" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 26, "text": [ "array([6, 7, 8])" ] } ], "prompt_number": 26 }, { "cell_type": "code", "collapsed": false, "input": [ "a4d = np.arange(256).reshape(4,4,4,-1)\n", "a4d[1,:,:,2]" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 27, "text": [ "array([[ 66, 70, 74, 78],\n", " [ 82, 86, 90, 94],\n", " [ 98, 102, 106, 110],\n", " [114, 118, 122, 126]])" ] } ], "prompt_number": 27 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Es posible indexar un array usando una lista:" ] }, { "cell_type": "code", "collapsed": false, "input": [ "a = np.array(list(reversed(range(20))))\n", "indexes = [2, 4, 8, 12]\n", "a[indexes]" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 28, "text": [ "array([17, 15, 11, 7])" ] } ], "prompt_number": 28 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Tambi\u00e9n con un array de booleanos:" ] }, { "cell_type": "code", "collapsed": false, "input": [ "a = np.arange(20)\n", "indexes = np.array([i > 16 or not i%3 for i in a], dtype=bool)\n", "indexes = np.array([True] * 15)\n", "print(indexes)\n", "a[indexes]" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "[ True True True True True True True True True True True True\n", " True True True]\n" ] }, { "metadata": {}, "output_type": "pyout", "prompt_number": 69, "text": [ "array([ 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14])" ] } ], "prompt_number": 69 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Y estas indexaci\u00f3n se pueden usar en una asignaci\u00f3n m\u00faltiple:" ] }, { "cell_type": "code", "collapsed": false, "input": [ "a[indexes] = -1\n", "a" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 30, "text": [ "array([-1, 1, 2, -1, 4, 5, -1, 7, 8, -1, 10, 11, -1, 13, 14, -1, 16,\n", " -1, -1, -1])" ] } ], "prompt_number": 30 }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Iteraci\u00f3n\n", "\n", "Un array de dos dimensiones se itera por filas:" ] }, { "cell_type": "code", "collapsed": false, "input": [ "a25 = np.arange(25).reshape(5, 5)\n", "for row in a25:\n", " print(row)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "[0 1 2 3 4]\n", "[5 6 7 8 9]\n", "[10 11 12 13 14]\n", "[15 16 17 18 19]\n", "[20 21 22 23 24]\n" ] } ], "prompt_number": 31 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Se puede iterar como un array plano (el array no es modificado):" ] }, { "cell_type": "code", "collapsed": false, "input": [ "for x in a25.flat:\n", " print(x)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "0\n", "1\n", "2\n", "3\n", "4\n", "5\n", "6\n", "7\n", "8\n", "9\n", "10\n", "11\n", "12\n", "13\n", "14\n", "15\n", "16\n", "17\n", "18\n", "19\n", "20\n", "21\n", "22\n", "23\n", "24\n" ] } ], "prompt_number": 32 }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Vistas\n", "\n", "Los array's son _vistas_ de otros arrays (a menos que sean copias expl\u00edcitas):" ] }, { "cell_type": "code", "collapsed": false, "input": [ "b = a2.copy()\n", "\n", "b1 = b[:,2]\n", "print(b1)\n", "\n", "b1[2]= 3000\n", "print(b)\n", "\n", "b[1,:] = 666\n", "print(b)\n", "\n", "print(b1)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "[ 3 7 11]\n", "[[ 1 2 3 4]\n", " [ 5 6 7 8]\n", " [ 9 10 3000 12]]\n", "[[ 1 2 3 4]\n", " [ 666 666 666 666]\n", " [ 9 10 3000 12]]\n", "[ 3 666 3000]\n" ] } ], "prompt_number": 70 }, { "cell_type": "code", "collapsed": false, "input": [ "a2" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 34, "text": [ "array([[ 1, 2, 3, 4],\n", " [ 5, 6, 7, 8],\n", " [ 9, 10, 11, 12]])" ] } ], "prompt_number": 34 }, { "cell_type": "markdown", "metadata": {}, "source": [ "A\u00f1adir elementos crea un array nuevo:" ] }, { "cell_type": "code", "collapsed": false, "input": [ "np.append(a2, 3)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 35, "text": [ "array([ 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 3])" ] } ], "prompt_number": 35 }, { "cell_type": "code", "collapsed": false, "input": [ "a2" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 36, "text": [ "array([[ 1, 2, 3, 4],\n", " [ 5, 6, 7, 8],\n", " [ 9, 10, 11, 12]])" ] } ], "prompt_number": 36 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Se puede crear una vista de un array completo:" ] }, { "cell_type": "code", "collapsed": false, "input": [ "aux = a2.view()\n", "aux.shape = 2,6\n", "print(aux)\n", "print(a2)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "[[ 1 2 3 4 5 6]\n", " [ 7 8 9 10 11 12]]\n", "[[ 1 2 3 4]\n", " [ 5 6 7 8]\n", " [ 9 10 11 12]]\n" ] } ], "prompt_number": 37 }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Interface de la clase array" ] }, { "cell_type": "code", "collapsed": false, "input": [ "a2" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 38, "text": [ "array([[ 1, 2, 3, 4],\n", " [ 5, 6, 7, 8],\n", " [ 9, 10, 11, 12]])" ] } ], "prompt_number": 38 }, { "cell_type": "code", "collapsed": false, "input": [ "a2.flags" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 39, "text": [ " C_CONTIGUOUS : True\n", " F_CONTIGUOUS : False\n", " OWNDATA : True\n", " WRITEABLE : True\n", " ALIGNED : True\n", " UPDATEIFCOPY : False" ] } ], "prompt_number": 39 }, { "cell_type": "code", "collapsed": false, "input": [ "for attr in ['shape', 'strides', 'ndim', 'size', 'dtype', 'itemsize', 'nbytes']:\n", " print(\"- {:10} {}\".format(attr, getattr(a2, attr)))" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "- shape (3, 4)\n", "- strides (32, 8)\n", "- ndim 2\n", "- size 12\n", "- dtype int64\n", "- itemsize 8\n", "- nbytes 96\n" ] } ], "prompt_number": 40 }, { "cell_type": "code", "collapsed": false, "input": [ "a2.item((1,0))" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 41, "text": [ "5" ] } ], "prompt_number": 41 }, { "cell_type": "code", "collapsed": false, "input": [ "a2.tolist()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 42, "text": [ "[[1, 2, 3, 4], [5, 6, 7, 8], [9, 10, 11, 12]]" ] } ], "prompt_number": 42 }, { "cell_type": "code", "collapsed": false, "input": [ "a2.tostring()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 43, "text": [ "'\\x01\\x00\\x00\\x00\\x00\\x00\\x00\\x00\\x02\\x00\\x00\\x00\\x00\\x00\\x00\\x00\\x03\\x00\\x00\\x00\\x00\\x00\\x00\\x00\\x04\\x00\\x00\\x00\\x00\\x00\\x00\\x00\\x05\\x00\\x00\\x00\\x00\\x00\\x00\\x00\\x06\\x00\\x00\\x00\\x00\\x00\\x00\\x00\\x07\\x00\\x00\\x00\\x00\\x00\\x00\\x00\\x08\\x00\\x00\\x00\\x00\\x00\\x00\\x00\\t\\x00\\x00\\x00\\x00\\x00\\x00\\x00\\n\\x00\\x00\\x00\\x00\\x00\\x00\\x00\\x0b\\x00\\x00\\x00\\x00\\x00\\x00\\x00\\x0c\\x00\\x00\\x00\\x00\\x00\\x00\\x00'" ] } ], "prompt_number": 43 }, { "cell_type": "code", "collapsed": false, "input": [ "a2.copy()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 44, "text": [ "array([[ 1, 2, 3, 4],\n", " [ 5, 6, 7, 8],\n", " [ 9, 10, 11, 12]])" ] } ], "prompt_number": 44 }, { "cell_type": "code", "collapsed": false, "input": [ "a2.view(dtype=np.int16)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 45, "text": [ "array([[ 1, 0, 0, 0, 2, 0, 0, 0, 3, 0, 0, 0, 4, 0, 0, 0],\n", " [ 5, 0, 0, 0, 6, 0, 0, 0, 7, 0, 0, 0, 8, 0, 0, 0],\n", " [ 9, 0, 0, 0, 10, 0, 0, 0, 11, 0, 0, 0, 12, 0, 0, 0]], dtype=int16)" ] } ], "prompt_number": 45 }, { "cell_type": "code", "collapsed": false, "input": [ "a2.fill(1)\n", "a2" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 46, "text": [ "array([[1, 1, 1, 1],\n", " [1, 1, 1, 1],\n", " [1, 1, 1, 1]])" ] } ], "prompt_number": 46 }, { "cell_type": "code", "collapsed": false, "input": [ "a2 = np.array([[1, 2, 3, 4], [5, 6, 7, 8], [9, 10, 11, 12]])\n", "a2.transpose()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 47, "text": [ "array([[ 1, 5, 9],\n", " [ 2, 6, 10],\n", " [ 3, 7, 11],\n", " [ 4, 8, 12]])" ] } ], "prompt_number": 47 }, { "cell_type": "code", "collapsed": false, "input": [ "aux = a2.copy()\n", "aux.resize(5,5)\n", "aux" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 48, "text": [ "array([[ 1, 2, 3, 4, 5],\n", " [ 6, 7, 8, 9, 10],\n", " [11, 12, 0, 0, 0],\n", " [ 0, 0, 0, 0, 0],\n", " [ 0, 0, 0, 0, 0]])" ] } ], "prompt_number": 48 }, { "cell_type": "code", "collapsed": false, "input": [ "a2" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 49, "text": [ "array([[ 1, 2, 3, 4],\n", " [ 5, 6, 7, 8],\n", " [ 9, 10, 11, 12]])" ] } ], "prompt_number": 49 }, { "cell_type": "code", "collapsed": false, "input": [ "aux = np.array([[3, 2], [1, 6]])\n", "aux.sort(axis=1)\n", "aux" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 50, "text": [ "array([[2, 3],\n", " [1, 6]])" ] } ], "prompt_number": 50 }, { "cell_type": "code", "collapsed": false, "input": [ "aux.sort(axis=0)\n", "aux" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 51, "text": [ "array([[1, 3],\n", " [2, 6]])" ] } ], "prompt_number": 51 }, { "cell_type": "code", "collapsed": false, "input": [ "a2.diagonal()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 52, "text": [ "array([ 1, 6, 11])" ] } ], "prompt_number": 52 }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Operaciones como m\u00e9todos" ] }, { "cell_type": "code", "collapsed": false, "input": [ "a2" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 53, "text": [ "array([[ 1, 2, 3, 4],\n", " [ 5, 6, 7, 8],\n", " [ 9, 10, 11, 12]])" ] } ], "prompt_number": 53 }, { "cell_type": "code", "collapsed": false, "input": [ "print(a2.min())\n", "print(a2.max())\n", "print(a2.sum())\n", "print(a2.mean())\n", "print(a2.var())\n", "\n", "print(a2.sum(axis=0))\n", "print(a2.sum(axis=1))" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "1\n", "12\n", "78\n", "6.5\n", "11.9166666667\n", "[15 18 21 24]\n", "[10 26 42]\n" ] } ], "prompt_number": 54 }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Resolver sistema de ecuaciones" ] }, { "cell_type": "code", "collapsed": false, "input": [ "from numpy.linalg import solve\n", "\n", "# The system of equations we want to solve for (x0,x1,x2):\n", "# 3 * x0 + 1 * x1 + 5 * x2 = 6\n", "# 1 * x0 + 8 * x2 = 7\n", "# 2 * x0 + 1 * x1 + 4 * x2 = 8\n", "\n", "a = np.array([[3, 1, 5], [1, 0, 8],[2, 1, 4]])\n", "b = np.array([6, 7, 8])\n", "solution = solve(a, b)\n", "print(solution)\n", "\n", "print(np.dot(a, solution)) # Just checking if we indeed obtain the righthand side" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "[-3.28571429 9.42857143 1.28571429]\n", "[ 6. 7. 8.]\n" ] } ], "prompt_number": 55 }, { "cell_type": "markdown", "metadata": {}, "source": [ "## grid\n", "\n", "Usando mesh-grids, como con `mgrid` y `ogrid`." ] }, { "cell_type": "code", "collapsed": false, "input": [ "a = np.arange(4)\n", "b = np.array([[x] for x in range(4)])\n", "b = a.reshape(4,1)\n", "print(a)\n", "print(b)\n", "a * b" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "[0 1 2 3]\n", "[[0]\n", " [1]\n", " [2]\n", " [3]]\n" ] }, { "metadata": {}, "output_type": "pyout", "prompt_number": 56, "text": [ "array([[0, 0, 0, 0],\n", " [0, 1, 2, 3],\n", " [0, 2, 4, 6],\n", " [0, 3, 6, 9]])" ] } ], "prompt_number": 56 }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Cargar y guardar `np.array`" ] }, { "cell_type": "code", "collapsed": false, "input": [ "np.fromstring(\"1 2 3 4 5\", sep=\" \", dtype=int)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 57, "text": [ "array([1, 2, 3, 4, 5])" ] } ], "prompt_number": 57 }, { "cell_type": "code", "collapsed": false, "input": [ "a2 = np.array([[1, 2, 3, 4], [5, 6, 7, 8], [9, 10, 11, 12]])" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 58 }, { "cell_type": "code", "collapsed": false, "input": [ "np.array2string(a2)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 59, "text": [ "'[[ 1 2 3 4]\\n [ 5 6 7 8]\\n [ 9 10 11 12]]'" ] } ], "prompt_number": 59 }, { "cell_type": "code", "collapsed": false, "input": [ "with file('tmp/array', 'w') as fd:\n", " a2.tofile(fd)\n", " \n", "fd = file('tmp/array')\n", "np.fromfile(fd, np.int64)\n", "fd.close()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 60, "text": [ "array([ 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12])" ] } ], "prompt_number": 60 }, { "cell_type": "code", "collapsed": false, "input": [ "!hexdump tmp/array" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "0000000 0001 0000 0000 0000 0002 0000 0000 0000\r\n", "0000010 0003 0000 0000 0000 0004 0000 0000 0000\r\n", "0000020 0005 0000 0000 0000 0006 0000 0000 0000\r\n", "0000030 0007 0000 0000 0000 0008 0000 0000 0000\r\n", "0000040 0009 0000 0000 0000 000a 0000 0000 0000\r\n", "0000050 000b 0000 0000 0000 000c 0000 0000 0000\r\n", "0000060\r\n" ] } ], "prompt_number": 61 }, { "cell_type": "code", "collapsed": false, "input": [ "with file('tmp/array_pickle', 'w') as fd:\n", " a2.dump(fd)\n", " \n", "np.load(file('tmp/array_pickle'))" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 62, "text": [ "array([[ 1, 2, 3, 4],\n", " [ 5, 6, 7, 8],\n", " [ 9, 10, 11, 12]])" ] } ], "prompt_number": 62 }, { "cell_type": "code", "collapsed": false, "input": [ "!hexdump -C tmp/array_pickle" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "00000000 80 02 63 6e 75 6d 70 79 2e 63 6f 72 65 2e 6d 75 |..cnumpy.core.mu|\r\n", "00000010 6c 74 69 61 72 72 61 79 0a 5f 72 65 63 6f 6e 73 |ltiarray._recons|\r\n", "00000020 74 72 75 63 74 0a 71 01 63 6e 75 6d 70 79 0a 6e |truct.q.cnumpy.n|\r\n", "00000030 64 61 72 72 61 79 0a 71 02 4b 00 85 55 01 62 87 |darray.q.K..U.b.|\r\n", "00000040 52 71 03 28 4b 01 4b 03 4b 04 86 63 6e 75 6d 70 |Rq.(K.K.K..cnump|\r\n", "00000050 79 0a 64 74 79 70 65 0a 71 04 55 02 69 38 4b 00 |y.dtype.q.U.i8K.|\r\n", "00000060 4b 01 87 52 71 05 28 4b 03 55 01 3c 4e 4e 4e 4a |K..Rq.(K.U.<NNNJ|\r\n", "00000070 ff ff ff ff 4a ff ff ff ff 4b 00 74 62 89 55 60 |....J....K.tb.U`|\r\n", "00000080 01 00 00 00 00 00 00 00 02 00 00 00 00 00 00 00 |................|\r\n", "00000090 03 00 00 00 00 00 00 00 04 00 00 00 00 00 00 00 |................|\r\n", "000000a0 05 00 00 00 00 00 00 00 06 00 00 00 00 00 00 00 |................|\r\n", "000000b0 07 00 00 00 00 00 00 00 08 00 00 00 00 00 00 00 |................|\r\n", "000000c0 09 00 00 00 00 00 00 00 0a 00 00 00 00 00 00 00 |................|\r\n", "000000d0 0b 00 00 00 00 00 00 00 0c 00 00 00 00 00 00 00 |................|\r\n", "000000e0 74 62 2e |tb.|\r\n", "000000e3\r\n" ] } ], "prompt_number": 63 }, { "cell_type": "code", "collapsed": false, "input": [ "!head -n8 graphs/dodecahedral.edgelist" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "0 1\r\n", "0 10\r\n", "0 19\r\n", "1 8\r\n", "1 2\r\n", "2 3\r\n", "2 6\r\n", "3 19\r\n" ] } ], "prompt_number": 64 }, { "cell_type": "code", "collapsed": false, "input": [ "np.loadtxt('graphs/dodecahedral.edgelist')[:8,:]" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 65, "text": [ "array([[ 0., 1.],\n", " [ 0., 10.],\n", " [ 0., 19.],\n", " [ 1., 8.],\n", " [ 1., 2.],\n", " [ 2., 3.],\n", " [ 2., 6.],\n", " [ 3., 19.]])" ] } ], "prompt_number": 65 }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Cargar datos de un CSV a partir de una URL" ] }, { "cell_type": "code", "collapsed": false, "input": [ "# url = 'https://gist.github.com/chriddyp/8818473/raw/d8c73ff66a190a84eb8c6c19df4d8865673234ca/2007gapminder.csv'\n", "url = 'http://arco.esi.uclm.es/~david.villa/puff/2007gapminder.csv'\n", "data = np.genfromtxt(url, delimiter=',', dtype=None, names=True)\n", "print(\"ndim: {}\".format(data.ndim))\n", "print(\"shape: {}\".format(data.shape))\n", "print(\"dtype: {}\".format(data.dtype))" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "ndim: 1\n", "shape: (142,)\n", "dtype: [('Country', 'S24'), ('Population', '<i8'), ('Continent', 'S8'), ('lifeExp', '<f8'), ('gdpPercap', '<f8')]\n" ] } ], "prompt_number": 73 }, { "cell_type": "code", "collapsed": false, "input": [ "data[:10]" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 74, "text": [ "array([('Afghanistan', 31889923, 'Asia', 43.828, 974.5803384),\n", " ('Albania', 3600523, 'Europe', 76.423, 5937.029526),\n", " ('Algeria', 33333216, 'Africa', 72.301, 6223.367465),\n", " ('Angola', 12420476, 'Africa', 42.731, 4797.231267),\n", " ('Argentina', 40301927, 'Americas', 75.32, 12779.37964),\n", " ('Australia', 20434176, 'Oceania', 81.235, 34435.36744),\n", " ('Austria', 8199783, 'Europe', 79.829, 36126.4927),\n", " ('Bahrain', 708573, 'Asia', 75.635, 29796.04834),\n", " ('Bangladesh', 150448339, 'Asia', 64.062, 1391.253792),\n", " ('Belgium', 10392226, 'Europe', 79.441, 33692.60508)], \n", " dtype=[('Country', 'S24'), ('Population', '<i8'), ('Continent', 'S8'), ('lifeExp', '<f8'), ('gdpPercap', '<f8')])" ] } ], "prompt_number": 74 }, { "cell_type": "code", "collapsed": false, "input": [ "data['Country'][:30]" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 75, "text": [ "array(['Afghanistan', 'Albania', 'Algeria', 'Angola', 'Argentina',\n", " 'Australia', 'Austria', 'Bahrain', 'Bangladesh', 'Belgium', 'Benin',\n", " 'Bolivia', 'Bosnia and Herzegovina', 'Botswana', 'Brazil',\n", " 'Bulgaria', 'Burkina Faso', 'Burundi', 'Cambodia', 'Cameroon',\n", " 'Canada', 'Central African Republic', 'Chad', 'Chile', 'China',\n", " 'Colombia', 'Comoros', 'Congo Dem. Rep.', 'Congo Rep.', 'Costa Rica'], \n", " dtype='|S24')" ] } ], "prompt_number": 75 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Media de la rentas per c\u00e1pita de cada pais:" ] }, { "cell_type": "code", "collapsed": false, "input": [ "np.mean(data['gdpPercap'])" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 78, "text": [ "11680.071819878172" ] } ], "prompt_number": 78 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Media de renta per c\u00e1pita en Europa" ] }, { "cell_type": "code", "collapsed": false, "input": [ "europe_index = data['Continent'] == 'Europe'\n", "europe_countries = data[europe_index]\n", "europe_countries['Country']" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 79, "text": [ "array(['Albania', 'Austria', 'Belgium', 'Bosnia and Herzegovina',\n", " 'Bulgaria', 'Croatia', 'Czech Republic', 'Denmark', 'Finland',\n", " 'France', 'Germany', 'Greece', 'Hungary', 'Iceland', 'Ireland',\n", " 'Italy', 'Montenegro', 'Netherlands', 'Norway', 'Poland',\n", " 'Portugal', 'Romania', 'Serbia', 'Slovak Republic', 'Slovenia',\n", " 'Spain', 'Sweden', 'Switzerland', 'Turkey', 'United Kingdom'], \n", " dtype='|S24')" ] } ], "prompt_number": 79 }, { "cell_type": "code", "collapsed": false, "input": [ "np.mean(europe_countries['gdpPercap'])" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 80, "text": [ "25054.481635933331" ] } ], "prompt_number": 80 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Poblaci\u00f3n mundial" ] }, { "cell_type": "code", "collapsed": false, "input": [ "population = data['Population']\n", "np.sum(population)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 81, "text": [ "6251013179" ] } ], "prompt_number": 81 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Poblaci\u00f3n media (por p\u00e1is):" ] }, { "cell_type": "code", "collapsed": false, "input": [ "int(np.mean(population))" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 82, "text": [ "44021219" ] } ], "prompt_number": 82 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Renta per c\u00e1pita media mundial" ] }, { "cell_type": "code", "collapsed": false, "input": [ "pib = np.sum(data['Population'] * data['gdpPercap'])\n", "pob_total = np.sum(data['Population'])\n", "print(pib/pob_total)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "9295.98659448\n" ] } ], "prompt_number": 77 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Desviaci\u00f3n t\u00edpica de poblaci\u00f3n:" ] }, { "cell_type": "code", "collapsed": false, "input": [ "np.std(population)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 83, "text": [ "147100685.88281167" ] } ], "prompt_number": 83 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Pa\u00eds con mayor poblaci\u00f3n:" ] }, { "cell_type": "code", "collapsed": false, "input": [ "data[np.argmax(population)][0]" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 84, "text": [ "'China'" ] } ], "prompt_number": 84 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Los cinco pa\u00edses con mayor renta per c\u00e1pita:" ] }, { "cell_type": "code", "collapsed": false, "input": [ "percap_sorted = np.sort(data, order='gdpPercap')\n", "percap_sorted[-5:][::-1]" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 89, "text": [ "array([('Norway', 4627926, 'Europe', 80.196, 49357.19017),\n", " ('Kuwait', 2505559, 'Asia', 77.588, 47306.98978),\n", " ('Singapore', 4553009, 'Asia', 79.972, 47143.17964),\n", " ('United States', 301139947, 'Americas', 78.242, 42951.65309),\n", " ('Ireland', 4109086, 'Europe', 78.885, 40675.99635)], \n", " dtype=[('Country', 'S24'), ('Population', '<i8'), ('Continent', 'S8'), ('lifeExp', '<f8'), ('gdpPercap', '<f8')])" ] } ], "prompt_number": 89 }, { "cell_type": "markdown", "metadata": {}, "source": [ "PIB de todos los pa\u00edses:" ] }, { "cell_type": "code", "collapsed": false, "input": [ "import numpy.lib.recfunctions as rfn\n", "\n", "pibs = population * data['gdpPercap']\n", "arrays = data['Country'], pibs\n", "country_pibs = rfn.merge_arrays(arrays, flatten = True, usemask = False)\n", "country_pibs[:20]" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 104, "text": [ "array([('Afghanistan', 31079291948.889942), ('Albania', 21376411360.0421),\n", " ('Algeria', 207444851958.21744), ('Angola', 59583895818.22309),\n", " ('Argentina', 515033625356.5662), ('Australia', 703658358893.6295),\n", " ('Austria', 296229400691.0841), ('Bahrain', 21112675360.41882),\n", " ('Bangladesh', 209311822133.85147), ('Belgium', 350141166520.1081),\n", " ('Benin', 11643151767.544123), ('Bolivia', 34854649033.83277),\n", " ('Bosnia and Herzegovina', 33897026518.41899),\n", " ('Botswana', 20603633701.61187), ('Brazil', 1722598680331.3838),\n", " ('Bulgaria', 78213929148.27957),\n", " ('Burkina Faso', 17435461729.74178), ('Burundi', 3608510288.223258),\n", " ('Cambodia', 24218877033.97859), ('Cameroon', 36137515700.94532)], \n", " dtype=[('f0', 'S24'), ('f1', '<f8')])" ] } ], "prompt_number": 104 }, { "cell_type": "markdown", "metadata": {}, "source": [ "Los cinco paises con el PIB m\u00e1s alto" ] }, { "cell_type": "code", "collapsed": false, "input": [ "np.sort(country_pibs, order='f1')[-5:][::-1]" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 111, "text": [ "array([('United States', 12934458535084.986), ('China', 6539500929092.309),\n", " ('Japan', 4035134797102.1743), ('India', 2722925438772.817),\n", " ('Germany', 2650870893900.9224)], \n", " dtype=[('f0', 'S24'), ('f1', '<f8')])" ] } ], "prompt_number": 111 } ], "metadata": {} } ] }
gpl-2.0
Merinorus/adaisawesome
Homework/01 - Pandas and Data Wrangling/temp/Data Wrangling with Pandas.ipynb
1
671823
{ "cells": [ { "cell_type": "markdown", "metadata": { "toc": "true" }, "source": [ "# Table of Contents\n", " <p><div class=\"lev1\"><a href=\"#Data-Wrangling-with-Pandas\"><span class=\"toc-item-num\">1&nbsp;&nbsp;</span>Data Wrangling with Pandas</a></div><div class=\"lev2\"><a href=\"#Date/Time-data-handling\"><span class=\"toc-item-num\">1.1&nbsp;&nbsp;</span>Date/Time data handling</a></div><div class=\"lev2\"><a href=\"#Merging-and-joining-DataFrame-objects\"><span class=\"toc-item-num\">1.2&nbsp;&nbsp;</span>Merging and joining DataFrame objects</a></div><div class=\"lev2\"><a href=\"#Concatenation\"><span class=\"toc-item-num\">1.3&nbsp;&nbsp;</span>Concatenation</a></div><div class=\"lev2\"><a href=\"#Exercise-1\"><span class=\"toc-item-num\">1.4&nbsp;&nbsp;</span>Exercise 1</a></div><div class=\"lev2\"><a href=\"#Reshaping-DataFrame-objects\"><span class=\"toc-item-num\">1.5&nbsp;&nbsp;</span>Reshaping DataFrame objects</a></div><div class=\"lev2\"><a href=\"#Pivoting\"><span class=\"toc-item-num\">1.6&nbsp;&nbsp;</span>Pivoting</a></div><div class=\"lev2\"><a href=\"#Data-transformation\"><span class=\"toc-item-num\">1.7&nbsp;&nbsp;</span>Data transformation</a></div><div class=\"lev3\"><a href=\"#Dealing-with-duplicates\"><span class=\"toc-item-num\">1.7.1&nbsp;&nbsp;</span>Dealing with duplicates</a></div><div class=\"lev3\"><a href=\"#Value-replacement\"><span class=\"toc-item-num\">1.7.2&nbsp;&nbsp;</span>Value replacement</a></div><div class=\"lev3\"><a href=\"#Inidcator-variables\"><span class=\"toc-item-num\">1.7.3&nbsp;&nbsp;</span>Inidcator variables</a></div><div class=\"lev2\"><a href=\"#Categorical-Data\"><span class=\"toc-item-num\">1.8&nbsp;&nbsp;</span>Categorical Data</a></div><div class=\"lev3\"><a href=\"#Discretization\"><span class=\"toc-item-num\">1.8.1&nbsp;&nbsp;</span>Discretization</a></div><div class=\"lev3\"><a href=\"#Permutation-and-sampling\"><span class=\"toc-item-num\">1.8.2&nbsp;&nbsp;</span>Permutation and sampling</a></div><div class=\"lev2\"><a href=\"#Data-aggregation-and-GroupBy-operations\"><span class=\"toc-item-num\">1.9&nbsp;&nbsp;</span>Data aggregation and GroupBy operations</a></div><div class=\"lev3\"><a href=\"#Apply\"><span class=\"toc-item-num\">1.9.1&nbsp;&nbsp;</span>Apply</a></div><div class=\"lev2\"><a href=\"#Exercise-2\"><span class=\"toc-item-num\">1.10&nbsp;&nbsp;</span>Exercise 2</a></div><div class=\"lev2\"><a href=\"#References\"><span class=\"toc-item-num\">1.11&nbsp;&nbsp;</span>References</a></div>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Data Wrangling with Pandas\n", "\n", "Now that we have been exposed to the basic functionality of Pandas, lets explore some more advanced features that will be useful when addressing more complex data management tasks.\n", "\n", "As most statisticians/data analysts will admit, often the lion's share of the time spent implementing an analysis is devoted to preparing the data itself, rather than to coding or running a particular model that uses the data. This is where Pandas and Python's standard library are beneficial, providing high-level, flexible, and efficient tools for manipulating your data as needed.\n" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [], "source": [ "%matplotlib inline\n", "import pandas as pd\n", "import numpy as np\n", "import matplotlib.pyplot as plt\n", "import seaborn as sns\n", "sns.set_context('notebook')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Date/Time data handling\n", "\n", "Date and time data are inherently problematic. There are an unequal number of days in every month, an unequal number of days in a year (due to leap years), and time zones that vary over space. Yet information about time is essential in many analyses, particularly in the case of time series analysis." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The `datetime` built-in library handles temporal information down to the nanosecond." ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [], "source": [ "from datetime import datetime" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "datetime.datetime(2016, 10, 3, 13, 5, 41, 486361)" ] }, "execution_count": 5, "metadata": {}, "output_type": "execute_result" } ], "source": [ "now = datetime.now()\n", "now" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "3" ] }, "execution_count": 6, "metadata": {}, "output_type": "execute_result" } ], "source": [ "now.day" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "0" ] }, "execution_count": 7, "metadata": {}, "output_type": "execute_result" } ], "source": [ "now.weekday()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "In addition to `datetime` there are simpler objects for date and time information only, respectively." ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false }, "outputs": [], "source": [ "from datetime import date, time" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "datetime.time(3, 24)" ] }, "execution_count": 9, "metadata": {}, "output_type": "execute_result" } ], "source": [ "time(3, 24)" ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "datetime.date(1970, 9, 3)" ] }, "execution_count": 10, "metadata": {}, "output_type": "execute_result" } ], "source": [ "date(1970, 9, 3)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Having a custom data type for dates and times is convenient because we can perform operations on them easily. For example, we may want to calculate the difference between two times:" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "datetime.timedelta(17077, 47141, 486361)" ] }, "execution_count": 11, "metadata": {}, "output_type": "execute_result" } ], "source": [ "my_age = now - datetime(1970, 1, 1)\n", "my_age" ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "<class 'datetime.timedelta'>\n" ] }, { "data": { "text/plain": [ "46.78630136986301" ] }, "execution_count": 12, "metadata": {}, "output_type": "execute_result" } ], "source": [ "print(type(my_age))\n", "my_age.days/365" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "In this section, we will manipulate data collected from ocean-going vessels on the eastern seaboard. Vessel operations are monitored using the Automatic Identification System (AIS), a safety at sea navigation technology which vessels are required to maintain and that uses transponders to transmit very high frequency (VHF) radio signals containing static information including ship name, call sign, and country of origin, as well as dynamic information unique to a particular voyage such as vessel location, heading, and speed. \n", "\n", "The International Maritime Organization’s (IMO) International Convention for the Safety of Life at Sea requires functioning AIS capabilities on all vessels 300 gross tons or greater and the US Coast Guard requires AIS on nearly all vessels sailing in U.S. waters. The Coast Guard has established a national network of AIS receivers that provides coverage of nearly all U.S. waters. AIS signals are transmitted several times each minute and the network is capable of handling thousands of reports per minute and updates as often as every two seconds. Therefore, a typical voyage in our study might include the transmission of hundreds or thousands of AIS encoded signals. This provides a rich source of spatial data that includes both spatial and temporal information.\n", "\n", "For our purposes, we will use summarized data that describes the transit of a given vessel through a particular administrative area. The data includes the start and end time of the transit segment, as well as information about the speed of the vessel, how far it travelled, etc." ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>mmsi</th>\n", " <th>name</th>\n", " <th>transit</th>\n", " <th>segment</th>\n", " <th>seg_length</th>\n", " <th>avg_sog</th>\n", " <th>min_sog</th>\n", " <th>max_sog</th>\n", " <th>pdgt10</th>\n", " <th>st_time</th>\n", " <th>end_time</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>1</td>\n", " <td>Us Govt Ves</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>5.1</td>\n", " <td>13.2</td>\n", " <td>9.2</td>\n", " <td>14.5</td>\n", " <td>96.5</td>\n", " <td>2/10/09 16:03</td>\n", " <td>2/10/09 16:27</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>1</td>\n", " <td>Dredge Capt Frank</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>13.5</td>\n", " <td>18.6</td>\n", " <td>10.4</td>\n", " <td>20.6</td>\n", " <td>100.0</td>\n", " <td>4/6/09 14:31</td>\n", " <td>4/6/09 15:20</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>1</td>\n", " <td>Us Gov Vessel</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>4.3</td>\n", " <td>16.2</td>\n", " <td>10.3</td>\n", " <td>20.5</td>\n", " <td>100.0</td>\n", " <td>4/6/09 14:36</td>\n", " <td>4/6/09 14:55</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>1</td>\n", " <td>Us Gov Vessel</td>\n", " <td>2</td>\n", " <td>1</td>\n", " <td>9.2</td>\n", " <td>15.4</td>\n", " <td>14.5</td>\n", " <td>16.1</td>\n", " <td>100.0</td>\n", " <td>4/10/09 17:58</td>\n", " <td>4/10/09 18:34</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>1</td>\n", " <td>Dredge Capt Frank</td>\n", " <td>2</td>\n", " <td>1</td>\n", " <td>9.2</td>\n", " <td>15.4</td>\n", " <td>14.6</td>\n", " <td>16.2</td>\n", " <td>100.0</td>\n", " <td>4/10/09 17:59</td>\n", " <td>4/10/09 18:35</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " mmsi name transit segment seg_length avg_sog min_sog \\\n", "0 1 Us Govt Ves 1 1 5.1 13.2 9.2 \n", "1 1 Dredge Capt Frank 1 1 13.5 18.6 10.4 \n", "2 1 Us Gov Vessel 1 1 4.3 16.2 10.3 \n", "3 1 Us Gov Vessel 2 1 9.2 15.4 14.5 \n", "4 1 Dredge Capt Frank 2 1 9.2 15.4 14.6 \n", "\n", " max_sog pdgt10 st_time end_time \n", "0 14.5 96.5 2/10/09 16:03 2/10/09 16:27 \n", "1 20.6 100.0 4/6/09 14:31 4/6/09 15:20 \n", "2 20.5 100.0 4/6/09 14:36 4/6/09 14:55 \n", "3 16.1 100.0 4/10/09 17:58 4/10/09 18:34 \n", "4 16.2 100.0 4/10/09 17:59 4/10/09 18:35 " ] }, "execution_count": 13, "metadata": {}, "output_type": "execute_result" } ], "source": [ "segments = pd.read_csv(\"Data/AIS/transit_segments.csv\")\n", "segments.head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "For example, we might be interested in the distribution of transit lengths, so we can plot them as a histogram:" ] }, { "cell_type": "code", "execution_count": 14, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.axes._subplots.AxesSubplot at 0x15d20f4a400>" ] }, "execution_count": 14, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAfsAAAFVCAYAAADonUZMAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x15d26620cf8>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "segments.seg_length.hist(bins=500)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Though most of the transits appear to be short, there are a few longer distances that make the plot difficult to read. This is where a transformation is useful:" ] }, { "cell_type": "code", "execution_count": 15, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.axes._subplots.AxesSubplot at 0x15d2290da90>" ] }, "execution_count": 15, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAfIAAAFVCAYAAAAUiG2GAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n5AAAGIwgBwDAYP8fgkFd8FlG/EwAAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x15d228d0940>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "segments.seg_length.apply(np.log).hist(bins=500)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We can see that although there are date/time fields in the dataset, they are not in any specialized format, such as `datetime`." ] }, { "cell_type": "code", "execution_count": 16, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "dtype('O')" ] }, "execution_count": 16, "metadata": {}, "output_type": "execute_result" } ], "source": [ "segments.st_time.dtype" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Our first order of business will be to convert these data to `datetime`. The `strptime` method parses a string representation of a date and/or time field, according to the expected format of this information." ] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "datetime.datetime(2009, 2, 10, 16, 3)" ] }, "execution_count": 17, "metadata": {}, "output_type": "execute_result" } ], "source": [ "datetime.strptime(segments.st_time.ix[0], '%m/%d/%y %H:%M')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The `dateutil` package includes a parser that attempts to detect the format of the date strings, and convert them automatically." ] }, { "cell_type": "code", "execution_count": 18, "metadata": { "collapsed": false }, "outputs": [], "source": [ "from dateutil.parser import parse" ] }, { "cell_type": "code", "execution_count": 19, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "datetime.datetime(2009, 2, 10, 16, 3)" ] }, "execution_count": 19, "metadata": {}, "output_type": "execute_result" } ], "source": [ "parse(segments.st_time.ix[0])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We can convert all the dates in a particular column by using the `apply` method." ] }, { "cell_type": "code", "execution_count": 20, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "0 2009-02-10 16:03:00\n", "1 2009-04-06 14:31:00\n", "2 2009-04-06 14:36:00\n", "3 2009-04-10 17:58:00\n", "4 2009-04-10 17:59:00\n", "5 2010-03-20 16:06:00\n", "6 2010-03-20 18:05:00\n", "7 2011-05-04 11:28:00\n", "8 2010-06-05 11:23:00\n", "9 2010-06-08 11:03:00\n", "10 2010-06-14 17:07:00\n", "11 2010-06-17 16:35:00\n", "12 2010-07-11 11:51:00\n", "13 2010-07-12 15:33:00\n", "14 2010-07-20 19:23:00\n", "15 2010-09-10 14:00:00\n", "16 2011-03-14 16:13:00\n", "17 2011-03-18 11:18:00\n", "18 2011-04-25 16:37:00\n", "19 2011-05-14 15:51:00\n", "20 2011-05-19 12:34:00\n", "21 2011-05-23 21:29:00\n", "22 2011-05-25 11:46:00\n", "23 2011-06-01 14:32:00\n", "24 2011-06-07 14:43:00\n", "25 2011-08-08 15:42:00\n", "26 2011-09-06 20:59:00\n", "27 2011-09-08 08:22:00\n", "28 2011-09-12 14:56:00\n", "29 2011-10-25 12:52:00\n", " ... \n", "262496 2009-11-22 02:13:00\n", "262497 2009-11-22 02:15:00\n", "262498 2009-12-02 01:46:00\n", "262499 2009-12-02 03:12:00\n", "262500 2009-12-06 16:09:00\n", "262501 2009-12-06 16:21:00\n", "262502 2009-12-11 23:49:00\n", "262503 2009-12-15 22:23:00\n", "262504 2009-12-15 22:51:00\n", "262505 2009-12-31 10:17:00\n", "262506 2010-01-01 11:22:00\n", "262507 2010-01-13 17:40:00\n", "262508 2010-01-13 18:07:00\n", "262509 2010-01-24 05:53:00\n", "262510 2010-03-20 05:14:00\n", "262511 2010-04-14 15:09:00\n", "262512 2010-04-19 00:20:00\n", "262513 2010-05-13 14:13:00\n", "262514 2010-05-19 19:46:00\n", "262515 2010-05-31 14:27:00\n", "262516 2010-06-05 05:25:00\n", "262517 2010-06-27 02:35:00\n", "262518 2010-07-01 03:49:00\n", "262519 2010-07-02 03:30:00\n", "262520 2010-06-13 10:32:00\n", "262521 2010-06-15 12:49:00\n", "262522 2010-06-15 21:32:00\n", "262523 2010-06-17 19:16:00\n", "262524 2010-06-18 02:52:00\n", "262525 2010-06-18 10:19:00\n", "Name: st_time, dtype: datetime64[ns]" ] }, "execution_count": 20, "metadata": {}, "output_type": "execute_result" } ], "source": [ "segments.st_time.apply(lambda d: datetime.strptime(d, '%m/%d/%y %H:%M'))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "As a convenience, Pandas has a `to_datetime` method that will parse and convert an entire Series of formatted strings into `datetime` objects." ] }, { "cell_type": "code", "execution_count": 21, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "0 2009-02-10 16:03:00\n", "1 2009-04-06 14:31:00\n", "2 2009-04-06 14:36:00\n", "3 2009-04-10 17:58:00\n", "4 2009-04-10 17:59:00\n", "5 2010-03-20 16:06:00\n", "6 2010-03-20 18:05:00\n", "7 2011-05-04 11:28:00\n", "8 2010-06-05 11:23:00\n", "9 2010-06-08 11:03:00\n", "Name: st_time, dtype: datetime64[ns]" ] }, "execution_count": 21, "metadata": {}, "output_type": "execute_result" } ], "source": [ "pd.to_datetime(segments.st_time[:10])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Pandas also has a custom NA value for missing datetime objects, `NaT`." ] }, { "cell_type": "code", "execution_count": 22, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "DatetimeIndex(['NaT'], dtype='datetime64[ns]', freq=None)" ] }, "execution_count": 22, "metadata": {}, "output_type": "execute_result" } ], "source": [ "pd.to_datetime([None])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Also, if `to_datetime()` has problems parsing any particular date/time format, you can pass the spec in using the `format=` argument." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The `read_*` functions now have an optional `parse_dates` argument that try to convert any columns passed to it into `datetime` format upon import:" ] }, { "cell_type": "code", "execution_count": 23, "metadata": { "collapsed": true }, "outputs": [], "source": [ "segments = pd.read_csv(\"Data/AIS/transit_segments.csv\", parse_dates=['st_time', 'end_time'])" ] }, { "cell_type": "code", "execution_count": 24, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "mmsi int64\n", "name object\n", "transit int64\n", "segment int64\n", "seg_length float64\n", "avg_sog float64\n", "min_sog float64\n", "max_sog float64\n", "pdgt10 float64\n", "st_time datetime64[ns]\n", "end_time datetime64[ns]\n", "dtype: object" ] }, "execution_count": 24, "metadata": {}, "output_type": "execute_result" } ], "source": [ "segments.dtypes" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Columns of the `datetime` type have an **accessor** to easily extract properties of the data type. This will return a `Series`, with the same row index as the `DataFrame`. For example:" ] }, { "cell_type": "code", "execution_count": 25, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "0 2\n", "1 4\n", "2 4\n", "3 4\n", "4 4\n", "Name: st_time, dtype: int64" ] }, "execution_count": 25, "metadata": {}, "output_type": "execute_result" } ], "source": [ "segments.st_time.dt.month.head()" ] }, { "cell_type": "code", "execution_count": 26, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "0 16\n", "1 14\n", "2 14\n", "3 17\n", "4 17\n", "Name: st_time, dtype: int64" ] }, "execution_count": 26, "metadata": {}, "output_type": "execute_result" } ], "source": [ "segments.st_time.dt.hour.head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "This can be used to easily filter rows by particular temporal attributes:" ] }, { "cell_type": "code", "execution_count": 27, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>mmsi</th>\n", " <th>name</th>\n", " <th>transit</th>\n", " <th>segment</th>\n", " <th>seg_length</th>\n", " <th>avg_sog</th>\n", " <th>min_sog</th>\n", " <th>max_sog</th>\n", " <th>pdgt10</th>\n", " <th>st_time</th>\n", " <th>end_time</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>1</td>\n", " <td>Us Govt Ves</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>5.1</td>\n", " <td>13.2</td>\n", " <td>9.2</td>\n", " <td>14.5</td>\n", " <td>96.5</td>\n", " <td>2009-02-10 16:03:00</td>\n", " <td>2009-02-10 16:27:00</td>\n", " </tr>\n", " <tr>\n", " <th>78</th>\n", " <td>3011</td>\n", " <td>Charleston</td>\n", " <td>16</td>\n", " <td>1</td>\n", " <td>18.9</td>\n", " <td>0.3</td>\n", " <td>0.0</td>\n", " <td>16.1</td>\n", " <td>0.5</td>\n", " <td>2010-02-07 07:26:00</td>\n", " <td>2010-02-09 19:27:00</td>\n", " </tr>\n", " <tr>\n", " <th>79</th>\n", " <td>3011</td>\n", " <td>Charleston</td>\n", " <td>17</td>\n", " <td>1</td>\n", " <td>19.2</td>\n", " <td>0.3</td>\n", " <td>0.0</td>\n", " <td>6.4</td>\n", " <td>0.0</td>\n", " <td>2010-02-11 16:56:00</td>\n", " <td>2010-02-14 14:31:00</td>\n", " </tr>\n", " <tr>\n", " <th>80</th>\n", " <td>3011</td>\n", " <td>Charleston</td>\n", " <td>18</td>\n", " <td>1</td>\n", " <td>24.7</td>\n", " <td>0.3</td>\n", " <td>0.0</td>\n", " <td>5.7</td>\n", " <td>0.0</td>\n", " <td>2010-02-19 11:53:00</td>\n", " <td>2010-02-22 16:50:00</td>\n", " </tr>\n", " <tr>\n", " <th>81</th>\n", " <td>3011</td>\n", " <td>Charleston</td>\n", " <td>19</td>\n", " <td>1</td>\n", " <td>40.1</td>\n", " <td>0.4</td>\n", " <td>0.0</td>\n", " <td>16.3</td>\n", " <td>0.1</td>\n", " <td>2010-02-23 15:15:00</td>\n", " <td>2010-03-02 14:25:00</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " mmsi name transit segment seg_length avg_sog min_sog \\\n", "0 1 Us Govt Ves 1 1 5.1 13.2 9.2 \n", "78 3011 Charleston 16 1 18.9 0.3 0.0 \n", "79 3011 Charleston 17 1 19.2 0.3 0.0 \n", "80 3011 Charleston 18 1 24.7 0.3 0.0 \n", "81 3011 Charleston 19 1 40.1 0.4 0.0 \n", "\n", " max_sog pdgt10 st_time end_time \n", "0 14.5 96.5 2009-02-10 16:03:00 2009-02-10 16:27:00 \n", "78 16.1 0.5 2010-02-07 07:26:00 2010-02-09 19:27:00 \n", "79 6.4 0.0 2010-02-11 16:56:00 2010-02-14 14:31:00 \n", "80 5.7 0.0 2010-02-19 11:53:00 2010-02-22 16:50:00 \n", "81 16.3 0.1 2010-02-23 15:15:00 2010-03-02 14:25:00 " ] }, "execution_count": 27, "metadata": {}, "output_type": "execute_result" } ], "source": [ "segments[segments.st_time.dt.month==2].head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "In addition, time zone information can be applied:" ] }, { "cell_type": "code", "execution_count": 28, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "0 2009-02-10 16:03:00+00:00\n", "1 2009-04-06 14:31:00+00:00\n", "2 2009-04-06 14:36:00+00:00\n", "3 2009-04-10 17:58:00+00:00\n", "4 2009-04-10 17:59:00+00:00\n", "Name: st_time, dtype: datetime64[ns, UTC]" ] }, "execution_count": 28, "metadata": {}, "output_type": "execute_result" } ], "source": [ "segments.st_time.dt.tz_localize('UTC').head()" ] }, { "cell_type": "code", "execution_count": 29, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "0 2009-02-10 11:03:00-05:00\n", "1 2009-04-06 10:31:00-04:00\n", "2 2009-04-06 10:36:00-04:00\n", "3 2009-04-10 13:58:00-04:00\n", "4 2009-04-10 13:59:00-04:00\n", "Name: st_time, dtype: datetime64[ns, US/Eastern]" ] }, "execution_count": 29, "metadata": {}, "output_type": "execute_result" } ], "source": [ "segments.st_time.dt.tz_localize('UTC').dt.tz_convert('US/Eastern').head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Merging and joining DataFrame objects" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Now that we have the vessel transit information as we need it, we may want a little more information regarding the vessels themselves. In the `data/AIS` folder there is a second table that contains information about each of the ships that traveled the segments in the `segments` table." ] }, { "cell_type": "code", "execution_count": 30, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>num_names</th>\n", " <th>names</th>\n", " <th>sov</th>\n", " <th>flag</th>\n", " <th>flag_type</th>\n", " <th>num_loas</th>\n", " <th>loa</th>\n", " <th>max_loa</th>\n", " <th>num_types</th>\n", " <th>type</th>\n", " </tr>\n", " <tr>\n", " <th>mmsi</th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>1</th>\n", " <td>8</td>\n", " <td>Bil Holman Dredge/Dredge Capt Frank/Emo/Offsho...</td>\n", " <td>Y</td>\n", " <td>Unknown</td>\n", " <td>Unknown</td>\n", " <td>7</td>\n", " <td>42.0/48.0/57.0/90.0/138.0/154.0/156.0</td>\n", " <td>156.0</td>\n", " <td>4</td>\n", " <td>Dredging/MilOps/Reserved/Towing</td>\n", " </tr>\n", " <tr>\n", " <th>9</th>\n", " <td>3</td>\n", " <td>000000009/Raven/Shearwater</td>\n", " <td>N</td>\n", " <td>Unknown</td>\n", " <td>Unknown</td>\n", " <td>2</td>\n", " <td>50.0/62.0</td>\n", " <td>62.0</td>\n", " <td>2</td>\n", " <td>Pleasure/Tug</td>\n", " </tr>\n", " <tr>\n", " <th>21</th>\n", " <td>1</td>\n", " <td>Us Gov Vessel</td>\n", " <td>Y</td>\n", " <td>Unknown</td>\n", " <td>Unknown</td>\n", " <td>1</td>\n", " <td>208.0</td>\n", " <td>208.0</td>\n", " <td>1</td>\n", " <td>Unknown</td>\n", " </tr>\n", " <tr>\n", " <th>74</th>\n", " <td>2</td>\n", " <td>Mcfaul/Sarah Bell</td>\n", " <td>N</td>\n", " <td>Unknown</td>\n", " <td>Unknown</td>\n", " <td>1</td>\n", " <td>155.0</td>\n", " <td>155.0</td>\n", " <td>1</td>\n", " <td>Unknown</td>\n", " </tr>\n", " <tr>\n", " <th>103</th>\n", " <td>3</td>\n", " <td>Ron G/Us Navy Warship 103/Us Warship 103</td>\n", " <td>Y</td>\n", " <td>Unknown</td>\n", " <td>Unknown</td>\n", " <td>2</td>\n", " <td>26.0/155.0</td>\n", " <td>155.0</td>\n", " <td>2</td>\n", " <td>Tanker/Unknown</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " num_names names sov \\\n", "mmsi \n", "1 8 Bil Holman Dredge/Dredge Capt Frank/Emo/Offsho... Y \n", "9 3 000000009/Raven/Shearwater N \n", "21 1 Us Gov Vessel Y \n", "74 2 Mcfaul/Sarah Bell N \n", "103 3 Ron G/Us Navy Warship 103/Us Warship 103 Y \n", "\n", " flag flag_type num_loas loa \\\n", "mmsi \n", "1 Unknown Unknown 7 42.0/48.0/57.0/90.0/138.0/154.0/156.0 \n", "9 Unknown Unknown 2 50.0/62.0 \n", "21 Unknown Unknown 1 208.0 \n", "74 Unknown Unknown 1 155.0 \n", "103 Unknown Unknown 2 26.0/155.0 \n", "\n", " max_loa num_types type \n", "mmsi \n", "1 156.0 4 Dredging/MilOps/Reserved/Towing \n", "9 62.0 2 Pleasure/Tug \n", "21 208.0 1 Unknown \n", "74 155.0 1 Unknown \n", "103 155.0 2 Tanker/Unknown " ] }, "execution_count": 30, "metadata": {}, "output_type": "execute_result" } ], "source": [ "vessels = pd.read_csv(\"Data/AIS/vessel_information.csv\", index_col='mmsi')\n", "vessels.head()" ] }, { "cell_type": "code", "execution_count": 31, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "['Unknown',\n", " 'Other',\n", " 'Tug',\n", " 'Towing',\n", " 'Pleasure',\n", " 'Cargo',\n", " 'WIG',\n", " 'Fishing',\n", " 'BigTow',\n", " 'MilOps',\n", " 'Tanker',\n", " 'Passenger',\n", " 'SAR',\n", " 'Sailing',\n", " 'Reserved',\n", " 'Law',\n", " 'Dredging',\n", " 'AntiPol',\n", " 'Pilot',\n", " 'HSC',\n", " 'Diving',\n", " 'Resol-18',\n", " 'Tender',\n", " 'Spare',\n", " 'Medical']" ] }, "execution_count": 31, "metadata": {}, "output_type": "execute_result" } ], "source": [ "[v for v in vessels.type.unique() if v.find('/')==-1]" ] }, { "cell_type": "code", "execution_count": 32, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "Cargo 5622\n", "Tanker 2440\n", "Pleasure 601\n", "Tug 221\n", "Sailing 205\n", "Fishing 200\n", "Other 178\n", "Passenger 150\n", "Towing 117\n", "Unknown 106\n", "MilOps 82\n", "Cargo/Unknown 79\n", "Cargo/Other 63\n", "Cargo/Tanker 42\n", "Tanker/Unknown 30\n", "Towing/Tug 30\n", "BigTow 29\n", "Fishing/Pleasure 24\n", "Dredging 24\n", "Reserved 20\n", "Cargo/Reserved 19\n", "BigTow/Towing 19\n", "Other/Tanker 16\n", "Tug/Unknown 15\n", "Cargo/MilOps 15\n", "BigTow/Tug 15\n", "HSC 14\n", "SAR 13\n", "Other/Pleasure 11\n", "BigTow/Towing/Tug 11\n", " ... \n", "Other/Spare/Towing/Tug/WIG 1\n", "MilOps/Tanker 1\n", "Cargo/Tanker/Tug 1\n", "Cargo/Other/Reserved 1\n", "Tanker/Towing 1\n", "BigTow/Other/Reserved/Tug 1\n", "BigTow/MilOps/Tug 1\n", "Fishing/Towing/Tug/WIG 1\n", "MilOps/Towing 1\n", "Tender 1\n", "Spare 1\n", "BigTow/Reserved/Towing/WIG 1\n", "BigTow/Sailing/Towing/Tug 1\n", "Tanker/WIG 1\n", "Law/MilOps/Reserved/SAR/Tanker 1\n", "BigTow/Tug/Unknown 1\n", "Passenger/Pleasure/Sailing 1\n", "Fishing/MilOps/Pilot 1\n", "BigTow/Other 1\n", "Other/WIG 1\n", "Cargo/Dredging 1\n", "Cargo/Tanker/Unknown 1\n", "Sailing/Tanker 1\n", "Fishing/Passenger/Pleasure 1\n", "Cargo/HSC 1\n", "Tug/Unknown/WIG 1\n", "Cargo/Diving/Other 1\n", "AntiPol/Fishing/Pleasure 1\n", "SAR/Unknown 1\n", "Reserved/Tanker/Towing/Tug 1\n", "Name: type, dtype: int64" ] }, "execution_count": 32, "metadata": {}, "output_type": "execute_result" } ], "source": [ "vessels.type.value_counts()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The challenge, however, is that several ships have travelled multiple segments, so there is not a one-to-one relationship between the rows of the two tables. The table of vessel information has a *one-to-many* relationship with the segments.\n", "\n", "In Pandas, we can combine tables according to the value of one or more *keys* that are used to identify rows, much like an index. Using a trivial example:" ] }, { "cell_type": "code", "execution_count": 33, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>age</th>\n", " <th>id</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>27</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>18</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>19</td>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>29</td>\n", " <td>3</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " age id\n", "0 27 0\n", "1 18 1\n", "2 19 2\n", "3 29 3" ] }, "execution_count": 33, "metadata": {}, "output_type": "execute_result" } ], "source": [ "df1 = pd.DataFrame(dict(id=range(4), age=np.random.randint(18, 31, size=4)))\n", "df2 = pd.DataFrame(dict(id=list(range(3))+list(range(3)), \n", " score=np.random.random(size=6)))\n", "\n", "df1" ] }, { "cell_type": "code", "execution_count": 34, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>id</th>\n", " <th>score</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>0</td>\n", " <td>0.127446</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>1</td>\n", " <td>0.796013</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>2</td>\n", " <td>0.323874</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>0</td>\n", " <td>0.610683</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>1</td>\n", " <td>0.086993</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td>2</td>\n", " <td>0.240118</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " id score\n", "0 0 0.127446\n", "1 1 0.796013\n", "2 2 0.323874\n", "3 0 0.610683\n", "4 1 0.086993\n", "5 2 0.240118" ] }, "execution_count": 34, "metadata": {}, "output_type": "execute_result" } ], "source": [ "df2" ] }, { "cell_type": "code", "execution_count": 35, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>age</th>\n", " <th>id</th>\n", " <th>score</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>27</td>\n", " <td>0</td>\n", " <td>0.127446</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>27</td>\n", " <td>0</td>\n", " <td>0.610683</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>18</td>\n", " <td>1</td>\n", " <td>0.796013</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>18</td>\n", " <td>1</td>\n", " <td>0.086993</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>19</td>\n", " <td>2</td>\n", " <td>0.323874</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td>19</td>\n", " <td>2</td>\n", " <td>0.240118</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " age id score\n", "0 27 0 0.127446\n", "1 27 0 0.610683\n", "2 18 1 0.796013\n", "3 18 1 0.086993\n", "4 19 2 0.323874\n", "5 19 2 0.240118" ] }, "execution_count": 35, "metadata": {}, "output_type": "execute_result" } ], "source": [ "pd.merge(df1, df2)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Notice that without any information about which column to use as a key, Pandas did the right thing and used the `id` column in both tables. Unless specified otherwise, `merge` will used any common column names as keys for merging the tables. \n", "\n", "Notice also that `id=3` from `df1` was omitted from the merged table. This is because, by default, `merge` performs an **inner join** on the tables, meaning that the merged table represents an intersection of the two tables." ] }, { "cell_type": "code", "execution_count": 36, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>age</th>\n", " <th>id</th>\n", " <th>score</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>27</td>\n", " <td>0</td>\n", " <td>0.127446</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>27</td>\n", " <td>0</td>\n", " <td>0.610683</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>18</td>\n", " <td>1</td>\n", " <td>0.796013</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>18</td>\n", " <td>1</td>\n", " <td>0.086993</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>19</td>\n", " <td>2</td>\n", " <td>0.323874</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td>19</td>\n", " <td>2</td>\n", " <td>0.240118</td>\n", " </tr>\n", " <tr>\n", " <th>6</th>\n", " <td>29</td>\n", " <td>3</td>\n", " <td>NaN</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " age id score\n", "0 27 0 0.127446\n", "1 27 0 0.610683\n", "2 18 1 0.796013\n", "3 18 1 0.086993\n", "4 19 2 0.323874\n", "5 19 2 0.240118\n", "6 29 3 NaN" ] }, "execution_count": 36, "metadata": {}, "output_type": "execute_result" } ], "source": [ "pd.merge(df1, df2, how='outer')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The **outer join** above yields the union of the two tables, so all rows are represented, with missing values inserted as appropriate. One can also perform **right** and **left** joins to include all rows of the right or left table (*i.e.* first or second argument to `merge`), but not necessarily the other." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Looking at the two datasets that we wish to merge:" ] }, { "cell_type": "code", "execution_count": 37, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>mmsi</th>\n", " <th>name</th>\n", " <th>transit</th>\n", " <th>segment</th>\n", " <th>seg_length</th>\n", " <th>avg_sog</th>\n", " <th>min_sog</th>\n", " <th>max_sog</th>\n", " <th>pdgt10</th>\n", " <th>st_time</th>\n", " <th>end_time</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>1</td>\n", " <td>Us Govt Ves</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>5.1</td>\n", " <td>13.2</td>\n", " <td>9.2</td>\n", " <td>14.5</td>\n", " <td>96.5</td>\n", " <td>2009-02-10 16:03:00</td>\n", " <td>2009-02-10 16:27:00</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " mmsi name transit segment seg_length avg_sog min_sog max_sog \\\n", "0 1 Us Govt Ves 1 1 5.1 13.2 9.2 14.5 \n", "\n", " pdgt10 st_time end_time \n", "0 96.5 2009-02-10 16:03:00 2009-02-10 16:27:00 " ] }, "execution_count": 37, "metadata": {}, "output_type": "execute_result" } ], "source": [ "segments.head(1)" ] }, { "cell_type": "code", "execution_count": 38, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>num_names</th>\n", " <th>names</th>\n", " <th>sov</th>\n", " <th>flag</th>\n", " <th>flag_type</th>\n", " <th>num_loas</th>\n", " <th>loa</th>\n", " <th>max_loa</th>\n", " <th>num_types</th>\n", " <th>type</th>\n", " </tr>\n", " <tr>\n", " <th>mmsi</th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>1</th>\n", " <td>8</td>\n", " <td>Bil Holman Dredge/Dredge Capt Frank/Emo/Offsho...</td>\n", " <td>Y</td>\n", " <td>Unknown</td>\n", " <td>Unknown</td>\n", " <td>7</td>\n", " <td>42.0/48.0/57.0/90.0/138.0/154.0/156.0</td>\n", " <td>156.0</td>\n", " <td>4</td>\n", " <td>Dredging/MilOps/Reserved/Towing</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " num_names names sov \\\n", "mmsi \n", "1 8 Bil Holman Dredge/Dredge Capt Frank/Emo/Offsho... Y \n", "\n", " flag flag_type num_loas loa \\\n", "mmsi \n", "1 Unknown Unknown 7 42.0/48.0/57.0/90.0/138.0/154.0/156.0 \n", "\n", " max_loa num_types type \n", "mmsi \n", "1 156.0 4 Dredging/MilOps/Reserved/Towing " ] }, "execution_count": 38, "metadata": {}, "output_type": "execute_result" } ], "source": [ "vessels.head(1)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "we see that there is a `mmsi` value (a vessel identifier) in each table, but it is used as an index for the `vessels` table. In this case, we have to specify to join on the index for this table, and on the `mmsi` column for the other." ] }, { "cell_type": "code", "execution_count": 39, "metadata": { "collapsed": false }, "outputs": [], "source": [ "segments_merged = pd.merge(vessels, segments, left_index=True, right_on='mmsi')" ] }, { "cell_type": "code", "execution_count": 40, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>num_names</th>\n", " <th>names</th>\n", " <th>sov</th>\n", " <th>flag</th>\n", " <th>flag_type</th>\n", " <th>num_loas</th>\n", " <th>loa</th>\n", " <th>max_loa</th>\n", " <th>num_types</th>\n", " <th>type</th>\n", " <th>...</th>\n", " <th>name</th>\n", " <th>transit</th>\n", " <th>segment</th>\n", " <th>seg_length</th>\n", " <th>avg_sog</th>\n", " <th>min_sog</th>\n", " <th>max_sog</th>\n", " <th>pdgt10</th>\n", " <th>st_time</th>\n", " <th>end_time</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>8</td>\n", " <td>Bil Holman Dredge/Dredge Capt Frank/Emo/Offsho...</td>\n", " <td>Y</td>\n", " <td>Unknown</td>\n", " <td>Unknown</td>\n", " <td>7</td>\n", " <td>42.0/48.0/57.0/90.0/138.0/154.0/156.0</td>\n", " <td>156.0</td>\n", " <td>4</td>\n", " <td>Dredging/MilOps/Reserved/Towing</td>\n", " <td>...</td>\n", " <td>Us Govt Ves</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>5.1</td>\n", " <td>13.2</td>\n", " <td>9.2</td>\n", " <td>14.5</td>\n", " <td>96.5</td>\n", " <td>2009-02-10 16:03:00</td>\n", " <td>2009-02-10 16:27:00</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>8</td>\n", " <td>Bil Holman Dredge/Dredge Capt Frank/Emo/Offsho...</td>\n", " <td>Y</td>\n", " <td>Unknown</td>\n", " <td>Unknown</td>\n", " <td>7</td>\n", " <td>42.0/48.0/57.0/90.0/138.0/154.0/156.0</td>\n", " <td>156.0</td>\n", " <td>4</td>\n", " <td>Dredging/MilOps/Reserved/Towing</td>\n", " <td>...</td>\n", " <td>Dredge Capt Frank</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>13.5</td>\n", " <td>18.6</td>\n", " <td>10.4</td>\n", " <td>20.6</td>\n", " <td>100.0</td>\n", " <td>2009-04-06 14:31:00</td>\n", " <td>2009-04-06 15:20:00</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>8</td>\n", " <td>Bil Holman Dredge/Dredge Capt Frank/Emo/Offsho...</td>\n", " <td>Y</td>\n", " <td>Unknown</td>\n", " <td>Unknown</td>\n", " <td>7</td>\n", " <td>42.0/48.0/57.0/90.0/138.0/154.0/156.0</td>\n", " <td>156.0</td>\n", " <td>4</td>\n", " <td>Dredging/MilOps/Reserved/Towing</td>\n", " <td>...</td>\n", " <td>Us Gov Vessel</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>4.3</td>\n", " <td>16.2</td>\n", " <td>10.3</td>\n", " <td>20.5</td>\n", " <td>100.0</td>\n", " <td>2009-04-06 14:36:00</td>\n", " <td>2009-04-06 14:55:00</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>8</td>\n", " <td>Bil Holman Dredge/Dredge Capt Frank/Emo/Offsho...</td>\n", " <td>Y</td>\n", " <td>Unknown</td>\n", " <td>Unknown</td>\n", " <td>7</td>\n", " <td>42.0/48.0/57.0/90.0/138.0/154.0/156.0</td>\n", " <td>156.0</td>\n", " <td>4</td>\n", " <td>Dredging/MilOps/Reserved/Towing</td>\n", " <td>...</td>\n", " <td>Us Gov Vessel</td>\n", " <td>2</td>\n", " <td>1</td>\n", " <td>9.2</td>\n", " <td>15.4</td>\n", " <td>14.5</td>\n", " <td>16.1</td>\n", " <td>100.0</td>\n", " <td>2009-04-10 17:58:00</td>\n", " <td>2009-04-10 18:34:00</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>8</td>\n", " <td>Bil Holman Dredge/Dredge Capt Frank/Emo/Offsho...</td>\n", " <td>Y</td>\n", " <td>Unknown</td>\n", " <td>Unknown</td>\n", " <td>7</td>\n", " <td>42.0/48.0/57.0/90.0/138.0/154.0/156.0</td>\n", " <td>156.0</td>\n", " <td>4</td>\n", " <td>Dredging/MilOps/Reserved/Towing</td>\n", " <td>...</td>\n", " <td>Dredge Capt Frank</td>\n", " <td>2</td>\n", " <td>1</td>\n", " <td>9.2</td>\n", " <td>15.4</td>\n", " <td>14.6</td>\n", " <td>16.2</td>\n", " <td>100.0</td>\n", " <td>2009-04-10 17:59:00</td>\n", " <td>2009-04-10 18:35:00</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "<p>5 rows × 21 columns</p>\n", "</div>" ], "text/plain": [ " num_names names sov flag \\\n", "0 8 Bil Holman Dredge/Dredge Capt Frank/Emo/Offsho... Y Unknown \n", "1 8 Bil Holman Dredge/Dredge Capt Frank/Emo/Offsho... Y Unknown \n", "2 8 Bil Holman Dredge/Dredge Capt Frank/Emo/Offsho... Y Unknown \n", "3 8 Bil Holman Dredge/Dredge Capt Frank/Emo/Offsho... Y Unknown \n", "4 8 Bil Holman Dredge/Dredge Capt Frank/Emo/Offsho... Y Unknown \n", "\n", " flag_type num_loas loa max_loa \\\n", "0 Unknown 7 42.0/48.0/57.0/90.0/138.0/154.0/156.0 156.0 \n", "1 Unknown 7 42.0/48.0/57.0/90.0/138.0/154.0/156.0 156.0 \n", "2 Unknown 7 42.0/48.0/57.0/90.0/138.0/154.0/156.0 156.0 \n", "3 Unknown 7 42.0/48.0/57.0/90.0/138.0/154.0/156.0 156.0 \n", "4 Unknown 7 42.0/48.0/57.0/90.0/138.0/154.0/156.0 156.0 \n", "\n", " num_types type ... \\\n", "0 4 Dredging/MilOps/Reserved/Towing ... \n", "1 4 Dredging/MilOps/Reserved/Towing ... \n", "2 4 Dredging/MilOps/Reserved/Towing ... \n", "3 4 Dredging/MilOps/Reserved/Towing ... \n", "4 4 Dredging/MilOps/Reserved/Towing ... \n", "\n", " name transit segment seg_length avg_sog min_sog max_sog \\\n", "0 Us Govt Ves 1 1 5.1 13.2 9.2 14.5 \n", "1 Dredge Capt Frank 1 1 13.5 18.6 10.4 20.6 \n", "2 Us Gov Vessel 1 1 4.3 16.2 10.3 20.5 \n", "3 Us Gov Vessel 2 1 9.2 15.4 14.5 16.1 \n", "4 Dredge Capt Frank 2 1 9.2 15.4 14.6 16.2 \n", "\n", " pdgt10 st_time end_time \n", "0 96.5 2009-02-10 16:03:00 2009-02-10 16:27:00 \n", "1 100.0 2009-04-06 14:31:00 2009-04-06 15:20:00 \n", "2 100.0 2009-04-06 14:36:00 2009-04-06 14:55:00 \n", "3 100.0 2009-04-10 17:58:00 2009-04-10 18:34:00 \n", "4 100.0 2009-04-10 17:59:00 2009-04-10 18:35:00 \n", "\n", "[5 rows x 21 columns]" ] }, "execution_count": 40, "metadata": {}, "output_type": "execute_result" } ], "source": [ "segments_merged.head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "In this case, the default inner join is suitable; we are not interested in observations from either table that do not have corresponding entries in the other. \n", "\n", "Notice that `mmsi` field that was an index on the `vessels` table is no longer an index on the merged table." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Here, we used the `merge` function to perform the merge; we could also have used the `merge` *method* for either of the tables:" ] }, { "cell_type": "code", "execution_count": 41, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>num_names</th>\n", " <th>names</th>\n", " <th>sov</th>\n", " <th>flag</th>\n", " <th>flag_type</th>\n", " <th>num_loas</th>\n", " <th>loa</th>\n", " <th>max_loa</th>\n", " <th>num_types</th>\n", " <th>type</th>\n", " <th>...</th>\n", " <th>name</th>\n", " <th>transit</th>\n", " <th>segment</th>\n", " <th>seg_length</th>\n", " <th>avg_sog</th>\n", " <th>min_sog</th>\n", " <th>max_sog</th>\n", " <th>pdgt10</th>\n", " <th>st_time</th>\n", " <th>end_time</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>8</td>\n", " <td>Bil Holman Dredge/Dredge Capt Frank/Emo/Offsho...</td>\n", " <td>Y</td>\n", " <td>Unknown</td>\n", " <td>Unknown</td>\n", " <td>7</td>\n", " <td>42.0/48.0/57.0/90.0/138.0/154.0/156.0</td>\n", " <td>156.0</td>\n", " <td>4</td>\n", " <td>Dredging/MilOps/Reserved/Towing</td>\n", " <td>...</td>\n", " <td>Us Govt Ves</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>5.1</td>\n", " <td>13.2</td>\n", " <td>9.2</td>\n", " <td>14.5</td>\n", " <td>96.5</td>\n", " <td>2009-02-10 16:03:00</td>\n", " <td>2009-02-10 16:27:00</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>8</td>\n", " <td>Bil Holman Dredge/Dredge Capt Frank/Emo/Offsho...</td>\n", " <td>Y</td>\n", " <td>Unknown</td>\n", " <td>Unknown</td>\n", " <td>7</td>\n", " <td>42.0/48.0/57.0/90.0/138.0/154.0/156.0</td>\n", " <td>156.0</td>\n", " <td>4</td>\n", " <td>Dredging/MilOps/Reserved/Towing</td>\n", " <td>...</td>\n", " <td>Dredge Capt Frank</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>13.5</td>\n", " <td>18.6</td>\n", " <td>10.4</td>\n", " <td>20.6</td>\n", " <td>100.0</td>\n", " <td>2009-04-06 14:31:00</td>\n", " <td>2009-04-06 15:20:00</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>8</td>\n", " <td>Bil Holman Dredge/Dredge Capt Frank/Emo/Offsho...</td>\n", " <td>Y</td>\n", " <td>Unknown</td>\n", " <td>Unknown</td>\n", " <td>7</td>\n", " <td>42.0/48.0/57.0/90.0/138.0/154.0/156.0</td>\n", " <td>156.0</td>\n", " <td>4</td>\n", " <td>Dredging/MilOps/Reserved/Towing</td>\n", " <td>...</td>\n", " <td>Us Gov Vessel</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>4.3</td>\n", " <td>16.2</td>\n", " <td>10.3</td>\n", " <td>20.5</td>\n", " <td>100.0</td>\n", " <td>2009-04-06 14:36:00</td>\n", " <td>2009-04-06 14:55:00</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>8</td>\n", " <td>Bil Holman Dredge/Dredge Capt Frank/Emo/Offsho...</td>\n", " <td>Y</td>\n", " <td>Unknown</td>\n", " <td>Unknown</td>\n", " <td>7</td>\n", " <td>42.0/48.0/57.0/90.0/138.0/154.0/156.0</td>\n", " <td>156.0</td>\n", " <td>4</td>\n", " <td>Dredging/MilOps/Reserved/Towing</td>\n", " <td>...</td>\n", " <td>Us Gov Vessel</td>\n", " <td>2</td>\n", " <td>1</td>\n", " <td>9.2</td>\n", " <td>15.4</td>\n", " <td>14.5</td>\n", " <td>16.1</td>\n", " <td>100.0</td>\n", " <td>2009-04-10 17:58:00</td>\n", " <td>2009-04-10 18:34:00</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>8</td>\n", " <td>Bil Holman Dredge/Dredge Capt Frank/Emo/Offsho...</td>\n", " <td>Y</td>\n", " <td>Unknown</td>\n", " <td>Unknown</td>\n", " <td>7</td>\n", " <td>42.0/48.0/57.0/90.0/138.0/154.0/156.0</td>\n", " <td>156.0</td>\n", " <td>4</td>\n", " <td>Dredging/MilOps/Reserved/Towing</td>\n", " <td>...</td>\n", " <td>Dredge Capt Frank</td>\n", " <td>2</td>\n", " <td>1</td>\n", " <td>9.2</td>\n", " <td>15.4</td>\n", " <td>14.6</td>\n", " <td>16.2</td>\n", " <td>100.0</td>\n", " <td>2009-04-10 17:59:00</td>\n", " <td>2009-04-10 18:35:00</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "<p>5 rows × 21 columns</p>\n", "</div>" ], "text/plain": [ " num_names names sov flag \\\n", "0 8 Bil Holman Dredge/Dredge Capt Frank/Emo/Offsho... Y Unknown \n", "1 8 Bil Holman Dredge/Dredge Capt Frank/Emo/Offsho... Y Unknown \n", "2 8 Bil Holman Dredge/Dredge Capt Frank/Emo/Offsho... Y Unknown \n", "3 8 Bil Holman Dredge/Dredge Capt Frank/Emo/Offsho... Y Unknown \n", "4 8 Bil Holman Dredge/Dredge Capt Frank/Emo/Offsho... Y Unknown \n", "\n", " flag_type num_loas loa max_loa \\\n", "0 Unknown 7 42.0/48.0/57.0/90.0/138.0/154.0/156.0 156.0 \n", "1 Unknown 7 42.0/48.0/57.0/90.0/138.0/154.0/156.0 156.0 \n", "2 Unknown 7 42.0/48.0/57.0/90.0/138.0/154.0/156.0 156.0 \n", "3 Unknown 7 42.0/48.0/57.0/90.0/138.0/154.0/156.0 156.0 \n", "4 Unknown 7 42.0/48.0/57.0/90.0/138.0/154.0/156.0 156.0 \n", "\n", " num_types type ... \\\n", "0 4 Dredging/MilOps/Reserved/Towing ... \n", "1 4 Dredging/MilOps/Reserved/Towing ... \n", "2 4 Dredging/MilOps/Reserved/Towing ... \n", "3 4 Dredging/MilOps/Reserved/Towing ... \n", "4 4 Dredging/MilOps/Reserved/Towing ... \n", "\n", " name transit segment seg_length avg_sog min_sog max_sog \\\n", "0 Us Govt Ves 1 1 5.1 13.2 9.2 14.5 \n", "1 Dredge Capt Frank 1 1 13.5 18.6 10.4 20.6 \n", "2 Us Gov Vessel 1 1 4.3 16.2 10.3 20.5 \n", "3 Us Gov Vessel 2 1 9.2 15.4 14.5 16.1 \n", "4 Dredge Capt Frank 2 1 9.2 15.4 14.6 16.2 \n", "\n", " pdgt10 st_time end_time \n", "0 96.5 2009-02-10 16:03:00 2009-02-10 16:27:00 \n", "1 100.0 2009-04-06 14:31:00 2009-04-06 15:20:00 \n", "2 100.0 2009-04-06 14:36:00 2009-04-06 14:55:00 \n", "3 100.0 2009-04-10 17:58:00 2009-04-10 18:34:00 \n", "4 100.0 2009-04-10 17:59:00 2009-04-10 18:35:00 \n", "\n", "[5 rows x 21 columns]" ] }, "execution_count": 41, "metadata": {}, "output_type": "execute_result" } ], "source": [ "vessels.merge(segments, left_index=True, right_on='mmsi').head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Occasionally, there will be fields with the same in both tables that we do not wish to use to join the tables; they may contain different information, despite having the same name. In this case, Pandas will by default append suffixes `_x` and `_y` to the columns to uniquely identify them." ] }, { "cell_type": "code", "execution_count": 42, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>num_names</th>\n", " <th>names</th>\n", " <th>sov</th>\n", " <th>flag</th>\n", " <th>flag_type</th>\n", " <th>num_loas</th>\n", " <th>loa</th>\n", " <th>max_loa</th>\n", " <th>num_types</th>\n", " <th>type_x</th>\n", " <th>...</th>\n", " <th>transit</th>\n", " <th>segment</th>\n", " <th>seg_length</th>\n", " <th>avg_sog</th>\n", " <th>min_sog</th>\n", " <th>max_sog</th>\n", " <th>pdgt10</th>\n", " <th>st_time</th>\n", " <th>end_time</th>\n", " <th>type_y</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>8</td>\n", " <td>Bil Holman Dredge/Dredge Capt Frank/Emo/Offsho...</td>\n", " <td>Y</td>\n", " <td>Unknown</td>\n", " <td>Unknown</td>\n", " <td>7</td>\n", " <td>42.0/48.0/57.0/90.0/138.0/154.0/156.0</td>\n", " <td>156.0</td>\n", " <td>4</td>\n", " <td>Dredging/MilOps/Reserved/Towing</td>\n", " <td>...</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>5.1</td>\n", " <td>13.2</td>\n", " <td>9.2</td>\n", " <td>14.5</td>\n", " <td>96.5</td>\n", " <td>2009-02-10 16:03:00</td>\n", " <td>2009-02-10 16:27:00</td>\n", " <td>foo</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>8</td>\n", " <td>Bil Holman Dredge/Dredge Capt Frank/Emo/Offsho...</td>\n", " <td>Y</td>\n", " <td>Unknown</td>\n", " <td>Unknown</td>\n", " <td>7</td>\n", " <td>42.0/48.0/57.0/90.0/138.0/154.0/156.0</td>\n", " <td>156.0</td>\n", " <td>4</td>\n", " <td>Dredging/MilOps/Reserved/Towing</td>\n", " <td>...</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>13.5</td>\n", " <td>18.6</td>\n", " <td>10.4</td>\n", " <td>20.6</td>\n", " <td>100.0</td>\n", " <td>2009-04-06 14:31:00</td>\n", " <td>2009-04-06 15:20:00</td>\n", " <td>foo</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>8</td>\n", " <td>Bil Holman Dredge/Dredge Capt Frank/Emo/Offsho...</td>\n", " <td>Y</td>\n", " <td>Unknown</td>\n", " <td>Unknown</td>\n", " <td>7</td>\n", " <td>42.0/48.0/57.0/90.0/138.0/154.0/156.0</td>\n", " <td>156.0</td>\n", " <td>4</td>\n", " <td>Dredging/MilOps/Reserved/Towing</td>\n", " <td>...</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>4.3</td>\n", " <td>16.2</td>\n", " <td>10.3</td>\n", " <td>20.5</td>\n", " <td>100.0</td>\n", " <td>2009-04-06 14:36:00</td>\n", " <td>2009-04-06 14:55:00</td>\n", " <td>foo</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>8</td>\n", " <td>Bil Holman Dredge/Dredge Capt Frank/Emo/Offsho...</td>\n", " <td>Y</td>\n", " <td>Unknown</td>\n", " <td>Unknown</td>\n", " <td>7</td>\n", " <td>42.0/48.0/57.0/90.0/138.0/154.0/156.0</td>\n", " <td>156.0</td>\n", " <td>4</td>\n", " <td>Dredging/MilOps/Reserved/Towing</td>\n", " <td>...</td>\n", " <td>2</td>\n", " <td>1</td>\n", " <td>9.2</td>\n", " <td>15.4</td>\n", " <td>14.5</td>\n", " <td>16.1</td>\n", " <td>100.0</td>\n", " <td>2009-04-10 17:58:00</td>\n", " <td>2009-04-10 18:34:00</td>\n", " <td>foo</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>8</td>\n", " <td>Bil Holman Dredge/Dredge Capt Frank/Emo/Offsho...</td>\n", " <td>Y</td>\n", " <td>Unknown</td>\n", " <td>Unknown</td>\n", " <td>7</td>\n", " <td>42.0/48.0/57.0/90.0/138.0/154.0/156.0</td>\n", " <td>156.0</td>\n", " <td>4</td>\n", " <td>Dredging/MilOps/Reserved/Towing</td>\n", " <td>...</td>\n", " <td>2</td>\n", " <td>1</td>\n", " <td>9.2</td>\n", " <td>15.4</td>\n", " <td>14.6</td>\n", " <td>16.2</td>\n", " <td>100.0</td>\n", " <td>2009-04-10 17:59:00</td>\n", " <td>2009-04-10 18:35:00</td>\n", " <td>foo</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "<p>5 rows × 22 columns</p>\n", "</div>" ], "text/plain": [ " num_names names sov flag \\\n", "0 8 Bil Holman Dredge/Dredge Capt Frank/Emo/Offsho... Y Unknown \n", "1 8 Bil Holman Dredge/Dredge Capt Frank/Emo/Offsho... Y Unknown \n", "2 8 Bil Holman Dredge/Dredge Capt Frank/Emo/Offsho... Y Unknown \n", "3 8 Bil Holman Dredge/Dredge Capt Frank/Emo/Offsho... Y Unknown \n", "4 8 Bil Holman Dredge/Dredge Capt Frank/Emo/Offsho... Y Unknown \n", "\n", " flag_type num_loas loa max_loa \\\n", "0 Unknown 7 42.0/48.0/57.0/90.0/138.0/154.0/156.0 156.0 \n", "1 Unknown 7 42.0/48.0/57.0/90.0/138.0/154.0/156.0 156.0 \n", "2 Unknown 7 42.0/48.0/57.0/90.0/138.0/154.0/156.0 156.0 \n", "3 Unknown 7 42.0/48.0/57.0/90.0/138.0/154.0/156.0 156.0 \n", "4 Unknown 7 42.0/48.0/57.0/90.0/138.0/154.0/156.0 156.0 \n", "\n", " num_types type_x ... transit segment \\\n", "0 4 Dredging/MilOps/Reserved/Towing ... 1 1 \n", "1 4 Dredging/MilOps/Reserved/Towing ... 1 1 \n", "2 4 Dredging/MilOps/Reserved/Towing ... 1 1 \n", "3 4 Dredging/MilOps/Reserved/Towing ... 2 1 \n", "4 4 Dredging/MilOps/Reserved/Towing ... 2 1 \n", "\n", " seg_length avg_sog min_sog max_sog pdgt10 st_time \\\n", "0 5.1 13.2 9.2 14.5 96.5 2009-02-10 16:03:00 \n", "1 13.5 18.6 10.4 20.6 100.0 2009-04-06 14:31:00 \n", "2 4.3 16.2 10.3 20.5 100.0 2009-04-06 14:36:00 \n", "3 9.2 15.4 14.5 16.1 100.0 2009-04-10 17:58:00 \n", "4 9.2 15.4 14.6 16.2 100.0 2009-04-10 17:59:00 \n", "\n", " end_time type_y \n", "0 2009-02-10 16:27:00 foo \n", "1 2009-04-06 15:20:00 foo \n", "2 2009-04-06 14:55:00 foo \n", "3 2009-04-10 18:34:00 foo \n", "4 2009-04-10 18:35:00 foo \n", "\n", "[5 rows x 22 columns]" ] }, "execution_count": 42, "metadata": {}, "output_type": "execute_result" } ], "source": [ "segments['type'] = 'foo'\n", "pd.merge(vessels, segments, left_index=True, right_on='mmsi').head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "This behavior can be overridden by specifying a `suffixes` argument, containing a list of the suffixes to be used for the columns of the left and right columns, respectively." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Concatenation\n", "\n", "A common data manipulation is appending rows or columns to a dataset that already conform to the dimensions of the exsiting rows or colums, respectively. In NumPy, this is done either with `concatenate` or the convenience \"functions\" `c_` and `r_`:" ] }, { "cell_type": "code", "execution_count": 43, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "array([ 0.44735421, 0.74557821, 0.49221414, 0.03817349, 0.8559061 ,\n", " 0.72908551, 0.94861599, 0.51871784, 0.14438502, 0.72174021])" ] }, "execution_count": 43, "metadata": {}, "output_type": "execute_result" } ], "source": [ "np.concatenate([np.random.random(5), np.random.random(5)])" ] }, { "cell_type": "code", "execution_count": 44, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "array([ 0.68792386, 0.3045687 , 0.11952189, 0.40987618, 0.70569602,\n", " 0.27430698, 0.33581576, 0.12674059, 0.03275076, 0.40535802])" ] }, "execution_count": 44, "metadata": {}, "output_type": "execute_result" } ], "source": [ "np.r_[np.random.random(5), np.random.random(5)]" ] }, { "cell_type": "code", "execution_count": 45, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "array([[ 0.84347944, 0.22047894],\n", " [ 0.71938666, 0.92955653],\n", " [ 0.71115056, 0.19322126],\n", " [ 0.66416957, 0.52610488],\n", " [ 0.51376212, 0.56918403]])" ] }, "execution_count": 45, "metadata": {}, "output_type": "execute_result" } ], "source": [ "np.c_[np.random.random(5), np.random.random(5)]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "> Notice that `c_` and `r_` are not really functions at all, since it is performing some sort of indexing operation, rather than being called. They are actually *class instances*, but they are here behaving mostly like functions. Don't think about this too hard; just know that they are there." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "This operation is also called *binding* or *stacking*.\n", "\n", "With Pandas' indexed data structures, there are additional considerations as the overlap in index values between two data structures affects how they are concatenate.\n", "\n", "Lets import two microbiome datasets, each consisting of counts of microorganiams from a particular patient. We will use the first column of each dataset as the index." ] }, { "cell_type": "code", "execution_count": 46, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "((272, 1), (288, 1))" ] }, "execution_count": 46, "metadata": {}, "output_type": "execute_result" } ], "source": [ "mb1 = pd.read_excel('Data/microbiome/MID1.xls', 'Sheet 1', index_col=0, header=None)\n", "mb2 = pd.read_excel('Data/microbiome/MID2.xls', 'Sheet 1', index_col=0, header=None)\n", "mb1.shape, mb2.shape" ] }, { "cell_type": "code", "execution_count": 47, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>1</th>\n", " </tr>\n", " <tr>\n", " <th>0</th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>Archaea \"Crenarchaeota\" Thermoprotei Desulfurococcales Desulfurococcaceae Ignisphaera</th>\n", " <td>7</td>\n", " </tr>\n", " <tr>\n", " <th>Archaea \"Crenarchaeota\" Thermoprotei Desulfurococcales Pyrodictiaceae Pyrolobus</th>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>Archaea \"Crenarchaeota\" Thermoprotei Sulfolobales Sulfolobaceae Stygiolobus</th>\n", " <td>3</td>\n", " </tr>\n", " <tr>\n", " <th>Archaea \"Crenarchaeota\" Thermoprotei Thermoproteales Thermofilaceae Thermofilum</th>\n", " <td>3</td>\n", " </tr>\n", " <tr>\n", " <th>Archaea \"Euryarchaeota\" \"Methanomicrobia\" Methanocellales Methanocellaceae Methanocella</th>\n", " <td>7</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " 1\n", "0 \n", "Archaea \"Crenarchaeota\" Thermoprotei Desulfuroc... 7\n", "Archaea \"Crenarchaeota\" Thermoprotei Desulfuroc... 2\n", "Archaea \"Crenarchaeota\" Thermoprotei Sulfolobal... 3\n", "Archaea \"Crenarchaeota\" Thermoprotei Thermoprot... 3\n", "Archaea \"Euryarchaeota\" \"Methanomicrobia\" Metha... 7" ] }, "execution_count": 47, "metadata": {}, "output_type": "execute_result" } ], "source": [ "mb1.head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Let's give the index and columns meaningful labels:" ] }, { "cell_type": "code", "execution_count": 48, "metadata": { "collapsed": false }, "outputs": [], "source": [ "mb1.columns = mb2.columns = ['Count']" ] }, { "cell_type": "code", "execution_count": 49, "metadata": { "collapsed": false }, "outputs": [], "source": [ "mb1.index.name = mb2.index.name = 'Taxon'" ] }, { "cell_type": "code", "execution_count": 50, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Count</th>\n", " </tr>\n", " <tr>\n", " <th>Taxon</th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>Archaea \"Crenarchaeota\" Thermoprotei Desulfurococcales Desulfurococcaceae Ignisphaera</th>\n", " <td>7</td>\n", " </tr>\n", " <tr>\n", " <th>Archaea \"Crenarchaeota\" Thermoprotei Desulfurococcales Pyrodictiaceae Pyrolobus</th>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>Archaea \"Crenarchaeota\" Thermoprotei Sulfolobales Sulfolobaceae Stygiolobus</th>\n", " <td>3</td>\n", " </tr>\n", " <tr>\n", " <th>Archaea \"Crenarchaeota\" Thermoprotei Thermoproteales Thermofilaceae Thermofilum</th>\n", " <td>3</td>\n", " </tr>\n", " <tr>\n", " <th>Archaea \"Euryarchaeota\" \"Methanomicrobia\" Methanocellales Methanocellaceae Methanocella</th>\n", " <td>7</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " Count\n", "Taxon \n", "Archaea \"Crenarchaeota\" Thermoprotei Desulfuroc... 7\n", "Archaea \"Crenarchaeota\" Thermoprotei Desulfuroc... 2\n", "Archaea \"Crenarchaeota\" Thermoprotei Sulfolobal... 3\n", "Archaea \"Crenarchaeota\" Thermoprotei Thermoprot... 3\n", "Archaea \"Euryarchaeota\" \"Methanomicrobia\" Metha... 7" ] }, "execution_count": 50, "metadata": {}, "output_type": "execute_result" } ], "source": [ "mb1.head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The index of these data is the unique biological classification of each organism, beginning with *domain*, *phylum*, *class*, and for some organisms, going all the way down to the genus level.\n", "\n", "![classification](http://upload.wikimedia.org/wikipedia/commons/thumb/a/a5/Biological_classification_L_Pengo_vflip.svg/150px-Biological_classification_L_Pengo_vflip.svg.png)" ] }, { "cell_type": "code", "execution_count": 51, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "Index(['Archaea \"Crenarchaeota\" Thermoprotei Desulfurococcales Desulfurococcaceae Ignisphaera',\n", " 'Archaea \"Crenarchaeota\" Thermoprotei Desulfurococcales Pyrodictiaceae Pyrolobus',\n", " 'Archaea \"Crenarchaeota\" Thermoprotei Sulfolobales Sulfolobaceae Stygiolobus'],\n", " dtype='object', name='Taxon')" ] }, "execution_count": 51, "metadata": {}, "output_type": "execute_result" } ], "source": [ "mb1.index[:3]" ] }, { "cell_type": "code", "execution_count": 52, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "True" ] }, "execution_count": 52, "metadata": {}, "output_type": "execute_result" } ], "source": [ "mb1.index.is_unique" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "If we concatenate along `axis=0` (the default), we will obtain another data frame with the the rows concatenated:" ] }, { "cell_type": "code", "execution_count": 53, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "(560, 1)" ] }, "execution_count": 53, "metadata": {}, "output_type": "execute_result" } ], "source": [ "pd.concat([mb1, mb2], axis=0).shape" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "However, the index is no longer unique, due to overlap between the two DataFrames." ] }, { "cell_type": "code", "execution_count": 54, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "False" ] }, "execution_count": 54, "metadata": {}, "output_type": "execute_result" } ], "source": [ "pd.concat([mb1, mb2], axis=0).index.is_unique" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Concatenating along `axis=1` will concatenate column-wise, but respecting the indices of the two DataFrames." ] }, { "cell_type": "code", "execution_count": 55, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "(438, 2)" ] }, "execution_count": 55, "metadata": {}, "output_type": "execute_result" } ], "source": [ "pd.concat([mb1, mb2], axis=1).shape" ] }, { "cell_type": "code", "execution_count": 56, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Count</th>\n", " <th>Count</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>Archaea \"Crenarchaeota\" Thermoprotei Acidilobales Acidilobaceae Acidilobus</th>\n", " <td>NaN</td>\n", " <td>2.0</td>\n", " </tr>\n", " <tr>\n", " <th>Archaea \"Crenarchaeota\" Thermoprotei Acidilobales Caldisphaeraceae Caldisphaera</th>\n", " <td>NaN</td>\n", " <td>14.0</td>\n", " </tr>\n", " <tr>\n", " <th>Archaea \"Crenarchaeota\" Thermoprotei Desulfurococcales Desulfurococcaceae Ignisphaera</th>\n", " <td>7.0</td>\n", " <td>23.0</td>\n", " </tr>\n", " <tr>\n", " <th>Archaea \"Crenarchaeota\" Thermoprotei Desulfurococcales Desulfurococcaceae Sulfophobococcus</th>\n", " <td>NaN</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>Archaea \"Crenarchaeota\" Thermoprotei Desulfurococcales Desulfurococcaceae Thermosphaera</th>\n", " <td>NaN</td>\n", " <td>2.0</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " Count Count\n", "Archaea \"Crenarchaeota\" Thermoprotei Acidilobal... NaN 2.0\n", "Archaea \"Crenarchaeota\" Thermoprotei Acidilobal... NaN 14.0\n", "Archaea \"Crenarchaeota\" Thermoprotei Desulfuroc... 7.0 23.0\n", "Archaea \"Crenarchaeota\" Thermoprotei Desulfuroc... NaN 1.0\n", "Archaea \"Crenarchaeota\" Thermoprotei Desulfuroc... NaN 2.0" ] }, "execution_count": 56, "metadata": {}, "output_type": "execute_result" } ], "source": [ "pd.concat([mb1, mb2], axis=1).head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "If we are only interested in taxa that are included in both DataFrames, we can specify a `join=inner` argument." ] }, { "cell_type": "code", "execution_count": 57, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Count</th>\n", " <th>Count</th>\n", " </tr>\n", " <tr>\n", " <th>Taxon</th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>Archaea \"Crenarchaeota\" Thermoprotei Desulfurococcales Desulfurococcaceae Ignisphaera</th>\n", " <td>7</td>\n", " <td>23</td>\n", " </tr>\n", " <tr>\n", " <th>Archaea \"Crenarchaeota\" Thermoprotei Desulfurococcales Pyrodictiaceae Pyrolobus</th>\n", " <td>2</td>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>Archaea \"Crenarchaeota\" Thermoprotei Sulfolobales Sulfolobaceae Stygiolobus</th>\n", " <td>3</td>\n", " <td>10</td>\n", " </tr>\n", " <tr>\n", " <th>Archaea \"Crenarchaeota\" Thermoprotei Thermoproteales Thermofilaceae Thermofilum</th>\n", " <td>3</td>\n", " <td>9</td>\n", " </tr>\n", " <tr>\n", " <th>Archaea \"Euryarchaeota\" \"Methanomicrobia\" Methanocellales Methanocellaceae Methanocella</th>\n", " <td>7</td>\n", " <td>9</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " Count Count\n", "Taxon \n", "Archaea \"Crenarchaeota\" Thermoprotei Desulfuroc... 7 23\n", "Archaea \"Crenarchaeota\" Thermoprotei Desulfuroc... 2 2\n", "Archaea \"Crenarchaeota\" Thermoprotei Sulfolobal... 3 10\n", "Archaea \"Crenarchaeota\" Thermoprotei Thermoprot... 3 9\n", "Archaea \"Euryarchaeota\" \"Methanomicrobia\" Metha... 7 9" ] }, "execution_count": 57, "metadata": {}, "output_type": "execute_result" } ], "source": [ "pd.concat([mb1, mb2], axis=1, join='inner').head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "If we wanted to use the second table to *fill values* absent from the first table, we could use `combine_first`." ] }, { "cell_type": "code", "execution_count": 58, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Count</th>\n", " </tr>\n", " <tr>\n", " <th>Taxon</th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>Archaea \"Crenarchaeota\" Thermoprotei Acidilobales Acidilobaceae Acidilobus</th>\n", " <td>2.0</td>\n", " </tr>\n", " <tr>\n", " <th>Archaea \"Crenarchaeota\" Thermoprotei Acidilobales Caldisphaeraceae Caldisphaera</th>\n", " <td>14.0</td>\n", " </tr>\n", " <tr>\n", " <th>Archaea \"Crenarchaeota\" Thermoprotei Desulfurococcales Desulfurococcaceae Ignisphaera</th>\n", " <td>7.0</td>\n", " </tr>\n", " <tr>\n", " <th>Archaea \"Crenarchaeota\" Thermoprotei Desulfurococcales Desulfurococcaceae Sulfophobococcus</th>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>Archaea \"Crenarchaeota\" Thermoprotei Desulfurococcales Desulfurococcaceae Thermosphaera</th>\n", " <td>2.0</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " Count\n", "Taxon \n", "Archaea \"Crenarchaeota\" Thermoprotei Acidilobal... 2.0\n", "Archaea \"Crenarchaeota\" Thermoprotei Acidilobal... 14.0\n", "Archaea \"Crenarchaeota\" Thermoprotei Desulfuroc... 7.0\n", "Archaea \"Crenarchaeota\" Thermoprotei Desulfuroc... 1.0\n", "Archaea \"Crenarchaeota\" Thermoprotei Desulfuroc... 2.0" ] }, "execution_count": 58, "metadata": {}, "output_type": "execute_result" } ], "source": [ "mb1.combine_first(mb2).head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We can also create a hierarchical index based on keys identifying the original tables." ] }, { "cell_type": "code", "execution_count": 59, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th></th>\n", " <th>Count</th>\n", " </tr>\n", " <tr>\n", " <th></th>\n", " <th>Taxon</th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th rowspan=\"5\" valign=\"top\">patient1</th>\n", " <th>Archaea \"Crenarchaeota\" Thermoprotei Desulfurococcales Desulfurococcaceae Ignisphaera</th>\n", " <td>7</td>\n", " </tr>\n", " <tr>\n", " <th>Archaea \"Crenarchaeota\" Thermoprotei Desulfurococcales Pyrodictiaceae Pyrolobus</th>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>Archaea \"Crenarchaeota\" Thermoprotei Sulfolobales Sulfolobaceae Stygiolobus</th>\n", " <td>3</td>\n", " </tr>\n", " <tr>\n", " <th>Archaea \"Crenarchaeota\" Thermoprotei Thermoproteales Thermofilaceae Thermofilum</th>\n", " <td>3</td>\n", " </tr>\n", " <tr>\n", " <th>Archaea \"Euryarchaeota\" \"Methanomicrobia\" Methanocellales Methanocellaceae Methanocella</th>\n", " <td>7</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " Count\n", " Taxon \n", "patient1 Archaea \"Crenarchaeota\" Thermoprotei Desulfuroc... 7\n", " Archaea \"Crenarchaeota\" Thermoprotei Desulfuroc... 2\n", " Archaea \"Crenarchaeota\" Thermoprotei Sulfolobal... 3\n", " Archaea \"Crenarchaeota\" Thermoprotei Thermoprot... 3\n", " Archaea \"Euryarchaeota\" \"Methanomicrobia\" Metha... 7" ] }, "execution_count": 59, "metadata": {}, "output_type": "execute_result" } ], "source": [ "pd.concat([mb1, mb2], keys=['patient1', 'patient2']).head()" ] }, { "cell_type": "code", "execution_count": 60, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "True" ] }, "execution_count": 60, "metadata": {}, "output_type": "execute_result" } ], "source": [ "pd.concat([mb1, mb2], keys=['patient1', 'patient2']).index.is_unique" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Alternatively, you can pass keys to the concatenation by supplying the DataFrames (or Series) as a dict, resulting in a \"wide\" format table." ] }, { "cell_type": "code", "execution_count": 61, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr>\n", " <th></th>\n", " <th>patient1</th>\n", " <th>patient2</th>\n", " </tr>\n", " <tr>\n", " <th></th>\n", " <th>Count</th>\n", " <th>Count</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>Archaea \"Crenarchaeota\" Thermoprotei Acidilobales Acidilobaceae Acidilobus</th>\n", " <td>NaN</td>\n", " <td>2.0</td>\n", " </tr>\n", " <tr>\n", " <th>Archaea \"Crenarchaeota\" Thermoprotei Acidilobales Caldisphaeraceae Caldisphaera</th>\n", " <td>NaN</td>\n", " <td>14.0</td>\n", " </tr>\n", " <tr>\n", " <th>Archaea \"Crenarchaeota\" Thermoprotei Desulfurococcales Desulfurococcaceae Ignisphaera</th>\n", " <td>7.0</td>\n", " <td>23.0</td>\n", " </tr>\n", " <tr>\n", " <th>Archaea \"Crenarchaeota\" Thermoprotei Desulfurococcales Desulfurococcaceae Sulfophobococcus</th>\n", " <td>NaN</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>Archaea \"Crenarchaeota\" Thermoprotei Desulfurococcales Desulfurococcaceae Thermosphaera</th>\n", " <td>NaN</td>\n", " <td>2.0</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " patient1 patient2\n", " Count Count\n", "Archaea \"Crenarchaeota\" Thermoprotei Acidilobal... NaN 2.0\n", "Archaea \"Crenarchaeota\" Thermoprotei Acidilobal... NaN 14.0\n", "Archaea \"Crenarchaeota\" Thermoprotei Desulfuroc... 7.0 23.0\n", "Archaea \"Crenarchaeota\" Thermoprotei Desulfuroc... NaN 1.0\n", "Archaea \"Crenarchaeota\" Thermoprotei Desulfuroc... NaN 2.0" ] }, "execution_count": 61, "metadata": {}, "output_type": "execute_result" } ], "source": [ "pd.concat(dict(patient1=mb1, patient2=mb2), axis=1).head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "If you want `concat` to work like `numpy.concatanate`, you may provide the `ignore_index=True` argument." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Exercise 1\n", "\n", "In the *data/microbiome* subdirectory, there are 9 spreadsheets of microbiome data that was acquired from high-throughput RNA sequencing procedures, along with a 10th file that describes the content of each. Write code that imports each of the data spreadsheets and combines them into a single `DataFrame`, adding the identifying information from the metadata spreadsheet as columns in the combined `DataFrame`." ] }, { "cell_type": "code", "execution_count": 62, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Write solution here" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Reshaping DataFrame objects\n", "\n", "In the context of a single DataFrame, we are often interested in re-arranging the layout of our data. " ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "This dataset is from Table 6.9 of [Statistical Methods for the Analysis of Repeated Measurements](http://www.amazon.com/Statistical-Methods-Analysis-Repeated-Measurements/dp/0387953701) by Charles S. Davis, pp. 161-163 (Springer, 2002). These data are from a multicenter, randomized controlled trial of botulinum toxin type B (BotB) in patients with cervical dystonia from nine U.S. sites.\n", "\n", "* Randomized to placebo (N=36), 5000 units of BotB (N=36), 10,000 units of BotB (N=37)\n", "* Response variable: total score on Toronto Western Spasmodic Torticollis Rating Scale (TWSTRS), measuring severity, pain, and disability of cervical dystonia (high scores mean more impairment)\n", "* TWSTRS measured at baseline (week 0) and weeks 2, 4, 8, 12, 16 after treatment began" ] }, { "cell_type": "code", "execution_count": 63, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>patient</th>\n", " <th>obs</th>\n", " <th>week</th>\n", " <th>site</th>\n", " <th>id</th>\n", " <th>treat</th>\n", " <th>age</th>\n", " <th>sex</th>\n", " <th>twstrs</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>5000U</td>\n", " <td>65</td>\n", " <td>F</td>\n", " <td>32</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>1</td>\n", " <td>2</td>\n", " <td>2</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>5000U</td>\n", " <td>65</td>\n", " <td>F</td>\n", " <td>30</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>1</td>\n", " <td>3</td>\n", " <td>4</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>5000U</td>\n", " <td>65</td>\n", " <td>F</td>\n", " <td>24</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>1</td>\n", " <td>4</td>\n", " <td>8</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>5000U</td>\n", " <td>65</td>\n", " <td>F</td>\n", " <td>37</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>1</td>\n", " <td>5</td>\n", " <td>12</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>5000U</td>\n", " <td>65</td>\n", " <td>F</td>\n", " <td>39</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " patient obs week site id treat age sex twstrs\n", "0 1 1 0 1 1 5000U 65 F 32\n", "1 1 2 2 1 1 5000U 65 F 30\n", "2 1 3 4 1 1 5000U 65 F 24\n", "3 1 4 8 1 1 5000U 65 F 37\n", "4 1 5 12 1 1 5000U 65 F 39" ] }, "execution_count": 63, "metadata": {}, "output_type": "execute_result" } ], "source": [ "cdystonia = pd.read_csv(\"Data/cdystonia.csv\", index_col=None)\n", "cdystonia.head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "This dataset includes repeated measurements of the same individuals (longitudinal data). Its possible to present such information in (at least) two ways: showing each repeated measurement in their own row, or in multiple columns representing multiple measurements.\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The `stack` method rotates the data frame so that columns are represented in rows:" ] }, { "cell_type": "code", "execution_count": 64, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "0 patient 1\n", " obs 1\n", " week 0\n", " site 1\n", " id 1\n", " treat 5000U\n", " age 65\n", " sex F\n", " twstrs 32\n", "1 patient 1\n", " obs 2\n", " week 2\n", " site 1\n", " id 1\n", " treat 5000U\n", " age 65\n", " sex F\n", " twstrs 30\n", "2 patient 1\n", " obs 3\n", " week 4\n", " site 1\n", " id 1\n", " treat 5000U\n", " age 65\n", " sex F\n", " twstrs 24\n", "3 patient 1\n", " obs 4\n", " week 8\n", " ... \n", "627 age 57\n", " sex M\n", " twstrs 38\n", "628 patient 109\n", " obs 4\n", " week 8\n", " site 9\n", " id 11\n", " treat 5000U\n", " age 57\n", " sex M\n", " twstrs 33\n", "629 patient 109\n", " obs 5\n", " week 12\n", " site 9\n", " id 11\n", " treat 5000U\n", " age 57\n", " sex M\n", " twstrs 36\n", "630 patient 109\n", " obs 6\n", " week 16\n", " site 9\n", " id 11\n", " treat 5000U\n", " age 57\n", " sex M\n", " twstrs 51\n", "dtype: object" ] }, "execution_count": 64, "metadata": {}, "output_type": "execute_result" } ], "source": [ "stacked = cdystonia.stack()\n", "stacked" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "To complement this, `unstack` pivots from rows back to columns." ] }, { "cell_type": "code", "execution_count": 65, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>patient</th>\n", " <th>obs</th>\n", " <th>week</th>\n", " <th>site</th>\n", " <th>id</th>\n", " <th>treat</th>\n", " <th>age</th>\n", " <th>sex</th>\n", " <th>twstrs</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>5000U</td>\n", " <td>65</td>\n", " <td>F</td>\n", " <td>32</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>1</td>\n", " <td>2</td>\n", " <td>2</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>5000U</td>\n", " <td>65</td>\n", " <td>F</td>\n", " <td>30</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>1</td>\n", " <td>3</td>\n", " <td>4</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>5000U</td>\n", " <td>65</td>\n", " <td>F</td>\n", " <td>24</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>1</td>\n", " <td>4</td>\n", " <td>8</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>5000U</td>\n", " <td>65</td>\n", " <td>F</td>\n", " <td>37</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>1</td>\n", " <td>5</td>\n", " <td>12</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>5000U</td>\n", " <td>65</td>\n", " <td>F</td>\n", " <td>39</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " patient obs week site id treat age sex twstrs\n", "0 1 1 0 1 1 5000U 65 F 32\n", "1 1 2 2 1 1 5000U 65 F 30\n", "2 1 3 4 1 1 5000U 65 F 24\n", "3 1 4 8 1 1 5000U 65 F 37\n", "4 1 5 12 1 1 5000U 65 F 39" ] }, "execution_count": 65, "metadata": {}, "output_type": "execute_result" } ], "source": [ "stacked.unstack().head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "For this dataset, it makes sense to create a hierarchical index based on the patient and observation:" ] }, { "cell_type": "code", "execution_count": 66, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th></th>\n", " <th>week</th>\n", " <th>site</th>\n", " <th>id</th>\n", " <th>treat</th>\n", " <th>age</th>\n", " <th>sex</th>\n", " <th>twstrs</th>\n", " </tr>\n", " <tr>\n", " <th>patient</th>\n", " <th>obs</th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th rowspan=\"5\" valign=\"top\">1</th>\n", " <th>1</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>5000U</td>\n", " <td>65</td>\n", " <td>F</td>\n", " <td>32</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>2</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>5000U</td>\n", " <td>65</td>\n", " <td>F</td>\n", " <td>30</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>4</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>5000U</td>\n", " <td>65</td>\n", " <td>F</td>\n", " <td>24</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>8</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>5000U</td>\n", " <td>65</td>\n", " <td>F</td>\n", " <td>37</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td>12</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>5000U</td>\n", " <td>65</td>\n", " <td>F</td>\n", " <td>39</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " week site id treat age sex twstrs\n", "patient obs \n", "1 1 0 1 1 5000U 65 F 32\n", " 2 2 1 1 5000U 65 F 30\n", " 3 4 1 1 5000U 65 F 24\n", " 4 8 1 1 5000U 65 F 37\n", " 5 12 1 1 5000U 65 F 39" ] }, "execution_count": 66, "metadata": {}, "output_type": "execute_result" } ], "source": [ "cdystonia2 = cdystonia.set_index(['patient','obs'])\n", "cdystonia2.head()" ] }, { "cell_type": "code", "execution_count": 67, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "True" ] }, "execution_count": 67, "metadata": {}, "output_type": "execute_result" } ], "source": [ "cdystonia2.index.is_unique" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "If we want to transform this data so that repeated measurements are in columns, we can `unstack` the `twstrs` measurements according to `obs`." ] }, { "cell_type": "code", "execution_count": 68, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th>obs</th>\n", " <th>1</th>\n", " <th>2</th>\n", " <th>3</th>\n", " <th>4</th>\n", " <th>5</th>\n", " <th>6</th>\n", " </tr>\n", " <tr>\n", " <th>patient</th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>1</th>\n", " <td>32.0</td>\n", " <td>30.0</td>\n", " <td>24.0</td>\n", " <td>37.0</td>\n", " <td>39.0</td>\n", " <td>36.0</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>60.0</td>\n", " <td>26.0</td>\n", " <td>27.0</td>\n", " <td>41.0</td>\n", " <td>65.0</td>\n", " <td>67.0</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>44.0</td>\n", " <td>20.0</td>\n", " <td>23.0</td>\n", " <td>26.0</td>\n", " <td>35.0</td>\n", " <td>35.0</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>53.0</td>\n", " <td>61.0</td>\n", " <td>64.0</td>\n", " <td>62.0</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td>53.0</td>\n", " <td>35.0</td>\n", " <td>48.0</td>\n", " <td>49.0</td>\n", " <td>41.0</td>\n", " <td>51.0</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ "obs 1 2 3 4 5 6\n", "patient \n", "1 32.0 30.0 24.0 37.0 39.0 36.0\n", "2 60.0 26.0 27.0 41.0 65.0 67.0\n", "3 44.0 20.0 23.0 26.0 35.0 35.0\n", "4 53.0 61.0 64.0 62.0 NaN NaN\n", "5 53.0 35.0 48.0 49.0 41.0 51.0" ] }, "execution_count": 68, "metadata": {}, "output_type": "execute_result" } ], "source": [ "twstrs_wide = cdystonia2['twstrs'].unstack('obs')\n", "twstrs_wide.head()" ] }, { "cell_type": "code", "execution_count": 69, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>patient</th>\n", " <th>site</th>\n", " <th>id</th>\n", " <th>treat</th>\n", " <th>age</th>\n", " <th>sex</th>\n", " <th>1</th>\n", " <th>2</th>\n", " <th>3</th>\n", " <th>4</th>\n", " <th>5</th>\n", " <th>6</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>5000U</td>\n", " <td>65</td>\n", " <td>F</td>\n", " <td>32.0</td>\n", " <td>30.0</td>\n", " <td>24.0</td>\n", " <td>37.0</td>\n", " <td>39.0</td>\n", " <td>36.0</td>\n", " </tr>\n", " <tr>\n", " <th>6</th>\n", " <td>2</td>\n", " <td>1</td>\n", " <td>2</td>\n", " <td>10000U</td>\n", " <td>70</td>\n", " <td>F</td>\n", " <td>60.0</td>\n", " <td>26.0</td>\n", " <td>27.0</td>\n", " <td>41.0</td>\n", " <td>65.0</td>\n", " <td>67.0</td>\n", " </tr>\n", " <tr>\n", " <th>12</th>\n", " <td>3</td>\n", " <td>1</td>\n", " <td>3</td>\n", " <td>5000U</td>\n", " <td>64</td>\n", " <td>F</td>\n", " <td>44.0</td>\n", " <td>20.0</td>\n", " <td>23.0</td>\n", " <td>26.0</td>\n", " <td>35.0</td>\n", " <td>35.0</td>\n", " </tr>\n", " <tr>\n", " <th>18</th>\n", " <td>4</td>\n", " <td>1</td>\n", " <td>4</td>\n", " <td>Placebo</td>\n", " <td>59</td>\n", " <td>F</td>\n", " <td>53.0</td>\n", " <td>61.0</td>\n", " <td>64.0</td>\n", " <td>62.0</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>22</th>\n", " <td>5</td>\n", " <td>1</td>\n", " <td>5</td>\n", " <td>10000U</td>\n", " <td>76</td>\n", " <td>F</td>\n", " <td>53.0</td>\n", " <td>35.0</td>\n", " <td>48.0</td>\n", " <td>49.0</td>\n", " <td>41.0</td>\n", " <td>51.0</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " patient site id treat age sex 1 2 3 4 5 6\n", "0 1 1 1 5000U 65 F 32.0 30.0 24.0 37.0 39.0 36.0\n", "6 2 1 2 10000U 70 F 60.0 26.0 27.0 41.0 65.0 67.0\n", "12 3 1 3 5000U 64 F 44.0 20.0 23.0 26.0 35.0 35.0\n", "18 4 1 4 Placebo 59 F 53.0 61.0 64.0 62.0 NaN NaN\n", "22 5 1 5 10000U 76 F 53.0 35.0 48.0 49.0 41.0 51.0" ] }, "execution_count": 69, "metadata": {}, "output_type": "execute_result" } ], "source": [ "cdystonia_wide = (cdystonia[['patient','site','id','treat','age','sex']]\n", " .drop_duplicates()\n", " .merge(twstrs_wide, right_index=True, left_on='patient', how='inner')\n", " .head())\n", "cdystonia_wide" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "A slightly cleaner way of doing this is to set the patient-level information as an index before unstacking:" ] }, { "cell_type": "code", "execution_count": 70, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th>week</th>\n", " <th>0</th>\n", " <th>2</th>\n", " <th>4</th>\n", " <th>8</th>\n", " <th>12</th>\n", " <th>16</th>\n", " </tr>\n", " <tr>\n", " <th>patient</th>\n", " <th>site</th>\n", " <th>id</th>\n", " <th>treat</th>\n", " <th>age</th>\n", " <th>sex</th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>1</th>\n", " <th>1</th>\n", " <th>1</th>\n", " <th>5000U</th>\n", " <th>65</th>\n", " <th>F</th>\n", " <td>32.0</td>\n", " <td>30.0</td>\n", " <td>24.0</td>\n", " <td>37.0</td>\n", " <td>39.0</td>\n", " <td>36.0</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <th>1</th>\n", " <th>2</th>\n", " <th>10000U</th>\n", " <th>70</th>\n", " <th>F</th>\n", " <td>60.0</td>\n", " <td>26.0</td>\n", " <td>27.0</td>\n", " <td>41.0</td>\n", " <td>65.0</td>\n", " <td>67.0</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <th>1</th>\n", " <th>3</th>\n", " <th>5000U</th>\n", " <th>64</th>\n", " <th>F</th>\n", " <td>44.0</td>\n", " <td>20.0</td>\n", " <td>23.0</td>\n", " <td>26.0</td>\n", " <td>35.0</td>\n", " <td>35.0</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <th>1</th>\n", " <th>4</th>\n", " <th>Placebo</th>\n", " <th>59</th>\n", " <th>F</th>\n", " <td>53.0</td>\n", " <td>61.0</td>\n", " <td>64.0</td>\n", " <td>62.0</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <th>1</th>\n", " <th>5</th>\n", " <th>10000U</th>\n", " <th>76</th>\n", " <th>F</th>\n", " <td>53.0</td>\n", " <td>35.0</td>\n", " <td>48.0</td>\n", " <td>49.0</td>\n", " <td>41.0</td>\n", " <td>51.0</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ "week 0 2 4 8 12 16\n", "patient site id treat age sex \n", "1 1 1 5000U 65 F 32.0 30.0 24.0 37.0 39.0 36.0\n", "2 1 2 10000U 70 F 60.0 26.0 27.0 41.0 65.0 67.0\n", "3 1 3 5000U 64 F 44.0 20.0 23.0 26.0 35.0 35.0\n", "4 1 4 Placebo 59 F 53.0 61.0 64.0 62.0 NaN NaN\n", "5 1 5 10000U 76 F 53.0 35.0 48.0 49.0 41.0 51.0" ] }, "execution_count": 70, "metadata": {}, "output_type": "execute_result" } ], "source": [ "(cdystonia.set_index(['patient','site','id','treat','age','sex','week'])['twstrs']\n", " .unstack('week').head())" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "To convert our \"wide\" format back to long, we can use the `melt` function, appropriately parameterized. This function is useful for `DataFrame`s where one\n", "or more columns are identifier variables (`id_vars`), with the remaining columns being measured variables (`value_vars`). The measured variables are \"unpivoted\" to\n", "the row axis, leaving just two non-identifier columns, a *variable* and its corresponding *value*, which can both be renamed using optional arguments." ] }, { "cell_type": "code", "execution_count": 71, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>patient</th>\n", " <th>site</th>\n", " <th>id</th>\n", " <th>treat</th>\n", " <th>age</th>\n", " <th>sex</th>\n", " <th>obs</th>\n", " <th>twsters</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>5000U</td>\n", " <td>65</td>\n", " <td>F</td>\n", " <td>1</td>\n", " <td>32.0</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>2</td>\n", " <td>1</td>\n", " <td>2</td>\n", " <td>10000U</td>\n", " <td>70</td>\n", " <td>F</td>\n", " <td>1</td>\n", " <td>60.0</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>3</td>\n", " <td>1</td>\n", " <td>3</td>\n", " <td>5000U</td>\n", " <td>64</td>\n", " <td>F</td>\n", " <td>1</td>\n", " <td>44.0</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>4</td>\n", " <td>1</td>\n", " <td>4</td>\n", " <td>Placebo</td>\n", " <td>59</td>\n", " <td>F</td>\n", " <td>1</td>\n", " <td>53.0</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>5</td>\n", " <td>1</td>\n", " <td>5</td>\n", " <td>10000U</td>\n", " <td>76</td>\n", " <td>F</td>\n", " <td>1</td>\n", " <td>53.0</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " patient site id treat age sex obs twsters\n", "0 1 1 1 5000U 65 F 1 32.0\n", "1 2 1 2 10000U 70 F 1 60.0\n", "2 3 1 3 5000U 64 F 1 44.0\n", "3 4 1 4 Placebo 59 F 1 53.0\n", "4 5 1 5 10000U 76 F 1 53.0" ] }, "execution_count": 71, "metadata": {}, "output_type": "execute_result" } ], "source": [ "pd.melt(cdystonia_wide, id_vars=['patient','site','id','treat','age','sex'], \n", " var_name='obs', value_name='twsters').head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "This illustrates the two formats for longitudinal data: **long** and **wide** formats. Its typically better to store data in long format because additional data can be included as additional rows in the database, while wide format requires that the entire database schema be altered by adding columns to every row as data are collected.\n", "\n", "The preferable format for analysis depends entirely on what is planned for the data, so it is imporant to be able to move easily between them." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Pivoting\n", "\n", "The `pivot` method allows a DataFrame to be transformed easily between long and wide formats in the same way as a pivot table is created in a spreadsheet. It takes three arguments: `index`, `columns` and `values`, corresponding to the DataFrame index (the row headers), columns and cell values, respectively.\n", "\n", "For example, we may want the `twstrs` variable (the response variable) in wide format according to patient, as we saw with the unstacking method above:" ] }, { "cell_type": "code", "execution_count": 72, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th>obs</th>\n", " <th>1</th>\n", " <th>2</th>\n", " <th>3</th>\n", " <th>4</th>\n", " <th>5</th>\n", " <th>6</th>\n", " </tr>\n", " <tr>\n", " <th>patient</th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>1</th>\n", " <td>32.0</td>\n", " <td>30.0</td>\n", " <td>24.0</td>\n", " <td>37.0</td>\n", " <td>39.0</td>\n", " <td>36.0</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>60.0</td>\n", " <td>26.0</td>\n", " <td>27.0</td>\n", " <td>41.0</td>\n", " <td>65.0</td>\n", " <td>67.0</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>44.0</td>\n", " <td>20.0</td>\n", " <td>23.0</td>\n", " <td>26.0</td>\n", " <td>35.0</td>\n", " <td>35.0</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>53.0</td>\n", " <td>61.0</td>\n", " <td>64.0</td>\n", " <td>62.0</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td>53.0</td>\n", " <td>35.0</td>\n", " <td>48.0</td>\n", " <td>49.0</td>\n", " <td>41.0</td>\n", " <td>51.0</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ "obs 1 2 3 4 5 6\n", "patient \n", "1 32.0 30.0 24.0 37.0 39.0 36.0\n", "2 60.0 26.0 27.0 41.0 65.0 67.0\n", "3 44.0 20.0 23.0 26.0 35.0 35.0\n", "4 53.0 61.0 64.0 62.0 NaN NaN\n", "5 53.0 35.0 48.0 49.0 41.0 51.0" ] }, "execution_count": 72, "metadata": {}, "output_type": "execute_result" } ], "source": [ "cdystonia.pivot(index='patient', columns='obs', values='twstrs').head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "If we omit the `values` argument, we get a `DataFrame` with hierarchical columns, just as when we applied `unstack` to the hierarchically-indexed table:" ] }, { "cell_type": "code", "execution_count": 73, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr>\n", " <th></th>\n", " <th colspan=\"6\" halign=\"left\">week</th>\n", " <th colspan=\"4\" halign=\"left\">site</th>\n", " <th>...</th>\n", " <th colspan=\"4\" halign=\"left\">sex</th>\n", " <th colspan=\"6\" halign=\"left\">twstrs</th>\n", " </tr>\n", " <tr>\n", " <th>obs</th>\n", " <th>1</th>\n", " <th>2</th>\n", " <th>3</th>\n", " <th>4</th>\n", " <th>5</th>\n", " <th>6</th>\n", " <th>1</th>\n", " <th>2</th>\n", " <th>3</th>\n", " <th>4</th>\n", " <th>...</th>\n", " <th>3</th>\n", " <th>4</th>\n", " <th>5</th>\n", " <th>6</th>\n", " <th>1</th>\n", " <th>2</th>\n", " <th>3</th>\n", " <th>4</th>\n", " <th>5</th>\n", " <th>6</th>\n", " </tr>\n", " <tr>\n", " <th>patient</th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>1</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>...</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>32.0</td>\n", " <td>30.0</td>\n", " <td>24.0</td>\n", " <td>37.0</td>\n", " <td>39.0</td>\n", " <td>36.0</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>...</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>60.0</td>\n", " <td>26.0</td>\n", " <td>27.0</td>\n", " <td>41.0</td>\n", " <td>65.0</td>\n", " <td>67.0</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>...</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>44.0</td>\n", " <td>20.0</td>\n", " <td>23.0</td>\n", " <td>26.0</td>\n", " <td>35.0</td>\n", " <td>35.0</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>...</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>None</td>\n", " <td>None</td>\n", " <td>53.0</td>\n", " <td>61.0</td>\n", " <td>64.0</td>\n", " <td>62.0</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>...</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>53.0</td>\n", " <td>35.0</td>\n", " <td>48.0</td>\n", " <td>49.0</td>\n", " <td>41.0</td>\n", " <td>51.0</td>\n", " </tr>\n", " <tr>\n", " <th>6</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>...</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>49.0</td>\n", " <td>34.0</td>\n", " <td>43.0</td>\n", " <td>48.0</td>\n", " <td>48.0</td>\n", " <td>51.0</td>\n", " </tr>\n", " <tr>\n", " <th>7</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>...</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>42.0</td>\n", " <td>32.0</td>\n", " <td>32.0</td>\n", " <td>43.0</td>\n", " <td>42.0</td>\n", " <td>46.0</td>\n", " </tr>\n", " <tr>\n", " <th>8</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>...</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>34.0</td>\n", " <td>33.0</td>\n", " <td>21.0</td>\n", " <td>27.0</td>\n", " <td>32.0</td>\n", " <td>38.0</td>\n", " </tr>\n", " <tr>\n", " <th>9</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>...</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>41.0</td>\n", " <td>32.0</td>\n", " <td>34.0</td>\n", " <td>35.0</td>\n", " <td>37.0</td>\n", " <td>36.0</td>\n", " </tr>\n", " <tr>\n", " <th>10</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>...</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>27.0</td>\n", " <td>10.0</td>\n", " <td>31.0</td>\n", " <td>32.0</td>\n", " <td>6.0</td>\n", " <td>14.0</td>\n", " </tr>\n", " <tr>\n", " <th>11</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>...</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>48.0</td>\n", " <td>41.0</td>\n", " <td>32.0</td>\n", " <td>35.0</td>\n", " <td>57.0</td>\n", " <td>51.0</td>\n", " </tr>\n", " <tr>\n", " <th>12</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>...</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>34.0</td>\n", " <td>19.0</td>\n", " <td>21.0</td>\n", " <td>24.0</td>\n", " <td>28.0</td>\n", " <td>28.0</td>\n", " </tr>\n", " <tr>\n", " <th>13</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>...</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>49.0</td>\n", " <td>47.0</td>\n", " <td>44.0</td>\n", " <td>48.0</td>\n", " <td>44.0</td>\n", " <td>44.0</td>\n", " </tr>\n", " <tr>\n", " <th>14</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>...</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>46.0</td>\n", " <td>35.0</td>\n", " <td>45.0</td>\n", " <td>49.0</td>\n", " <td>53.0</td>\n", " <td>56.0</td>\n", " </tr>\n", " <tr>\n", " <th>15</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>...</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>56.0</td>\n", " <td>44.0</td>\n", " <td>48.0</td>\n", " <td>54.0</td>\n", " <td>49.0</td>\n", " <td>60.0</td>\n", " </tr>\n", " <tr>\n", " <th>16</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>...</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>59.0</td>\n", " <td>48.0</td>\n", " <td>56.0</td>\n", " <td>55.0</td>\n", " <td>57.0</td>\n", " <td>58.0</td>\n", " </tr>\n", " <tr>\n", " <th>17</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>...</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>62.0</td>\n", " <td>60.0</td>\n", " <td>60.0</td>\n", " <td>64.0</td>\n", " <td>67.0</td>\n", " <td>66.0</td>\n", " </tr>\n", " <tr>\n", " <th>18</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>...</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>50.0</td>\n", " <td>53.0</td>\n", " <td>52.0</td>\n", " <td>57.0</td>\n", " <td>61.0</td>\n", " <td>54.0</td>\n", " </tr>\n", " <tr>\n", " <th>19</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>...</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>42.0</td>\n", " <td>42.0</td>\n", " <td>43.0</td>\n", " <td>33.0</td>\n", " <td>37.0</td>\n", " <td>43.0</td>\n", " </tr>\n", " <tr>\n", " <th>20</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>...</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>53.0</td>\n", " <td>56.0</td>\n", " <td>52.0</td>\n", " <td>54.0</td>\n", " <td>55.0</td>\n", " <td>51.0</td>\n", " </tr>\n", " <tr>\n", " <th>21</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>...</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>67.0</td>\n", " <td>64.0</td>\n", " <td>65.0</td>\n", " <td>64.0</td>\n", " <td>62.0</td>\n", " <td>64.0</td>\n", " </tr>\n", " <tr>\n", " <th>22</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>...</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>44.0</td>\n", " <td>40.0</td>\n", " <td>32.0</td>\n", " <td>36.0</td>\n", " <td>42.0</td>\n", " <td>43.0</td>\n", " </tr>\n", " <tr>\n", " <th>23</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>NaN</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>F</td>\n", " <td>None</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>65.0</td>\n", " <td>58.0</td>\n", " <td>55.0</td>\n", " <td>NaN</td>\n", " <td>56.0</td>\n", " <td>60.0</td>\n", " </tr>\n", " <tr>\n", " <th>24</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>...</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>56.0</td>\n", " <td>54.0</td>\n", " <td>52.0</td>\n", " <td>48.0</td>\n", " <td>52.0</td>\n", " <td>53.0</td>\n", " </tr>\n", " <tr>\n", " <th>25</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>...</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>30.0</td>\n", " <td>33.0</td>\n", " <td>25.0</td>\n", " <td>29.0</td>\n", " <td>32.0</td>\n", " <td>32.0</td>\n", " </tr>\n", " <tr>\n", " <th>26</th>\n", " <td>0.0</td>\n", " <td>NaN</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>2.0</td>\n", " <td>NaN</td>\n", " <td>2.0</td>\n", " <td>2.0</td>\n", " <td>...</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>47.0</td>\n", " <td>NaN</td>\n", " <td>54.0</td>\n", " <td>43.0</td>\n", " <td>46.0</td>\n", " <td>50.0</td>\n", " </tr>\n", " <tr>\n", " <th>27</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>3.0</td>\n", " <td>3.0</td>\n", " <td>3.0</td>\n", " <td>3.0</td>\n", " <td>...</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>50.0</td>\n", " <td>43.0</td>\n", " <td>51.0</td>\n", " <td>46.0</td>\n", " <td>49.0</td>\n", " <td>53.0</td>\n", " </tr>\n", " <tr>\n", " <th>28</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>3.0</td>\n", " <td>3.0</td>\n", " <td>3.0</td>\n", " <td>3.0</td>\n", " <td>...</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>34.0</td>\n", " <td>29.0</td>\n", " <td>27.0</td>\n", " <td>21.0</td>\n", " <td>22.0</td>\n", " <td>22.0</td>\n", " </tr>\n", " <tr>\n", " <th>29</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>3.0</td>\n", " <td>3.0</td>\n", " <td>3.0</td>\n", " <td>3.0</td>\n", " <td>...</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>39.0</td>\n", " <td>41.0</td>\n", " <td>33.0</td>\n", " <td>39.0</td>\n", " <td>37.0</td>\n", " <td>37.0</td>\n", " </tr>\n", " <tr>\n", " <th>30</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>3.0</td>\n", " <td>3.0</td>\n", " <td>3.0</td>\n", " <td>3.0</td>\n", " <td>...</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>43.0</td>\n", " <td>31.0</td>\n", " <td>29.0</td>\n", " <td>28.0</td>\n", " <td>33.0</td>\n", " <td>38.0</td>\n", " </tr>\n", " <tr>\n", " <th>...</th>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " </tr>\n", " <tr>\n", " <th>80</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>...</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>46.0</td>\n", " <td>39.0</td>\n", " <td>25.0</td>\n", " <td>15.0</td>\n", " <td>21.0</td>\n", " <td>25.0</td>\n", " </tr>\n", " <tr>\n", " <th>81</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>...</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>41.0</td>\n", " <td>30.0</td>\n", " <td>44.0</td>\n", " <td>46.0</td>\n", " <td>46.0</td>\n", " <td>44.0</td>\n", " </tr>\n", " <tr>\n", " <th>82</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>...</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>33.0</td>\n", " <td>27.0</td>\n", " <td>25.0</td>\n", " <td>30.0</td>\n", " <td>28.0</td>\n", " <td>30.0</td>\n", " </tr>\n", " <tr>\n", " <th>83</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>...</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>36.0</td>\n", " <td>15.0</td>\n", " <td>16.0</td>\n", " <td>17.0</td>\n", " <td>22.0</td>\n", " <td>41.0</td>\n", " </tr>\n", " <tr>\n", " <th>84</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>...</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>33.0</td>\n", " <td>32.0</td>\n", " <td>31.0</td>\n", " <td>27.0</td>\n", " <td>49.0</td>\n", " <td>60.0</td>\n", " </tr>\n", " <tr>\n", " <th>85</th>\n", " <td>0.0</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>8.0</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>None</td>\n", " <td>None</td>\n", " <td>None</td>\n", " <td>None</td>\n", " <td>37.0</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>86</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>...</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>24.0</td>\n", " <td>29.0</td>\n", " <td>18.0</td>\n", " <td>20.0</td>\n", " <td>25.0</td>\n", " <td>41.0</td>\n", " </tr>\n", " <tr>\n", " <th>87</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>...</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>42.0</td>\n", " <td>23.0</td>\n", " <td>30.0</td>\n", " <td>36.0</td>\n", " <td>41.0</td>\n", " <td>43.0</td>\n", " </tr>\n", " <tr>\n", " <th>88</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>...</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>30.0</td>\n", " <td>22.0</td>\n", " <td>21.0</td>\n", " <td>25.0</td>\n", " <td>26.0</td>\n", " <td>33.0</td>\n", " </tr>\n", " <tr>\n", " <th>89</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>...</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>42.0</td>\n", " <td>46.0</td>\n", " <td>41.0</td>\n", " <td>43.0</td>\n", " <td>49.0</td>\n", " <td>54.0</td>\n", " </tr>\n", " <tr>\n", " <th>90</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>...</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>49.0</td>\n", " <td>25.0</td>\n", " <td>30.0</td>\n", " <td>49.0</td>\n", " <td>55.0</td>\n", " <td>58.0</td>\n", " </tr>\n", " <tr>\n", " <th>91</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>...</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>58.0</td>\n", " <td>46.0</td>\n", " <td>46.0</td>\n", " <td>50.0</td>\n", " <td>56.0</td>\n", " <td>60.0</td>\n", " </tr>\n", " <tr>\n", " <th>92</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>...</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>26.0</td>\n", " <td>26.0</td>\n", " <td>27.0</td>\n", " <td>22.0</td>\n", " <td>38.0</td>\n", " <td>35.0</td>\n", " </tr>\n", " <tr>\n", " <th>93</th>\n", " <td>0.0</td>\n", " <td>NaN</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>8.0</td>\n", " <td>NaN</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>...</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>37.0</td>\n", " <td>NaN</td>\n", " <td>23.0</td>\n", " <td>18.0</td>\n", " <td>34.0</td>\n", " <td>36.0</td>\n", " </tr>\n", " <tr>\n", " <th>94</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>NaN</td>\n", " <td>16.0</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>...</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>None</td>\n", " <td>M</td>\n", " <td>40.0</td>\n", " <td>24.0</td>\n", " <td>25.0</td>\n", " <td>37.0</td>\n", " <td>NaN</td>\n", " <td>38.0</td>\n", " </tr>\n", " <tr>\n", " <th>95</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>...</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>33.0</td>\n", " <td>10.0</td>\n", " <td>13.0</td>\n", " <td>16.0</td>\n", " <td>32.0</td>\n", " <td>16.0</td>\n", " </tr>\n", " <tr>\n", " <th>96</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>...</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>41.0</td>\n", " <td>50.0</td>\n", " <td>22.0</td>\n", " <td>28.0</td>\n", " <td>34.0</td>\n", " <td>36.0</td>\n", " </tr>\n", " <tr>\n", " <th>97</th>\n", " <td>0.0</td>\n", " <td>NaN</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>8.0</td>\n", " <td>NaN</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>...</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>46.0</td>\n", " <td>NaN</td>\n", " <td>41.0</td>\n", " <td>41.0</td>\n", " <td>58.0</td>\n", " <td>53.0</td>\n", " </tr>\n", " <tr>\n", " <th>98</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>8.0</td>\n", " <td>...</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>40.0</td>\n", " <td>28.0</td>\n", " <td>29.0</td>\n", " <td>30.0</td>\n", " <td>37.0</td>\n", " <td>44.0</td>\n", " </tr>\n", " <tr>\n", " <th>99</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>9.0</td>\n", " <td>9.0</td>\n", " <td>9.0</td>\n", " <td>9.0</td>\n", " <td>...</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>40.0</td>\n", " <td>16.0</td>\n", " <td>18.0</td>\n", " <td>25.0</td>\n", " <td>33.0</td>\n", " <td>48.0</td>\n", " </tr>\n", " <tr>\n", " <th>100</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>9.0</td>\n", " <td>9.0</td>\n", " <td>9.0</td>\n", " <td>9.0</td>\n", " <td>...</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>61.0</td>\n", " <td>52.0</td>\n", " <td>61.0</td>\n", " <td>68.0</td>\n", " <td>59.0</td>\n", " <td>71.0</td>\n", " </tr>\n", " <tr>\n", " <th>101</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>9.0</td>\n", " <td>9.0</td>\n", " <td>9.0</td>\n", " <td>9.0</td>\n", " <td>...</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>35.0</td>\n", " <td>21.0</td>\n", " <td>29.0</td>\n", " <td>30.0</td>\n", " <td>35.0</td>\n", " <td>48.0</td>\n", " </tr>\n", " <tr>\n", " <th>102</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>9.0</td>\n", " <td>9.0</td>\n", " <td>9.0</td>\n", " <td>9.0</td>\n", " <td>...</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>58.0</td>\n", " <td>38.0</td>\n", " <td>50.0</td>\n", " <td>53.0</td>\n", " <td>47.0</td>\n", " <td>59.0</td>\n", " </tr>\n", " <tr>\n", " <th>103</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>NaN</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>9.0</td>\n", " <td>9.0</td>\n", " <td>9.0</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>F</td>\n", " <td>None</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>49.0</td>\n", " <td>45.0</td>\n", " <td>36.0</td>\n", " <td>NaN</td>\n", " <td>40.0</td>\n", " <td>52.0</td>\n", " </tr>\n", " <tr>\n", " <th>104</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>NaN</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>9.0</td>\n", " <td>9.0</td>\n", " <td>9.0</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>F</td>\n", " <td>None</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>52.0</td>\n", " <td>46.0</td>\n", " <td>36.0</td>\n", " <td>NaN</td>\n", " <td>45.0</td>\n", " <td>54.0</td>\n", " </tr>\n", " <tr>\n", " <th>105</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>9.0</td>\n", " <td>9.0</td>\n", " <td>9.0</td>\n", " <td>9.0</td>\n", " <td>...</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>45.0</td>\n", " <td>46.0</td>\n", " <td>33.0</td>\n", " <td>44.0</td>\n", " <td>46.0</td>\n", " <td>48.0</td>\n", " </tr>\n", " <tr>\n", " <th>106</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>9.0</td>\n", " <td>9.0</td>\n", " <td>9.0</td>\n", " <td>9.0</td>\n", " <td>...</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>67.0</td>\n", " <td>63.0</td>\n", " <td>71.0</td>\n", " <td>66.0</td>\n", " <td>68.0</td>\n", " <td>71.0</td>\n", " </tr>\n", " <tr>\n", " <th>107</th>\n", " <td>0.0</td>\n", " <td>NaN</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>NaN</td>\n", " <td>16.0</td>\n", " <td>9.0</td>\n", " <td>NaN</td>\n", " <td>9.0</td>\n", " <td>9.0</td>\n", " <td>...</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>None</td>\n", " <td>M</td>\n", " <td>57.0</td>\n", " <td>NaN</td>\n", " <td>36.0</td>\n", " <td>23.0</td>\n", " <td>NaN</td>\n", " <td>52.0</td>\n", " </tr>\n", " <tr>\n", " <th>108</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>4.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>9.0</td>\n", " <td>9.0</td>\n", " <td>9.0</td>\n", " <td>9.0</td>\n", " <td>...</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>F</td>\n", " <td>63.0</td>\n", " <td>51.0</td>\n", " <td>46.0</td>\n", " <td>50.0</td>\n", " <td>50.0</td>\n", " <td>54.0</td>\n", " </tr>\n", " <tr>\n", " <th>109</th>\n", " <td>0.0</td>\n", " <td>2.0</td>\n", " <td>NaN</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>16.0</td>\n", " <td>9.0</td>\n", " <td>9.0</td>\n", " <td>NaN</td>\n", " <td>9.0</td>\n", " <td>...</td>\n", " <td>None</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>M</td>\n", " <td>53.0</td>\n", " <td>38.0</td>\n", " <td>NaN</td>\n", " <td>33.0</td>\n", " <td>36.0</td>\n", " <td>51.0</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "<p>109 rows × 42 columns</p>\n", "</div>" ], "text/plain": [ " week site ... sex \\\n", "obs 1 2 3 4 5 6 1 2 3 4 ... 3 4 \n", "patient ... \n", "1 0.0 2.0 4.0 8.0 12.0 16.0 1.0 1.0 1.0 1.0 ... F F \n", "2 0.0 2.0 4.0 8.0 12.0 16.0 1.0 1.0 1.0 1.0 ... F F \n", "3 0.0 2.0 4.0 8.0 12.0 16.0 1.0 1.0 1.0 1.0 ... F F \n", "4 0.0 2.0 4.0 8.0 NaN NaN 1.0 1.0 1.0 1.0 ... F F \n", "5 0.0 2.0 4.0 8.0 12.0 16.0 1.0 1.0 1.0 1.0 ... F F \n", "6 0.0 2.0 4.0 8.0 12.0 16.0 1.0 1.0 1.0 1.0 ... F F \n", "7 0.0 2.0 4.0 8.0 12.0 16.0 1.0 1.0 1.0 1.0 ... M M \n", "8 0.0 2.0 4.0 8.0 12.0 16.0 1.0 1.0 1.0 1.0 ... M M \n", "9 0.0 2.0 4.0 8.0 12.0 16.0 1.0 1.0 1.0 1.0 ... F F \n", "10 0.0 2.0 4.0 8.0 12.0 16.0 1.0 1.0 1.0 1.0 ... M M \n", "11 0.0 2.0 4.0 8.0 12.0 16.0 1.0 1.0 1.0 1.0 ... F F \n", "12 0.0 2.0 4.0 8.0 12.0 16.0 1.0 1.0 1.0 1.0 ... F F \n", "13 0.0 2.0 4.0 8.0 12.0 16.0 2.0 2.0 2.0 2.0 ... F F \n", "14 0.0 2.0 4.0 8.0 12.0 16.0 2.0 2.0 2.0 2.0 ... F F \n", "15 0.0 2.0 4.0 8.0 12.0 16.0 2.0 2.0 2.0 2.0 ... F F \n", "16 0.0 2.0 4.0 8.0 12.0 16.0 2.0 2.0 2.0 2.0 ... M M \n", "17 0.0 2.0 4.0 8.0 12.0 16.0 2.0 2.0 2.0 2.0 ... F F \n", "18 0.0 2.0 4.0 8.0 12.0 16.0 2.0 2.0 2.0 2.0 ... F F \n", "19 0.0 2.0 4.0 8.0 12.0 16.0 2.0 2.0 2.0 2.0 ... F F \n", "20 0.0 2.0 4.0 8.0 12.0 16.0 2.0 2.0 2.0 2.0 ... F F \n", "21 0.0 2.0 4.0 8.0 12.0 16.0 2.0 2.0 2.0 2.0 ... F F \n", "22 0.0 2.0 4.0 8.0 12.0 16.0 2.0 2.0 2.0 2.0 ... M M \n", "23 0.0 2.0 4.0 NaN 12.0 16.0 2.0 2.0 2.0 NaN ... F None \n", "24 0.0 2.0 4.0 8.0 12.0 16.0 2.0 2.0 2.0 2.0 ... M M \n", "25 0.0 2.0 4.0 8.0 12.0 16.0 2.0 2.0 2.0 2.0 ... M M \n", "26 0.0 NaN 4.0 8.0 12.0 16.0 2.0 NaN 2.0 2.0 ... M M \n", "27 0.0 2.0 4.0 8.0 12.0 16.0 3.0 3.0 3.0 3.0 ... F F \n", "28 0.0 2.0 4.0 8.0 12.0 16.0 3.0 3.0 3.0 3.0 ... F F \n", "29 0.0 2.0 4.0 8.0 12.0 16.0 3.0 3.0 3.0 3.0 ... M M \n", "30 0.0 2.0 4.0 8.0 12.0 16.0 3.0 3.0 3.0 3.0 ... F F \n", "... ... ... ... ... ... ... ... ... ... ... ... ... ... \n", "80 0.0 2.0 4.0 8.0 12.0 16.0 8.0 8.0 8.0 8.0 ... F F \n", "81 0.0 2.0 4.0 8.0 12.0 16.0 8.0 8.0 8.0 8.0 ... M M \n", "82 0.0 2.0 4.0 8.0 12.0 16.0 8.0 8.0 8.0 8.0 ... M M \n", "83 0.0 2.0 4.0 8.0 12.0 16.0 8.0 8.0 8.0 8.0 ... F F \n", "84 0.0 2.0 4.0 8.0 12.0 16.0 8.0 8.0 8.0 8.0 ... M M \n", "85 0.0 NaN NaN NaN NaN NaN 8.0 NaN NaN NaN ... None None \n", "86 0.0 2.0 4.0 8.0 12.0 16.0 8.0 8.0 8.0 8.0 ... F F \n", "87 0.0 2.0 4.0 8.0 12.0 16.0 8.0 8.0 8.0 8.0 ... M M \n", "88 0.0 2.0 4.0 8.0 12.0 16.0 8.0 8.0 8.0 8.0 ... M M \n", "89 0.0 2.0 4.0 8.0 12.0 16.0 8.0 8.0 8.0 8.0 ... F F \n", "90 0.0 2.0 4.0 8.0 12.0 16.0 8.0 8.0 8.0 8.0 ... F F \n", "91 0.0 2.0 4.0 8.0 12.0 16.0 8.0 8.0 8.0 8.0 ... M M \n", "92 0.0 2.0 4.0 8.0 12.0 16.0 8.0 8.0 8.0 8.0 ... M M \n", "93 0.0 NaN 4.0 8.0 12.0 16.0 8.0 NaN 8.0 8.0 ... M M \n", "94 0.0 2.0 4.0 8.0 NaN 16.0 8.0 8.0 8.0 8.0 ... M M \n", "95 0.0 2.0 4.0 8.0 12.0 16.0 8.0 8.0 8.0 8.0 ... F F \n", "96 0.0 2.0 4.0 8.0 12.0 16.0 8.0 8.0 8.0 8.0 ... F F \n", "97 0.0 NaN 4.0 8.0 12.0 16.0 8.0 NaN 8.0 8.0 ... M M \n", "98 0.0 2.0 4.0 8.0 12.0 16.0 8.0 8.0 8.0 8.0 ... F F \n", "99 0.0 2.0 4.0 8.0 12.0 16.0 9.0 9.0 9.0 9.0 ... M M \n", "100 0.0 2.0 4.0 8.0 12.0 16.0 9.0 9.0 9.0 9.0 ... M M \n", "101 0.0 2.0 4.0 8.0 12.0 16.0 9.0 9.0 9.0 9.0 ... M M \n", "102 0.0 2.0 4.0 8.0 12.0 16.0 9.0 9.0 9.0 9.0 ... F F \n", "103 0.0 2.0 4.0 NaN 12.0 16.0 9.0 9.0 9.0 NaN ... F None \n", "104 0.0 2.0 4.0 NaN 12.0 16.0 9.0 9.0 9.0 NaN ... F None \n", "105 0.0 2.0 4.0 8.0 12.0 16.0 9.0 9.0 9.0 9.0 ... F F \n", "106 0.0 2.0 4.0 8.0 12.0 16.0 9.0 9.0 9.0 9.0 ... M M \n", "107 0.0 NaN 4.0 8.0 NaN 16.0 9.0 NaN 9.0 9.0 ... M M \n", "108 0.0 2.0 4.0 8.0 12.0 16.0 9.0 9.0 9.0 9.0 ... F F \n", "109 0.0 2.0 NaN 8.0 12.0 16.0 9.0 9.0 NaN 9.0 ... None M \n", "\n", " twstrs \n", "obs 5 6 1 2 3 4 5 6 \n", "patient \n", "1 F F 32.0 30.0 24.0 37.0 39.0 36.0 \n", "2 F F 60.0 26.0 27.0 41.0 65.0 67.0 \n", "3 F F 44.0 20.0 23.0 26.0 35.0 35.0 \n", "4 None None 53.0 61.0 64.0 62.0 NaN NaN \n", "5 F F 53.0 35.0 48.0 49.0 41.0 51.0 \n", "6 F F 49.0 34.0 43.0 48.0 48.0 51.0 \n", "7 M M 42.0 32.0 32.0 43.0 42.0 46.0 \n", "8 M M 34.0 33.0 21.0 27.0 32.0 38.0 \n", "9 F F 41.0 32.0 34.0 35.0 37.0 36.0 \n", "10 M M 27.0 10.0 31.0 32.0 6.0 14.0 \n", "11 F F 48.0 41.0 32.0 35.0 57.0 51.0 \n", "12 F F 34.0 19.0 21.0 24.0 28.0 28.0 \n", "13 F F 49.0 47.0 44.0 48.0 44.0 44.0 \n", "14 F F 46.0 35.0 45.0 49.0 53.0 56.0 \n", "15 F F 56.0 44.0 48.0 54.0 49.0 60.0 \n", "16 M M 59.0 48.0 56.0 55.0 57.0 58.0 \n", "17 F F 62.0 60.0 60.0 64.0 67.0 66.0 \n", "18 F F 50.0 53.0 52.0 57.0 61.0 54.0 \n", "19 F F 42.0 42.0 43.0 33.0 37.0 43.0 \n", "20 F F 53.0 56.0 52.0 54.0 55.0 51.0 \n", "21 F F 67.0 64.0 65.0 64.0 62.0 64.0 \n", "22 M M 44.0 40.0 32.0 36.0 42.0 43.0 \n", "23 F F 65.0 58.0 55.0 NaN 56.0 60.0 \n", "24 M M 56.0 54.0 52.0 48.0 52.0 53.0 \n", "25 M M 30.0 33.0 25.0 29.0 32.0 32.0 \n", "26 M M 47.0 NaN 54.0 43.0 46.0 50.0 \n", "27 F F 50.0 43.0 51.0 46.0 49.0 53.0 \n", "28 F F 34.0 29.0 27.0 21.0 22.0 22.0 \n", "29 M M 39.0 41.0 33.0 39.0 37.0 37.0 \n", "30 F F 43.0 31.0 29.0 28.0 33.0 38.0 \n", "... ... ... ... ... ... ... ... ... \n", "80 F F 46.0 39.0 25.0 15.0 21.0 25.0 \n", "81 M M 41.0 30.0 44.0 46.0 46.0 44.0 \n", "82 M M 33.0 27.0 25.0 30.0 28.0 30.0 \n", "83 F F 36.0 15.0 16.0 17.0 22.0 41.0 \n", "84 M M 33.0 32.0 31.0 27.0 49.0 60.0 \n", "85 None None 37.0 NaN NaN NaN NaN NaN \n", "86 F F 24.0 29.0 18.0 20.0 25.0 41.0 \n", "87 M M 42.0 23.0 30.0 36.0 41.0 43.0 \n", "88 M M 30.0 22.0 21.0 25.0 26.0 33.0 \n", "89 F F 42.0 46.0 41.0 43.0 49.0 54.0 \n", "90 F F 49.0 25.0 30.0 49.0 55.0 58.0 \n", "91 M M 58.0 46.0 46.0 50.0 56.0 60.0 \n", "92 M M 26.0 26.0 27.0 22.0 38.0 35.0 \n", "93 M M 37.0 NaN 23.0 18.0 34.0 36.0 \n", "94 None M 40.0 24.0 25.0 37.0 NaN 38.0 \n", "95 F F 33.0 10.0 13.0 16.0 32.0 16.0 \n", "96 F F 41.0 50.0 22.0 28.0 34.0 36.0 \n", "97 M M 46.0 NaN 41.0 41.0 58.0 53.0 \n", "98 F F 40.0 28.0 29.0 30.0 37.0 44.0 \n", "99 M M 40.0 16.0 18.0 25.0 33.0 48.0 \n", "100 M M 61.0 52.0 61.0 68.0 59.0 71.0 \n", "101 M M 35.0 21.0 29.0 30.0 35.0 48.0 \n", "102 F F 58.0 38.0 50.0 53.0 47.0 59.0 \n", "103 F F 49.0 45.0 36.0 NaN 40.0 52.0 \n", "104 F F 52.0 46.0 36.0 NaN 45.0 54.0 \n", "105 F F 45.0 46.0 33.0 44.0 46.0 48.0 \n", "106 M M 67.0 63.0 71.0 66.0 68.0 71.0 \n", "107 None M 57.0 NaN 36.0 23.0 NaN 52.0 \n", "108 F F 63.0 51.0 46.0 50.0 50.0 54.0 \n", "109 M M 53.0 38.0 NaN 33.0 36.0 51.0 \n", "\n", "[109 rows x 42 columns]" ] }, "execution_count": 73, "metadata": {}, "output_type": "execute_result" } ], "source": [ "cdystonia.pivot('patient', 'obs')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "A related method, `pivot_table`, creates a spreadsheet-like table with a hierarchical index, and allows the values of the table to be populated using an arbitrary aggregation function." ] }, { "cell_type": "code", "execution_count": 74, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>week</th>\n", " <th>0</th>\n", " <th>2</th>\n", " <th>4</th>\n", " <th>8</th>\n", " <th>12</th>\n", " <th>16</th>\n", " </tr>\n", " <tr>\n", " <th>site</th>\n", " <th>treat</th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th rowspan=\"3\" valign=\"top\">1</th>\n", " <th>10000U</th>\n", " <td>60</td>\n", " <td>41</td>\n", " <td>48</td>\n", " <td>49</td>\n", " <td>65</td>\n", " <td>67</td>\n", " </tr>\n", " <tr>\n", " <th>5000U</th>\n", " <td>44</td>\n", " <td>32</td>\n", " <td>34</td>\n", " <td>43</td>\n", " <td>42</td>\n", " <td>46</td>\n", " </tr>\n", " <tr>\n", " <th>Placebo</th>\n", " <td>53</td>\n", " <td>61</td>\n", " <td>64</td>\n", " <td>62</td>\n", " <td>32</td>\n", " <td>38</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"3\" valign=\"top\">2</th>\n", " <th>10000U</th>\n", " <td>65</td>\n", " <td>60</td>\n", " <td>60</td>\n", " <td>64</td>\n", " <td>67</td>\n", " <td>66</td>\n", " </tr>\n", " <tr>\n", " <th>5000U</th>\n", " <td>67</td>\n", " <td>64</td>\n", " <td>65</td>\n", " <td>64</td>\n", " <td>62</td>\n", " <td>64</td>\n", " </tr>\n", " <tr>\n", " <th>Placebo</th>\n", " <td>53</td>\n", " <td>56</td>\n", " <td>52</td>\n", " <td>57</td>\n", " <td>61</td>\n", " <td>54</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"3\" valign=\"top\">3</th>\n", " <th>10000U</th>\n", " <td>50</td>\n", " <td>43</td>\n", " <td>51</td>\n", " <td>46</td>\n", " <td>49</td>\n", " <td>56</td>\n", " </tr>\n", " <tr>\n", " <th>5000U</th>\n", " <td>52</td>\n", " <td>44</td>\n", " <td>47</td>\n", " <td>50</td>\n", " <td>50</td>\n", " <td>49</td>\n", " </tr>\n", " <tr>\n", " <th>Placebo</th>\n", " <td>43</td>\n", " <td>38</td>\n", " <td>40</td>\n", " <td>48</td>\n", " <td>49</td>\n", " <td>44</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"3\" valign=\"top\">4</th>\n", " <th>10000U</th>\n", " <td>54</td>\n", " <td>52</td>\n", " <td>52</td>\n", " <td>54</td>\n", " <td>51</td>\n", " <td>57</td>\n", " </tr>\n", " <tr>\n", " <th>5000U</th>\n", " <td>52</td>\n", " <td>34</td>\n", " <td>43</td>\n", " <td>45</td>\n", " <td>47</td>\n", " <td>46</td>\n", " </tr>\n", " <tr>\n", " <th>Placebo</th>\n", " <td>52</td>\n", " <td>55</td>\n", " <td>51</td>\n", " <td>52</td>\n", " <td>54</td>\n", " <td>57</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"3\" valign=\"top\">5</th>\n", " <th>10000U</th>\n", " <td>50</td>\n", " <td>50</td>\n", " <td>32</td>\n", " <td>46</td>\n", " <td>54</td>\n", " <td>57</td>\n", " </tr>\n", " <tr>\n", " <th>5000U</th>\n", " <td>60</td>\n", " <td>53</td>\n", " <td>55</td>\n", " <td>62</td>\n", " <td>67</td>\n", " <td>26</td>\n", " </tr>\n", " <tr>\n", " <th>Placebo</th>\n", " <td>60</td>\n", " <td>57</td>\n", " <td>53</td>\n", " <td>52</td>\n", " <td>53</td>\n", " <td>58</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"3\" valign=\"top\">6</th>\n", " <th>10000U</th>\n", " <td>55</td>\n", " <td>56</td>\n", " <td>47</td>\n", " <td>53</td>\n", " <td>51</td>\n", " <td>51</td>\n", " </tr>\n", " <tr>\n", " <th>5000U</th>\n", " <td>59</td>\n", " <td>55</td>\n", " <td>50</td>\n", " <td>56</td>\n", " <td>59</td>\n", " <td>53</td>\n", " </tr>\n", " <tr>\n", " <th>Placebo</th>\n", " <td>54</td>\n", " <td>53</td>\n", " <td>51</td>\n", " <td>57</td>\n", " <td>57</td>\n", " <td>57</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"2\" valign=\"top\">7</th>\n", " <th>10000U</th>\n", " <td>53</td>\n", " <td>47</td>\n", " <td>45</td>\n", " <td>45</td>\n", " <td>50</td>\n", " <td>53</td>\n", " </tr>\n", " <tr>\n", " <th>5000U</th>\n", " <td>53</td>\n", " <td>45</td>\n", " <td>52</td>\n", " <td>51</td>\n", " <td>52</td>\n", " <td>53</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ "week 0 2 4 8 12 16\n", "site treat \n", "1 10000U 60 41 48 49 65 67\n", " 5000U 44 32 34 43 42 46\n", " Placebo 53 61 64 62 32 38\n", "2 10000U 65 60 60 64 67 66\n", " 5000U 67 64 65 64 62 64\n", " Placebo 53 56 52 57 61 54\n", "3 10000U 50 43 51 46 49 56\n", " 5000U 52 44 47 50 50 49\n", " Placebo 43 38 40 48 49 44\n", "4 10000U 54 52 52 54 51 57\n", " 5000U 52 34 43 45 47 46\n", " Placebo 52 55 51 52 54 57\n", "5 10000U 50 50 32 46 54 57\n", " 5000U 60 53 55 62 67 26\n", " Placebo 60 57 53 52 53 58\n", "6 10000U 55 56 47 53 51 51\n", " 5000U 59 55 50 56 59 53\n", " Placebo 54 53 51 57 57 57\n", "7 10000U 53 47 45 45 50 53\n", " 5000U 53 45 52 51 52 53" ] }, "execution_count": 74, "metadata": {}, "output_type": "execute_result" } ], "source": [ "cdystonia.pivot_table(index=['site', 'treat'], columns='week', values='twstrs', \n", " aggfunc=max).head(20)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "For a simple cross-tabulation of group frequencies, the `crosstab` function (not a method) aggregates counts of data according to factors in rows and columns. The factors may be hierarchical if desired." ] }, { "cell_type": "code", "execution_count": 75, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th>site</th>\n", " <th>1</th>\n", " <th>2</th>\n", " <th>3</th>\n", " <th>4</th>\n", " <th>5</th>\n", " <th>6</th>\n", " <th>7</th>\n", " <th>8</th>\n", " <th>9</th>\n", " </tr>\n", " <tr>\n", " <th>sex</th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>F</th>\n", " <td>52</td>\n", " <td>53</td>\n", " <td>42</td>\n", " <td>30</td>\n", " <td>22</td>\n", " <td>54</td>\n", " <td>66</td>\n", " <td>48</td>\n", " <td>28</td>\n", " </tr>\n", " <tr>\n", " <th>M</th>\n", " <td>18</td>\n", " <td>29</td>\n", " <td>30</td>\n", " <td>18</td>\n", " <td>11</td>\n", " <td>33</td>\n", " <td>6</td>\n", " <td>58</td>\n", " <td>33</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ "site 1 2 3 4 5 6 7 8 9\n", "sex \n", "F 52 53 42 30 22 54 66 48 28\n", "M 18 29 30 18 11 33 6 58 33" ] }, "execution_count": 75, "metadata": {}, "output_type": "execute_result" } ], "source": [ "pd.crosstab(cdystonia.sex, cdystonia.site)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Data transformation\n", "\n", "There are a slew of additional operations for DataFrames that we would collectively refer to as \"transformations\" which include tasks such as removing duplicate values, replacing values, and grouping values." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Dealing with duplicates\n", "\n", "We can easily identify and remove duplicate values from `DataFrame` objects. For example, say we want to removed ships from our `vessels` dataset that have the same name:" ] }, { "cell_type": "code", "execution_count": 76, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "mmsi\n", "1 False\n", "9 False\n", "21 False\n", "74 False\n", "103 False\n", "310 False\n", "3011 False\n", "4731 False\n", "15151 False\n", "46809 False\n", "80404 False\n", "82003 False\n", "298716 False\n", "366235 False\n", "439541 False\n", "453556 False\n", "505843 False\n", "527918 False\n", "565026 False\n", "572329 False\n", "587370 False\n", "641114 False\n", "642262 False\n", "693559 False\n", "883085 True\n", "1193046 False\n", "1193946 False\n", "1233916 False\n", "1239468 False\n", "3041300 False\n", " ... \n", "720728000 True\n", "720754000 False\n", "720768000 False\n", "725004700 False\n", "725005560 False\n", "725005570 False\n", "725011300 True\n", "725018300 False\n", "725019006 True\n", "725021000 False\n", "725022000 False\n", "730000161 False\n", "730010001 False\n", "730026000 False\n", "730031000 False\n", "735057548 False\n", "735059037 False\n", "760101000 False\n", "770576100 False\n", "812719000 False\n", "857632392 False\n", "866946820 True\n", "888888882 True\n", "888888888 False\n", "900000000 False\n", "919191919 False\n", "967191190 True\n", "975318642 True\n", "987654321 False\n", "999999999 True\n", "dtype: bool" ] }, "execution_count": 76, "metadata": {}, "output_type": "execute_result" } ], "source": [ "vessels.duplicated(subset='names')" ] }, { "cell_type": "code", "execution_count": 77, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>num_names</th>\n", " <th>names</th>\n", " <th>sov</th>\n", " <th>flag</th>\n", " <th>flag_type</th>\n", " <th>num_loas</th>\n", " <th>loa</th>\n", " <th>max_loa</th>\n", " <th>num_types</th>\n", " <th>type</th>\n", " </tr>\n", " <tr>\n", " <th>mmsi</th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>1</th>\n", " <td>8</td>\n", " <td>Bil Holman Dredge/Dredge Capt Frank/Emo/Offsho...</td>\n", " <td>Y</td>\n", " <td>Unknown</td>\n", " <td>Unknown</td>\n", " <td>7</td>\n", " <td>42.0/48.0/57.0/90.0/138.0/154.0/156.0</td>\n", " <td>156.0</td>\n", " <td>4</td>\n", " <td>Dredging/MilOps/Reserved/Towing</td>\n", " </tr>\n", " <tr>\n", " <th>9</th>\n", " <td>3</td>\n", " <td>000000009/Raven/Shearwater</td>\n", " <td>N</td>\n", " <td>Unknown</td>\n", " <td>Unknown</td>\n", " <td>2</td>\n", " <td>50.0/62.0</td>\n", " <td>62.0</td>\n", " <td>2</td>\n", " <td>Pleasure/Tug</td>\n", " </tr>\n", " <tr>\n", " <th>21</th>\n", " <td>1</td>\n", " <td>Us Gov Vessel</td>\n", " <td>Y</td>\n", " <td>Unknown</td>\n", " <td>Unknown</td>\n", " <td>1</td>\n", " <td>208.0</td>\n", " <td>208.0</td>\n", " <td>1</td>\n", " <td>Unknown</td>\n", " </tr>\n", " <tr>\n", " <th>74</th>\n", " <td>2</td>\n", " <td>Mcfaul/Sarah Bell</td>\n", " <td>N</td>\n", " <td>Unknown</td>\n", " <td>Unknown</td>\n", " <td>1</td>\n", " <td>155.0</td>\n", " <td>155.0</td>\n", " <td>1</td>\n", " <td>Unknown</td>\n", " </tr>\n", " <tr>\n", " <th>103</th>\n", " <td>3</td>\n", " <td>Ron G/Us Navy Warship 103/Us Warship 103</td>\n", " <td>Y</td>\n", " <td>Unknown</td>\n", " <td>Unknown</td>\n", " <td>2</td>\n", " <td>26.0/155.0</td>\n", " <td>155.0</td>\n", " <td>2</td>\n", " <td>Tanker/Unknown</td>\n", " </tr>\n", " <tr>\n", " <th>310</th>\n", " <td>1</td>\n", " <td>Arabella</td>\n", " <td>N</td>\n", " <td>Bermuda</td>\n", " <td>Foreign</td>\n", " <td>1</td>\n", " <td>47.0</td>\n", " <td>47.0</td>\n", " <td>1</td>\n", " <td>Unknown</td>\n", " </tr>\n", " <tr>\n", " <th>3011</th>\n", " <td>1</td>\n", " <td>Charleston</td>\n", " <td>N</td>\n", " <td>Anguilla</td>\n", " <td>Foreign</td>\n", " <td>1</td>\n", " <td>160.0</td>\n", " <td>160.0</td>\n", " <td>1</td>\n", " <td>Other</td>\n", " </tr>\n", " <tr>\n", " <th>4731</th>\n", " <td>1</td>\n", " <td>000004731</td>\n", " <td>N</td>\n", " <td>Yemen (Republic of)</td>\n", " <td>Foreign</td>\n", " <td>1</td>\n", " <td>30.0</td>\n", " <td>30.0</td>\n", " <td>1</td>\n", " <td>Unknown</td>\n", " </tr>\n", " <tr>\n", " <th>15151</th>\n", " <td>2</td>\n", " <td>R L Enterkin/Us Vessel</td>\n", " <td>N</td>\n", " <td>Unknown</td>\n", " <td>Unknown</td>\n", " <td>2</td>\n", " <td>60.0/175.0</td>\n", " <td>175.0</td>\n", " <td>1</td>\n", " <td>Tug</td>\n", " </tr>\n", " <tr>\n", " <th>46809</th>\n", " <td>1</td>\n", " <td>Island Trader</td>\n", " <td>N</td>\n", " <td>Syrian Arab Republic</td>\n", " <td>Foreign</td>\n", " <td>1</td>\n", " <td>22.0</td>\n", " <td>22.0</td>\n", " <td>1</td>\n", " <td>Towing</td>\n", " </tr>\n", " <tr>\n", " <th>80404</th>\n", " <td>1</td>\n", " <td>Donnamarie</td>\n", " <td>N</td>\n", " <td>Unknown</td>\n", " <td>Unknown</td>\n", " <td>1</td>\n", " <td>29.0</td>\n", " <td>29.0</td>\n", " <td>1</td>\n", " <td>Pleasure</td>\n", " </tr>\n", " <tr>\n", " <th>82003</th>\n", " <td>1</td>\n", " <td>Alexis</td>\n", " <td>N</td>\n", " <td>Unknown</td>\n", " <td>Unknown</td>\n", " <td>1</td>\n", " <td>29.0</td>\n", " <td>29.0</td>\n", " <td>2</td>\n", " <td>Fishing/Pleasure</td>\n", " </tr>\n", " <tr>\n", " <th>298716</th>\n", " <td>1</td>\n", " <td>Mitchel</td>\n", " <td>N</td>\n", " <td>Unknown</td>\n", " <td>Unknown</td>\n", " <td>1</td>\n", " <td>35.0</td>\n", " <td>35.0</td>\n", " <td>1</td>\n", " <td>Towing</td>\n", " </tr>\n", " <tr>\n", " <th>366235</th>\n", " <td>1</td>\n", " <td>Cape Domingo</td>\n", " <td>N</td>\n", " <td>United States of America</td>\n", " <td>Domestic</td>\n", " <td>1</td>\n", " <td>207.0</td>\n", " <td>207.0</td>\n", " <td>1</td>\n", " <td>Cargo</td>\n", " </tr>\n", " <tr>\n", " <th>439541</th>\n", " <td>2</td>\n", " <td>Canadian Warship 711/L3</td>\n", " <td>Y</td>\n", " <td>Unknown</td>\n", " <td>Unknown</td>\n", " <td>2</td>\n", " <td>0.0/55.0</td>\n", " <td>55.0</td>\n", " <td>2</td>\n", " <td>MilOps/Unknown</td>\n", " </tr>\n", " <tr>\n", " <th>453556</th>\n", " <td>1</td>\n", " <td>Us Govt Vessel</td>\n", " <td>N</td>\n", " <td>Unknown</td>\n", " <td>Unknown</td>\n", " <td>1</td>\n", " <td>208.0</td>\n", " <td>208.0</td>\n", " <td>1</td>\n", " <td>Unknown</td>\n", " </tr>\n", " <tr>\n", " <th>505843</th>\n", " <td>1</td>\n", " <td>I.w.haile</td>\n", " <td>N</td>\n", " <td>Unknown</td>\n", " <td>Unknown</td>\n", " <td>1</td>\n", " <td>20.0</td>\n", " <td>20.0</td>\n", " <td>1</td>\n", " <td>WIG</td>\n", " </tr>\n", " <tr>\n", " <th>527918</th>\n", " <td>1</td>\n", " <td>Salvage Master</td>\n", " <td>N</td>\n", " <td>Unknown</td>\n", " <td>Unknown</td>\n", " <td>1</td>\n", " <td>20.0</td>\n", " <td>20.0</td>\n", " <td>1</td>\n", " <td>Fishing</td>\n", " </tr>\n", " <tr>\n", " <th>565026</th>\n", " <td>1</td>\n", " <td>Honcho</td>\n", " <td>N</td>\n", " <td>Singapore (Republic of)</td>\n", " <td>Foreign</td>\n", " <td>1</td>\n", " <td>32.0</td>\n", " <td>32.0</td>\n", " <td>1</td>\n", " <td>Towing</td>\n", " </tr>\n", " <tr>\n", " <th>572329</th>\n", " <td>1</td>\n", " <td>Alexandra</td>\n", " <td>N</td>\n", " <td>Tuvalu</td>\n", " <td>Foreign</td>\n", " <td>1</td>\n", " <td>40.0</td>\n", " <td>40.0</td>\n", " <td>1</td>\n", " <td>BigTow</td>\n", " </tr>\n", " <tr>\n", " <th>587370</th>\n", " <td>1</td>\n", " <td>Dorothy Moran</td>\n", " <td>N</td>\n", " <td>Unknown</td>\n", " <td>Unknown</td>\n", " <td>1</td>\n", " <td>33.0</td>\n", " <td>33.0</td>\n", " <td>1</td>\n", " <td>Tug</td>\n", " </tr>\n", " <tr>\n", " <th>641114</th>\n", " <td>1</td>\n", " <td>Samantha Miller</td>\n", " <td>N</td>\n", " <td>Unknown</td>\n", " <td>Unknown</td>\n", " <td>1</td>\n", " <td>20.0</td>\n", " <td>20.0</td>\n", " <td>1</td>\n", " <td>Other</td>\n", " </tr>\n", " <tr>\n", " <th>642262</th>\n", " <td>10</td>\n", " <td>Abdel Rahman K/Al Sayad/Al Zien/Aman/Atlantean...</td>\n", " <td>Y</td>\n", " <td>Socialist People's Libyan Arab Jamahiriya</td>\n", " <td>Foreign</td>\n", " <td>1</td>\n", " <td>55.0</td>\n", " <td>55.0</td>\n", " <td>1</td>\n", " <td>MilOps</td>\n", " </tr>\n", " <tr>\n", " <th>693559</th>\n", " <td>1</td>\n", " <td>Winslow C Kelsey]</td>\n", " <td>N</td>\n", " <td>Unknown</td>\n", " <td>Unknown</td>\n", " <td>1</td>\n", " <td>40.0</td>\n", " <td>40.0</td>\n", " <td>1</td>\n", " <td>Tug</td>\n", " </tr>\n", " <tr>\n", " <th>1193046</th>\n", " <td>168</td>\n", " <td>Albacore/American Freedom/Amsterdam/Amy Choues...</td>\n", " <td>N</td>\n", " <td>Unknown</td>\n", " <td>Unknown</td>\n", " <td>84</td>\n", " <td>20.0/21.0/22.0/23.0/24.0/25.0/26.0/28.0/29.0/3...</td>\n", " <td>669.0</td>\n", " <td>14</td>\n", " <td>BigTow/Cargo/Diving/Dredging/Fishing/MilOps/Ot...</td>\n", " </tr>\n", " <tr>\n", " <th>1193946</th>\n", " <td>2</td>\n", " <td>Jan Marie/Niz C Gisclair</td>\n", " <td>N</td>\n", " <td>Unknown</td>\n", " <td>Unknown</td>\n", " <td>2</td>\n", " <td>34.0/67.0</td>\n", " <td>67.0</td>\n", " <td>2</td>\n", " <td>Other/Towing</td>\n", " </tr>\n", " <tr>\n", " <th>1233916</th>\n", " <td>1</td>\n", " <td>Double Barrel</td>\n", " <td>N</td>\n", " <td>Unknown</td>\n", " <td>Unknown</td>\n", " <td>1</td>\n", " <td>20.0</td>\n", " <td>20.0</td>\n", " <td>1</td>\n", " <td>Pleasure</td>\n", " </tr>\n", " <tr>\n", " <th>1239468</th>\n", " <td>1</td>\n", " <td>Handy Three</td>\n", " <td>N</td>\n", " <td>Unknown</td>\n", " <td>Unknown</td>\n", " <td>1</td>\n", " <td>22.0</td>\n", " <td>22.0</td>\n", " <td>1</td>\n", " <td>Unknown</td>\n", " </tr>\n", " <tr>\n", " <th>3041300</th>\n", " <td>1</td>\n", " <td>Paz Colombia</td>\n", " <td>N</td>\n", " <td>Antigua and Barbuda</td>\n", " <td>Foreign</td>\n", " <td>1</td>\n", " <td>118.0</td>\n", " <td>118.0</td>\n", " <td>1</td>\n", " <td>Cargo</td>\n", " </tr>\n", " <tr>\n", " <th>3543200</th>\n", " <td>1</td>\n", " <td>Ubc Miami</td>\n", " <td>N</td>\n", " <td>Panama (Republic of)</td>\n", " <td>Foreign</td>\n", " <td>1</td>\n", " <td>108.0</td>\n", " <td>108.0</td>\n", " <td>1</td>\n", " <td>Other</td>\n", " </tr>\n", " <tr>\n", " <th>...</th>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " </tr>\n", " <tr>\n", " <th>667004027</th>\n", " <td>1</td>\n", " <td>Seaman Guard Virgini</td>\n", " <td>N</td>\n", " <td>Sierra Leone</td>\n", " <td>Foreign</td>\n", " <td>1</td>\n", " <td>33.0</td>\n", " <td>33.0</td>\n", " <td>1</td>\n", " <td>Other</td>\n", " </tr>\n", " <tr>\n", " <th>667588000</th>\n", " <td>1</td>\n", " <td>Opus Casino</td>\n", " <td>N</td>\n", " <td>Sierra Leone</td>\n", " <td>Foreign</td>\n", " <td>1</td>\n", " <td>79.0</td>\n", " <td>79.0</td>\n", " <td>1</td>\n", " <td>Passenger</td>\n", " </tr>\n", " <tr>\n", " <th>671153000</th>\n", " <td>1</td>\n", " <td>Norland</td>\n", " <td>N</td>\n", " <td>Togolese Republic</td>\n", " <td>Foreign</td>\n", " <td>1</td>\n", " <td>86.0</td>\n", " <td>86.0</td>\n", " <td>1</td>\n", " <td>Cargo</td>\n", " </tr>\n", " <tr>\n", " <th>701000001</th>\n", " <td>1</td>\n", " <td>Ara Libertad</td>\n", " <td>N</td>\n", " <td>Argentine Republic</td>\n", " <td>Foreign</td>\n", " <td>1</td>\n", " <td>104.0</td>\n", " <td>104.0</td>\n", " <td>1</td>\n", " <td>Other</td>\n", " </tr>\n", " <tr>\n", " <th>710428000</th>\n", " <td>1</td>\n", " <td>Nve Cisne Branco</td>\n", " <td>Y</td>\n", " <td>Brazil (Federative Republic of)</td>\n", " <td>Foreign</td>\n", " <td>1</td>\n", " <td>78.0</td>\n", " <td>78.0</td>\n", " <td>1</td>\n", " <td>MilOps</td>\n", " </tr>\n", " <tr>\n", " <th>710429000</th>\n", " <td>1</td>\n", " <td>Ne Brasil</td>\n", " <td>Y</td>\n", " <td>Brazil (Federative Republic of)</td>\n", " <td>Foreign</td>\n", " <td>1</td>\n", " <td>130.0</td>\n", " <td>130.0</td>\n", " <td>1</td>\n", " <td>MilOps</td>\n", " </tr>\n", " <tr>\n", " <th>710465000</th>\n", " <td>1</td>\n", " <td>F Constituicao</td>\n", " <td>Y</td>\n", " <td>Brazil (Federative Republic of)</td>\n", " <td>Foreign</td>\n", " <td>1</td>\n", " <td>129.0</td>\n", " <td>129.0</td>\n", " <td>1</td>\n", " <td>MilOps</td>\n", " </tr>\n", " <tr>\n", " <th>710482000</th>\n", " <td>1</td>\n", " <td>Almirante Saboia</td>\n", " <td>Y</td>\n", " <td>Brazil (Federative Republic of)</td>\n", " <td>Foreign</td>\n", " <td>1</td>\n", " <td>138.0</td>\n", " <td>138.0</td>\n", " <td>1</td>\n", " <td>MilOps</td>\n", " </tr>\n", " <tr>\n", " <th>720754000</th>\n", " <td>1</td>\n", " <td>Tug Muheet</td>\n", " <td>N</td>\n", " <td>Bolivia (Republic of)</td>\n", " <td>Foreign</td>\n", " <td>1</td>\n", " <td>32.0</td>\n", " <td>32.0</td>\n", " <td>1</td>\n", " <td>Towing</td>\n", " </tr>\n", " <tr>\n", " <th>720768000</th>\n", " <td>1</td>\n", " <td>Rusty Pelican</td>\n", " <td>N</td>\n", " <td>Bolivia (Republic of)</td>\n", " <td>Foreign</td>\n", " <td>1</td>\n", " <td>29.0</td>\n", " <td>29.0</td>\n", " <td>1</td>\n", " <td>Towing</td>\n", " </tr>\n", " <tr>\n", " <th>725004700</th>\n", " <td>1</td>\n", " <td>Alamo</td>\n", " <td>N</td>\n", " <td>Chile</td>\n", " <td>Foreign</td>\n", " <td>1</td>\n", " <td>160.0</td>\n", " <td>160.0</td>\n", " <td>1</td>\n", " <td>Cargo</td>\n", " </tr>\n", " <tr>\n", " <th>725005560</th>\n", " <td>1</td>\n", " <td>Roble N</td>\n", " <td>N</td>\n", " <td>Chile</td>\n", " <td>Foreign</td>\n", " <td>2</td>\n", " <td>176.0/177.0</td>\n", " <td>177.0</td>\n", " <td>1</td>\n", " <td>Cargo</td>\n", " </tr>\n", " <tr>\n", " <th>725005570</th>\n", " <td>1</td>\n", " <td>Rauli N</td>\n", " <td>N</td>\n", " <td>Chile</td>\n", " <td>Foreign</td>\n", " <td>1</td>\n", " <td>175.0</td>\n", " <td>175.0</td>\n", " <td>1</td>\n", " <td>Cargo</td>\n", " </tr>\n", " <tr>\n", " <th>725018300</th>\n", " <td>1</td>\n", " <td>Lingue</td>\n", " <td>N</td>\n", " <td>Chile</td>\n", " <td>Foreign</td>\n", " <td>2</td>\n", " <td>174.0/176.0</td>\n", " <td>176.0</td>\n", " <td>1</td>\n", " <td>Cargo</td>\n", " </tr>\n", " <tr>\n", " <th>725021000</th>\n", " <td>1</td>\n", " <td>Sal De America</td>\n", " <td>N</td>\n", " <td>Chile</td>\n", " <td>Foreign</td>\n", " <td>1</td>\n", " <td>188.0</td>\n", " <td>188.0</td>\n", " <td>1</td>\n", " <td>Cargo</td>\n", " </tr>\n", " <tr>\n", " <th>725022000</th>\n", " <td>1</td>\n", " <td>Pacific Winner</td>\n", " <td>N</td>\n", " <td>Chile</td>\n", " <td>Foreign</td>\n", " <td>2</td>\n", " <td>213.0/217.0</td>\n", " <td>217.0</td>\n", " <td>1</td>\n", " <td>Cargo</td>\n", " </tr>\n", " <tr>\n", " <th>730000161</th>\n", " <td>1</td>\n", " <td>Arc. Cartagena</td>\n", " <td>Y</td>\n", " <td>Colombia (Republic of)</td>\n", " <td>Foreign</td>\n", " <td>1</td>\n", " <td>104.0</td>\n", " <td>104.0</td>\n", " <td>1</td>\n", " <td>MilOps</td>\n", " </tr>\n", " <tr>\n", " <th>730010001</th>\n", " <td>1</td>\n", " <td>A.r.c.gloria</td>\n", " <td>N</td>\n", " <td>Colombia (Republic of)</td>\n", " <td>Foreign</td>\n", " <td>1</td>\n", " <td>76.0</td>\n", " <td>76.0</td>\n", " <td>1</td>\n", " <td>Sailing</td>\n", " </tr>\n", " <tr>\n", " <th>730026000</th>\n", " <td>1</td>\n", " <td>Pensilvania</td>\n", " <td>N</td>\n", " <td>Colombia (Republic of)</td>\n", " <td>Foreign</td>\n", " <td>1</td>\n", " <td>119.0</td>\n", " <td>119.0</td>\n", " <td>1</td>\n", " <td>Cargo</td>\n", " </tr>\n", " <tr>\n", " <th>730031000</th>\n", " <td>1</td>\n", " <td>Macondo</td>\n", " <td>N</td>\n", " <td>Colombia (Republic of)</td>\n", " <td>Foreign</td>\n", " <td>1</td>\n", " <td>120.0</td>\n", " <td>120.0</td>\n", " <td>1</td>\n", " <td>Cargo</td>\n", " </tr>\n", " <tr>\n", " <th>735057548</th>\n", " <td>1</td>\n", " <td>Chimborazo</td>\n", " <td>N</td>\n", " <td>Ecuador</td>\n", " <td>Foreign</td>\n", " <td>1</td>\n", " <td>228.0</td>\n", " <td>228.0</td>\n", " <td>1</td>\n", " <td>Tanker</td>\n", " </tr>\n", " <tr>\n", " <th>735059037</th>\n", " <td>1</td>\n", " <td>B.e Guayas</td>\n", " <td>N</td>\n", " <td>Ecuador</td>\n", " <td>Foreign</td>\n", " <td>2</td>\n", " <td>44.0/78.0</td>\n", " <td>78.0</td>\n", " <td>1</td>\n", " <td>Sailing</td>\n", " </tr>\n", " <tr>\n", " <th>760101000</th>\n", " <td>1</td>\n", " <td>Yacu Puma</td>\n", " <td>N</td>\n", " <td>Peru</td>\n", " <td>Foreign</td>\n", " <td>2</td>\n", " <td>142.0/148.0</td>\n", " <td>148.0</td>\n", " <td>1</td>\n", " <td>Cargo</td>\n", " </tr>\n", " <tr>\n", " <th>770576100</th>\n", " <td>1</td>\n", " <td>Capitan Miranda</td>\n", " <td>N</td>\n", " <td>Uruguay (Eastern Republic of)</td>\n", " <td>Foreign</td>\n", " <td>1</td>\n", " <td>60.0</td>\n", " <td>60.0</td>\n", " <td>1</td>\n", " <td>Sailing</td>\n", " </tr>\n", " <tr>\n", " <th>812719000</th>\n", " <td>1</td>\n", " <td>Ocean Trader</td>\n", " <td>N</td>\n", " <td>Unknown</td>\n", " <td>Unknown</td>\n", " <td>1</td>\n", " <td>52.0</td>\n", " <td>52.0</td>\n", " <td>1</td>\n", " <td>Tanker</td>\n", " </tr>\n", " <tr>\n", " <th>857632392</th>\n", " <td>1</td>\n", " <td>Ct Pilot</td>\n", " <td>N</td>\n", " <td>Unknown</td>\n", " <td>Unknown</td>\n", " <td>1</td>\n", " <td>20.0</td>\n", " <td>20.0</td>\n", " <td>1</td>\n", " <td>Diving</td>\n", " </tr>\n", " <tr>\n", " <th>888888888</th>\n", " <td>1</td>\n", " <td>Earl Jones</td>\n", " <td>N</td>\n", " <td>Unknown</td>\n", " <td>Unknown</td>\n", " <td>1</td>\n", " <td>40.0</td>\n", " <td>40.0</td>\n", " <td>1</td>\n", " <td>Towing</td>\n", " </tr>\n", " <tr>\n", " <th>900000000</th>\n", " <td>3</td>\n", " <td>Capt.webster Pc/Elk River/Roger Binsfeld</td>\n", " <td>N</td>\n", " <td>Unknown</td>\n", " <td>Unknown</td>\n", " <td>3</td>\n", " <td>22.0/38.0/351.0</td>\n", " <td>351.0</td>\n", " <td>3</td>\n", " <td>Fishing/Reserved/Towing</td>\n", " </tr>\n", " <tr>\n", " <th>919191919</th>\n", " <td>1</td>\n", " <td>Oi</td>\n", " <td>N</td>\n", " <td>Unknown</td>\n", " <td>Unknown</td>\n", " <td>1</td>\n", " <td>20.0</td>\n", " <td>20.0</td>\n", " <td>1</td>\n", " <td>Pleasure</td>\n", " </tr>\n", " <tr>\n", " <th>987654321</th>\n", " <td>2</td>\n", " <td>Island Lookout/Island Tide</td>\n", " <td>N</td>\n", " <td>Unknown</td>\n", " <td>Unknown</td>\n", " <td>2</td>\n", " <td>22.0/23.0</td>\n", " <td>23.0</td>\n", " <td>2</td>\n", " <td>Fishing/Towing</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "<p>10253 rows × 10 columns</p>\n", "</div>" ], "text/plain": [ " num_names names sov \\\n", "mmsi \n", "1 8 Bil Holman Dredge/Dredge Capt Frank/Emo/Offsho... Y \n", "9 3 000000009/Raven/Shearwater N \n", "21 1 Us Gov Vessel Y \n", "74 2 Mcfaul/Sarah Bell N \n", "103 3 Ron G/Us Navy Warship 103/Us Warship 103 Y \n", "310 1 Arabella N \n", "3011 1 Charleston N \n", "4731 1 000004731 N \n", "15151 2 R L Enterkin/Us Vessel N \n", "46809 1 Island Trader N \n", "80404 1 Donnamarie N \n", "82003 1 Alexis N \n", "298716 1 Mitchel N \n", "366235 1 Cape Domingo N \n", "439541 2 Canadian Warship 711/L3 Y \n", "453556 1 Us Govt Vessel N \n", "505843 1 I.w.haile N \n", "527918 1 Salvage Master N \n", "565026 1 Honcho N \n", "572329 1 Alexandra N \n", "587370 1 Dorothy Moran N \n", "641114 1 Samantha Miller N \n", "642262 10 Abdel Rahman K/Al Sayad/Al Zien/Aman/Atlantean... Y \n", "693559 1 Winslow C Kelsey] N \n", "1193046 168 Albacore/American Freedom/Amsterdam/Amy Choues... N \n", "1193946 2 Jan Marie/Niz C Gisclair N \n", "1233916 1 Double Barrel N \n", "1239468 1 Handy Three N \n", "3041300 1 Paz Colombia N \n", "3543200 1 Ubc Miami N \n", "... ... ... .. \n", "667004027 1 Seaman Guard Virgini N \n", "667588000 1 Opus Casino N \n", "671153000 1 Norland N \n", "701000001 1 Ara Libertad N \n", "710428000 1 Nve Cisne Branco Y \n", "710429000 1 Ne Brasil Y \n", "710465000 1 F Constituicao Y \n", "710482000 1 Almirante Saboia Y \n", "720754000 1 Tug Muheet N \n", "720768000 1 Rusty Pelican N \n", "725004700 1 Alamo N \n", "725005560 1 Roble N N \n", "725005570 1 Rauli N N \n", "725018300 1 Lingue N \n", "725021000 1 Sal De America N \n", "725022000 1 Pacific Winner N \n", "730000161 1 Arc. Cartagena Y \n", "730010001 1 A.r.c.gloria N \n", "730026000 1 Pensilvania N \n", "730031000 1 Macondo N \n", "735057548 1 Chimborazo N \n", "735059037 1 B.e Guayas N \n", "760101000 1 Yacu Puma N \n", "770576100 1 Capitan Miranda N \n", "812719000 1 Ocean Trader N \n", "857632392 1 Ct Pilot N \n", "888888888 1 Earl Jones N \n", "900000000 3 Capt.webster Pc/Elk River/Roger Binsfeld N \n", "919191919 1 Oi N \n", "987654321 2 Island Lookout/Island Tide N \n", "\n", " flag flag_type num_loas \\\n", "mmsi \n", "1 Unknown Unknown 7 \n", "9 Unknown Unknown 2 \n", "21 Unknown Unknown 1 \n", "74 Unknown Unknown 1 \n", "103 Unknown Unknown 2 \n", "310 Bermuda Foreign 1 \n", "3011 Anguilla Foreign 1 \n", "4731 Yemen (Republic of) Foreign 1 \n", "15151 Unknown Unknown 2 \n", "46809 Syrian Arab Republic Foreign 1 \n", "80404 Unknown Unknown 1 \n", "82003 Unknown Unknown 1 \n", "298716 Unknown Unknown 1 \n", "366235 United States of America Domestic 1 \n", "439541 Unknown Unknown 2 \n", "453556 Unknown Unknown 1 \n", "505843 Unknown Unknown 1 \n", "527918 Unknown Unknown 1 \n", "565026 Singapore (Republic of) Foreign 1 \n", "572329 Tuvalu Foreign 1 \n", "587370 Unknown Unknown 1 \n", "641114 Unknown Unknown 1 \n", "642262 Socialist People's Libyan Arab Jamahiriya Foreign 1 \n", "693559 Unknown Unknown 1 \n", "1193046 Unknown Unknown 84 \n", "1193946 Unknown Unknown 2 \n", "1233916 Unknown Unknown 1 \n", "1239468 Unknown Unknown 1 \n", "3041300 Antigua and Barbuda Foreign 1 \n", "3543200 Panama (Republic of) Foreign 1 \n", "... ... ... ... \n", "667004027 Sierra Leone Foreign 1 \n", "667588000 Sierra Leone Foreign 1 \n", "671153000 Togolese Republic Foreign 1 \n", "701000001 Argentine Republic Foreign 1 \n", "710428000 Brazil (Federative Republic of) Foreign 1 \n", "710429000 Brazil (Federative Republic of) Foreign 1 \n", "710465000 Brazil (Federative Republic of) Foreign 1 \n", "710482000 Brazil (Federative Republic of) Foreign 1 \n", "720754000 Bolivia (Republic of) Foreign 1 \n", "720768000 Bolivia (Republic of) Foreign 1 \n", "725004700 Chile Foreign 1 \n", "725005560 Chile Foreign 2 \n", "725005570 Chile Foreign 1 \n", "725018300 Chile Foreign 2 \n", "725021000 Chile Foreign 1 \n", "725022000 Chile Foreign 2 \n", "730000161 Colombia (Republic of) Foreign 1 \n", "730010001 Colombia (Republic of) Foreign 1 \n", "730026000 Colombia (Republic of) Foreign 1 \n", "730031000 Colombia (Republic of) Foreign 1 \n", "735057548 Ecuador Foreign 1 \n", "735059037 Ecuador Foreign 2 \n", "760101000 Peru Foreign 2 \n", "770576100 Uruguay (Eastern Republic of) Foreign 1 \n", "812719000 Unknown Unknown 1 \n", "857632392 Unknown Unknown 1 \n", "888888888 Unknown Unknown 1 \n", "900000000 Unknown Unknown 3 \n", "919191919 Unknown Unknown 1 \n", "987654321 Unknown Unknown 2 \n", "\n", " loa max_loa \\\n", "mmsi \n", "1 42.0/48.0/57.0/90.0/138.0/154.0/156.0 156.0 \n", "9 50.0/62.0 62.0 \n", "21 208.0 208.0 \n", "74 155.0 155.0 \n", "103 26.0/155.0 155.0 \n", "310 47.0 47.0 \n", "3011 160.0 160.0 \n", "4731 30.0 30.0 \n", "15151 60.0/175.0 175.0 \n", "46809 22.0 22.0 \n", "80404 29.0 29.0 \n", "82003 29.0 29.0 \n", "298716 35.0 35.0 \n", "366235 207.0 207.0 \n", "439541 0.0/55.0 55.0 \n", "453556 208.0 208.0 \n", "505843 20.0 20.0 \n", "527918 20.0 20.0 \n", "565026 32.0 32.0 \n", "572329 40.0 40.0 \n", "587370 33.0 33.0 \n", "641114 20.0 20.0 \n", "642262 55.0 55.0 \n", "693559 40.0 40.0 \n", "1193046 20.0/21.0/22.0/23.0/24.0/25.0/26.0/28.0/29.0/3... 669.0 \n", "1193946 34.0/67.0 67.0 \n", "1233916 20.0 20.0 \n", "1239468 22.0 22.0 \n", "3041300 118.0 118.0 \n", "3543200 108.0 108.0 \n", "... ... ... \n", "667004027 33.0 33.0 \n", "667588000 79.0 79.0 \n", "671153000 86.0 86.0 \n", "701000001 104.0 104.0 \n", "710428000 78.0 78.0 \n", "710429000 130.0 130.0 \n", "710465000 129.0 129.0 \n", "710482000 138.0 138.0 \n", "720754000 32.0 32.0 \n", "720768000 29.0 29.0 \n", "725004700 160.0 160.0 \n", "725005560 176.0/177.0 177.0 \n", "725005570 175.0 175.0 \n", "725018300 174.0/176.0 176.0 \n", "725021000 188.0 188.0 \n", "725022000 213.0/217.0 217.0 \n", "730000161 104.0 104.0 \n", "730010001 76.0 76.0 \n", "730026000 119.0 119.0 \n", "730031000 120.0 120.0 \n", "735057548 228.0 228.0 \n", "735059037 44.0/78.0 78.0 \n", "760101000 142.0/148.0 148.0 \n", "770576100 60.0 60.0 \n", "812719000 52.0 52.0 \n", "857632392 20.0 20.0 \n", "888888888 40.0 40.0 \n", "900000000 22.0/38.0/351.0 351.0 \n", "919191919 20.0 20.0 \n", "987654321 22.0/23.0 23.0 \n", "\n", " num_types type \n", "mmsi \n", "1 4 Dredging/MilOps/Reserved/Towing \n", "9 2 Pleasure/Tug \n", "21 1 Unknown \n", "74 1 Unknown \n", "103 2 Tanker/Unknown \n", "310 1 Unknown \n", "3011 1 Other \n", "4731 1 Unknown \n", "15151 1 Tug \n", "46809 1 Towing \n", "80404 1 Pleasure \n", "82003 2 Fishing/Pleasure \n", "298716 1 Towing \n", "366235 1 Cargo \n", "439541 2 MilOps/Unknown \n", "453556 1 Unknown \n", "505843 1 WIG \n", "527918 1 Fishing \n", "565026 1 Towing \n", "572329 1 BigTow \n", "587370 1 Tug \n", "641114 1 Other \n", "642262 1 MilOps \n", "693559 1 Tug \n", "1193046 14 BigTow/Cargo/Diving/Dredging/Fishing/MilOps/Ot... \n", "1193946 2 Other/Towing \n", "1233916 1 Pleasure \n", "1239468 1 Unknown \n", "3041300 1 Cargo \n", "3543200 1 Other \n", "... ... ... \n", "667004027 1 Other \n", "667588000 1 Passenger \n", "671153000 1 Cargo \n", "701000001 1 Other \n", "710428000 1 MilOps \n", "710429000 1 MilOps \n", "710465000 1 MilOps \n", "710482000 1 MilOps \n", "720754000 1 Towing \n", "720768000 1 Towing \n", "725004700 1 Cargo \n", "725005560 1 Cargo \n", "725005570 1 Cargo \n", "725018300 1 Cargo \n", "725021000 1 Cargo \n", "725022000 1 Cargo \n", "730000161 1 MilOps \n", "730010001 1 Sailing \n", "730026000 1 Cargo \n", "730031000 1 Cargo \n", "735057548 1 Tanker \n", "735059037 1 Sailing \n", "760101000 1 Cargo \n", "770576100 1 Sailing \n", "812719000 1 Tanker \n", "857632392 1 Diving \n", "888888888 1 Towing \n", "900000000 3 Fishing/Reserved/Towing \n", "919191919 1 Pleasure \n", "987654321 2 Fishing/Towing \n", "\n", "[10253 rows x 10 columns]" ] }, "execution_count": 77, "metadata": {}, "output_type": "execute_result" } ], "source": [ "vessels.drop_duplicates(['names'])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Value replacement\n", "\n", "Frequently, we get data columns that are encoded as strings that we wish to represent numerically for the purposes of including it in a quantitative analysis. For example, consider the treatment variable in the cervical dystonia dataset:" ] }, { "cell_type": "code", "execution_count": 78, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "10000U 213\n", "5000U 211\n", "Placebo 207\n", "Name: treat, dtype: int64" ] }, "execution_count": 78, "metadata": {}, "output_type": "execute_result" } ], "source": [ "cdystonia.treat.value_counts()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "A logical way to specify these numerically is to change them to integer values, perhaps using \"Placebo\" as a baseline value. If we create a dict with the original values as keys and the replacements as values, we can pass it to the `map` method to implement the changes." ] }, { "cell_type": "code", "execution_count": 79, "metadata": { "collapsed": false }, "outputs": [], "source": [ "treatment_map = {'Placebo': 0, '5000U': 1, '10000U': 2}" ] }, { "cell_type": "code", "execution_count": 80, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "0 1\n", "1 1\n", "2 1\n", "3 1\n", "4 1\n", "5 1\n", "6 2\n", "7 2\n", "8 2\n", "9 2\n", "10 2\n", "11 2\n", "12 1\n", "13 1\n", "14 1\n", "15 1\n", "16 1\n", "17 1\n", "18 0\n", "19 0\n", "20 0\n", "21 0\n", "22 2\n", "23 2\n", "24 2\n", "25 2\n", "26 2\n", "27 2\n", "28 2\n", "29 2\n", " ..\n", "601 2\n", "602 2\n", "603 2\n", "604 0\n", "605 0\n", "606 0\n", "607 0\n", "608 0\n", "609 0\n", "610 1\n", "611 1\n", "612 1\n", "613 1\n", "614 1\n", "615 1\n", "616 2\n", "617 2\n", "618 2\n", "619 2\n", "620 2\n", "621 2\n", "622 2\n", "623 2\n", "624 2\n", "625 2\n", "626 1\n", "627 1\n", "628 1\n", "629 1\n", "630 1\n", "Name: treatment, dtype: int64" ] }, "execution_count": 80, "metadata": {}, "output_type": "execute_result" } ], "source": [ "cdystonia['treatment'] = cdystonia.treat.map(treatment_map)\n", "cdystonia.treatment" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Alternately, if we simply want to replace particular values in a `Series` or `DataFrame`, we can use the `replace` method. \n", "\n", "An example where replacement is useful is dealing with zeros in certain transformations. For example, if we try to take the log of a set of values:" ] }, { "cell_type": "code", "execution_count": 81, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "0 0.000000e+00\n", "1 1.000000e+00\n", "2 1.024000e+03\n", "3 5.904900e+04\n", "4 1.048576e+06\n", "5 9.765625e+06\n", "6 6.046618e+07\n", "7 2.824752e+08\n", "8 1.073742e+09\n", "9 3.486784e+09\n", "dtype: float64" ] }, "execution_count": 81, "metadata": {}, "output_type": "execute_result" } ], "source": [ "vals = pd.Series([float(i)**10 for i in range(10)])\n", "vals" ] }, { "cell_type": "code", "execution_count": 82, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "0 -inf\n", "1 0.000000\n", "2 6.931472\n", "3 10.986123\n", "4 13.862944\n", "5 16.094379\n", "6 17.917595\n", "7 19.459101\n", "8 20.794415\n", "9 21.972246\n", "dtype: float64" ] }, "execution_count": 82, "metadata": {}, "output_type": "execute_result" } ], "source": [ "np.log(vals)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "In such situations, we can replace the zero with a value so small that it makes no difference to the ensuing analysis. We can do this with `replace`." ] }, { "cell_type": "code", "execution_count": 83, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "0 -13.815511\n", "1 0.000000\n", "2 6.931472\n", "3 10.986123\n", "4 13.862944\n", "5 16.094379\n", "6 17.917595\n", "7 19.459101\n", "8 20.794415\n", "9 21.972246\n", "dtype: float64" ] }, "execution_count": 83, "metadata": {}, "output_type": "execute_result" } ], "source": [ "vals = vals.replace(0, 1e-6)\n", "np.log(vals)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We can also perform the same replacement that we used `map` for with `replace`:" ] }, { "cell_type": "code", "execution_count": 84, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "patient obs\n", "1 1 1\n", " 2 1\n", " 3 1\n", " 4 1\n", " 5 1\n", " 6 1\n", "2 1 2\n", " 2 2\n", " 3 2\n", " 4 2\n", " 5 2\n", " 6 2\n", "3 1 1\n", " 2 1\n", " 3 1\n", " 4 1\n", " 5 1\n", " 6 1\n", "4 1 0\n", " 2 0\n", " 3 0\n", " 4 0\n", "5 1 2\n", " 2 2\n", " 3 2\n", " 4 2\n", " 5 2\n", " 6 2\n", "6 1 2\n", " 2 2\n", " ..\n", "104 3 2\n", " 5 2\n", " 6 2\n", "105 1 0\n", " 2 0\n", " 3 0\n", " 4 0\n", " 5 0\n", " 6 0\n", "106 1 1\n", " 2 1\n", " 3 1\n", " 4 1\n", " 5 1\n", " 6 1\n", "107 1 2\n", " 3 2\n", " 4 2\n", " 6 2\n", "108 1 2\n", " 2 2\n", " 3 2\n", " 4 2\n", " 5 2\n", " 6 2\n", "109 1 1\n", " 2 1\n", " 4 1\n", " 5 1\n", " 6 1\n", "Name: treat, dtype: int64" ] }, "execution_count": 84, "metadata": {}, "output_type": "execute_result" } ], "source": [ "cdystonia2.treat.replace({'Placebo': 0, '5000U': 1, '10000U': 2})" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Inidcator variables\n", "\n", "For some statistical analyses (*e.g.* regression models or analyses of variance), categorical or group variables need to be converted into columns of indicators--zeros and ones--to create a so-called **design matrix**. The Pandas function `get_dummies` (indicator variables are also known as *dummy variables*) makes this transformation straightforward.\n", "\n", "Let's consider the DataFrame containing the ships corresponding to the transit segments on the eastern seaboard. The `type` variable denotes the class of vessel; we can create a matrix of indicators for this. For simplicity, lets filter out the 5 most common types of ships:\n" ] }, { "cell_type": "code", "execution_count": 85, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "mmsi\n", "1 False\n", "9 False\n", "21 False\n", "74 False\n", "103 False\n", "310 False\n", "3011 False\n", "4731 False\n", "15151 True\n", "46809 False\n", "Name: type, dtype: bool" ] }, "execution_count": 85, "metadata": {}, "output_type": "execute_result" } ], "source": [ "top5 = vessels.type.isin(vessels.type.value_counts().index[:5])\n", "top5.head(10)" ] }, { "cell_type": "code", "execution_count": 86, "metadata": { "collapsed": true }, "outputs": [], "source": [ "vessels5 = vessels[top5]" ] }, { "cell_type": "code", "execution_count": 87, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Cargo</th>\n", " <th>Pleasure</th>\n", " <th>Sailing</th>\n", " <th>Tanker</th>\n", " <th>Tug</th>\n", " </tr>\n", " <tr>\n", " <th>mmsi</th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>15151</th>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>80404</th>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>366235</th>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>587370</th>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>693559</th>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>1233916</th>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>3041300</th>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>3663760</th>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>3688360</th>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>7718175</th>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " Cargo Pleasure Sailing Tanker Tug\n", "mmsi \n", "15151 0.0 0.0 0.0 0.0 1.0\n", "80404 0.0 1.0 0.0 0.0 0.0\n", "366235 1.0 0.0 0.0 0.0 0.0\n", "587370 0.0 0.0 0.0 0.0 1.0\n", "693559 0.0 0.0 0.0 0.0 1.0\n", "1233916 0.0 1.0 0.0 0.0 0.0\n", "3041300 1.0 0.0 0.0 0.0 0.0\n", "3663760 1.0 0.0 0.0 0.0 0.0\n", "3688360 1.0 0.0 0.0 0.0 0.0\n", "7718175 1.0 0.0 0.0 0.0 0.0" ] }, "execution_count": 87, "metadata": {}, "output_type": "execute_result" } ], "source": [ "pd.get_dummies(vessels5.type).head(10)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Categorical Data\n", "\n", "Pandas provides a convenient `dtype` for reprsenting categorical (factor) data, called `category`. \n", "\n", "For example, the `treat` column in the cervical dystonia dataset represents three treatment levels in a clinical trial, and is imported by default as an `object` type, since it is a mixture of string characters." ] }, { "cell_type": "code", "execution_count": 88, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "0 5000U\n", "1 5000U\n", "2 5000U\n", "3 5000U\n", "4 5000U\n", "Name: treat, dtype: object" ] }, "execution_count": 88, "metadata": {}, "output_type": "execute_result" } ], "source": [ "cdystonia.treat.head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We can convert this to a `category` type either by the `Categorical` constructor, or casting the column using `astype`:" ] }, { "cell_type": "code", "execution_count": 89, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "[5000U, 5000U, 5000U, 5000U, 5000U, ..., 5000U, 5000U, 5000U, 5000U, 5000U]\n", "Length: 631\n", "Categories (3, object): [10000U, 5000U, Placebo]" ] }, "execution_count": 89, "metadata": {}, "output_type": "execute_result" } ], "source": [ "pd.Categorical(cdystonia.treat)" ] }, { "cell_type": "code", "execution_count": 90, "metadata": { "collapsed": false }, "outputs": [], "source": [ "cdystonia['treat'] = cdystonia.treat.astype('category')" ] }, { "cell_type": "code", "execution_count": 91, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "count 631\n", "unique 3\n", "top 10000U\n", "freq 213\n", "Name: treat, dtype: object" ] }, "execution_count": 91, "metadata": {}, "output_type": "execute_result" } ], "source": [ "cdystonia.treat.describe()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "By default the Categorical type represents an unordered categorical." ] }, { "cell_type": "code", "execution_count": 92, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "Index(['10000U', '5000U', 'Placebo'], dtype='object')" ] }, "execution_count": 92, "metadata": {}, "output_type": "execute_result" } ], "source": [ "cdystonia.treat.cat.categories" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "However, an ordering can be imposed. The order is lexical by default, but will assume the order of the listed categories to be the desired order." ] }, { "cell_type": "code", "execution_count": 93, "metadata": { "collapsed": false }, "outputs": [], "source": [ "cdystonia.treat.cat.categories = ['Placebo', '5000U', '10000U']" ] }, { "cell_type": "code", "execution_count": 94, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "0 5000U\n", "1 5000U\n", "2 5000U\n", "3 5000U\n", "4 5000U\n", "dtype: category\n", "Categories (3, object): [Placebo < 5000U < 10000U]" ] }, "execution_count": 94, "metadata": {}, "output_type": "execute_result" } ], "source": [ "cdystonia.treat.cat.as_ordered().head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The important difference between the `category` type and the `object` type is that `category` is represented by an underlying array of integers, which is then mapped to character labels." ] }, { "cell_type": "code", "execution_count": 95, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "0 1\n", "1 1\n", "2 1\n", "3 1\n", "4 1\n", "5 1\n", "6 0\n", "7 0\n", "8 0\n", "9 0\n", "10 0\n", "11 0\n", "12 1\n", "13 1\n", "14 1\n", "15 1\n", "16 1\n", "17 1\n", "18 2\n", "19 2\n", "20 2\n", "21 2\n", "22 0\n", "23 0\n", "24 0\n", "25 0\n", "26 0\n", "27 0\n", "28 0\n", "29 0\n", " ..\n", "601 0\n", "602 0\n", "603 0\n", "604 2\n", "605 2\n", "606 2\n", "607 2\n", "608 2\n", "609 2\n", "610 1\n", "611 1\n", "612 1\n", "613 1\n", "614 1\n", "615 1\n", "616 0\n", "617 0\n", "618 0\n", "619 0\n", "620 0\n", "621 0\n", "622 0\n", "623 0\n", "624 0\n", "625 0\n", "626 1\n", "627 1\n", "628 1\n", "629 1\n", "630 1\n", "dtype: int8" ] }, "execution_count": 95, "metadata": {}, "output_type": "execute_result" } ], "source": [ "cdystonia.treat.cat.codes" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Notice that these are 8-bit integers, which are essentially single bytes of data, making memory usage lower.\n", "\n", "There is also a performance benefit. Consider an operation such as calculating the total segment lengths for each ship in the `segments` table (this is also a preview of pandas' `groupby` operation!):" ] }, { "cell_type": "code", "execution_count": 96, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Wall time: 46.4 ms\n" ] }, { "data": { "text/plain": [ "name\n", "Georgia 93941.2\n", "P/b Phantom 39102.4\n", "Padre Island 36969.0\n", "Dodge Island 33736.9\n", "Terrapin Island 32845.6\n", "Name: seg_length, dtype: float64" ] }, "execution_count": 96, "metadata": {}, "output_type": "execute_result" } ], "source": [ "%time segments.groupby(segments.name).seg_length.sum().sort_values(ascending=False, inplace=False).head()" ] }, { "cell_type": "code", "execution_count": 97, "metadata": { "collapsed": true }, "outputs": [], "source": [ "segments['name'] = segments.name.astype('category')" ] }, { "cell_type": "code", "execution_count": 98, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Wall time: 18 ms\n" ] }, { "data": { "text/plain": [ "name\n", "Georgia 93941.2\n", "P/b Phantom 39102.4\n", "Padre Island 36969.0\n", "Dodge Island 33736.9\n", "Terrapin Island 32845.6\n", "Name: seg_length, dtype: float64" ] }, "execution_count": 98, "metadata": {}, "output_type": "execute_result" } ], "source": [ "%time segments.groupby(segments.name).seg_length.sum().sort_values(ascending=False, inplace=False).head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Hence, we get a considerable speedup simply by using the appropriate `dtype` for our data." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Discretization\n", "\n", "Pandas' `cut` function can be used to group continuous or countable data in to bins. Discretization is generally a very **bad idea** for statistical analysis, so use this function responsibly!\n", "\n", "Lets say we want to bin the ages of the cervical dystonia patients into a smaller number of groups:" ] }, { "cell_type": "code", "execution_count": 99, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "count 631.000000\n", "mean 55.616482\n", "std 12.123910\n", "min 26.000000\n", "25% 46.000000\n", "50% 56.000000\n", "75% 65.000000\n", "max 83.000000\n", "Name: age, dtype: float64" ] }, "execution_count": 99, "metadata": {}, "output_type": "execute_result" } ], "source": [ "cdystonia.age.describe()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Let's transform these data into decades, beginnnig with individuals in their 20's and ending with those in their 80's:" ] }, { "cell_type": "code", "execution_count": 100, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "0 (60, 70]\n", "1 (60, 70]\n", "2 (60, 70]\n", "3 (60, 70]\n", "4 (60, 70]\n", "5 (60, 70]\n", "6 (60, 70]\n", "7 (60, 70]\n", "8 (60, 70]\n", "9 (60, 70]\n", "10 (60, 70]\n", "11 (60, 70]\n", "12 (60, 70]\n", "13 (60, 70]\n", "14 (60, 70]\n", "15 (60, 70]\n", "16 (60, 70]\n", "17 (60, 70]\n", "18 (50, 60]\n", "19 (50, 60]\n", "20 (50, 60]\n", "21 (50, 60]\n", "22 (70, 80]\n", "23 (70, 80]\n", "24 (70, 80]\n", "25 (70, 80]\n", "26 (70, 80]\n", "27 (70, 80]\n", "28 (50, 60]\n", "29 (50, 60]\n", "Name: age, dtype: category\n", "Categories (7, object): [(20, 30] < (30, 40] < (40, 50] < (50, 60] < (60, 70] < (70, 80] < (80, 90]]" ] }, "execution_count": 100, "metadata": {}, "output_type": "execute_result" } ], "source": [ "pd.cut(cdystonia.age, [20,30,40,50,60,70,80,90])[:30]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The parentheses indicate an open interval, meaning that the interval includes values up to but *not including* the endpoint, whereas the square bracket is a closed interval, where the endpoint is included in the interval. We can switch the closure to the left side by setting the `right` flag to `False`:" ] }, { "cell_type": "code", "execution_count": 101, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "0 [60, 70)\n", "1 [60, 70)\n", "2 [60, 70)\n", "3 [60, 70)\n", "4 [60, 70)\n", "5 [60, 70)\n", "6 [70, 80)\n", "7 [70, 80)\n", "8 [70, 80)\n", "9 [70, 80)\n", "10 [70, 80)\n", "11 [70, 80)\n", "12 [60, 70)\n", "13 [60, 70)\n", "14 [60, 70)\n", "15 [60, 70)\n", "16 [60, 70)\n", "17 [60, 70)\n", "18 [50, 60)\n", "19 [50, 60)\n", "20 [50, 60)\n", "21 [50, 60)\n", "22 [70, 80)\n", "23 [70, 80)\n", "24 [70, 80)\n", "25 [70, 80)\n", "26 [70, 80)\n", "27 [70, 80)\n", "28 [50, 60)\n", "29 [50, 60)\n", "Name: age, dtype: category\n", "Categories (7, object): [[20, 30) < [30, 40) < [40, 50) < [50, 60) < [60, 70) < [70, 80) < [80, 90)]" ] }, "execution_count": 101, "metadata": {}, "output_type": "execute_result" } ], "source": [ "pd.cut(cdystonia.age, [20,30,40,50,60,70,80,90], right=False)[:30]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Since the data are now **ordinal**, rather than numeric, we can give them labels:" ] }, { "cell_type": "code", "execution_count": 102, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "0 old\n", "1 old\n", "2 old\n", "3 old\n", "4 old\n", "5 old\n", "6 old\n", "7 old\n", "8 old\n", "9 old\n", "10 old\n", "11 old\n", "12 old\n", "13 old\n", "14 old\n", "15 old\n", "16 old\n", "17 old\n", "18 middle-aged\n", "19 middle-aged\n", "20 middle-aged\n", "21 middle-aged\n", "22 old\n", "23 old\n", "24 old\n", "25 old\n", "26 old\n", "27 old\n", "28 middle-aged\n", "29 middle-aged\n", "Name: age, dtype: category\n", "Categories (4, object): [young < middle-aged < old < really old]" ] }, "execution_count": 102, "metadata": {}, "output_type": "execute_result" } ], "source": [ "pd.cut(cdystonia.age, [20,40,60,80,90], labels=['young','middle-aged','old','really old'])[:30]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "A related function `qcut` uses empirical quantiles to divide the data. If, for example, we want the quartiles -- (0-25%], (25-50%], (50-70%], (75-100%] -- we can just specify 4 intervals, which will be equally-spaced by default:" ] }, { "cell_type": "code", "execution_count": 103, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "0 (56, 65]\n", "1 (56, 65]\n", "2 (56, 65]\n", "3 (56, 65]\n", "4 (56, 65]\n", "5 (56, 65]\n", "6 (65, 83]\n", "7 (65, 83]\n", "8 (65, 83]\n", "9 (65, 83]\n", "10 (65, 83]\n", "11 (65, 83]\n", "12 (56, 65]\n", "13 (56, 65]\n", "14 (56, 65]\n", "15 (56, 65]\n", "16 (56, 65]\n", "17 (56, 65]\n", "18 (56, 65]\n", "19 (56, 65]\n", "20 (56, 65]\n", "21 (56, 65]\n", "22 (65, 83]\n", "23 (65, 83]\n", "24 (65, 83]\n", "25 (65, 83]\n", "26 (65, 83]\n", "27 (65, 83]\n", "28 (56, 65]\n", "29 (56, 65]\n", "Name: age, dtype: category\n", "Categories (4, object): [[26, 46] < (46, 56] < (56, 65] < (65, 83]]" ] }, "execution_count": 103, "metadata": {}, "output_type": "execute_result" } ], "source": [ "pd.qcut(cdystonia.age, 4)[:30]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Alternatively, one can specify custom quantiles to act as cut points:" ] }, { "cell_type": "code", "execution_count": 104, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "0 (1.8, 7.8]\n", "1 (7.8, 45.4]\n", "2 (1.8, 7.8]\n", "3 (7.8, 45.4]\n", "4 (7.8, 45.4]\n", "5 (7.8, 45.4]\n", "6 (45.4, 89.7]\n", "7 (7.8, 45.4]\n", "8 (7.8, 45.4]\n", "9 (7.8, 45.4]\n", "10 (1.8, 7.8]\n", "11 (7.8, 45.4]\n", "12 (7.8, 45.4]\n", "13 (7.8, 45.4]\n", "14 (7.8, 45.4]\n", "15 (45.4, 89.7]\n", "16 (45.4, 89.7]\n", "17 (7.8, 45.4]\n", "18 (7.8, 45.4]\n", "19 (7.8, 45.4]\n", "20 (1.8, 7.8]\n", "21 (1.8, 7.8]\n", "22 (7.8, 45.4]\n", "23 (7.8, 45.4]\n", "24 (7.8, 45.4]\n", "25 (7.8, 45.4]\n", "26 (7.8, 45.4]\n", "27 (7.8, 45.4]\n", "28 (7.8, 45.4]\n", "29 (7.8, 45.4]\n", "Name: seg_length, dtype: category\n", "Categories (5, object): [[1, 1.8] < (1.8, 7.8] < (7.8, 45.4] < (45.4, 89.7] < (89.7, 1882]]" ] }, "execution_count": 104, "metadata": {}, "output_type": "execute_result" } ], "source": [ "quantiles = pd.qcut(segments.seg_length, [0, 0.01, 0.05, 0.95, 0.99, 1])\n", "quantiles[:30]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Note that you can easily combine discretiztion with the generation of indicator variables shown above:" ] }, { "cell_type": "code", "execution_count": 105, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>[1, 1.8]</th>\n", " <th>(1.8, 7.8]</th>\n", " <th>(7.8, 45.4]</th>\n", " <th>(45.4, 89.7]</th>\n", " <th>(89.7, 1882]</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>6</th>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>7</th>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>8</th>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>9</th>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " <td>1.0</td>\n", " <td>0.0</td>\n", " <td>0.0</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " [1, 1.8] (1.8, 7.8] (7.8, 45.4] (45.4, 89.7] (89.7, 1882]\n", "0 0.0 1.0 0.0 0.0 0.0\n", "1 0.0 0.0 1.0 0.0 0.0\n", "2 0.0 1.0 0.0 0.0 0.0\n", "3 0.0 0.0 1.0 0.0 0.0\n", "4 0.0 0.0 1.0 0.0 0.0\n", "5 0.0 0.0 1.0 0.0 0.0\n", "6 0.0 0.0 0.0 1.0 0.0\n", "7 0.0 0.0 1.0 0.0 0.0\n", "8 0.0 0.0 1.0 0.0 0.0\n", "9 0.0 0.0 1.0 0.0 0.0" ] }, "execution_count": 105, "metadata": {}, "output_type": "execute_result" } ], "source": [ "pd.get_dummies(quantiles).head(10)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Permutation and sampling\n", "\n", "For some data analysis tasks, such as simulation, we need to be able to randomly reorder our data, or draw random values from it. Calling NumPy's `permutation` function with the length of the sequence you want to permute generates an array with a permuted sequence of integers, which can be used to re-order the sequence." ] }, { "cell_type": "code", "execution_count": 106, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "array([131868, 170202, 174690, 67930, 254803, 78093, 175868, 59237,\n", " 154388, 257558, 31472, 4110, 64069, 41270, 127976, 237678,\n", " 155097, 44233, 190221, 52513, 137052, 140054, 143867, 118525,\n", " 219725, 97284, 224123, 56695, 177730, 133957])" ] }, "execution_count": 106, "metadata": {}, "output_type": "execute_result" } ], "source": [ "new_order = np.random.permutation(len(segments))\n", "new_order[:30]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Using this sequence as an argument to the `take` method results in a reordered DataFrame:" ] }, { "cell_type": "code", "execution_count": 107, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>mmsi</th>\n", " <th>name</th>\n", " <th>transit</th>\n", " <th>segment</th>\n", " <th>seg_length</th>\n", " <th>avg_sog</th>\n", " <th>min_sog</th>\n", " <th>max_sog</th>\n", " <th>pdgt10</th>\n", " <th>st_time</th>\n", " <th>end_time</th>\n", " <th>type</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>131868</th>\n", " <td>366966060</td>\n", " <td>Consort</td>\n", " <td>287</td>\n", " <td>1</td>\n", " <td>26.0</td>\n", " <td>9.0</td>\n", " <td>8.7</td>\n", " <td>9.4</td>\n", " <td>0.0</td>\n", " <td>2011-09-25 23:52:00</td>\n", " <td>2011-09-26 02:44:00</td>\n", " <td>foo</td>\n", " </tr>\n", " <tr>\n", " <th>170202</th>\n", " <td>367376440</td>\n", " <td>Peter F Gellatly</td>\n", " <td>21</td>\n", " <td>1</td>\n", " <td>26.1</td>\n", " <td>8.5</td>\n", " <td>7.0</td>\n", " <td>9.1</td>\n", " <td>0.0</td>\n", " <td>2011-09-26 15:16:00</td>\n", " <td>2011-09-26 18:20:00</td>\n", " <td>foo</td>\n", " </tr>\n", " <tr>\n", " <th>174690</th>\n", " <td>367426660</td>\n", " <td>Thunder Bay</td>\n", " <td>113</td>\n", " <td>1</td>\n", " <td>12.6</td>\n", " <td>7.4</td>\n", " <td>4.8</td>\n", " <td>9.6</td>\n", " <td>0.0</td>\n", " <td>2012-04-02 02:10:00</td>\n", " <td>2012-04-02 03:53:00</td>\n", " <td>foo</td>\n", " </tr>\n", " <tr>\n", " <th>67930</th>\n", " <td>311857000</td>\n", " <td>Ajax</td>\n", " <td>5</td>\n", " <td>1</td>\n", " <td>19.3</td>\n", " <td>13.1</td>\n", " <td>7.3</td>\n", " <td>14.6</td>\n", " <td>95.6</td>\n", " <td>2009-02-07 12:31:00</td>\n", " <td>2009-02-07 13:59:00</td>\n", " <td>foo</td>\n", " </tr>\n", " <tr>\n", " <th>254803</th>\n", " <td>636091351</td>\n", " <td>Independent Concept</td>\n", " <td>120</td>\n", " <td>1</td>\n", " <td>20.5</td>\n", " <td>10.7</td>\n", " <td>4.4</td>\n", " <td>15.3</td>\n", " <td>85.7</td>\n", " <td>2010-11-26 06:38:00</td>\n", " <td>2010-11-26 08:36:00</td>\n", " <td>foo</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " mmsi name transit segment seg_length avg_sog \\\n", "131868 366966060 Consort 287 1 26.0 9.0 \n", "170202 367376440 Peter F Gellatly 21 1 26.1 8.5 \n", "174690 367426660 Thunder Bay 113 1 12.6 7.4 \n", "67930 311857000 Ajax 5 1 19.3 13.1 \n", "254803 636091351 Independent Concept 120 1 20.5 10.7 \n", "\n", " min_sog max_sog pdgt10 st_time end_time type \n", "131868 8.7 9.4 0.0 2011-09-25 23:52:00 2011-09-26 02:44:00 foo \n", "170202 7.0 9.1 0.0 2011-09-26 15:16:00 2011-09-26 18:20:00 foo \n", "174690 4.8 9.6 0.0 2012-04-02 02:10:00 2012-04-02 03:53:00 foo \n", "67930 7.3 14.6 95.6 2009-02-07 12:31:00 2009-02-07 13:59:00 foo \n", "254803 4.4 15.3 85.7 2010-11-26 06:38:00 2010-11-26 08:36:00 foo " ] }, "execution_count": 107, "metadata": {}, "output_type": "execute_result" } ], "source": [ "segments.take(new_order).head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Compare this ordering with the original:" ] }, { "cell_type": "code", "execution_count": 108, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>mmsi</th>\n", " <th>name</th>\n", " <th>transit</th>\n", " <th>segment</th>\n", " <th>seg_length</th>\n", " <th>avg_sog</th>\n", " <th>min_sog</th>\n", " <th>max_sog</th>\n", " <th>pdgt10</th>\n", " <th>st_time</th>\n", " <th>end_time</th>\n", " <th>type</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>1</td>\n", " <td>Us Govt Ves</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>5.1</td>\n", " <td>13.2</td>\n", " <td>9.2</td>\n", " <td>14.5</td>\n", " <td>96.5</td>\n", " <td>2009-02-10 16:03:00</td>\n", " <td>2009-02-10 16:27:00</td>\n", " <td>foo</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>1</td>\n", " <td>Dredge Capt Frank</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>13.5</td>\n", " <td>18.6</td>\n", " <td>10.4</td>\n", " <td>20.6</td>\n", " <td>100.0</td>\n", " <td>2009-04-06 14:31:00</td>\n", " <td>2009-04-06 15:20:00</td>\n", " <td>foo</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>1</td>\n", " <td>Us Gov Vessel</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>4.3</td>\n", " <td>16.2</td>\n", " <td>10.3</td>\n", " <td>20.5</td>\n", " <td>100.0</td>\n", " <td>2009-04-06 14:36:00</td>\n", " <td>2009-04-06 14:55:00</td>\n", " <td>foo</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>1</td>\n", " <td>Us Gov Vessel</td>\n", " <td>2</td>\n", " <td>1</td>\n", " <td>9.2</td>\n", " <td>15.4</td>\n", " <td>14.5</td>\n", " <td>16.1</td>\n", " <td>100.0</td>\n", " <td>2009-04-10 17:58:00</td>\n", " <td>2009-04-10 18:34:00</td>\n", " <td>foo</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>1</td>\n", " <td>Dredge Capt Frank</td>\n", " <td>2</td>\n", " <td>1</td>\n", " <td>9.2</td>\n", " <td>15.4</td>\n", " <td>14.6</td>\n", " <td>16.2</td>\n", " <td>100.0</td>\n", " <td>2009-04-10 17:59:00</td>\n", " <td>2009-04-10 18:35:00</td>\n", " <td>foo</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " mmsi name transit segment seg_length avg_sog min_sog \\\n", "0 1 Us Govt Ves 1 1 5.1 13.2 9.2 \n", "1 1 Dredge Capt Frank 1 1 13.5 18.6 10.4 \n", "2 1 Us Gov Vessel 1 1 4.3 16.2 10.3 \n", "3 1 Us Gov Vessel 2 1 9.2 15.4 14.5 \n", "4 1 Dredge Capt Frank 2 1 9.2 15.4 14.6 \n", "\n", " max_sog pdgt10 st_time end_time type \n", "0 14.5 96.5 2009-02-10 16:03:00 2009-02-10 16:27:00 foo \n", "1 20.6 100.0 2009-04-06 14:31:00 2009-04-06 15:20:00 foo \n", "2 20.5 100.0 2009-04-06 14:36:00 2009-04-06 14:55:00 foo \n", "3 16.1 100.0 2009-04-10 17:58:00 2009-04-10 18:34:00 foo \n", "4 16.2 100.0 2009-04-10 17:59:00 2009-04-10 18:35:00 foo " ] }, "execution_count": 108, "metadata": {}, "output_type": "execute_result" } ], "source": [ "segments.head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "For random sampling, `DataFrame` and `Series` objects have a `sample` method that can be used to draw samples, with or without replacement:" ] }, { "cell_type": "code", "execution_count": 109, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>num_names</th>\n", " <th>names</th>\n", " <th>sov</th>\n", " <th>flag</th>\n", " <th>flag_type</th>\n", " <th>num_loas</th>\n", " <th>loa</th>\n", " <th>max_loa</th>\n", " <th>num_types</th>\n", " <th>type</th>\n", " </tr>\n", " <tr>\n", " <th>mmsi</th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>319001800</th>\n", " <td>1</td>\n", " <td>L Ondine</td>\n", " <td>N</td>\n", " <td>Cayman Islands</td>\n", " <td>Foreign</td>\n", " <td>1</td>\n", " <td>31.0</td>\n", " <td>31.0</td>\n", " <td>1</td>\n", " <td>Pleasure</td>\n", " </tr>\n", " <tr>\n", " <th>249814000</th>\n", " <td>1</td>\n", " <td>Chemical Marketer</td>\n", " <td>N</td>\n", " <td>Malta</td>\n", " <td>Foreign</td>\n", " <td>1</td>\n", " <td>134.0</td>\n", " <td>134.0</td>\n", " <td>1</td>\n", " <td>Tanker</td>\n", " </tr>\n", " <tr>\n", " <th>413761000</th>\n", " <td>2</td>\n", " <td>Tian Long He/Tianlonghe</td>\n", " <td>N</td>\n", " <td>China (People's Republic of)</td>\n", " <td>Foreign</td>\n", " <td>1</td>\n", " <td>294.0</td>\n", " <td>294.0</td>\n", " <td>1</td>\n", " <td>Cargo</td>\n", " </tr>\n", " <tr>\n", " <th>636090299</th>\n", " <td>1</td>\n", " <td>Hansa Bremen</td>\n", " <td>N</td>\n", " <td>Liberia (Republic of)</td>\n", " <td>Foreign</td>\n", " <td>1</td>\n", " <td>156.0</td>\n", " <td>156.0</td>\n", " <td>1</td>\n", " <td>Cargo</td>\n", " </tr>\n", " <tr>\n", " <th>538004358</th>\n", " <td>1</td>\n", " <td>Maersk Malacca</td>\n", " <td>N</td>\n", " <td>Marshall Islands (Republic of the)</td>\n", " <td>Foreign</td>\n", " <td>1</td>\n", " <td>294.0</td>\n", " <td>294.0</td>\n", " <td>1</td>\n", " <td>Cargo</td>\n", " </tr>\n", " <tr>\n", " <th>309193000</th>\n", " <td>1</td>\n", " <td>Jorgen Reefer</td>\n", " <td>N</td>\n", " <td>Bahamas (Commonwealth of the)</td>\n", " <td>Foreign</td>\n", " <td>1</td>\n", " <td>164.0</td>\n", " <td>164.0</td>\n", " <td>1</td>\n", " <td>Cargo</td>\n", " </tr>\n", " <tr>\n", " <th>369970040</th>\n", " <td>1</td>\n", " <td>Ranger</td>\n", " <td>Y</td>\n", " <td>United States of America</td>\n", " <td>Domestic</td>\n", " <td>1</td>\n", " <td>58.0</td>\n", " <td>58.0</td>\n", " <td>1</td>\n", " <td>MilOps</td>\n", " </tr>\n", " <tr>\n", " <th>319018500</th>\n", " <td>1</td>\n", " <td>Imagine...</td>\n", " <td>N</td>\n", " <td>Cayman Islands</td>\n", " <td>Foreign</td>\n", " <td>1</td>\n", " <td>50.0</td>\n", " <td>50.0</td>\n", " <td>1</td>\n", " <td>Pleasure</td>\n", " </tr>\n", " <tr>\n", " <th>636010804</th>\n", " <td>1</td>\n", " <td>Barents Sea</td>\n", " <td>N</td>\n", " <td>Liberia (Republic of)</td>\n", " <td>Foreign</td>\n", " <td>1</td>\n", " <td>183.0</td>\n", " <td>183.0</td>\n", " <td>1</td>\n", " <td>Tanker</td>\n", " </tr>\n", " <tr>\n", " <th>209901000</th>\n", " <td>2</td>\n", " <td>Baltic Commander 1/Baltic Commander I</td>\n", " <td>N</td>\n", " <td>Cyprus (Republic of)</td>\n", " <td>Foreign</td>\n", " <td>1</td>\n", " <td>182.0</td>\n", " <td>182.0</td>\n", " <td>1</td>\n", " <td>Tanker</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " num_names names sov \\\n", "mmsi \n", "319001800 1 L Ondine N \n", "249814000 1 Chemical Marketer N \n", "413761000 2 Tian Long He/Tianlonghe N \n", "636090299 1 Hansa Bremen N \n", "538004358 1 Maersk Malacca N \n", "309193000 1 Jorgen Reefer N \n", "369970040 1 Ranger Y \n", "319018500 1 Imagine... N \n", "636010804 1 Barents Sea N \n", "209901000 2 Baltic Commander 1/Baltic Commander I N \n", "\n", " flag flag_type num_loas loa \\\n", "mmsi \n", "319001800 Cayman Islands Foreign 1 31.0 \n", "249814000 Malta Foreign 1 134.0 \n", "413761000 China (People's Republic of) Foreign 1 294.0 \n", "636090299 Liberia (Republic of) Foreign 1 156.0 \n", "538004358 Marshall Islands (Republic of the) Foreign 1 294.0 \n", "309193000 Bahamas (Commonwealth of the) Foreign 1 164.0 \n", "369970040 United States of America Domestic 1 58.0 \n", "319018500 Cayman Islands Foreign 1 50.0 \n", "636010804 Liberia (Republic of) Foreign 1 183.0 \n", "209901000 Cyprus (Republic of) Foreign 1 182.0 \n", "\n", " max_loa num_types type \n", "mmsi \n", "319001800 31.0 1 Pleasure \n", "249814000 134.0 1 Tanker \n", "413761000 294.0 1 Cargo \n", "636090299 156.0 1 Cargo \n", "538004358 294.0 1 Cargo \n", "309193000 164.0 1 Cargo \n", "369970040 58.0 1 MilOps \n", "319018500 50.0 1 Pleasure \n", "636010804 183.0 1 Tanker \n", "209901000 182.0 1 Tanker " ] }, "execution_count": 109, "metadata": {}, "output_type": "execute_result" } ], "source": [ "vessels.sample(n=10)" ] }, { "cell_type": "code", "execution_count": 110, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>num_names</th>\n", " <th>names</th>\n", " <th>sov</th>\n", " <th>flag</th>\n", " <th>flag_type</th>\n", " <th>num_loas</th>\n", " <th>loa</th>\n", " <th>max_loa</th>\n", " <th>num_types</th>\n", " <th>type</th>\n", " </tr>\n", " <tr>\n", " <th>mmsi</th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>310632000</th>\n", " <td>1</td>\n", " <td>Avra</td>\n", " <td>N</td>\n", " <td>Bermuda</td>\n", " <td>Foreign</td>\n", " <td>1</td>\n", " <td>234.0</td>\n", " <td>234.0</td>\n", " <td>1</td>\n", " <td>Tanker</td>\n", " </tr>\n", " <tr>\n", " <th>367426770</th>\n", " <td>1</td>\n", " <td>Lori Ann</td>\n", " <td>N</td>\n", " <td>United States of America</td>\n", " <td>Domestic</td>\n", " <td>1</td>\n", " <td>29.0</td>\n", " <td>29.0</td>\n", " <td>2</td>\n", " <td>Fishing/Pleasure</td>\n", " </tr>\n", " <tr>\n", " <th>636090972</th>\n", " <td>1</td>\n", " <td>Msc Davos</td>\n", " <td>N</td>\n", " <td>Liberia (Republic of)</td>\n", " <td>Foreign</td>\n", " <td>1</td>\n", " <td>210.0</td>\n", " <td>210.0</td>\n", " <td>1</td>\n", " <td>Cargo</td>\n", " </tr>\n", " <tr>\n", " <th>357210000</th>\n", " <td>1</td>\n", " <td>Mulberry Wilton</td>\n", " <td>N</td>\n", " <td>Panama (Republic of)</td>\n", " <td>Foreign</td>\n", " <td>1</td>\n", " <td>217.0</td>\n", " <td>217.0</td>\n", " <td>1</td>\n", " <td>Cargo</td>\n", " </tr>\n", " <tr>\n", " <th>667588000</th>\n", " <td>1</td>\n", " <td>Opus Casino</td>\n", " <td>N</td>\n", " <td>Sierra Leone</td>\n", " <td>Foreign</td>\n", " <td>1</td>\n", " <td>79.0</td>\n", " <td>79.0</td>\n", " <td>1</td>\n", " <td>Passenger</td>\n", " </tr>\n", " <tr>\n", " <th>207123000</th>\n", " <td>1</td>\n", " <td>Sofia</td>\n", " <td>N</td>\n", " <td>Bulgaria (Republic of)</td>\n", " <td>Foreign</td>\n", " <td>1</td>\n", " <td>157.0</td>\n", " <td>157.0</td>\n", " <td>1</td>\n", " <td>Cargo</td>\n", " </tr>\n", " <tr>\n", " <th>257323000</th>\n", " <td>1</td>\n", " <td>Spar Virgo</td>\n", " <td>N</td>\n", " <td>Norway</td>\n", " <td>Foreign</td>\n", " <td>1</td>\n", " <td>190.0</td>\n", " <td>190.0</td>\n", " <td>1</td>\n", " <td>Cargo</td>\n", " </tr>\n", " <tr>\n", " <th>351053000</th>\n", " <td>1</td>\n", " <td>Huian</td>\n", " <td>N</td>\n", " <td>Panama (Republic of)</td>\n", " <td>Foreign</td>\n", " <td>1</td>\n", " <td>189.0</td>\n", " <td>189.0</td>\n", " <td>1</td>\n", " <td>Cargo</td>\n", " </tr>\n", " <tr>\n", " <th>355595000</th>\n", " <td>2</td>\n", " <td>Nordic Bulker/Nordic Bulker 2</td>\n", " <td>N</td>\n", " <td>Panama (Republic of)</td>\n", " <td>Foreign</td>\n", " <td>1</td>\n", " <td>169.0</td>\n", " <td>169.0</td>\n", " <td>1</td>\n", " <td>Cargo</td>\n", " </tr>\n", " <tr>\n", " <th>538001945</th>\n", " <td>1</td>\n", " <td>Chem Star</td>\n", " <td>N</td>\n", " <td>Marshall Islands (Republic of the)</td>\n", " <td>Foreign</td>\n", " <td>1</td>\n", " <td>116.0</td>\n", " <td>116.0</td>\n", " <td>1</td>\n", " <td>Tanker</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " num_names names sov \\\n", "mmsi \n", "310632000 1 Avra N \n", "367426770 1 Lori Ann N \n", "636090972 1 Msc Davos N \n", "357210000 1 Mulberry Wilton N \n", "667588000 1 Opus Casino N \n", "207123000 1 Sofia N \n", "257323000 1 Spar Virgo N \n", "351053000 1 Huian N \n", "355595000 2 Nordic Bulker/Nordic Bulker 2 N \n", "538001945 1 Chem Star N \n", "\n", " flag flag_type num_loas loa \\\n", "mmsi \n", "310632000 Bermuda Foreign 1 234.0 \n", "367426770 United States of America Domestic 1 29.0 \n", "636090972 Liberia (Republic of) Foreign 1 210.0 \n", "357210000 Panama (Republic of) Foreign 1 217.0 \n", "667588000 Sierra Leone Foreign 1 79.0 \n", "207123000 Bulgaria (Republic of) Foreign 1 157.0 \n", "257323000 Norway Foreign 1 190.0 \n", "351053000 Panama (Republic of) Foreign 1 189.0 \n", "355595000 Panama (Republic of) Foreign 1 169.0 \n", "538001945 Marshall Islands (Republic of the) Foreign 1 116.0 \n", "\n", " max_loa num_types type \n", "mmsi \n", "310632000 234.0 1 Tanker \n", "367426770 29.0 2 Fishing/Pleasure \n", "636090972 210.0 1 Cargo \n", "357210000 217.0 1 Cargo \n", "667588000 79.0 1 Passenger \n", "207123000 157.0 1 Cargo \n", "257323000 190.0 1 Cargo \n", "351053000 189.0 1 Cargo \n", "355595000 169.0 1 Cargo \n", "538001945 116.0 1 Tanker " ] }, "execution_count": 110, "metadata": {}, "output_type": "execute_result" } ], "source": [ "vessels.sample(n=10, replace=True)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Data aggregation and GroupBy operations\n", "\n", "One of the most powerful features of Pandas is its **GroupBy** functionality. On occasion we may want to perform operations on *groups* of observations within a dataset. For exmaple:\n", "\n", "* **aggregation**, such as computing the sum of mean of each group, which involves applying a function to each group and returning the aggregated results\n", "* **slicing** the DataFrame into groups and then doing something with the resulting slices (*e.g.* plotting)\n", "* group-wise **transformation**, such as standardization/normalization" ] }, { "cell_type": "code", "execution_count": 111, "metadata": { "collapsed": false }, "outputs": [], "source": [ "cdystonia_grouped = cdystonia.groupby(cdystonia.patient)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "This *grouped* dataset is hard to visualize\n", "\n" ] }, { "cell_type": "code", "execution_count": 112, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<pandas.core.groupby.DataFrameGroupBy object at 0x0000015D2F4443C8>" ] }, "execution_count": 112, "metadata": {}, "output_type": "execute_result" } ], "source": [ "cdystonia_grouped" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "However, the grouping is only an intermediate step; for example, we may want to **iterate** over each of the patient groups:" ] }, { "cell_type": "code", "execution_count": 113, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "patient 1\n", "group patient obs week site id treat age sex twstrs treatment\n", "0 1 1 0 1 1 5000U 65 F 32 1\n", "1 1 2 2 1 1 5000U 65 F 30 1\n", "2 1 3 4 1 1 5000U 65 F 24 1\n", "3 1 4 8 1 1 5000U 65 F 37 1\n", "4 1 5 12 1 1 5000U 65 F 39 1\n", "5 1 6 16 1 1 5000U 65 F 36 1\n", "patient 2\n", "group patient obs week site id treat age sex twstrs treatment\n", "6 2 1 0 1 2 Placebo 70 F 60 2\n", "7 2 2 2 1 2 Placebo 70 F 26 2\n", "8 2 3 4 1 2 Placebo 70 F 27 2\n", "9 2 4 8 1 2 Placebo 70 F 41 2\n", "10 2 5 12 1 2 Placebo 70 F 65 2\n", "11 2 6 16 1 2 Placebo 70 F 67 2\n", "patient 3\n", "group patient obs week site id treat age sex twstrs treatment\n", "12 3 1 0 1 3 5000U 64 F 44 1\n", "13 3 2 2 1 3 5000U 64 F 20 1\n", "14 3 3 4 1 3 5000U 64 F 23 1\n", "15 3 4 8 1 3 5000U 64 F 26 1\n", "16 3 5 12 1 3 5000U 64 F 35 1\n", "17 3 6 16 1 3 5000U 64 F 35 1\n", "patient 4\n", "group patient obs week site id treat age sex twstrs treatment\n", "18 4 1 0 1 4 10000U 59 F 53 0\n", "19 4 2 2 1 4 10000U 59 F 61 0\n", "20 4 3 4 1 4 10000U 59 F 64 0\n", "21 4 4 8 1 4 10000U 59 F 62 0\n", "patient 5\n", "group patient obs week site id treat age sex twstrs treatment\n", "22 5 1 0 1 5 Placebo 76 F 53 2\n", "23 5 2 2 1 5 Placebo 76 F 35 2\n", "24 5 3 4 1 5 Placebo 76 F 48 2\n", "25 5 4 8 1 5 Placebo 76 F 49 2\n", "26 5 5 12 1 5 Placebo 76 F 41 2\n", "27 5 6 16 1 5 Placebo 76 F 51 2\n", "patient 6\n", "group patient obs week site id treat age sex twstrs treatment\n", "28 6 1 0 1 6 Placebo 59 F 49 2\n", "29 6 2 2 1 6 Placebo 59 F 34 2\n", "30 6 3 4 1 6 Placebo 59 F 43 2\n", "31 6 4 8 1 6 Placebo 59 F 48 2\n", "32 6 5 12 1 6 Placebo 59 F 48 2\n", "33 6 6 16 1 6 Placebo 59 F 51 2\n", "patient 7\n", "group patient obs week site id treat age sex twstrs treatment\n", "34 7 1 0 1 7 5000U 72 M 42 1\n", "35 7 2 2 1 7 5000U 72 M 32 1\n", "36 7 3 4 1 7 5000U 72 M 32 1\n", "37 7 4 8 1 7 5000U 72 M 43 1\n", "38 7 5 12 1 7 5000U 72 M 42 1\n", "39 7 6 16 1 7 5000U 72 M 46 1\n", "patient 8\n", "group patient obs week site id treat age sex twstrs treatment\n", "40 8 1 0 1 8 10000U 40 M 34 0\n", "41 8 2 2 1 8 10000U 40 M 33 0\n", "42 8 3 4 1 8 10000U 40 M 21 0\n", "43 8 4 8 1 8 10000U 40 M 27 0\n", "44 8 5 12 1 8 10000U 40 M 32 0\n", "45 8 6 16 1 8 10000U 40 M 38 0\n", "patient 9\n", "group patient obs week site id treat age sex twstrs treatment\n", "46 9 1 0 1 9 5000U 52 F 41 1\n", "47 9 2 2 1 9 5000U 52 F 32 1\n", "48 9 3 4 1 9 5000U 52 F 34 1\n", "49 9 4 8 1 9 5000U 52 F 35 1\n", "50 9 5 12 1 9 5000U 52 F 37 1\n", "51 9 6 16 1 9 5000U 52 F 36 1\n", "patient 10\n", "group patient obs week site id treat age sex twstrs treatment\n", "52 10 1 0 1 10 10000U 47 M 27 0\n", "53 10 2 2 1 10 10000U 47 M 10 0\n", "54 10 3 4 1 10 10000U 47 M 31 0\n", "55 10 4 8 1 10 10000U 47 M 32 0\n", "56 10 5 12 1 10 10000U 47 M 6 0\n", "57 10 6 16 1 10 10000U 47 M 14 0\n", "patient 11\n", "group patient obs week site id treat age sex twstrs treatment\n", "58 11 1 0 1 11 Placebo 57 F 48 2\n", "59 11 2 2 1 11 Placebo 57 F 41 2\n", "60 11 3 4 1 11 Placebo 57 F 32 2\n", "61 11 4 8 1 11 Placebo 57 F 35 2\n", "62 11 5 12 1 11 Placebo 57 F 57 2\n", "63 11 6 16 1 11 Placebo 57 F 51 2\n", "patient 12\n", "group patient obs week site id treat age sex twstrs treatment\n", "64 12 1 0 1 12 10000U 47 F 34 0\n", "65 12 2 2 1 12 10000U 47 F 19 0\n", "66 12 3 4 1 12 10000U 47 F 21 0\n", "67 12 4 8 1 12 10000U 47 F 24 0\n", "68 12 5 12 1 12 10000U 47 F 28 0\n", "69 12 6 16 1 12 10000U 47 F 28 0\n", "patient 13\n", "group patient obs week site id treat age sex twstrs treatment\n", "70 13 1 0 2 1 10000U 70 F 49 0\n", "71 13 2 2 2 1 10000U 70 F 47 0\n", "72 13 3 4 2 1 10000U 70 F 44 0\n", "73 13 4 8 2 1 10000U 70 F 48 0\n", "74 13 5 12 2 1 10000U 70 F 44 0\n", "75 13 6 16 2 1 10000U 70 F 44 0\n", "patient 14\n", "group patient obs week site id treat age sex twstrs treatment\n", "76 14 1 0 2 2 5000U 49 F 46 1\n", "77 14 2 2 2 2 5000U 49 F 35 1\n", "78 14 3 4 2 2 5000U 49 F 45 1\n", "79 14 4 8 2 2 5000U 49 F 49 1\n", "80 14 5 12 2 2 5000U 49 F 53 1\n", "81 14 6 16 2 2 5000U 49 F 56 1\n", "patient 15\n", "group patient obs week site id treat age sex twstrs treatment\n", "82 15 1 0 2 3 Placebo 59 F 56 2\n", "83 15 2 2 2 3 Placebo 59 F 44 2\n", "84 15 3 4 2 3 Placebo 59 F 48 2\n", "85 15 4 8 2 3 Placebo 59 F 54 2\n", "86 15 5 12 2 3 Placebo 59 F 49 2\n", "87 15 6 16 2 3 Placebo 59 F 60 2\n", "patient 16\n", "group patient obs week site id treat age sex twstrs treatment\n", "88 16 1 0 2 4 5000U 64 M 59 1\n", "89 16 2 2 2 4 5000U 64 M 48 1\n", "90 16 3 4 2 4 5000U 64 M 56 1\n", "91 16 4 8 2 4 5000U 64 M 55 1\n", "92 16 5 12 2 4 5000U 64 M 57 1\n", "93 16 6 16 2 4 5000U 64 M 58 1\n", "patient 17\n", "group patient obs week site id treat age sex twstrs treatment\n", "94 17 1 0 2 5 Placebo 45 F 62 2\n", "95 17 2 2 2 5 Placebo 45 F 60 2\n", "96 17 3 4 2 5 Placebo 45 F 60 2\n", "97 17 4 8 2 5 Placebo 45 F 64 2\n", "98 17 5 12 2 5 Placebo 45 F 67 2\n", "99 17 6 16 2 5 Placebo 45 F 66 2\n", "patient 18\n", "group patient obs week site id treat age sex twstrs treatment\n", "100 18 1 0 2 6 10000U 66 F 50 0\n", "101 18 2 2 2 6 10000U 66 F 53 0\n", "102 18 3 4 2 6 10000U 66 F 52 0\n", "103 18 4 8 2 6 10000U 66 F 57 0\n", "104 18 5 12 2 6 10000U 66 F 61 0\n", "105 18 6 16 2 6 10000U 66 F 54 0\n", "patient 19\n", "group patient obs week site id treat age sex twstrs treatment\n", "106 19 1 0 2 7 Placebo 49 F 42 2\n", "107 19 2 2 2 7 Placebo 49 F 42 2\n", "108 19 3 4 2 7 Placebo 49 F 43 2\n", "109 19 4 8 2 7 Placebo 49 F 33 2\n", "110 19 5 12 2 7 Placebo 49 F 37 2\n", "111 19 6 16 2 7 Placebo 49 F 43 2\n", "patient 20\n", "group patient obs week site id treat age sex twstrs treatment\n", "112 20 1 0 2 8 10000U 54 F 53 0\n", "113 20 2 2 2 8 10000U 54 F 56 0\n", "114 20 3 4 2 8 10000U 54 F 52 0\n", "115 20 4 8 2 8 10000U 54 F 54 0\n", "116 20 5 12 2 8 10000U 54 F 55 0\n", "117 20 6 16 2 8 10000U 54 F 51 0\n", "patient 21\n", "group patient obs week site id treat age sex twstrs treatment\n", "118 21 1 0 2 9 5000U 47 F 67 1\n", "119 21 2 2 2 9 5000U 47 F 64 1\n", "120 21 3 4 2 9 5000U 47 F 65 1\n", "121 21 4 8 2 9 5000U 47 F 64 1\n", "122 21 5 12 2 9 5000U 47 F 62 1\n", "123 21 6 16 2 9 5000U 47 F 64 1\n", "patient 22\n", "group patient obs week site id treat age sex twstrs treatment\n", "124 22 1 0 2 10 10000U 31 M 44 0\n", "125 22 2 2 2 10 10000U 31 M 40 0\n", "126 22 3 4 2 10 10000U 31 M 32 0\n", "127 22 4 8 2 10 10000U 31 M 36 0\n", "128 22 5 12 2 10 10000U 31 M 42 0\n", "129 22 6 16 2 10 10000U 31 M 43 0\n", "patient 23\n", "group patient obs week site id treat age sex twstrs treatment\n", "130 23 1 0 2 11 Placebo 53 F 65 2\n", "131 23 2 2 2 11 Placebo 53 F 58 2\n", "132 23 3 4 2 11 Placebo 53 F 55 2\n", "133 23 5 12 2 11 Placebo 53 F 56 2\n", "134 23 6 16 2 11 Placebo 53 F 60 2\n", "patient 24\n", "group patient obs week site id treat age sex twstrs treatment\n", "135 24 1 0 2 12 5000U 61 M 56 1\n", "136 24 2 2 2 12 5000U 61 M 54 1\n", "137 24 3 4 2 12 5000U 61 M 52 1\n", "138 24 4 8 2 12 5000U 61 M 48 1\n", "139 24 5 12 2 12 5000U 61 M 52 1\n", "140 24 6 16 2 12 5000U 61 M 53 1\n", "patient 25\n", "group patient obs week site id treat age sex twstrs treatment\n", "141 25 1 0 2 13 10000U 40 M 30 0\n", "142 25 2 2 2 13 10000U 40 M 33 0\n", "143 25 3 4 2 13 10000U 40 M 25 0\n", "144 25 4 8 2 13 10000U 40 M 29 0\n", "145 25 5 12 2 13 10000U 40 M 32 0\n", "146 25 6 16 2 13 10000U 40 M 32 0\n", "patient 26\n", "group patient obs week site id treat age sex twstrs treatment\n", "147 26 1 0 2 14 5000U 67 M 47 1\n", "148 26 3 4 2 14 5000U 67 M 54 1\n", "149 26 4 8 2 14 5000U 67 M 43 1\n", "150 26 5 12 2 14 5000U 67 M 46 1\n", "151 26 6 16 2 14 5000U 67 M 50 1\n", "patient 27\n", "group patient obs week site id treat age sex twstrs treatment\n", "152 27 1 0 3 1 Placebo 54 F 50 2\n", "153 27 2 2 3 1 Placebo 54 F 43 2\n", "154 27 3 4 3 1 Placebo 54 F 51 2\n", "155 27 4 8 3 1 Placebo 54 F 46 2\n", "156 27 5 12 3 1 Placebo 54 F 49 2\n", "157 27 6 16 3 1 Placebo 54 F 53 2\n", "patient 28\n", "group patient obs week site id treat age sex twstrs treatment\n", "158 28 1 0 3 2 10000U 41 F 34 0\n", "159 28 2 2 3 2 10000U 41 F 29 0\n", "160 28 3 4 3 2 10000U 41 F 27 0\n", "161 28 4 8 3 2 10000U 41 F 21 0\n", "162 28 5 12 3 2 10000U 41 F 22 0\n", "163 28 6 16 3 2 10000U 41 F 22 0\n", "patient 29\n", "group patient obs week site id treat age sex twstrs treatment\n", "164 29 1 0 3 3 5000U 66 M 39 1\n", "165 29 2 2 3 3 5000U 66 M 41 1\n", "166 29 3 4 3 3 5000U 66 M 33 1\n", "167 29 4 8 3 3 5000U 66 M 39 1\n", "168 29 5 12 3 3 5000U 66 M 37 1\n", "169 29 6 16 3 3 5000U 66 M 37 1\n", "patient 30\n", "group patient obs week site id treat age sex twstrs treatment\n", "170 30 1 0 3 4 10000U 68 F 43 0\n", "171 30 2 2 3 4 10000U 68 F 31 0\n", "172 30 3 4 3 4 10000U 68 F 29 0\n", "173 30 4 8 3 4 10000U 68 F 28 0\n", "174 30 5 12 3 4 10000U 68 F 33 0\n", "175 30 6 16 3 4 10000U 68 F 38 0\n", "patient 31\n", "group patient obs week site id treat age sex twstrs treatment\n", "176 31 1 0 3 5 Placebo 41 F 46 2\n", "177 31 2 2 3 5 Placebo 41 F 26 2\n", "178 31 3 4 3 5 Placebo 41 F 29 2\n", "179 31 4 8 3 5 Placebo 41 F 33 2\n", "180 31 5 12 3 5 Placebo 41 F 45 2\n", "181 31 6 16 3 5 Placebo 41 F 56 2\n", "patient 32\n", "group patient obs week site id treat age sex twstrs treatment\n", "182 32 1 0 3 6 5000U 77 M 52 1\n", "183 32 2 2 3 6 5000U 77 M 44 1\n", "184 32 3 4 3 6 5000U 77 M 47 1\n", "185 32 4 8 3 6 5000U 77 M 50 1\n", "186 32 5 12 3 6 5000U 77 M 50 1\n", "187 32 6 16 3 6 5000U 77 M 49 1\n", "patient 33\n", "group patient obs week site id treat age sex twstrs treatment\n", "188 33 1 0 3 7 Placebo 41 M 38 2\n", "189 33 2 2 3 7 Placebo 41 M 19 2\n", "190 33 3 4 3 7 Placebo 41 M 20 2\n", "191 33 4 8 3 7 Placebo 41 M 27 2\n", "192 33 5 12 3 7 Placebo 41 M 29 2\n", "193 33 6 16 3 7 Placebo 41 M 32 2\n", "patient 34\n", "group patient obs week site id treat age sex twstrs treatment\n", "194 34 1 0 3 8 10000U 56 M 33 0\n", "195 34 2 2 3 8 10000U 56 M 38 0\n", "196 34 3 4 3 8 10000U 56 M 40 0\n", "197 34 4 8 3 8 10000U 56 M 48 0\n", "198 34 5 12 3 8 10000U 56 M 49 0\n", "199 34 6 16 3 8 10000U 56 M 44 0\n", "patient 35\n", "group patient obs week site id treat age sex twstrs treatment\n", "200 35 1 0 3 9 5000U 46 F 28 1\n", "201 35 2 2 3 9 5000U 46 F 16 1\n", "202 35 3 4 3 9 5000U 46 F 11 1\n", "203 35 4 8 3 9 5000U 46 F 7 1\n", "204 35 5 12 3 9 5000U 46 F 13 1\n", "205 35 6 16 3 9 5000U 46 F 21 1\n", "patient 36\n", "group patient obs week site id treat age sex twstrs treatment\n", "206 36 1 0 3 10 Placebo 46 F 34 2\n", "207 36 2 2 3 10 Placebo 46 F 23 2\n", "208 36 3 4 3 10 Placebo 46 F 16 2\n", "209 36 4 8 3 10 Placebo 46 F 15 2\n", "210 36 5 12 3 10 Placebo 46 F 17 2\n", "211 36 6 16 3 10 Placebo 46 F 29 2\n", "patient 37\n", "group patient obs week site id treat age sex twstrs treatment\n", "212 37 1 0 3 11 10000U 47 F 39 0\n", "213 37 2 2 3 11 10000U 47 F 37 0\n", "214 37 3 4 3 11 10000U 47 F 39 0\n", "215 37 4 8 3 11 10000U 47 F 39 0\n", "216 37 5 12 3 11 10000U 47 F 45 0\n", "217 37 6 16 3 11 10000U 47 F 43 0\n", "patient 38\n", "group patient obs week site id treat age sex twstrs treatment\n", "218 38 1 0 3 12 5000U 35 M 29 1\n", "219 38 2 2 3 12 5000U 35 M 42 1\n", "220 38 3 4 3 12 5000U 35 M 35 1\n", "221 38 4 8 3 12 5000U 35 M 24 1\n", "222 38 5 12 3 12 5000U 35 M 29 1\n", "223 38 6 16 3 12 5000U 35 M 42 1\n", "patient 39\n", "group patient obs week site id treat age sex twstrs treatment\n", "224 39 1 0 4 1 10000U 58 M 52 0\n", "225 39 2 2 4 1 10000U 58 M 55 0\n", "226 39 3 4 4 1 10000U 58 M 51 0\n", "227 39 4 8 4 1 10000U 58 M 52 0\n", "228 39 5 12 4 1 10000U 58 M 54 0\n", "229 39 6 16 4 1 10000U 58 M 57 0\n", "patient 40\n", "group patient obs week site id treat age sex twstrs treatment\n", "230 40 1 0 4 2 5000U 62 F 52 1\n", "231 40 2 2 4 2 5000U 62 F 30 1\n", "232 40 3 4 4 2 5000U 62 F 43 1\n", "233 40 4 8 4 2 5000U 62 F 45 1\n", "234 40 5 12 4 2 5000U 62 F 47 1\n", "235 40 6 16 4 2 5000U 62 F 46 1\n", "patient 41\n", "group patient obs week site id treat age sex twstrs treatment\n", "236 41 1 0 4 3 Placebo 73 F 54 2\n", "237 41 2 2 4 3 Placebo 73 F 52 2\n", "238 41 3 4 4 3 Placebo 73 F 52 2\n", "239 41 4 8 4 3 Placebo 73 F 54 2\n", "240 41 5 12 4 3 Placebo 73 F 51 2\n", "241 41 6 16 4 3 Placebo 73 F 57 2\n", "patient 42\n", "group patient obs week site id treat age sex twstrs treatment\n", "242 42 1 0 4 4 Placebo 52 F 52 2\n", "243 42 2 2 4 4 Placebo 52 F 44 2\n", "244 42 3 4 4 4 Placebo 52 F 33 2\n", "245 42 4 8 4 4 Placebo 52 F 54 2\n", "246 42 5 12 4 4 Placebo 52 F 46 2\n", "247 42 6 16 4 4 Placebo 52 F 47 2\n", "patient 43\n", "group patient obs week site id treat age sex twstrs treatment\n", "248 43 1 0 4 5 10000U 53 F 47 0\n", "249 43 2 2 4 5 10000U 53 F 45 0\n", "250 43 3 4 4 5 10000U 53 F 41 0\n", "251 43 4 8 4 5 10000U 53 F 45 0\n", "252 43 5 12 4 5 10000U 53 F 43 0\n", "253 43 6 16 4 5 10000U 53 F 41 0\n", "patient 44\n", "group patient obs week site id treat age sex twstrs treatment\n", "254 44 1 0 4 6 5000U 69 M 44 1\n", "255 44 2 2 4 6 5000U 69 M 34 1\n", "256 44 3 4 4 6 5000U 69 M 29 1\n", "257 44 4 8 4 6 5000U 69 M 28 1\n", "258 44 5 12 4 6 5000U 69 M 35 1\n", "259 44 6 16 4 6 5000U 69 M 41 1\n", "patient 45\n", "group patient obs week site id treat age sex twstrs treatment\n", "260 45 1 0 4 7 10000U 55 M 42 0\n", "261 45 2 2 4 7 10000U 55 M 39 0\n", "262 45 3 4 4 7 10000U 55 M 38 0\n", "263 45 4 8 4 7 10000U 55 M 47 0\n", "264 45 5 12 4 7 10000U 55 M 39 0\n", "265 45 6 16 4 7 10000U 55 M 39 0\n", "patient 46\n", "group patient obs week site id treat age sex twstrs treatment\n", "266 46 1 0 4 8 Placebo 52 F 42 2\n", "267 46 2 2 4 8 Placebo 52 F 14 2\n", "268 46 3 4 4 8 Placebo 52 F 9 2\n", "269 46 4 8 4 8 Placebo 52 F 9 2\n", "270 46 5 12 4 8 Placebo 52 F 16 2\n", "271 46 6 16 4 8 Placebo 52 F 33 2\n", "patient 47\n", "group patient obs week site id treat age sex twstrs treatment\n", "272 47 1 0 5 1 Placebo 51 F 44 2\n", "273 47 2 2 5 1 Placebo 51 F 34 2\n", "274 47 3 4 5 1 Placebo 51 F 32 2\n", "275 47 4 8 5 1 Placebo 51 F 35 2\n", "276 47 5 12 5 1 Placebo 51 F 54 2\n", "277 47 6 16 5 1 Placebo 51 F 53 2\n", "patient 48\n", "group patient obs week site id treat age sex twstrs treatment\n", "278 48 1 0 5 2 10000U 56 F 60 0\n", "279 48 2 2 5 2 10000U 56 F 57 0\n", "280 48 3 4 5 2 10000U 56 F 53 0\n", "281 48 4 8 5 2 10000U 56 F 52 0\n", "282 48 5 12 5 2 10000U 56 F 53 0\n", "283 48 6 16 5 2 10000U 56 F 58 0\n", "patient 49\n", "group patient obs week site id treat age sex twstrs treatment\n", "284 49 1 0 5 3 5000U 65 F 60 1\n", "285 49 2 2 5 3 5000U 65 F 53 1\n", "286 49 3 4 5 3 5000U 65 F 55 1\n", "287 49 4 8 5 3 5000U 65 F 62 1\n", "288 49 5 12 5 3 5000U 65 F 67 1\n", "patient 50\n", "group patient obs week site id treat age sex twstrs treatment\n", "289 50 1 0 5 4 Placebo 35 F 50 2\n", "290 50 2 2 5 4 Placebo 35 F 50 2\n", "291 50 4 8 5 4 Placebo 35 F 46 2\n", "292 50 5 12 5 4 Placebo 35 F 50 2\n", "293 50 6 16 5 4 Placebo 35 F 57 2\n", "patient 51\n", "group patient obs week site id treat age sex twstrs treatment\n", "294 51 1 0 5 5 5000U 43 M 38 1\n", "295 51 2 2 5 5 5000U 43 M 27 1\n", "296 51 3 4 5 5 5000U 43 M 16 1\n", "297 51 4 8 5 5 5000U 43 M 19 1\n", "298 51 5 12 5 5 5000U 43 M 23 1\n", "299 51 6 16 5 5 5000U 43 M 26 1\n", "patient 52\n", "group patient obs week site id treat age sex twstrs treatment\n", "300 52 1 0 5 6 10000U 61 M 44 0\n", "301 52 3 4 5 6 10000U 61 M 46 0\n", "302 52 4 8 5 6 10000U 61 M 26 0\n", "303 52 5 12 5 6 10000U 61 M 30 0\n", "304 52 6 16 5 6 10000U 61 M 34 0\n", "patient 53\n", "group patient obs week site id treat age sex twstrs treatment\n", "305 53 1 0 6 1 10000U 43 M 54 0\n", "306 53 2 2 6 1 10000U 43 M 53 0\n", "307 53 3 4 6 1 10000U 43 M 51 0\n", "308 53 4 8 6 1 10000U 43 M 56 0\n", "309 53 5 12 6 1 10000U 43 M 39 0\n", "310 53 6 16 6 1 10000U 43 M 9 0\n", "patient 54\n", "group patient obs week site id treat age sex twstrs treatment\n", "311 54 1 0 6 2 Placebo 64 F 54 2\n", "312 54 2 2 6 2 Placebo 64 F 32 2\n", "313 54 3 4 6 2 Placebo 64 F 40 2\n", "314 54 4 8 6 2 Placebo 64 F 52 2\n", "315 54 5 12 6 2 Placebo 64 F 42 2\n", "316 54 6 16 6 2 Placebo 64 F 47 2\n", "patient 55\n", "group patient obs week site id treat age sex twstrs treatment\n", "317 55 1 0 6 3 5000U 57 M 56 1\n", "318 55 2 2 6 3 5000U 57 M 55 1\n", "319 55 3 4 6 3 5000U 57 M 44 1\n", "320 55 4 8 6 3 5000U 57 M 50 1\n", "321 55 5 12 6 3 5000U 57 M 53 1\n", "322 55 6 16 6 3 5000U 57 M 52 1\n", "patient 56\n", "group patient obs week site id treat age sex twstrs treatment\n", "323 56 1 0 6 4 5000U 60 F 51 1\n", "324 56 2 2 6 4 5000U 60 F 50 1\n", "325 56 3 4 6 4 5000U 60 F 50 1\n", "326 56 4 8 6 4 5000U 60 F 56 1\n", "327 56 5 12 6 4 5000U 60 F 59 1\n", "328 56 6 16 6 4 5000U 60 F 53 1\n", "patient 57\n", "group patient obs week site id treat age sex twstrs treatment\n", "329 57 1 0 6 5 Placebo 44 F 53 2\n", "330 57 2 2 6 5 Placebo 44 F 56 2\n", "331 57 3 4 6 5 Placebo 44 F 47 2\n", "332 57 4 8 6 5 Placebo 44 F 53 2\n", "333 57 5 12 6 5 Placebo 44 F 51 2\n", "334 57 6 16 6 5 Placebo 44 F 51 2\n", "patient 58\n", "group patient obs week site id treat age sex twstrs treatment\n", "335 58 1 0 6 6 10000U 41 F 36 0\n", "336 58 2 2 6 6 10000U 41 F 29 0\n", "337 58 3 4 6 6 10000U 41 F 24 0\n", "338 58 4 8 6 6 10000U 41 F 32 0\n", "339 58 5 12 6 6 10000U 41 F 45 0\n", "340 58 6 16 6 6 10000U 41 F 36 0\n", "patient 59\n", "group patient obs week site id treat age sex twstrs treatment\n", "341 59 1 0 6 7 5000U 51 F 59 1\n", "342 59 2 2 6 7 5000U 51 F 53 1\n", "343 59 3 4 6 7 5000U 51 F 45 1\n", "344 59 4 8 6 7 5000U 51 F 44 1\n", "345 59 5 12 6 7 5000U 51 F 50 1\n", "346 59 6 16 6 7 5000U 51 F 48 1\n", "patient 60\n", "group patient obs week site id treat age sex twstrs treatment\n", "347 60 1 0 6 8 10000U 57 F 49 0\n", "348 60 2 2 6 8 10000U 57 F 50 0\n", "349 60 3 4 6 8 10000U 57 F 48 0\n", "350 60 4 8 6 8 10000U 57 F 56 0\n", "351 60 5 12 6 8 10000U 57 F 49 0\n", "352 60 6 16 6 8 10000U 57 F 57 0\n", "patient 61\n", "group patient obs week site id treat age sex twstrs treatment\n", "353 61 1 0 6 9 Placebo 42 F 50 2\n", "354 61 2 2 6 9 Placebo 42 F 38 2\n", "355 61 3 4 6 9 Placebo 42 F 42 2\n", "356 61 4 8 6 9 Placebo 42 F 43 2\n", "357 61 5 12 6 9 Placebo 42 F 42 2\n", "358 61 6 16 6 9 Placebo 42 F 46 2\n", "patient 62\n", "group patient obs week site id treat age sex twstrs treatment\n", "359 62 1 0 6 10 10000U 48 F 46 0\n", "360 62 2 2 6 10 10000U 48 F 48 0\n", "361 62 3 4 6 10 10000U 48 F 46 0\n", "362 62 4 8 6 10 10000U 48 F 57 0\n", "363 62 5 12 6 10 10000U 48 F 57 0\n", "364 62 6 16 6 10 10000U 48 F 49 0\n", "patient 63\n", "group patient obs week site id treat age sex twstrs treatment\n", "365 63 1 0 6 11 Placebo 57 M 55 2\n", "366 63 2 2 6 11 Placebo 57 M 34 2\n", "367 63 3 4 6 11 Placebo 57 M 26 2\n", "368 63 4 8 6 11 Placebo 57 M 40 2\n", "369 63 5 12 6 11 Placebo 57 M 49 2\n", "370 63 6 16 6 11 Placebo 57 M 47 2\n", "patient 64\n", "group patient obs week site id treat age sex twstrs treatment\n", "371 64 1 0 6 12 5000U 39 M 46 1\n", "372 64 2 2 6 12 5000U 39 M 44 1\n", "373 64 3 4 6 12 5000U 39 M 47 1\n", "374 64 4 8 6 12 5000U 39 M 50 1\n", "375 64 5 12 6 12 5000U 39 M 46 1\n", "376 64 6 16 6 12 5000U 39 M 51 1\n", "patient 65\n", "group patient obs week site id treat age sex twstrs treatment\n", "377 65 1 0 6 13 Placebo 67 M 34 2\n", "378 65 2 2 6 13 Placebo 67 M 31 2\n", "379 65 3 4 6 13 Placebo 67 M 25 2\n", "patient 66\n", "group patient obs week site id treat age sex twstrs treatment\n", "380 66 1 0 6 14 5000U 39 F 57 1\n", "381 66 2 2 6 14 5000U 39 F 48 1\n", "382 66 3 4 6 14 5000U 39 F 50 1\n", "383 66 4 8 6 14 5000U 39 F 50 1\n", "384 66 5 12 6 14 5000U 39 F 50 1\n", "385 66 6 16 6 14 5000U 39 F 49 1\n", "patient 67\n", "group patient obs week site id treat age sex twstrs treatment\n", "386 67 1 0 6 15 10000U 69 M 41 0\n", "387 67 2 2 6 15 10000U 69 M 40 0\n", "388 67 3 4 6 15 10000U 69 M 42 0\n", "389 67 4 8 6 15 10000U 69 M 38 0\n", "390 67 5 12 6 15 10000U 69 M 50 0\n", "391 67 6 16 6 15 10000U 69 M 56 0\n", "patient 68\n", "group patient obs week site id treat age sex twstrs treatment\n", "392 68 1 0 7 1 5000U 54 F 49 1\n", "393 68 2 2 7 1 5000U 54 F 25 1\n", "394 68 3 4 7 1 5000U 54 F 30 1\n", "395 68 4 8 7 1 5000U 54 F 41 1\n", "396 68 5 12 7 1 5000U 54 F 41 1\n", "397 68 6 16 7 1 5000U 54 F 31 1\n", "patient 69\n", "group patient obs week site id treat age sex twstrs treatment\n", "398 69 1 0 7 2 10000U 67 F 42 0\n", "399 69 2 2 7 2 10000U 67 F 30 0\n", "400 69 3 4 7 2 10000U 67 F 40 0\n", "401 69 4 8 7 2 10000U 67 F 43 0\n", "402 69 5 12 7 2 10000U 67 F 36 0\n", "403 69 6 16 7 2 10000U 67 F 45 0\n", "patient 70\n", "group patient obs week site id treat age sex twstrs treatment\n", "404 70 1 0 7 3 Placebo 58 F 31 2\n", "405 70 2 2 7 3 Placebo 58 F 18 2\n", "406 70 3 4 7 3 Placebo 58 F 23 2\n", "407 70 4 8 7 3 Placebo 58 F 26 2\n", "408 70 5 12 7 3 Placebo 58 F 33 2\n", "409 70 6 16 7 3 Placebo 58 F 41 2\n", "patient 71\n", "group patient obs week site id treat age sex twstrs treatment\n", "410 71 1 0 7 4 10000U 72 F 50 0\n", "411 71 2 2 7 4 10000U 72 F 27 0\n", "412 71 3 4 7 4 10000U 72 F 43 0\n", "413 71 4 8 7 4 10000U 72 F 32 0\n", "414 71 5 12 7 4 10000U 72 F 40 0\n", "415 71 6 16 7 4 10000U 72 F 47 0\n", "patient 72\n", "group patient obs week site id treat age sex twstrs treatment\n", "416 72 1 0 7 5 Placebo 65 F 35 2\n", "417 72 2 2 7 5 Placebo 65 F 24 2\n", "418 72 3 4 7 5 Placebo 65 F 34 2\n", "419 72 4 8 7 5 Placebo 65 F 28 2\n", "420 72 5 12 7 5 Placebo 65 F 34 2\n", "421 72 6 16 7 5 Placebo 65 F 28 2\n", "patient 73\n", "group patient obs week site id treat age sex twstrs treatment\n", "422 73 1 0 7 6 5000U 68 F 38 1\n", "423 73 2 2 7 6 5000U 68 F 25 1\n", "424 73 3 4 7 6 5000U 68 F 21 1\n", "425 73 4 8 7 6 5000U 68 F 33 1\n", "426 73 5 12 7 6 5000U 68 F 42 1\n", "427 73 6 16 7 6 5000U 68 F 53 1\n", "patient 74\n", "group patient obs week site id treat age sex twstrs treatment\n", "428 74 1 0 7 7 Placebo 75 F 53 2\n", "429 74 2 2 7 7 Placebo 75 F 40 2\n", "430 74 3 4 7 7 Placebo 75 F 38 2\n", "431 74 4 8 7 7 Placebo 75 F 44 2\n", "432 74 5 12 7 7 Placebo 75 F 47 2\n", "433 74 6 16 7 7 Placebo 75 F 53 2\n", "patient 75\n", "group patient obs week site id treat age sex twstrs treatment\n", "434 75 1 0 7 8 10000U 26 F 42 0\n", "435 75 2 2 7 8 10000U 26 F 48 0\n", "436 75 3 4 7 8 10000U 26 F 26 0\n", "437 75 4 8 7 8 10000U 26 F 37 0\n", "438 75 5 12 7 8 10000U 26 F 37 0\n", "439 75 6 16 7 8 10000U 26 F 43 0\n", "patient 76\n", "group patient obs week site id treat age sex twstrs treatment\n", "440 76 1 0 7 9 5000U 36 F 53 1\n", "441 76 2 2 7 9 5000U 36 F 45 1\n", "442 76 3 4 7 9 5000U 36 F 52 1\n", "443 76 4 8 7 9 5000U 36 F 51 1\n", "444 76 5 12 7 9 5000U 36 F 52 1\n", "445 76 6 16 7 9 5000U 36 F 53 1\n", "patient 77\n", "group patient obs week site id treat age sex twstrs treatment\n", "446 77 1 0 7 10 Placebo 72 M 46 2\n", "447 77 2 2 7 10 Placebo 72 M 47 2\n", "448 77 3 4 7 10 Placebo 72 M 45 2\n", "449 77 4 8 7 10 Placebo 72 M 45 2\n", "450 77 5 12 7 10 Placebo 72 M 50 2\n", "451 77 6 16 7 10 Placebo 72 M 52 2\n", "patient 78\n", "group patient obs week site id treat age sex twstrs treatment\n", "452 78 1 0 7 11 10000U 54 F 50 0\n", "453 78 2 2 7 11 10000U 54 F 42 0\n", "454 78 3 4 7 11 10000U 54 F 52 0\n", "455 78 4 8 7 11 10000U 54 F 60 0\n", "456 78 5 12 7 11 10000U 54 F 54 0\n", "457 78 6 16 7 11 10000U 54 F 59 0\n", "patient 79\n", "group patient obs week site id treat age sex twstrs treatment\n", "458 79 1 0 7 12 5000U 64 F 43 1\n", "459 79 2 2 7 12 5000U 64 F 24 1\n", "460 79 3 4 7 12 5000U 64 F 17 1\n", "461 79 4 8 7 12 5000U 64 F 37 1\n", "462 79 5 12 7 12 5000U 64 F 36 1\n", "463 79 6 16 7 12 5000U 64 F 38 1\n", "patient 80\n", "group patient obs week site id treat age sex twstrs treatment\n", "464 80 1 0 8 1 10000U 39 F 46 0\n", "465 80 2 2 8 1 10000U 39 F 39 0\n", "466 80 3 4 8 1 10000U 39 F 25 0\n", "467 80 4 8 8 1 10000U 39 F 15 0\n", "468 80 5 12 8 1 10000U 39 F 21 0\n", "469 80 6 16 8 1 10000U 39 F 25 0\n", "patient 81\n", "group patient obs week site id treat age sex twstrs treatment\n", "470 81 1 0 8 2 Placebo 54 M 41 2\n", "471 81 2 2 8 2 Placebo 54 M 30 2\n", "472 81 3 4 8 2 Placebo 54 M 44 2\n", "473 81 4 8 8 2 Placebo 54 M 46 2\n", "474 81 5 12 8 2 Placebo 54 M 46 2\n", "475 81 6 16 8 2 Placebo 54 M 44 2\n", "patient 82\n", "group patient obs week site id treat age sex twstrs treatment\n", "476 82 1 0 8 3 5000U 48 M 33 1\n", "477 82 2 2 8 3 5000U 48 M 27 1\n", "478 82 3 4 8 3 5000U 48 M 25 1\n", "479 82 4 8 8 3 5000U 48 M 30 1\n", "480 82 5 12 8 3 5000U 48 M 28 1\n", "481 82 6 16 8 3 5000U 48 M 30 1\n", "patient 83\n", "group patient obs week site id treat age sex twstrs treatment\n", "482 83 1 0 8 4 5000U 83 F 36 1\n", "483 83 2 2 8 4 5000U 83 F 15 1\n", "484 83 3 4 8 4 5000U 83 F 16 1\n", "485 83 4 8 8 4 5000U 83 F 17 1\n", "486 83 5 12 8 4 5000U 83 F 22 1\n", "487 83 6 16 8 4 5000U 83 F 41 1\n", "patient 84\n", "group patient obs week site id treat age sex twstrs treatment\n", "488 84 1 0 8 5 Placebo 74 M 33 2\n", "489 84 2 2 8 5 Placebo 74 M 32 2\n", "490 84 3 4 8 5 Placebo 74 M 31 2\n", "491 84 4 8 8 5 Placebo 74 M 27 2\n", "492 84 5 12 8 5 Placebo 74 M 49 2\n", "493 84 6 16 8 5 Placebo 74 M 60 2\n", "patient 85\n", "group patient obs week site id treat age sex twstrs treatment\n", "494 85 1 0 8 6 10000U 41 M 37 0\n", "patient 86\n", "group patient obs week site id treat age sex twstrs treatment\n", "495 86 1 0 8 7 Placebo 65 F 24 2\n", "496 86 2 2 8 7 Placebo 65 F 29 2\n", "497 86 3 4 8 7 Placebo 65 F 18 2\n", "498 86 4 8 8 7 Placebo 65 F 20 2\n", "499 86 5 12 8 7 Placebo 65 F 25 2\n", "500 86 6 16 8 7 Placebo 65 F 41 2\n", "patient 87\n", "group patient obs week site id treat age sex twstrs treatment\n", "501 87 1 0 8 8 5000U 79 M 42 1\n", "502 87 2 2 8 8 5000U 79 M 23 1\n", "503 87 3 4 8 8 5000U 79 M 30 1\n", "504 87 4 8 8 8 5000U 79 M 36 1\n", "505 87 5 12 8 8 5000U 79 M 41 1\n", "506 87 6 16 8 8 5000U 79 M 43 1\n", "patient 88\n", "group patient obs week site id treat age sex twstrs treatment\n", "507 88 1 0 8 9 10000U 63 M 30 0\n", "508 88 2 2 8 9 10000U 63 M 22 0\n", "509 88 3 4 8 9 10000U 63 M 21 0\n", "510 88 4 8 8 9 10000U 63 M 25 0\n", "511 88 5 12 8 9 10000U 63 M 26 0\n", "512 88 6 16 8 9 10000U 63 M 33 0\n", "patient 89\n", "group patient obs week site id treat age sex twstrs treatment\n", "513 89 1 0 8 10 10000U 63 F 42 0\n", "514 89 2 2 8 10 10000U 63 F 46 0\n", "515 89 3 4 8 10 10000U 63 F 41 0\n", "516 89 4 8 8 10 10000U 63 F 43 0\n", "517 89 5 12 8 10 10000U 63 F 49 0\n", "518 89 6 16 8 10 10000U 63 F 54 0\n", "patient 90\n", "group patient obs week site id treat age sex twstrs treatment\n", "519 90 1 0 8 11 Placebo 34 F 49 2\n", "520 90 2 2 8 11 Placebo 34 F 25 2\n", "521 90 3 4 8 11 Placebo 34 F 30 2\n", "522 90 4 8 8 11 Placebo 34 F 49 2\n", "523 90 5 12 8 11 Placebo 34 F 55 2\n", "524 90 6 16 8 11 Placebo 34 F 58 2\n", "patient 91\n", "group patient obs week site id treat age sex twstrs treatment\n", "525 91 1 0 8 12 5000U 42 M 58 1\n", "526 91 2 2 8 12 5000U 42 M 46 1\n", "527 91 3 4 8 12 5000U 42 M 46 1\n", "528 91 4 8 8 12 5000U 42 M 50 1\n", "529 91 5 12 8 12 5000U 42 M 56 1\n", "530 91 6 16 8 12 5000U 42 M 60 1\n", "patient 92\n", "group patient obs week site id treat age sex twstrs treatment\n", "531 92 1 0 8 13 10000U 57 M 26 0\n", "532 92 2 2 8 13 10000U 57 M 26 0\n", "533 92 3 4 8 13 10000U 57 M 27 0\n", "534 92 4 8 8 13 10000U 57 M 22 0\n", "535 92 5 12 8 13 10000U 57 M 38 0\n", "536 92 6 16 8 13 10000U 57 M 35 0\n", "patient 93\n", "group patient obs week site id treat age sex twstrs treatment\n", "537 93 1 0 8 14 5000U 68 M 37 1\n", "538 93 3 4 8 14 5000U 68 M 23 1\n", "539 93 4 8 8 14 5000U 68 M 18 1\n", "540 93 5 12 8 14 5000U 68 M 34 1\n", "541 93 6 16 8 14 5000U 68 M 36 1\n", "patient 94\n", "group patient obs week site id treat age sex twstrs treatment\n", "542 94 1 0 8 15 Placebo 51 M 40 2\n", "543 94 2 2 8 15 Placebo 51 M 24 2\n", "544 94 3 4 8 15 Placebo 51 M 25 2\n", "545 94 4 8 8 15 Placebo 51 M 37 2\n", "546 94 6 16 8 15 Placebo 51 M 38 2\n", "patient 95\n", "group patient obs week site id treat age sex twstrs treatment\n", "547 95 1 0 8 16 5000U 51 F 33 1\n", "548 95 2 2 8 16 5000U 51 F 10 1\n", "549 95 3 4 8 16 5000U 51 F 13 1\n", "550 95 4 8 8 16 5000U 51 F 16 1\n", "551 95 5 12 8 16 5000U 51 F 32 1\n", "552 95 6 16 8 16 5000U 51 F 16 1\n", "patient 96\n", "group patient obs week site id treat age sex twstrs treatment\n", "553 96 1 0 8 17 Placebo 61 F 41 2\n", "554 96 2 2 8 17 Placebo 61 F 50 2\n", "555 96 3 4 8 17 Placebo 61 F 22 2\n", "556 96 4 8 8 17 Placebo 61 F 28 2\n", "557 96 5 12 8 17 Placebo 61 F 34 2\n", "558 96 6 16 8 17 Placebo 61 F 36 2\n", "patient 97\n", "group patient obs week site id treat age sex twstrs treatment\n", "559 97 1 0 8 18 10000U 42 M 46 0\n", "560 97 3 4 8 18 10000U 42 M 41 0\n", "561 97 4 8 8 18 10000U 42 M 41 0\n", "562 97 5 12 8 18 10000U 42 M 58 0\n", "563 97 6 16 8 18 10000U 42 M 53 0\n", "patient 98\n", "group patient obs week site id treat age sex twstrs treatment\n", "564 98 1 0 8 19 Placebo 73 F 40 2\n", "565 98 2 2 8 19 Placebo 73 F 28 2\n", "566 98 3 4 8 19 Placebo 73 F 29 2\n", "567 98 4 8 8 19 Placebo 73 F 30 2\n", "568 98 5 12 8 19 Placebo 73 F 37 2\n", "569 98 6 16 8 19 Placebo 73 F 44 2\n", "patient 99\n", "group patient obs week site id treat age sex twstrs treatment\n", "570 99 1 0 9 1 Placebo 57 M 40 2\n", "571 99 2 2 9 1 Placebo 57 M 16 2\n", "572 99 3 4 9 1 Placebo 57 M 18 2\n", "573 99 4 8 9 1 Placebo 57 M 25 2\n", "574 99 5 12 9 1 Placebo 57 M 33 2\n", "575 99 6 16 9 1 Placebo 57 M 48 2\n", "patient 100\n", "group patient obs week site id treat age sex twstrs treatment\n", "576 100 1 0 9 2 10000U 59 M 61 0\n", "577 100 2 2 9 2 10000U 59 M 52 0\n", "578 100 3 4 9 2 10000U 59 M 61 0\n", "579 100 4 8 9 2 10000U 59 M 68 0\n", "580 100 5 12 9 2 10000U 59 M 59 0\n", "581 100 6 16 9 2 10000U 59 M 71 0\n", "patient 101\n", "group patient obs week site id treat age sex twstrs treatment\n", "582 101 1 0 9 3 5000U 57 M 35 1\n", "583 101 2 2 9 3 5000U 57 M 21 1\n", "584 101 3 4 9 3 5000U 57 M 29 1\n", "585 101 4 8 9 3 5000U 57 M 30 1\n", "586 101 5 12 9 3 5000U 57 M 35 1\n", "587 101 6 16 9 3 5000U 57 M 48 1\n", "patient 102\n", "group patient obs week site id treat age sex twstrs treatment\n", "588 102 1 0 9 4 10000U 68 F 58 0\n", "589 102 2 2 9 4 10000U 68 F 38 0\n", "590 102 3 4 9 4 10000U 68 F 50 0\n", "591 102 4 8 9 4 10000U 68 F 53 0\n", "592 102 5 12 9 4 10000U 68 F 47 0\n", "593 102 6 16 9 4 10000U 68 F 59 0\n", "patient 103\n", "group patient obs week site id treat age sex twstrs treatment\n", "594 103 1 0 9 5 5000U 55 F 49 1\n", "595 103 2 2 9 5 5000U 55 F 45 1\n", "596 103 3 4 9 5 5000U 55 F 36 1\n", "597 103 5 12 9 5 5000U 55 F 40 1\n", "598 103 6 16 9 5 5000U 55 F 52 1\n", "patient 104\n", "group patient obs week site id treat age sex twstrs treatment\n", "599 104 1 0 9 6 Placebo 46 F 52 2\n", "600 104 2 2 9 6 Placebo 46 F 46 2\n", "601 104 3 4 9 6 Placebo 46 F 36 2\n", "602 104 5 12 9 6 Placebo 46 F 45 2\n", "603 104 6 16 9 6 Placebo 46 F 54 2\n", "patient 105\n", "group patient obs week site id treat age sex twstrs treatment\n", "604 105 1 0 9 7 10000U 79 F 45 0\n", "605 105 2 2 9 7 10000U 79 F 46 0\n", "606 105 3 4 9 7 10000U 79 F 33 0\n", "607 105 4 8 9 7 10000U 79 F 44 0\n", "608 105 5 12 9 7 10000U 79 F 46 0\n", "609 105 6 16 9 7 10000U 79 F 48 0\n", "patient 106\n", "group patient obs week site id treat age sex twstrs treatment\n", "610 106 1 0 9 8 5000U 43 M 67 1\n", "611 106 2 2 9 8 5000U 43 M 63 1\n", "612 106 3 4 9 8 5000U 43 M 71 1\n", "613 106 4 8 9 8 5000U 43 M 66 1\n", "614 106 5 12 9 8 5000U 43 M 68 1\n", "615 106 6 16 9 8 5000U 43 M 71 1\n", "patient 107\n", "group patient obs week site id treat age sex twstrs treatment\n", "616 107 1 0 9 9 Placebo 50 M 57 2\n", "617 107 3 4 9 9 Placebo 50 M 36 2\n", "618 107 4 8 9 9 Placebo 50 M 23 2\n", "619 107 6 16 9 9 Placebo 50 M 52 2\n", "patient 108\n", "group patient obs week site id treat age sex twstrs treatment\n", "620 108 1 0 9 10 Placebo 39 F 63 2\n", "621 108 2 2 9 10 Placebo 39 F 51 2\n", "622 108 3 4 9 10 Placebo 39 F 46 2\n", "623 108 4 8 9 10 Placebo 39 F 50 2\n", "624 108 5 12 9 10 Placebo 39 F 50 2\n", "625 108 6 16 9 10 Placebo 39 F 54 2\n", "patient 109\n", "group patient obs week site id treat age sex twstrs treatment\n", "626 109 1 0 9 11 5000U 57 M 53 1\n", "627 109 2 2 9 11 5000U 57 M 38 1\n", "628 109 4 8 9 11 5000U 57 M 33 1\n", "629 109 5 12 9 11 5000U 57 M 36 1\n", "630 109 6 16 9 11 5000U 57 M 51 1\n" ] } ], "source": [ "for patient, group in cdystonia_grouped:\n", " print('patient', patient)\n", " print('group', group)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "A common data analysis procedure is the **split-apply-combine** operation, which groups subsets of data together, applies a function to each of the groups, then recombines them into a new data table.\n", "\n", "For example, we may want to aggregate our data with with some function.\n", "\n", "![split-apply-combine](http://f.cl.ly/items/0s0Z252j0X0c3k3P1M47/Screen%20Shot%202013-06-02%20at%203.04.04%20PM.png)\n", "\n", "<div align=\"right\">*(figure taken from \"Python for Data Analysis\", p.251)*</div>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We can aggregate in Pandas using the `aggregate` (or `agg`, for short) method:" ] }, { "cell_type": "code", "execution_count": 114, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>patient</th>\n", " <th>obs</th>\n", " <th>week</th>\n", " <th>site</th>\n", " <th>id</th>\n", " <th>age</th>\n", " <th>twstrs</th>\n", " <th>treatment</th>\n", " </tr>\n", " <tr>\n", " <th>patient</th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>1</th>\n", " <td>1.0</td>\n", " <td>3.5</td>\n", " <td>7.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>65.0</td>\n", " <td>33.000000</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>2.0</td>\n", " <td>3.5</td>\n", " <td>7.0</td>\n", " <td>1.0</td>\n", " <td>2.0</td>\n", " <td>70.0</td>\n", " <td>47.666667</td>\n", " <td>2.0</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>3.0</td>\n", " <td>3.5</td>\n", " <td>7.0</td>\n", " <td>1.0</td>\n", " <td>3.0</td>\n", " <td>64.0</td>\n", " <td>30.500000</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>4.0</td>\n", " <td>2.5</td>\n", " <td>3.5</td>\n", " <td>1.0</td>\n", " <td>4.0</td>\n", " <td>59.0</td>\n", " <td>60.000000</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td>5.0</td>\n", " <td>3.5</td>\n", " <td>7.0</td>\n", " <td>1.0</td>\n", " <td>5.0</td>\n", " <td>76.0</td>\n", " <td>46.166667</td>\n", " <td>2.0</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " patient obs week site id age twstrs treatment\n", "patient \n", "1 1.0 3.5 7.0 1.0 1.0 65.0 33.000000 1.0\n", "2 2.0 3.5 7.0 1.0 2.0 70.0 47.666667 2.0\n", "3 3.0 3.5 7.0 1.0 3.0 64.0 30.500000 1.0\n", "4 4.0 2.5 3.5 1.0 4.0 59.0 60.000000 0.0\n", "5 5.0 3.5 7.0 1.0 5.0 76.0 46.166667 2.0" ] }, "execution_count": 114, "metadata": {}, "output_type": "execute_result" } ], "source": [ "cdystonia_grouped.agg(np.mean).head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Notice that the `treat` and `sex` variables are not included in the aggregation. Since it does not make sense to aggregate non-string variables, these columns are simply ignored by the method.\n", "\n", "Some aggregation functions are so common that Pandas has a convenience method for them, such as `mean`:" ] }, { "cell_type": "code", "execution_count": 115, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>patient</th>\n", " <th>obs</th>\n", " <th>week</th>\n", " <th>site</th>\n", " <th>id</th>\n", " <th>age</th>\n", " <th>twstrs</th>\n", " <th>treatment</th>\n", " </tr>\n", " <tr>\n", " <th>patient</th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>1</th>\n", " <td>1.0</td>\n", " <td>3.5</td>\n", " <td>7.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>65.0</td>\n", " <td>33.000000</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>2.0</td>\n", " <td>3.5</td>\n", " <td>7.0</td>\n", " <td>1.0</td>\n", " <td>2.0</td>\n", " <td>70.0</td>\n", " <td>47.666667</td>\n", " <td>2.0</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>3.0</td>\n", " <td>3.5</td>\n", " <td>7.0</td>\n", " <td>1.0</td>\n", " <td>3.0</td>\n", " <td>64.0</td>\n", " <td>30.500000</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>4.0</td>\n", " <td>2.5</td>\n", " <td>3.5</td>\n", " <td>1.0</td>\n", " <td>4.0</td>\n", " <td>59.0</td>\n", " <td>60.000000</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td>5.0</td>\n", " <td>3.5</td>\n", " <td>7.0</td>\n", " <td>1.0</td>\n", " <td>5.0</td>\n", " <td>76.0</td>\n", " <td>46.166667</td>\n", " <td>2.0</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " patient obs week site id age twstrs treatment\n", "patient \n", "1 1.0 3.5 7.0 1.0 1.0 65.0 33.000000 1.0\n", "2 2.0 3.5 7.0 1.0 2.0 70.0 47.666667 2.0\n", "3 3.0 3.5 7.0 1.0 3.0 64.0 30.500000 1.0\n", "4 4.0 2.5 3.5 1.0 4.0 59.0 60.000000 0.0\n", "5 5.0 3.5 7.0 1.0 5.0 76.0 46.166667 2.0" ] }, "execution_count": 115, "metadata": {}, "output_type": "execute_result" } ], "source": [ "cdystonia_grouped.mean().head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The `add_prefix` and `add_suffix` methods can be used to give the columns of the resulting table labels that reflect the transformation:" ] }, { "cell_type": "code", "execution_count": 116, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>patient_mean</th>\n", " <th>obs_mean</th>\n", " <th>week_mean</th>\n", " <th>site_mean</th>\n", " <th>id_mean</th>\n", " <th>age_mean</th>\n", " <th>twstrs_mean</th>\n", " <th>treatment_mean</th>\n", " </tr>\n", " <tr>\n", " <th>patient</th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>1</th>\n", " <td>1.0</td>\n", " <td>3.5</td>\n", " <td>7.0</td>\n", " <td>1.0</td>\n", " <td>1.0</td>\n", " <td>65.0</td>\n", " <td>33.000000</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>2.0</td>\n", " <td>3.5</td>\n", " <td>7.0</td>\n", " <td>1.0</td>\n", " <td>2.0</td>\n", " <td>70.0</td>\n", " <td>47.666667</td>\n", " <td>2.0</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>3.0</td>\n", " <td>3.5</td>\n", " <td>7.0</td>\n", " <td>1.0</td>\n", " <td>3.0</td>\n", " <td>64.0</td>\n", " <td>30.500000</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>4.0</td>\n", " <td>2.5</td>\n", " <td>3.5</td>\n", " <td>1.0</td>\n", " <td>4.0</td>\n", " <td>59.0</td>\n", " <td>60.000000</td>\n", " <td>0.0</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td>5.0</td>\n", " <td>3.5</td>\n", " <td>7.0</td>\n", " <td>1.0</td>\n", " <td>5.0</td>\n", " <td>76.0</td>\n", " <td>46.166667</td>\n", " <td>2.0</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " patient_mean obs_mean week_mean site_mean id_mean age_mean \\\n", "patient \n", "1 1.0 3.5 7.0 1.0 1.0 65.0 \n", "2 2.0 3.5 7.0 1.0 2.0 70.0 \n", "3 3.0 3.5 7.0 1.0 3.0 64.0 \n", "4 4.0 2.5 3.5 1.0 4.0 59.0 \n", "5 5.0 3.5 7.0 1.0 5.0 76.0 \n", "\n", " twstrs_mean treatment_mean \n", "patient \n", "1 33.000000 1.0 \n", "2 47.666667 2.0 \n", "3 30.500000 1.0 \n", "4 60.000000 0.0 \n", "5 46.166667 2.0 " ] }, "execution_count": 116, "metadata": {}, "output_type": "execute_result" } ], "source": [ "cdystonia_grouped.mean().add_suffix('_mean').head()" ] }, { "cell_type": "code", "execution_count": 117, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "patient\n", "1 34.0\n", "2 50.5\n", "3 30.5\n", "4 61.5\n", "5 48.5\n", "6 48.0\n", "7 42.0\n", "8 32.5\n", "9 35.5\n", "10 20.5\n", "11 44.5\n", "12 26.0\n", "13 45.5\n", "14 47.5\n", "15 51.5\n", "16 56.5\n", "17 63.0\n", "18 53.5\n", "19 42.0\n", "20 53.5\n", "21 64.0\n", "22 41.0\n", "23 58.0\n", "24 52.5\n", "25 31.0\n", "26 47.0\n", "27 49.5\n", "28 24.5\n", "29 38.0\n", "30 32.0\n", " ... \n", "80 25.0\n", "81 44.0\n", "82 29.0\n", "83 19.5\n", "84 32.5\n", "85 37.0\n", "86 24.5\n", "87 38.5\n", "88 25.5\n", "89 44.5\n", "90 49.0\n", "91 53.0\n", "92 26.5\n", "93 34.0\n", "94 37.0\n", "95 16.0\n", "96 35.0\n", "97 46.0\n", "98 33.5\n", "99 29.0\n", "100 61.0\n", "101 32.5\n", "102 51.5\n", "103 45.0\n", "104 46.0\n", "105 45.5\n", "106 67.5\n", "107 44.0\n", "108 50.5\n", "109 38.0\n", "Name: twstrs, dtype: float64" ] }, "execution_count": 117, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# The median of the `twstrs` variable\n", "cdystonia_grouped['twstrs'].quantile(0.5)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "If we wish, we can easily aggregate according to multiple keys:" ] }, { "cell_type": "code", "execution_count": 118, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th></th>\n", " <th>patient</th>\n", " <th>obs</th>\n", " <th>id</th>\n", " <th>age</th>\n", " <th>twstrs</th>\n", " <th>treatment</th>\n", " </tr>\n", " <tr>\n", " <th>week</th>\n", " <th>site</th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th rowspan=\"5\" valign=\"top\">0</th>\n", " <th>1</th>\n", " <td>6.5</td>\n", " <td>1.0</td>\n", " <td>6.5</td>\n", " <td>59.000000</td>\n", " <td>43.083333</td>\n", " <td>1.000000</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>19.5</td>\n", " <td>1.0</td>\n", " <td>7.5</td>\n", " <td>53.928571</td>\n", " <td>51.857143</td>\n", " <td>0.928571</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>32.5</td>\n", " <td>1.0</td>\n", " <td>6.5</td>\n", " <td>51.500000</td>\n", " <td>38.750000</td>\n", " <td>1.000000</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>42.5</td>\n", " <td>1.0</td>\n", " <td>4.5</td>\n", " <td>59.250000</td>\n", " <td>48.125000</td>\n", " <td>1.000000</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td>49.5</td>\n", " <td>1.0</td>\n", " <td>3.5</td>\n", " <td>51.833333</td>\n", " <td>49.333333</td>\n", " <td>1.000000</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " patient obs id age twstrs treatment\n", "week site \n", "0 1 6.5 1.0 6.5 59.000000 43.083333 1.000000\n", " 2 19.5 1.0 7.5 53.928571 51.857143 0.928571\n", " 3 32.5 1.0 6.5 51.500000 38.750000 1.000000\n", " 4 42.5 1.0 4.5 59.250000 48.125000 1.000000\n", " 5 49.5 1.0 3.5 51.833333 49.333333 1.000000" ] }, "execution_count": 118, "metadata": {}, "output_type": "execute_result" } ], "source": [ "cdystonia.groupby(['week','site']).mean().head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Alternately, we can **transform** the data, using a function of our choice with the `transform` method:" ] }, { "cell_type": "code", "execution_count": 119, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>patient</th>\n", " <th>obs</th>\n", " <th>week</th>\n", " <th>site</th>\n", " <th>id</th>\n", " <th>age</th>\n", " <th>twstrs</th>\n", " <th>treatment</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>NaN</td>\n", " <td>-1.336306</td>\n", " <td>-1.135550</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>-0.181369</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>NaN</td>\n", " <td>-0.801784</td>\n", " <td>-0.811107</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>-0.544107</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>NaN</td>\n", " <td>-0.267261</td>\n", " <td>-0.486664</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>-1.632322</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>NaN</td>\n", " <td>0.267261</td>\n", " <td>0.162221</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>0.725476</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>NaN</td>\n", " <td>0.801784</td>\n", " <td>0.811107</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1.088214</td>\n", " <td>NaN</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " patient obs week site id age twstrs treatment\n", "0 NaN -1.336306 -1.135550 NaN NaN NaN -0.181369 NaN\n", "1 NaN -0.801784 -0.811107 NaN NaN NaN -0.544107 NaN\n", "2 NaN -0.267261 -0.486664 NaN NaN NaN -1.632322 NaN\n", "3 NaN 0.267261 0.162221 NaN NaN NaN 0.725476 NaN\n", "4 NaN 0.801784 0.811107 NaN NaN NaN 1.088214 NaN" ] }, "execution_count": 119, "metadata": {}, "output_type": "execute_result" } ], "source": [ "normalize = lambda x: (x - x.mean())/x.std()\n", "\n", "cdystonia_grouped.transform(normalize).head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "It is easy to do column selection within `groupby` operations, if we are only interested split-apply-combine operations on a subset of columns:" ] }, { "cell_type": "code", "execution_count": 120, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "patient\n", "1 33.000000\n", "2 47.666667\n", "3 30.500000\n", "4 60.000000\n", "5 46.166667\n", "Name: twstrs, dtype: float64" ] }, "execution_count": 120, "metadata": {}, "output_type": "execute_result" } ], "source": [ "cdystonia_grouped['twstrs'].mean().head()" ] }, { "cell_type": "code", "execution_count": 121, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>twstrs</th>\n", " </tr>\n", " <tr>\n", " <th>patient</th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>1</th>\n", " <td>33.000000</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>47.666667</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>30.500000</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>60.000000</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td>46.166667</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " twstrs\n", "patient \n", "1 33.000000\n", "2 47.666667\n", "3 30.500000\n", "4 60.000000\n", "5 46.166667" ] }, "execution_count": 121, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# This gives the same result as a DataFrame\n", "cdystonia_grouped[['twstrs']].mean().head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "If you simply want to divide your DataFrame into chunks for later use, its easy to convert them into a dict so that they can be easily indexed out as needed:" ] }, { "cell_type": "code", "execution_count": 122, "metadata": { "collapsed": false }, "outputs": [], "source": [ "chunks = dict(list(cdystonia_grouped))" ] }, { "cell_type": "code", "execution_count": 123, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>patient</th>\n", " <th>obs</th>\n", " <th>week</th>\n", " <th>site</th>\n", " <th>id</th>\n", " <th>treat</th>\n", " <th>age</th>\n", " <th>sex</th>\n", " <th>twstrs</th>\n", " <th>treatment</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>18</th>\n", " <td>4</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>4</td>\n", " <td>10000U</td>\n", " <td>59</td>\n", " <td>F</td>\n", " <td>53</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>19</th>\n", " <td>4</td>\n", " <td>2</td>\n", " <td>2</td>\n", " <td>1</td>\n", " <td>4</td>\n", " <td>10000U</td>\n", " <td>59</td>\n", " <td>F</td>\n", " <td>61</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>20</th>\n", " <td>4</td>\n", " <td>3</td>\n", " <td>4</td>\n", " <td>1</td>\n", " <td>4</td>\n", " <td>10000U</td>\n", " <td>59</td>\n", " <td>F</td>\n", " <td>64</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>21</th>\n", " <td>4</td>\n", " <td>4</td>\n", " <td>8</td>\n", " <td>1</td>\n", " <td>4</td>\n", " <td>10000U</td>\n", " <td>59</td>\n", " <td>F</td>\n", " <td>62</td>\n", " <td>0</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " patient obs week site id treat age sex twstrs treatment\n", "18 4 1 0 1 4 10000U 59 F 53 0\n", "19 4 2 2 1 4 10000U 59 F 61 0\n", "20 4 3 4 1 4 10000U 59 F 64 0\n", "21 4 4 8 1 4 10000U 59 F 62 0" ] }, "execution_count": 123, "metadata": {}, "output_type": "execute_result" } ], "source": [ "chunks[4]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "By default, `groupby` groups by row, but we can specify the `axis` argument to change this. For example, we can group our columns by `dtype` this way:" ] }, { "cell_type": "code", "execution_count": 124, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "{dtype('int64'): patient obs week site id age twstrs treatment\n", " 0 1 1 0 1 1 65 32 1\n", " 1 1 2 2 1 1 65 30 1\n", " 2 1 3 4 1 1 65 24 1\n", " 3 1 4 8 1 1 65 37 1\n", " 4 1 5 12 1 1 65 39 1\n", " 5 1 6 16 1 1 65 36 1\n", " 6 2 1 0 1 2 70 60 2\n", " 7 2 2 2 1 2 70 26 2\n", " 8 2 3 4 1 2 70 27 2\n", " 9 2 4 8 1 2 70 41 2\n", " 10 2 5 12 1 2 70 65 2\n", " 11 2 6 16 1 2 70 67 2\n", " 12 3 1 0 1 3 64 44 1\n", " 13 3 2 2 1 3 64 20 1\n", " 14 3 3 4 1 3 64 23 1\n", " 15 3 4 8 1 3 64 26 1\n", " 16 3 5 12 1 3 64 35 1\n", " 17 3 6 16 1 3 64 35 1\n", " 18 4 1 0 1 4 59 53 0\n", " 19 4 2 2 1 4 59 61 0\n", " 20 4 3 4 1 4 59 64 0\n", " 21 4 4 8 1 4 59 62 0\n", " 22 5 1 0 1 5 76 53 2\n", " 23 5 2 2 1 5 76 35 2\n", " 24 5 3 4 1 5 76 48 2\n", " 25 5 4 8 1 5 76 49 2\n", " 26 5 5 12 1 5 76 41 2\n", " 27 5 6 16 1 5 76 51 2\n", " 28 6 1 0 1 6 59 49 2\n", " 29 6 2 2 1 6 59 34 2\n", " .. ... ... ... ... .. ... ... ...\n", " 601 104 3 4 9 6 46 36 2\n", " 602 104 5 12 9 6 46 45 2\n", " 603 104 6 16 9 6 46 54 2\n", " 604 105 1 0 9 7 79 45 0\n", " 605 105 2 2 9 7 79 46 0\n", " 606 105 3 4 9 7 79 33 0\n", " 607 105 4 8 9 7 79 44 0\n", " 608 105 5 12 9 7 79 46 0\n", " 609 105 6 16 9 7 79 48 0\n", " 610 106 1 0 9 8 43 67 1\n", " 611 106 2 2 9 8 43 63 1\n", " 612 106 3 4 9 8 43 71 1\n", " 613 106 4 8 9 8 43 66 1\n", " 614 106 5 12 9 8 43 68 1\n", " 615 106 6 16 9 8 43 71 1\n", " 616 107 1 0 9 9 50 57 2\n", " 617 107 3 4 9 9 50 36 2\n", " 618 107 4 8 9 9 50 23 2\n", " 619 107 6 16 9 9 50 52 2\n", " 620 108 1 0 9 10 39 63 2\n", " 621 108 2 2 9 10 39 51 2\n", " 622 108 3 4 9 10 39 46 2\n", " 623 108 4 8 9 10 39 50 2\n", " 624 108 5 12 9 10 39 50 2\n", " 625 108 6 16 9 10 39 54 2\n", " 626 109 1 0 9 11 57 53 1\n", " 627 109 2 2 9 11 57 38 1\n", " 628 109 4 8 9 11 57 33 1\n", " 629 109 5 12 9 11 57 36 1\n", " 630 109 6 16 9 11 57 51 1\n", " \n", " [631 rows x 8 columns], category: treat\n", " 0 5000U\n", " 1 5000U\n", " 2 5000U\n", " 3 5000U\n", " 4 5000U\n", " 5 5000U\n", " 6 Placebo\n", " 7 Placebo\n", " 8 Placebo\n", " 9 Placebo\n", " 10 Placebo\n", " 11 Placebo\n", " 12 5000U\n", " 13 5000U\n", " 14 5000U\n", " 15 5000U\n", " 16 5000U\n", " 17 5000U\n", " 18 10000U\n", " 19 10000U\n", " 20 10000U\n", " 21 10000U\n", " 22 Placebo\n", " 23 Placebo\n", " 24 Placebo\n", " 25 Placebo\n", " 26 Placebo\n", " 27 Placebo\n", " 28 Placebo\n", " 29 Placebo\n", " .. ...\n", " 601 Placebo\n", " 602 Placebo\n", " 603 Placebo\n", " 604 10000U\n", " 605 10000U\n", " 606 10000U\n", " 607 10000U\n", " 608 10000U\n", " 609 10000U\n", " 610 5000U\n", " 611 5000U\n", " 612 5000U\n", " 613 5000U\n", " 614 5000U\n", " 615 5000U\n", " 616 Placebo\n", " 617 Placebo\n", " 618 Placebo\n", " 619 Placebo\n", " 620 Placebo\n", " 621 Placebo\n", " 622 Placebo\n", " 623 Placebo\n", " 624 Placebo\n", " 625 Placebo\n", " 626 5000U\n", " 627 5000U\n", " 628 5000U\n", " 629 5000U\n", " 630 5000U\n", " \n", " [631 rows x 1 columns], dtype('O'): sex\n", " 0 F\n", " 1 F\n", " 2 F\n", " 3 F\n", " 4 F\n", " 5 F\n", " 6 F\n", " 7 F\n", " 8 F\n", " 9 F\n", " 10 F\n", " 11 F\n", " 12 F\n", " 13 F\n", " 14 F\n", " 15 F\n", " 16 F\n", " 17 F\n", " 18 F\n", " 19 F\n", " 20 F\n", " 21 F\n", " 22 F\n", " 23 F\n", " 24 F\n", " 25 F\n", " 26 F\n", " 27 F\n", " 28 F\n", " 29 F\n", " .. ..\n", " 601 F\n", " 602 F\n", " 603 F\n", " 604 F\n", " 605 F\n", " 606 F\n", " 607 F\n", " 608 F\n", " 609 F\n", " 610 M\n", " 611 M\n", " 612 M\n", " 613 M\n", " 614 M\n", " 615 M\n", " 616 M\n", " 617 M\n", " 618 M\n", " 619 M\n", " 620 F\n", " 621 F\n", " 622 F\n", " 623 F\n", " 624 F\n", " 625 F\n", " 626 M\n", " 627 M\n", " 628 M\n", " 629 M\n", " 630 M\n", " \n", " [631 rows x 1 columns]}" ] }, "execution_count": 124, "metadata": {}, "output_type": "execute_result" } ], "source": [ "grouped_by_type = cdystonia.groupby(cdystonia.dtypes, axis=1)\n", "{g:grouped_by_type.get_group(g) for g in grouped_by_type.groups}" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Its also possible to group by one or more levels of a hierarchical index. Recall `cdystonia2`, which we created with a hierarchical index:" ] }, { "cell_type": "code", "execution_count": 125, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th></th>\n", " <th>week</th>\n", " <th>site</th>\n", " <th>id</th>\n", " <th>treat</th>\n", " <th>age</th>\n", " <th>sex</th>\n", " <th>twstrs</th>\n", " </tr>\n", " <tr>\n", " <th>patient</th>\n", " <th>obs</th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th rowspan=\"6\" valign=\"top\">1</th>\n", " <th>1</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>5000U</td>\n", " <td>65</td>\n", " <td>F</td>\n", " <td>32</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>2</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>5000U</td>\n", " <td>65</td>\n", " <td>F</td>\n", " <td>30</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>4</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>5000U</td>\n", " <td>65</td>\n", " <td>F</td>\n", " <td>24</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>8</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>5000U</td>\n", " <td>65</td>\n", " <td>F</td>\n", " <td>37</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td>12</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>5000U</td>\n", " <td>65</td>\n", " <td>F</td>\n", " <td>39</td>\n", " </tr>\n", " <tr>\n", " <th>6</th>\n", " <td>16</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>5000U</td>\n", " <td>65</td>\n", " <td>F</td>\n", " <td>36</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"4\" valign=\"top\">2</th>\n", " <th>1</th>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>2</td>\n", " <td>10000U</td>\n", " <td>70</td>\n", " <td>F</td>\n", " <td>60</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>2</td>\n", " <td>1</td>\n", " <td>2</td>\n", " <td>10000U</td>\n", " <td>70</td>\n", " <td>F</td>\n", " <td>26</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>4</td>\n", " <td>1</td>\n", " <td>2</td>\n", " <td>10000U</td>\n", " <td>70</td>\n", " <td>F</td>\n", " <td>27</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>8</td>\n", " <td>1</td>\n", " <td>2</td>\n", " <td>10000U</td>\n", " <td>70</td>\n", " <td>F</td>\n", " <td>41</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " week site id treat age sex twstrs\n", "patient obs \n", "1 1 0 1 1 5000U 65 F 32\n", " 2 2 1 1 5000U 65 F 30\n", " 3 4 1 1 5000U 65 F 24\n", " 4 8 1 1 5000U 65 F 37\n", " 5 12 1 1 5000U 65 F 39\n", " 6 16 1 1 5000U 65 F 36\n", "2 1 0 1 2 10000U 70 F 60\n", " 2 2 1 2 10000U 70 F 26\n", " 3 4 1 2 10000U 70 F 27\n", " 4 8 1 2 10000U 70 F 41" ] }, "execution_count": 125, "metadata": {}, "output_type": "execute_result" } ], "source": [ "cdystonia2.head(10)" ] }, { "cell_type": "code", "execution_count": 126, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "obs\n", "1 45.651376\n", "2 37.611650\n", "3 37.066038\n", "4 39.807692\n", "5 42.913462\n", "6 45.628571\n", "Name: twstrs, dtype: float64" ] }, "execution_count": 126, "metadata": {}, "output_type": "execute_result" } ], "source": [ "cdystonia2.groupby(level='obs', axis=0)['twstrs'].mean()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Apply\n", "\n", "We can generalize the split-apply-combine methodology by using `apply` function. This allows us to invoke any function we wish on a grouped dataset and recombine them into a DataFrame." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The function below takes a DataFrame and a column name, sorts by the column, and takes the `n` largest values of that column. We can use this with `apply` to return the largest values from every group in a DataFrame in a single call. " ] }, { "cell_type": "code", "execution_count": 127, "metadata": { "collapsed": false }, "outputs": [], "source": [ "def top(df, column, n=5):\n", " return df.sort_values(by=column, ascending=False)[:n]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "To see this in action, consider the vessel transit segments dataset (which we merged with the vessel information to yield `segments_merged`). Say we wanted to return the 3 longest segments travelled by each ship:" ] }, { "cell_type": "code", "execution_count": 128, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th></th>\n", " <th>names</th>\n", " <th>seg_length</th>\n", " </tr>\n", " <tr>\n", " <th>mmsi</th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th rowspan=\"3\" valign=\"top\">1</th>\n", " <th>6</th>\n", " <td>Bil Holman Dredge/Dredge Capt Frank/Emo/Offsho...</td>\n", " <td>76.0</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td>Bil Holman Dredge/Dredge Capt Frank/Emo/Offsho...</td>\n", " <td>17.4</td>\n", " </tr>\n", " <tr>\n", " <th>7</th>\n", " <td>Bil Holman Dredge/Dredge Capt Frank/Emo/Offsho...</td>\n", " <td>13.7</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"3\" valign=\"top\">9</th>\n", " <th>15</th>\n", " <td>000000009/Raven/Shearwater</td>\n", " <td>47.2</td>\n", " </tr>\n", " <tr>\n", " <th>14</th>\n", " <td>000000009/Raven/Shearwater</td>\n", " <td>31.4</td>\n", " </tr>\n", " <tr>\n", " <th>13</th>\n", " <td>000000009/Raven/Shearwater</td>\n", " <td>19.3</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"3\" valign=\"top\">21</th>\n", " <th>16</th>\n", " <td>Us Gov Vessel</td>\n", " <td>48.7</td>\n", " </tr>\n", " <tr>\n", " <th>25</th>\n", " <td>Us Gov Vessel</td>\n", " <td>25.3</td>\n", " </tr>\n", " <tr>\n", " <th>30</th>\n", " <td>Us Gov Vessel</td>\n", " <td>21.7</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"2\" valign=\"top\">74</th>\n", " <th>35</th>\n", " <td>Mcfaul/Sarah Bell</td>\n", " <td>7.4</td>\n", " </tr>\n", " <tr>\n", " <th>34</th>\n", " <td>Mcfaul/Sarah Bell</td>\n", " <td>1.4</td>\n", " </tr>\n", " <tr>\n", " <th rowspan=\"3\" valign=\"top\">103</th>\n", " <th>37</th>\n", " <td>Ron G/Us Navy Warship 103/Us Warship 103</td>\n", " <td>87.5</td>\n", " </tr>\n", " <tr>\n", " <th>41</th>\n", " <td>Ron G/Us Navy Warship 103/Us Warship 103</td>\n", " <td>62.6</td>\n", " </tr>\n", " <tr>\n", " <th>43</th>\n", " <td>Ron G/Us Navy Warship 103/Us Warship 103</td>\n", " <td>59.1</td>\n", " </tr>\n", " <tr>\n", " <th>310</th>\n", " <th>51</th>\n", " <td>Arabella</td>\n", " <td>77.4</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " names seg_length\n", "mmsi \n", "1 6 Bil Holman Dredge/Dredge Capt Frank/Emo/Offsho... 76.0\n", " 5 Bil Holman Dredge/Dredge Capt Frank/Emo/Offsho... 17.4\n", " 7 Bil Holman Dredge/Dredge Capt Frank/Emo/Offsho... 13.7\n", "9 15 000000009/Raven/Shearwater 47.2\n", " 14 000000009/Raven/Shearwater 31.4\n", " 13 000000009/Raven/Shearwater 19.3\n", "21 16 Us Gov Vessel 48.7\n", " 25 Us Gov Vessel 25.3\n", " 30 Us Gov Vessel 21.7\n", "74 35 Mcfaul/Sarah Bell 7.4\n", " 34 Mcfaul/Sarah Bell 1.4\n", "103 37 Ron G/Us Navy Warship 103/Us Warship 103 87.5\n", " 41 Ron G/Us Navy Warship 103/Us Warship 103 62.6\n", " 43 Ron G/Us Navy Warship 103/Us Warship 103 59.1\n", "310 51 Arabella 77.4" ] }, "execution_count": 128, "metadata": {}, "output_type": "execute_result" } ], "source": [ "top3segments = segments_merged.groupby('mmsi').apply(top, column='seg_length', n=3)[['names', 'seg_length']]\n", "top3segments.head(15)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Notice that additional arguments for the applied function can be passed via `apply` after the function name. It assumes that the DataFrame is the first argument." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Recall the microbiome data sets that we used previously for the concatenation example. Suppose that we wish to aggregate the data at a higher biological classification than genus. For example, we can identify samples down to *class*, which is the 3rd level of organization in each index." ] }, { "cell_type": "code", "execution_count": 129, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "Index(['Archaea \"Crenarchaeota\" Thermoprotei Desulfurococcales Desulfurococcaceae Ignisphaera',\n", " 'Archaea \"Crenarchaeota\" Thermoprotei Desulfurococcales Pyrodictiaceae Pyrolobus',\n", " 'Archaea \"Crenarchaeota\" Thermoprotei Sulfolobales Sulfolobaceae Stygiolobus'],\n", " dtype='object', name='Taxon')" ] }, "execution_count": 129, "metadata": {}, "output_type": "execute_result" } ], "source": [ "mb1.index[:3]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Using the string methods `split` and `join` we can create an index that just uses the first three classifications: domain, phylum and class." ] }, { "cell_type": "code", "execution_count": 130, "metadata": { "collapsed": false }, "outputs": [], "source": [ "class_index = mb1.index.map(lambda x: ' '.join(x.split(' ')[:3]))" ] }, { "cell_type": "code", "execution_count": 131, "metadata": { "collapsed": false }, "outputs": [], "source": [ "mb_class = mb1.copy()\n", "mb_class.index = class_index" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "However, since there are multiple taxonomic units with the same class, our index is no longer unique:" ] }, { "cell_type": "code", "execution_count": 132, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Count</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>Archaea \"Crenarchaeota\" Thermoprotei</th>\n", " <td>7</td>\n", " </tr>\n", " <tr>\n", " <th>Archaea \"Crenarchaeota\" Thermoprotei</th>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>Archaea \"Crenarchaeota\" Thermoprotei</th>\n", " <td>3</td>\n", " </tr>\n", " <tr>\n", " <th>Archaea \"Crenarchaeota\" Thermoprotei</th>\n", " <td>3</td>\n", " </tr>\n", " <tr>\n", " <th>Archaea \"Euryarchaeota\" \"Methanomicrobia\"</th>\n", " <td>7</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " Count\n", "Archaea \"Crenarchaeota\" Thermoprotei 7\n", "Archaea \"Crenarchaeota\" Thermoprotei 2\n", "Archaea \"Crenarchaeota\" Thermoprotei 3\n", "Archaea \"Crenarchaeota\" Thermoprotei 3\n", "Archaea \"Euryarchaeota\" \"Methanomicrobia\" 7" ] }, "execution_count": 132, "metadata": {}, "output_type": "execute_result" } ], "source": [ "mb_class.head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We can re-establish a unique index by summing all rows with the same class, using `groupby`:" ] }, { "cell_type": "code", "execution_count": 133, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Count</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>Archaea \"Crenarchaeota\" Thermoprotei</th>\n", " <td>15</td>\n", " </tr>\n", " <tr>\n", " <th>Archaea \"Euryarchaeota\" \"Methanomicrobia\"</th>\n", " <td>9</td>\n", " </tr>\n", " <tr>\n", " <th>Archaea \"Euryarchaeota\" Archaeoglobi</th>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>Archaea \"Euryarchaeota\" Halobacteria</th>\n", " <td>12</td>\n", " </tr>\n", " <tr>\n", " <th>Archaea \"Euryarchaeota\" Methanococci</th>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>Archaea \"Euryarchaeota\" Methanopyri</th>\n", " <td>12</td>\n", " </tr>\n", " <tr>\n", " <th>Archaea \"Euryarchaeota\" Thermoplasmata</th>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>Bacteria \"Actinobacteria\" Actinobacteria</th>\n", " <td>1740</td>\n", " </tr>\n", " <tr>\n", " <th>Bacteria \"Aquificae\" Aquificae</th>\n", " <td>11</td>\n", " </tr>\n", " <tr>\n", " <th>Bacteria \"Bacteroidetes\" \"Bacteroidia\"</th>\n", " <td>1</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " Count\n", "Archaea \"Crenarchaeota\" Thermoprotei 15\n", "Archaea \"Euryarchaeota\" \"Methanomicrobia\" 9\n", "Archaea \"Euryarchaeota\" Archaeoglobi 2\n", "Archaea \"Euryarchaeota\" Halobacteria 12\n", "Archaea \"Euryarchaeota\" Methanococci 1\n", "Archaea \"Euryarchaeota\" Methanopyri 12\n", "Archaea \"Euryarchaeota\" Thermoplasmata 2\n", "Bacteria \"Actinobacteria\" Actinobacteria 1740\n", "Bacteria \"Aquificae\" Aquificae 11\n", "Bacteria \"Bacteroidetes\" \"Bacteroidia\" 1" ] }, "execution_count": 133, "metadata": {}, "output_type": "execute_result" } ], "source": [ "mb_class.groupby(level=0).sum().head(10)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Exercise 2\n", "\n", "Load the dataset in `titanic.xls`. It contains data on all the passengers that travelled on the Titanic." ] }, { "cell_type": "code", "execution_count": 134, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<hr><h2>Data frame:titanic3</h2>1309 observations and 14 variables, maximum # NAs:1188<hr>\n", "<TABLE BORDER>\n", "<tr><td>Name</td><td>Labels</td><td>Units</td><td>Levels</td><td>Storage</td><td>NAs</td></tr>\n", "<tr><td>pclass</td><td></td><td></td><td><a href=\"#pclass\"><div align=right> 3</div></a></td><td>integer</td><td><div align=right> 0</div></td></tr>\n", "<tr><td>survived</td><td>Survived</td><td></td><td><div align=right></div></td><td>double</td><td><div align=right> 0</div></td></tr>\n", "<tr><td>name</td><td>Name</td><td></td><td><div align=right></div></td><td>character</td><td><div align=right> 0</div></td></tr>\n", "<tr><td>sex</td><td></td><td></td><td><a href=\"#sex\"><div align=right> 2</div></a></td><td>integer</td><td><div align=right> 0</div></td></tr>\n", "<tr><td>age</td><td>Age</td><td>Year</td><td><div align=right></div></td><td>double</td><td><div align=right> 263</div></td></tr>\n", "<tr><td>sibsp</td><td>Number of Siblings/Spouses Aboard</td><td></td><td><div align=right></div></td><td>double</td><td><div align=right> 0</div></td></tr>\n", "<tr><td>parch</td><td>Number of Parents/Children Aboard</td><td></td><td><div align=right></div></td><td>double</td><td><div align=right> 0</div></td></tr>\n", "<tr><td>ticket</td><td>Ticket Number</td><td></td><td><div align=right></div></td><td>character</td><td><div align=right> 0</div></td></tr>\n", "<tr><td>fare</td><td>Passenger Fare</td><td>British Pound (\\243)</td><td><div align=right></div></td><td>double</td><td><div align=right> 1</div></td></tr>\n", "<tr><td>cabin</td><td></td><td></td><td><a href=\"#cabin\"><div align=right>187</div></a></td><td>integer</td><td><div align=right> 0</div></td></tr>\n", "<tr><td>embarked</td><td></td><td></td><td><a href=\"#embarked\"><div align=right> 3</div></a></td><td>integer</td><td><div align=right> 2</div></td></tr>\n", "<tr><td>boat</td><td></td><td></td><td><a href=\"#boat\"><div align=right> 28</div></a></td><td>integer</td><td><div align=right> 0</div></td></tr>\n", "<tr><td>body</td><td>Body Identification Number</td><td></td><td><div align=right></div></td><td>double</td><td><div align=right>1188</div></td></tr>\n", "<tr><td>home.dest</td><td>Home/Destination</td><td></td><td><div align=right></div></td><td>character</td><td><div align=right> 0</div></td></tr>\n", "</TABLE>\n", "<hr>\n", "<TABLE BORDER>\n", "<tr><td>Variable</td><td>Levels</td></tr>\n", "<tr><td><a name=\"pclass\">pclass</a></td><td>1st</td></tr>\n", "<tr><td></td><td>2nd</td></tr>\n", "<tr><td></td><td>3rd</td></tr>\n", "<tr><td><a name=\"sex\">sex</a></td><td>female</td></tr>\n", "<tr><td></td><td>male</td></tr>\n", "<tr><td><a name=\"cabin\">cabin</a></td><td></td></tr>\n", "<tr><td></td><td>A10</td></tr>\n", "<tr><td></td><td>A11</td></tr>\n", "<tr><td></td><td>A14</td></tr>\n", "<tr><td></td><td>A16</td></tr>\n", "<tr><td></td><td>A18</td></tr>\n", "<tr><td></td><td>A19</td></tr>\n", "<tr><td></td><td>A20</td></tr>\n", "<tr><td></td><td>A21</td></tr>\n", "<tr><td></td><td>A23</td></tr>\n", "<tr><td></td><td>A24</td></tr>\n", "<tr><td></td><td>A26</td></tr>\n", "<tr><td></td><td>A29</td></tr>\n", "<tr><td></td><td>A31</td></tr>\n", "<tr><td></td><td>A32</td></tr>\n", "<tr><td></td><td>A34</td></tr>\n", "<tr><td></td><td>A36</td></tr>\n", "<tr><td></td><td>A5</td></tr>\n", "<tr><td></td><td>A6</td></tr>\n", "<tr><td></td><td>A7</td></tr>\n", "<tr><td></td><td>A9</td></tr>\n", "<tr><td></td><td>B10</td></tr>\n", "<tr><td></td><td>B101</td></tr>\n", "<tr><td></td><td>B102</td></tr>\n", "<tr><td></td><td>B11</td></tr>\n", "<tr><td></td><td>B18</td></tr>\n", "<tr><td></td><td>B19</td></tr>\n", "<tr><td></td><td>B20</td></tr>\n", "<tr><td></td><td>B22</td></tr>\n", "<tr><td></td><td>B24</td></tr>\n", "<tr><td></td><td>B26</td></tr>\n", "<tr><td></td><td>B28</td></tr>\n", "<tr><td></td><td>B3</td></tr>\n", "<tr><td></td><td>B30</td></tr>\n", "<tr><td></td><td>B35</td></tr>\n", "<tr><td></td><td>B36</td></tr>\n", "<tr><td></td><td>B37</td></tr>\n", "<tr><td></td><td>B38</td></tr>\n", "<tr><td></td><td>B39</td></tr>\n", "<tr><td></td><td>B4</td></tr>\n", "<tr><td></td><td>B41</td></tr>\n", "<tr><td></td><td>B42</td></tr>\n", "<tr><td></td><td>B45</td></tr>\n", "<tr><td></td><td>B49</td></tr>\n", "<tr><td></td><td>B5</td></tr>\n", "<tr><td></td><td>B50</td></tr>\n", "<tr><td></td><td>B51 B53 B55</td></tr>\n", "<tr><td></td><td>B52 B54 B56</td></tr>\n", "<tr><td></td><td>B57 B59 B63 B66</td></tr>\n", "<tr><td></td><td>B58 B60</td></tr>\n", "<tr><td></td><td>B61</td></tr>\n", "<tr><td></td><td>B69</td></tr>\n", "<tr><td></td><td>B71</td></tr>\n", "<tr><td></td><td>B73</td></tr>\n", "<tr><td></td><td>B77</td></tr>\n", "<tr><td></td><td>B78</td></tr>\n", "<tr><td></td><td>B79</td></tr>\n", "<tr><td></td><td>B80</td></tr>\n", "<tr><td></td><td>B82 B84</td></tr>\n", "<tr><td></td><td>B86</td></tr>\n", "<tr><td></td><td>B94</td></tr>\n", "<tr><td></td><td>B96 B98</td></tr>\n", "<tr><td></td><td>C101</td></tr>\n", "<tr><td></td><td>C103</td></tr>\n", "<tr><td></td><td>C104</td></tr>\n", "<tr><td></td><td>C105</td></tr>\n", "<tr><td></td><td>C106</td></tr>\n", "<tr><td></td><td>C110</td></tr>\n", "<tr><td></td><td>C111</td></tr>\n", "<tr><td></td><td>C116</td></tr>\n", "<tr><td></td><td>C118</td></tr>\n", "<tr><td></td><td>C123</td></tr>\n", "<tr><td></td><td>C124</td></tr>\n", "<tr><td></td><td>C125</td></tr>\n", "<tr><td></td><td>C126</td></tr>\n", "<tr><td></td><td>C128</td></tr>\n", "<tr><td></td><td>C130</td></tr>\n", "<tr><td></td><td>C132</td></tr>\n", "<tr><td></td><td>C148</td></tr>\n", "<tr><td></td><td>C2</td></tr>\n", "<tr><td></td><td>C22 C26</td></tr>\n", "<tr><td></td><td>C23 C25 C27</td></tr>\n", "<tr><td></td><td>C28</td></tr>\n", "<tr><td></td><td>C30</td></tr>\n", "<tr><td></td><td>C31</td></tr>\n", "<tr><td></td><td>C32</td></tr>\n", "<tr><td></td><td>C39</td></tr>\n", "<tr><td></td><td>C45</td></tr>\n", "<tr><td></td><td>C46</td></tr>\n", "<tr><td></td><td>C47</td></tr>\n", "<tr><td></td><td>C49</td></tr>\n", "<tr><td></td><td>C50</td></tr>\n", "<tr><td></td><td>C51</td></tr>\n", "<tr><td></td><td>C52</td></tr>\n", "<tr><td></td><td>C53</td></tr>\n", "<tr><td></td><td>C54</td></tr>\n", "<tr><td></td><td>C55 C57</td></tr>\n", "<tr><td></td><td>C6</td></tr>\n", "<tr><td></td><td>C62 C64</td></tr>\n", "<tr><td></td><td>C65</td></tr>\n", "<tr><td></td><td>C68</td></tr>\n", "<tr><td></td><td>C7</td></tr>\n", "<tr><td></td><td>C70</td></tr>\n", "<tr><td></td><td>C78</td></tr>\n", "<tr><td></td><td>C80</td></tr>\n", "<tr><td></td><td>C82</td></tr>\n", "<tr><td></td><td>C83</td></tr>\n", "<tr><td></td><td>C85</td></tr>\n", "<tr><td></td><td>C86</td></tr>\n", "<tr><td></td><td>C87</td></tr>\n", "<tr><td></td><td>C89</td></tr>\n", "<tr><td></td><td>C90</td></tr>\n", "<tr><td></td><td>C91</td></tr>\n", "<tr><td></td><td>C92</td></tr>\n", "<tr><td></td><td>C93</td></tr>\n", "<tr><td></td><td>C95</td></tr>\n", "<tr><td></td><td>C97</td></tr>\n", "<tr><td></td><td>C99</td></tr>\n", "<tr><td></td><td>D</td></tr>\n", "<tr><td></td><td>D10 D12</td></tr>\n", "<tr><td></td><td>D11</td></tr>\n", "<tr><td></td><td>D15</td></tr>\n", "<tr><td></td><td>D17</td></tr>\n", "<tr><td></td><td>D19</td></tr>\n", "<tr><td></td><td>D20</td></tr>\n", "<tr><td></td><td>D21</td></tr>\n", "<tr><td></td><td>D22</td></tr>\n", "<tr><td></td><td>D26</td></tr>\n", "<tr><td></td><td>D28</td></tr>\n", "<tr><td></td><td>D30</td></tr>\n", "<tr><td></td><td>D33</td></tr>\n", "<tr><td></td><td>D34</td></tr>\n", "<tr><td></td><td>D35</td></tr>\n", "<tr><td></td><td>D36</td></tr>\n", "<tr><td></td><td>D37</td></tr>\n", "<tr><td></td><td>D38</td></tr>\n", "<tr><td></td><td>D40</td></tr>\n", "<tr><td></td><td>D43</td></tr>\n", "<tr><td></td><td>D45</td></tr>\n", "<tr><td></td><td>D46</td></tr>\n", "<tr><td></td><td>D47</td></tr>\n", "<tr><td></td><td>D48</td></tr>\n", "<tr><td></td><td>D49</td></tr>\n", "<tr><td></td><td>D50</td></tr>\n", "<tr><td></td><td>D56</td></tr>\n", "<tr><td></td><td>D6</td></tr>\n", "<tr><td></td><td>D7</td></tr>\n", "<tr><td></td><td>D9</td></tr>\n", "<tr><td></td><td>E10</td></tr>\n", "<tr><td></td><td>E101</td></tr>\n", "<tr><td></td><td>E12</td></tr>\n", "<tr><td></td><td>E121</td></tr>\n", "<tr><td></td><td>E17</td></tr>\n", "<tr><td></td><td>E24</td></tr>\n", "<tr><td></td><td>E25</td></tr>\n", "<tr><td></td><td>E31</td></tr>\n", "<tr><td></td><td>E33</td></tr>\n", "<tr><td></td><td>E34</td></tr>\n", "<tr><td></td><td>E36</td></tr>\n", "<tr><td></td><td>E38</td></tr>\n", "<tr><td></td><td>E39 E41</td></tr>\n", "<tr><td></td><td>E40</td></tr>\n", "<tr><td></td><td>E44</td></tr>\n", "<tr><td></td><td>E45</td></tr>\n", "<tr><td></td><td>E46</td></tr>\n", "<tr><td></td><td>E49</td></tr>\n", "<tr><td></td><td>E50</td></tr>\n", "<tr><td></td><td>E52</td></tr>\n", "<tr><td></td><td>E58</td></tr>\n", "<tr><td></td><td>E60</td></tr>\n", "<tr><td></td><td>E63</td></tr>\n", "<tr><td></td><td>E67</td></tr>\n", "<tr><td></td><td>E68</td></tr>\n", "<tr><td></td><td>E77</td></tr>\n", "<tr><td></td><td>E8</td></tr>\n", "<tr><td></td><td>F</td></tr>\n", "<tr><td></td><td>F E46</td></tr>\n", "<tr><td></td><td>F E57</td></tr>\n", "<tr><td></td><td>F E69</td></tr>\n", "<tr><td></td><td>F G63</td></tr>\n", "<tr><td></td><td>F G73</td></tr>\n", "<tr><td></td><td>F2</td></tr>\n", "<tr><td></td><td>F33</td></tr>\n", "<tr><td></td><td>F38</td></tr>\n", "<tr><td></td><td>F4</td></tr>\n", "<tr><td></td><td>G6</td></tr>\n", "<tr><td></td><td>T</td></tr>\n", "<tr><td><a name=\"embarked\">embarked</a></td><td>Cherbourg</td></tr>\n", "<tr><td></td><td>Queenstown</td></tr>\n", "<tr><td></td><td>Southampton</td></tr>\n", "<tr><td><a name=\"boat\">boat</a></td><td></td></tr>\n", "<tr><td></td><td>1</td></tr>\n", "<tr><td></td><td>10</td></tr>\n", "<tr><td></td><td>11</td></tr>\n", "<tr><td></td><td>12</td></tr>\n", "<tr><td></td><td>13</td></tr>\n", "<tr><td></td><td>13 15</td></tr>\n", "<tr><td></td><td>13 15 B</td></tr>\n", "<tr><td></td><td>14</td></tr>\n", "<tr><td></td><td>15</td></tr>\n", "<tr><td></td><td>15 16</td></tr>\n", "<tr><td></td><td>16</td></tr>\n", "<tr><td></td><td>2</td></tr>\n", "<tr><td></td><td>3</td></tr>\n", "<tr><td></td><td>4</td></tr>\n", "<tr><td></td><td>5</td></tr>\n", "<tr><td></td><td>5 7</td></tr>\n", "<tr><td></td><td>5 9</td></tr>\n", "<tr><td></td><td>6</td></tr>\n", "<tr><td></td><td>7</td></tr>\n", "<tr><td></td><td>8</td></tr>\n", "<tr><td></td><td>8 10</td></tr>\n", "<tr><td></td><td>9</td></tr>\n", "<tr><td></td><td>A</td></tr>\n", "<tr><td></td><td>B</td></tr>\n", "<tr><td></td><td>C</td></tr>\n", "<tr><td></td><td>C D</td></tr>\n", "<tr><td></td><td>D</td></tr>\n", "</TABLE>\n", "<hr>\n" ], "text/plain": [ "<IPython.core.display.HTML object>" ] }, "execution_count": 134, "metadata": {}, "output_type": "execute_result" } ], "source": [ "from IPython.core.display import HTML\n", "HTML(filename='Data/titanic.html')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Women and children first?\n", "\n", "1. Describe each attribute, both with basic statistics and plots. State clearly your assumptions and discuss your findings.\n", "2. Use the `groupby` method to calculate the proportion of passengers that survived by sex.\n", "3. Calculate the same proportion, but by class and sex.\n", "4. Create age categories: children (under 14 years), adolescents (14-20), adult (21-64), and senior(65+), and calculate survival proportions by age category, class and sex." ] }, { "cell_type": "code", "execution_count": 144, "metadata": { "collapsed": false }, "outputs": [], "source": [ "titanic_df = pd.read_excel('Data/titanic.xls', 'titanic', index_col=None, header=0)" ] }, { "cell_type": "code", "execution_count": 145, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>pclass</th>\n", " <th>survived</th>\n", " <th>name</th>\n", " <th>sex</th>\n", " <th>age</th>\n", " <th>sibsp</th>\n", " <th>parch</th>\n", " <th>ticket</th>\n", " <th>fare</th>\n", " <th>cabin</th>\n", " <th>embarked</th>\n", " <th>boat</th>\n", " <th>body</th>\n", " <th>home.dest</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Allen, Miss. Elisabeth Walton</td>\n", " <td>female</td>\n", " <td>29.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>24160</td>\n", " <td>211.3375</td>\n", " <td>B5</td>\n", " <td>S</td>\n", " <td>2</td>\n", " <td>NaN</td>\n", " <td>St Louis, MO</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Allison, Master. Hudson Trevor</td>\n", " <td>male</td>\n", " <td>0.9167</td>\n", " <td>1</td>\n", " <td>2</td>\n", " <td>113781</td>\n", " <td>151.5500</td>\n", " <td>C22 C26</td>\n", " <td>S</td>\n", " <td>11</td>\n", " <td>NaN</td>\n", " <td>Montreal, PQ / Chesterville, ON</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>Allison, Miss. Helen Loraine</td>\n", " <td>female</td>\n", " <td>2.0000</td>\n", " <td>1</td>\n", " <td>2</td>\n", " <td>113781</td>\n", " <td>151.5500</td>\n", " <td>C22 C26</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>Montreal, PQ / Chesterville, ON</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>Allison, Mr. Hudson Joshua Creighton</td>\n", " <td>male</td>\n", " <td>30.0000</td>\n", " <td>1</td>\n", " <td>2</td>\n", " <td>113781</td>\n", " <td>151.5500</td>\n", " <td>C22 C26</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>135.0</td>\n", " <td>Montreal, PQ / Chesterville, ON</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>Allison, Mrs. Hudson J C (Bessie Waldo Daniels)</td>\n", " <td>female</td>\n", " <td>25.0000</td>\n", " <td>1</td>\n", " <td>2</td>\n", " <td>113781</td>\n", " <td>151.5500</td>\n", " <td>C22 C26</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>Montreal, PQ / Chesterville, ON</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Anderson, Mr. Harry</td>\n", " <td>male</td>\n", " <td>48.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>19952</td>\n", " <td>26.5500</td>\n", " <td>E12</td>\n", " <td>S</td>\n", " <td>3</td>\n", " <td>NaN</td>\n", " <td>New York, NY</td>\n", " </tr>\n", " <tr>\n", " <th>6</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Andrews, Miss. Kornelia Theodosia</td>\n", " <td>female</td>\n", " <td>63.0000</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>13502</td>\n", " <td>77.9583</td>\n", " <td>D7</td>\n", " <td>S</td>\n", " <td>10</td>\n", " <td>NaN</td>\n", " <td>Hudson, NY</td>\n", " </tr>\n", " <tr>\n", " <th>7</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>Andrews, Mr. Thomas Jr</td>\n", " <td>male</td>\n", " <td>39.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>112050</td>\n", " <td>0.0000</td>\n", " <td>A36</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>Belfast, NI</td>\n", " </tr>\n", " <tr>\n", " <th>8</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Appleton, Mrs. Edward Dale (Charlotte Lamson)</td>\n", " <td>female</td>\n", " <td>53.0000</td>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>11769</td>\n", " <td>51.4792</td>\n", " <td>C101</td>\n", " <td>S</td>\n", " <td>D</td>\n", " <td>NaN</td>\n", " <td>Bayside, Queens, NY</td>\n", " </tr>\n", " <tr>\n", " <th>9</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>Artagaveytia, Mr. Ramon</td>\n", " <td>male</td>\n", " <td>71.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>PC 17609</td>\n", " <td>49.5042</td>\n", " <td>NaN</td>\n", " <td>C</td>\n", " <td>NaN</td>\n", " <td>22.0</td>\n", " <td>Montevideo, Uruguay</td>\n", " </tr>\n", " <tr>\n", " <th>10</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>Astor, Col. John Jacob</td>\n", " <td>male</td>\n", " <td>47.0000</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>PC 17757</td>\n", " <td>227.5250</td>\n", " <td>C62 C64</td>\n", " <td>C</td>\n", " <td>NaN</td>\n", " <td>124.0</td>\n", " <td>New York, NY</td>\n", " </tr>\n", " <tr>\n", " <th>11</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Astor, Mrs. John Jacob (Madeleine Talmadge Force)</td>\n", " <td>female</td>\n", " <td>18.0000</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>PC 17757</td>\n", " <td>227.5250</td>\n", " <td>C62 C64</td>\n", " <td>C</td>\n", " <td>4</td>\n", " <td>NaN</td>\n", " <td>New York, NY</td>\n", " </tr>\n", " <tr>\n", " <th>12</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Aubart, Mme. Leontine Pauline</td>\n", " <td>female</td>\n", " <td>24.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>PC 17477</td>\n", " <td>69.3000</td>\n", " <td>B35</td>\n", " <td>C</td>\n", " <td>9</td>\n", " <td>NaN</td>\n", " <td>Paris, France</td>\n", " </tr>\n", " <tr>\n", " <th>13</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Barber, Miss. Ellen \"Nellie\"</td>\n", " <td>female</td>\n", " <td>26.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>19877</td>\n", " <td>78.8500</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>6</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>14</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Barkworth, Mr. Algernon Henry Wilson</td>\n", " <td>male</td>\n", " <td>80.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>27042</td>\n", " <td>30.0000</td>\n", " <td>A23</td>\n", " <td>S</td>\n", " <td>B</td>\n", " <td>NaN</td>\n", " <td>Hessle, Yorks</td>\n", " </tr>\n", " <tr>\n", " <th>15</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>Baumann, Mr. John D</td>\n", " <td>male</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>PC 17318</td>\n", " <td>25.9250</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>New York, NY</td>\n", " </tr>\n", " <tr>\n", " <th>16</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>Baxter, Mr. Quigg Edmond</td>\n", " <td>male</td>\n", " <td>24.0000</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>PC 17558</td>\n", " <td>247.5208</td>\n", " <td>B58 B60</td>\n", " <td>C</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>Montreal, PQ</td>\n", " </tr>\n", " <tr>\n", " <th>17</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Baxter, Mrs. James (Helene DeLaudeniere Chaput)</td>\n", " <td>female</td>\n", " <td>50.0000</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>PC 17558</td>\n", " <td>247.5208</td>\n", " <td>B58 B60</td>\n", " <td>C</td>\n", " <td>6</td>\n", " <td>NaN</td>\n", " <td>Montreal, PQ</td>\n", " </tr>\n", " <tr>\n", " <th>18</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Bazzani, Miss. Albina</td>\n", " <td>female</td>\n", " <td>32.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>11813</td>\n", " <td>76.2917</td>\n", " <td>D15</td>\n", " <td>C</td>\n", " <td>8</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>19</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>Beattie, Mr. Thomson</td>\n", " <td>male</td>\n", " <td>36.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>13050</td>\n", " <td>75.2417</td>\n", " <td>C6</td>\n", " <td>C</td>\n", " <td>A</td>\n", " <td>NaN</td>\n", " <td>Winnipeg, MN</td>\n", " </tr>\n", " <tr>\n", " <th>20</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Beckwith, Mr. Richard Leonard</td>\n", " <td>male</td>\n", " <td>37.0000</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>11751</td>\n", " <td>52.5542</td>\n", " <td>D35</td>\n", " <td>S</td>\n", " <td>5</td>\n", " <td>NaN</td>\n", " <td>New York, NY</td>\n", " </tr>\n", " <tr>\n", " <th>21</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Beckwith, Mrs. Richard Leonard (Sallie Monypeny)</td>\n", " <td>female</td>\n", " <td>47.0000</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>11751</td>\n", " <td>52.5542</td>\n", " <td>D35</td>\n", " <td>S</td>\n", " <td>5</td>\n", " <td>NaN</td>\n", " <td>New York, NY</td>\n", " </tr>\n", " <tr>\n", " <th>22</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Behr, Mr. Karl Howell</td>\n", " <td>male</td>\n", " <td>26.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>111369</td>\n", " <td>30.0000</td>\n", " <td>C148</td>\n", " <td>C</td>\n", " <td>5</td>\n", " <td>NaN</td>\n", " <td>New York, NY</td>\n", " </tr>\n", " <tr>\n", " <th>23</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Bidois, Miss. Rosalie</td>\n", " <td>female</td>\n", " <td>42.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>PC 17757</td>\n", " <td>227.5250</td>\n", " <td>NaN</td>\n", " <td>C</td>\n", " <td>4</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>24</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Bird, Miss. Ellen</td>\n", " <td>female</td>\n", " <td>29.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>PC 17483</td>\n", " <td>221.7792</td>\n", " <td>C97</td>\n", " <td>S</td>\n", " <td>8</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>25</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>Birnbaum, Mr. Jakob</td>\n", " <td>male</td>\n", " <td>25.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>13905</td>\n", " <td>26.0000</td>\n", " <td>NaN</td>\n", " <td>C</td>\n", " <td>NaN</td>\n", " <td>148.0</td>\n", " <td>San Francisco, CA</td>\n", " </tr>\n", " <tr>\n", " <th>26</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Bishop, Mr. Dickinson H</td>\n", " <td>male</td>\n", " <td>25.0000</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>11967</td>\n", " <td>91.0792</td>\n", " <td>B49</td>\n", " <td>C</td>\n", " <td>7</td>\n", " <td>NaN</td>\n", " <td>Dowagiac, MI</td>\n", " </tr>\n", " <tr>\n", " <th>27</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Bishop, Mrs. Dickinson H (Helen Walton)</td>\n", " <td>female</td>\n", " <td>19.0000</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>11967</td>\n", " <td>91.0792</td>\n", " <td>B49</td>\n", " <td>C</td>\n", " <td>7</td>\n", " <td>NaN</td>\n", " <td>Dowagiac, MI</td>\n", " </tr>\n", " <tr>\n", " <th>28</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Bissette, Miss. Amelia</td>\n", " <td>female</td>\n", " <td>35.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>PC 17760</td>\n", " <td>135.6333</td>\n", " <td>C99</td>\n", " <td>S</td>\n", " <td>8</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>29</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Bjornstrom-Steffansson, Mr. Mauritz Hakan</td>\n", " <td>male</td>\n", " <td>28.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>110564</td>\n", " <td>26.5500</td>\n", " <td>C52</td>\n", " <td>S</td>\n", " <td>D</td>\n", " <td>NaN</td>\n", " <td>Stockholm, Sweden / Washington, DC</td>\n", " </tr>\n", " <tr>\n", " <th>...</th>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " </tr>\n", " <tr>\n", " <th>1279</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Vestrom, Miss. Hulda Amanda Adolfina</td>\n", " <td>female</td>\n", " <td>14.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>350406</td>\n", " <td>7.8542</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1280</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Vovk, Mr. Janko</td>\n", " <td>male</td>\n", " <td>22.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>349252</td>\n", " <td>7.8958</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1281</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Waelens, Mr. Achille</td>\n", " <td>male</td>\n", " <td>22.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>345767</td>\n", " <td>9.0000</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>Antwerp, Belgium / Stanton, OH</td>\n", " </tr>\n", " <tr>\n", " <th>1282</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Ware, Mr. Frederick</td>\n", " <td>male</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>359309</td>\n", " <td>8.0500</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1283</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Warren, Mr. Charles William</td>\n", " <td>male</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>C.A. 49867</td>\n", " <td>7.5500</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1284</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Webber, Mr. James</td>\n", " <td>male</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>SOTON/OQ 3101316</td>\n", " <td>8.0500</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1285</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Wenzel, Mr. Linhart</td>\n", " <td>male</td>\n", " <td>32.5000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>345775</td>\n", " <td>9.5000</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>298.0</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1286</th>\n", " <td>3</td>\n", " <td>1</td>\n", " <td>Whabee, Mrs. George Joseph (Shawneene Abi-Saab)</td>\n", " <td>female</td>\n", " <td>38.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>2688</td>\n", " <td>7.2292</td>\n", " <td>NaN</td>\n", " <td>C</td>\n", " <td>C</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1287</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Widegren, Mr. Carl/Charles Peter</td>\n", " <td>male</td>\n", " <td>51.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>347064</td>\n", " <td>7.7500</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1288</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Wiklund, Mr. Jakob Alfred</td>\n", " <td>male</td>\n", " <td>18.0000</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>3101267</td>\n", " <td>6.4958</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>314.0</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1289</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Wiklund, Mr. Karl Johan</td>\n", " <td>male</td>\n", " <td>21.0000</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>3101266</td>\n", " <td>6.4958</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1290</th>\n", " <td>3</td>\n", " <td>1</td>\n", " <td>Wilkes, Mrs. James (Ellen Needs)</td>\n", " <td>female</td>\n", " <td>47.0000</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>363272</td>\n", " <td>7.0000</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1291</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Willer, Mr. Aaron (\"Abi Weller\")</td>\n", " <td>male</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>3410</td>\n", " <td>8.7125</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1292</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Willey, Mr. Edward</td>\n", " <td>male</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>S.O./P.P. 751</td>\n", " <td>7.5500</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1293</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Williams, Mr. Howard Hugh \"Harry\"</td>\n", " <td>male</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>A/5 2466</td>\n", " <td>8.0500</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1294</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Williams, Mr. Leslie</td>\n", " <td>male</td>\n", " <td>28.5000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>54636</td>\n", " <td>16.1000</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>14.0</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1295</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Windelov, Mr. Einar</td>\n", " <td>male</td>\n", " <td>21.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>SOTON/OQ 3101317</td>\n", " <td>7.2500</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1296</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Wirz, Mr. Albert</td>\n", " <td>male</td>\n", " <td>27.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>315154</td>\n", " <td>8.6625</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>131.0</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1297</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Wiseman, Mr. Phillippe</td>\n", " <td>male</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>A/4. 34244</td>\n", " <td>7.2500</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1298</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Wittevrongel, Mr. Camille</td>\n", " <td>male</td>\n", " <td>36.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>345771</td>\n", " <td>9.5000</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1299</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Yasbeck, Mr. Antoni</td>\n", " <td>male</td>\n", " <td>27.0000</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>2659</td>\n", " <td>14.4542</td>\n", " <td>NaN</td>\n", " <td>C</td>\n", " <td>C</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1300</th>\n", " <td>3</td>\n", " <td>1</td>\n", " <td>Yasbeck, Mrs. Antoni (Selini Alexander)</td>\n", " <td>female</td>\n", " <td>15.0000</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>2659</td>\n", " <td>14.4542</td>\n", " <td>NaN</td>\n", " <td>C</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1301</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Youseff, Mr. Gerious</td>\n", " <td>male</td>\n", " <td>45.5000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>2628</td>\n", " <td>7.2250</td>\n", " <td>NaN</td>\n", " <td>C</td>\n", " <td>NaN</td>\n", " <td>312.0</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1302</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Yousif, Mr. Wazli</td>\n", " <td>male</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>2647</td>\n", " <td>7.2250</td>\n", " <td>NaN</td>\n", " <td>C</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1303</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Yousseff, Mr. Gerious</td>\n", " <td>male</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>2627</td>\n", " <td>14.4583</td>\n", " <td>NaN</td>\n", " <td>C</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1304</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Zabour, Miss. Hileni</td>\n", " <td>female</td>\n", " <td>14.5000</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>2665</td>\n", " <td>14.4542</td>\n", " <td>NaN</td>\n", " <td>C</td>\n", " <td>NaN</td>\n", " <td>328.0</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1305</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Zabour, Miss. Thamine</td>\n", " <td>female</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>2665</td>\n", " <td>14.4542</td>\n", " <td>NaN</td>\n", " <td>C</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1306</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Zakarian, Mr. Mapriededer</td>\n", " <td>male</td>\n", " <td>26.5000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>2656</td>\n", " <td>7.2250</td>\n", " <td>NaN</td>\n", " <td>C</td>\n", " <td>NaN</td>\n", " <td>304.0</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1307</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Zakarian, Mr. Ortin</td>\n", " <td>male</td>\n", " <td>27.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>2670</td>\n", " <td>7.2250</td>\n", " <td>NaN</td>\n", " <td>C</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1308</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Zimmerman, Mr. Leo</td>\n", " <td>male</td>\n", " <td>29.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>315082</td>\n", " <td>7.8750</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "<p>1309 rows × 14 columns</p>\n", "</div>" ], "text/plain": [ " pclass survived name \\\n", "0 1 1 Allen, Miss. Elisabeth Walton \n", "1 1 1 Allison, Master. Hudson Trevor \n", "2 1 0 Allison, Miss. Helen Loraine \n", "3 1 0 Allison, Mr. Hudson Joshua Creighton \n", "4 1 0 Allison, Mrs. Hudson J C (Bessie Waldo Daniels) \n", "5 1 1 Anderson, Mr. Harry \n", "6 1 1 Andrews, Miss. Kornelia Theodosia \n", "7 1 0 Andrews, Mr. Thomas Jr \n", "8 1 1 Appleton, Mrs. Edward Dale (Charlotte Lamson) \n", "9 1 0 Artagaveytia, Mr. Ramon \n", "10 1 0 Astor, Col. John Jacob \n", "11 1 1 Astor, Mrs. John Jacob (Madeleine Talmadge Force) \n", "12 1 1 Aubart, Mme. Leontine Pauline \n", "13 1 1 Barber, Miss. Ellen \"Nellie\" \n", "14 1 1 Barkworth, Mr. Algernon Henry Wilson \n", "15 1 0 Baumann, Mr. John D \n", "16 1 0 Baxter, Mr. Quigg Edmond \n", "17 1 1 Baxter, Mrs. James (Helene DeLaudeniere Chaput) \n", "18 1 1 Bazzani, Miss. Albina \n", "19 1 0 Beattie, Mr. Thomson \n", "20 1 1 Beckwith, Mr. Richard Leonard \n", "21 1 1 Beckwith, Mrs. Richard Leonard (Sallie Monypeny) \n", "22 1 1 Behr, Mr. Karl Howell \n", "23 1 1 Bidois, Miss. Rosalie \n", "24 1 1 Bird, Miss. Ellen \n", "25 1 0 Birnbaum, Mr. Jakob \n", "26 1 1 Bishop, Mr. Dickinson H \n", "27 1 1 Bishop, Mrs. Dickinson H (Helen Walton) \n", "28 1 1 Bissette, Miss. Amelia \n", "29 1 1 Bjornstrom-Steffansson, Mr. Mauritz Hakan \n", "... ... ... ... \n", "1279 3 0 Vestrom, Miss. Hulda Amanda Adolfina \n", "1280 3 0 Vovk, Mr. Janko \n", "1281 3 0 Waelens, Mr. Achille \n", "1282 3 0 Ware, Mr. Frederick \n", "1283 3 0 Warren, Mr. Charles William \n", "1284 3 0 Webber, Mr. James \n", "1285 3 0 Wenzel, Mr. Linhart \n", "1286 3 1 Whabee, Mrs. George Joseph (Shawneene Abi-Saab) \n", "1287 3 0 Widegren, Mr. Carl/Charles Peter \n", "1288 3 0 Wiklund, Mr. Jakob Alfred \n", "1289 3 0 Wiklund, Mr. Karl Johan \n", "1290 3 1 Wilkes, Mrs. James (Ellen Needs) \n", "1291 3 0 Willer, Mr. Aaron (\"Abi Weller\") \n", "1292 3 0 Willey, Mr. Edward \n", "1293 3 0 Williams, Mr. Howard Hugh \"Harry\" \n", "1294 3 0 Williams, Mr. Leslie \n", "1295 3 0 Windelov, Mr. Einar \n", "1296 3 0 Wirz, Mr. Albert \n", "1297 3 0 Wiseman, Mr. Phillippe \n", "1298 3 0 Wittevrongel, Mr. Camille \n", "1299 3 0 Yasbeck, Mr. Antoni \n", "1300 3 1 Yasbeck, Mrs. Antoni (Selini Alexander) \n", "1301 3 0 Youseff, Mr. Gerious \n", "1302 3 0 Yousif, Mr. Wazli \n", "1303 3 0 Yousseff, Mr. Gerious \n", "1304 3 0 Zabour, Miss. Hileni \n", "1305 3 0 Zabour, Miss. Thamine \n", "1306 3 0 Zakarian, Mr. Mapriededer \n", "1307 3 0 Zakarian, Mr. Ortin \n", "1308 3 0 Zimmerman, Mr. Leo \n", "\n", " sex age sibsp parch ticket fare cabin \\\n", "0 female 29.0000 0 0 24160 211.3375 B5 \n", "1 male 0.9167 1 2 113781 151.5500 C22 C26 \n", "2 female 2.0000 1 2 113781 151.5500 C22 C26 \n", "3 male 30.0000 1 2 113781 151.5500 C22 C26 \n", "4 female 25.0000 1 2 113781 151.5500 C22 C26 \n", "5 male 48.0000 0 0 19952 26.5500 E12 \n", "6 female 63.0000 1 0 13502 77.9583 D7 \n", "7 male 39.0000 0 0 112050 0.0000 A36 \n", "8 female 53.0000 2 0 11769 51.4792 C101 \n", "9 male 71.0000 0 0 PC 17609 49.5042 NaN \n", "10 male 47.0000 1 0 PC 17757 227.5250 C62 C64 \n", "11 female 18.0000 1 0 PC 17757 227.5250 C62 C64 \n", "12 female 24.0000 0 0 PC 17477 69.3000 B35 \n", "13 female 26.0000 0 0 19877 78.8500 NaN \n", "14 male 80.0000 0 0 27042 30.0000 A23 \n", "15 male NaN 0 0 PC 17318 25.9250 NaN \n", "16 male 24.0000 0 1 PC 17558 247.5208 B58 B60 \n", "17 female 50.0000 0 1 PC 17558 247.5208 B58 B60 \n", "18 female 32.0000 0 0 11813 76.2917 D15 \n", "19 male 36.0000 0 0 13050 75.2417 C6 \n", "20 male 37.0000 1 1 11751 52.5542 D35 \n", "21 female 47.0000 1 1 11751 52.5542 D35 \n", "22 male 26.0000 0 0 111369 30.0000 C148 \n", "23 female 42.0000 0 0 PC 17757 227.5250 NaN \n", "24 female 29.0000 0 0 PC 17483 221.7792 C97 \n", "25 male 25.0000 0 0 13905 26.0000 NaN \n", "26 male 25.0000 1 0 11967 91.0792 B49 \n", "27 female 19.0000 1 0 11967 91.0792 B49 \n", "28 female 35.0000 0 0 PC 17760 135.6333 C99 \n", "29 male 28.0000 0 0 110564 26.5500 C52 \n", "... ... ... ... ... ... ... ... \n", "1279 female 14.0000 0 0 350406 7.8542 NaN \n", "1280 male 22.0000 0 0 349252 7.8958 NaN \n", "1281 male 22.0000 0 0 345767 9.0000 NaN \n", "1282 male NaN 0 0 359309 8.0500 NaN \n", "1283 male NaN 0 0 C.A. 49867 7.5500 NaN \n", "1284 male NaN 0 0 SOTON/OQ 3101316 8.0500 NaN \n", "1285 male 32.5000 0 0 345775 9.5000 NaN \n", "1286 female 38.0000 0 0 2688 7.2292 NaN \n", "1287 male 51.0000 0 0 347064 7.7500 NaN \n", "1288 male 18.0000 1 0 3101267 6.4958 NaN \n", "1289 male 21.0000 1 0 3101266 6.4958 NaN \n", "1290 female 47.0000 1 0 363272 7.0000 NaN \n", "1291 male NaN 0 0 3410 8.7125 NaN \n", "1292 male NaN 0 0 S.O./P.P. 751 7.5500 NaN \n", "1293 male NaN 0 0 A/5 2466 8.0500 NaN \n", "1294 male 28.5000 0 0 54636 16.1000 NaN \n", "1295 male 21.0000 0 0 SOTON/OQ 3101317 7.2500 NaN \n", "1296 male 27.0000 0 0 315154 8.6625 NaN \n", "1297 male NaN 0 0 A/4. 34244 7.2500 NaN \n", "1298 male 36.0000 0 0 345771 9.5000 NaN \n", "1299 male 27.0000 1 0 2659 14.4542 NaN \n", "1300 female 15.0000 1 0 2659 14.4542 NaN \n", "1301 male 45.5000 0 0 2628 7.2250 NaN \n", "1302 male NaN 0 0 2647 7.2250 NaN \n", "1303 male NaN 0 0 2627 14.4583 NaN \n", "1304 female 14.5000 1 0 2665 14.4542 NaN \n", "1305 female NaN 1 0 2665 14.4542 NaN \n", "1306 male 26.5000 0 0 2656 7.2250 NaN \n", "1307 male 27.0000 0 0 2670 7.2250 NaN \n", "1308 male 29.0000 0 0 315082 7.8750 NaN \n", "\n", " embarked boat body home.dest \n", "0 S 2 NaN St Louis, MO \n", "1 S 11 NaN Montreal, PQ / Chesterville, ON \n", "2 S NaN NaN Montreal, PQ / Chesterville, ON \n", "3 S NaN 135.0 Montreal, PQ / Chesterville, ON \n", "4 S NaN NaN Montreal, PQ / Chesterville, ON \n", "5 S 3 NaN New York, NY \n", "6 S 10 NaN Hudson, NY \n", "7 S NaN NaN Belfast, NI \n", "8 S D NaN Bayside, Queens, NY \n", "9 C NaN 22.0 Montevideo, Uruguay \n", "10 C NaN 124.0 New York, NY \n", "11 C 4 NaN New York, NY \n", "12 C 9 NaN Paris, France \n", "13 S 6 NaN NaN \n", "14 S B NaN Hessle, Yorks \n", "15 S NaN NaN New York, NY \n", "16 C NaN NaN Montreal, PQ \n", "17 C 6 NaN Montreal, PQ \n", "18 C 8 NaN NaN \n", "19 C A NaN Winnipeg, MN \n", "20 S 5 NaN New York, NY \n", "21 S 5 NaN New York, NY \n", "22 C 5 NaN New York, NY \n", "23 C 4 NaN NaN \n", "24 S 8 NaN NaN \n", "25 C NaN 148.0 San Francisco, CA \n", "26 C 7 NaN Dowagiac, MI \n", "27 C 7 NaN Dowagiac, MI \n", "28 S 8 NaN NaN \n", "29 S D NaN Stockholm, Sweden / Washington, DC \n", "... ... ... ... ... \n", "1279 S NaN NaN NaN \n", "1280 S NaN NaN NaN \n", "1281 S NaN NaN Antwerp, Belgium / Stanton, OH \n", "1282 S NaN NaN NaN \n", "1283 S NaN NaN NaN \n", "1284 S NaN NaN NaN \n", "1285 S NaN 298.0 NaN \n", "1286 C C NaN NaN \n", "1287 S NaN NaN NaN \n", "1288 S NaN 314.0 NaN \n", "1289 S NaN NaN NaN \n", "1290 S NaN NaN NaN \n", "1291 S NaN NaN NaN \n", "1292 S NaN NaN NaN \n", "1293 S NaN NaN NaN \n", "1294 S NaN 14.0 NaN \n", "1295 S NaN NaN NaN \n", "1296 S NaN 131.0 NaN \n", "1297 S NaN NaN NaN \n", "1298 S NaN NaN NaN \n", "1299 C C NaN NaN \n", "1300 C NaN NaN NaN \n", "1301 C NaN 312.0 NaN \n", "1302 C NaN NaN NaN \n", "1303 C NaN NaN NaN \n", "1304 C NaN 328.0 NaN \n", "1305 C NaN NaN NaN \n", "1306 C NaN 304.0 NaN \n", "1307 C NaN NaN NaN \n", "1308 S NaN NaN NaN \n", "\n", "[1309 rows x 14 columns]" ] }, "execution_count": 145, "metadata": {}, "output_type": "execute_result" } ], "source": [ "titanic_df" ] }, { "cell_type": "code", "execution_count": 162, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "0 False\n", "1 False\n", "2 False\n", "3 False\n", "4 False\n", "5 False\n", "6 False\n", "7 False\n", "8 False\n", "9 False\n", "10 False\n", "11 False\n", "12 False\n", "13 False\n", "14 False\n", "15 False\n", "16 False\n", "17 False\n", "18 False\n", "19 False\n", "20 False\n", "21 False\n", "22 False\n", "23 False\n", "24 False\n", "25 False\n", "26 False\n", "27 False\n", "28 False\n", "29 False\n", " ... \n", "1279 False\n", "1280 False\n", "1281 False\n", "1282 False\n", "1283 False\n", "1284 False\n", "1285 False\n", "1286 False\n", "1287 False\n", "1288 False\n", "1289 False\n", "1290 False\n", "1291 False\n", "1292 False\n", "1293 False\n", "1294 False\n", "1295 False\n", "1296 False\n", "1297 False\n", "1298 False\n", "1299 False\n", "1300 False\n", "1301 False\n", "1302 False\n", "1303 False\n", "1304 False\n", "1305 False\n", "1306 False\n", "1307 False\n", "1308 False\n", "dtype: bool" ] }, "execution_count": 162, "metadata": {}, "output_type": "execute_result" } ], "source": [ "titanic_nameduplicate = titanic_df.duplicated(subset='name')" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "#titanic_nameduplicate" ] }, { "cell_type": "code", "execution_count": 160, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>pclass</th>\n", " <th>survived</th>\n", " <th>name</th>\n", " <th>sex</th>\n", " <th>age</th>\n", " <th>sibsp</th>\n", " <th>parch</th>\n", " <th>ticket</th>\n", " <th>fare</th>\n", " <th>cabin</th>\n", " <th>embarked</th>\n", " <th>boat</th>\n", " <th>body</th>\n", " <th>home.dest</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Allen, Miss. Elisabeth Walton</td>\n", " <td>female</td>\n", " <td>29.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>24160</td>\n", " <td>211.3375</td>\n", " <td>B5</td>\n", " <td>S</td>\n", " <td>2</td>\n", " <td>NaN</td>\n", " <td>St Louis, MO</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Allison, Master. Hudson Trevor</td>\n", " <td>male</td>\n", " <td>0.9167</td>\n", " <td>1</td>\n", " <td>2</td>\n", " <td>113781</td>\n", " <td>151.5500</td>\n", " <td>C22 C26</td>\n", " <td>S</td>\n", " <td>11</td>\n", " <td>NaN</td>\n", " <td>Montreal, PQ / Chesterville, ON</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>Allison, Miss. Helen Loraine</td>\n", " <td>female</td>\n", " <td>2.0000</td>\n", " <td>1</td>\n", " <td>2</td>\n", " <td>113781</td>\n", " <td>151.5500</td>\n", " <td>C22 C26</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>Montreal, PQ / Chesterville, ON</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>Allison, Mr. Hudson Joshua Creighton</td>\n", " <td>male</td>\n", " <td>30.0000</td>\n", " <td>1</td>\n", " <td>2</td>\n", " <td>113781</td>\n", " <td>151.5500</td>\n", " <td>C22 C26</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>135.0</td>\n", " <td>Montreal, PQ / Chesterville, ON</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>Allison, Mrs. Hudson J C (Bessie Waldo Daniels)</td>\n", " <td>female</td>\n", " <td>25.0000</td>\n", " <td>1</td>\n", " <td>2</td>\n", " <td>113781</td>\n", " <td>151.5500</td>\n", " <td>C22 C26</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>Montreal, PQ / Chesterville, ON</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Anderson, Mr. Harry</td>\n", " <td>male</td>\n", " <td>48.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>19952</td>\n", " <td>26.5500</td>\n", " <td>E12</td>\n", " <td>S</td>\n", " <td>3</td>\n", " <td>NaN</td>\n", " <td>New York, NY</td>\n", " </tr>\n", " <tr>\n", " <th>6</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Andrews, Miss. Kornelia Theodosia</td>\n", " <td>female</td>\n", " <td>63.0000</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>13502</td>\n", " <td>77.9583</td>\n", " <td>D7</td>\n", " <td>S</td>\n", " <td>10</td>\n", " <td>NaN</td>\n", " <td>Hudson, NY</td>\n", " </tr>\n", " <tr>\n", " <th>7</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>Andrews, Mr. Thomas Jr</td>\n", " <td>male</td>\n", " <td>39.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>112050</td>\n", " <td>0.0000</td>\n", " <td>A36</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>Belfast, NI</td>\n", " </tr>\n", " <tr>\n", " <th>8</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Appleton, Mrs. Edward Dale (Charlotte Lamson)</td>\n", " <td>female</td>\n", " <td>53.0000</td>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>11769</td>\n", " <td>51.4792</td>\n", " <td>C101</td>\n", " <td>S</td>\n", " <td>D</td>\n", " <td>NaN</td>\n", " <td>Bayside, Queens, NY</td>\n", " </tr>\n", " <tr>\n", " <th>9</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>Artagaveytia, Mr. Ramon</td>\n", " <td>male</td>\n", " <td>71.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>PC 17609</td>\n", " <td>49.5042</td>\n", " <td>NaN</td>\n", " <td>C</td>\n", " <td>NaN</td>\n", " <td>22.0</td>\n", " <td>Montevideo, Uruguay</td>\n", " </tr>\n", " <tr>\n", " <th>10</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>Astor, Col. John Jacob</td>\n", " <td>male</td>\n", " <td>47.0000</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>PC 17757</td>\n", " <td>227.5250</td>\n", " <td>C62 C64</td>\n", " <td>C</td>\n", " <td>NaN</td>\n", " <td>124.0</td>\n", " <td>New York, NY</td>\n", " </tr>\n", " <tr>\n", " <th>11</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Astor, Mrs. John Jacob (Madeleine Talmadge Force)</td>\n", " <td>female</td>\n", " <td>18.0000</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>PC 17757</td>\n", " <td>227.5250</td>\n", " <td>C62 C64</td>\n", " <td>C</td>\n", " <td>4</td>\n", " <td>NaN</td>\n", " <td>New York, NY</td>\n", " </tr>\n", " <tr>\n", " <th>12</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Aubart, Mme. Leontine Pauline</td>\n", " <td>female</td>\n", " <td>24.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>PC 17477</td>\n", " <td>69.3000</td>\n", " <td>B35</td>\n", " <td>C</td>\n", " <td>9</td>\n", " <td>NaN</td>\n", " <td>Paris, France</td>\n", " </tr>\n", " <tr>\n", " <th>13</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Barber, Miss. Ellen \"Nellie\"</td>\n", " <td>female</td>\n", " <td>26.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>19877</td>\n", " <td>78.8500</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>6</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>14</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Barkworth, Mr. Algernon Henry Wilson</td>\n", " <td>male</td>\n", " <td>80.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>27042</td>\n", " <td>30.0000</td>\n", " <td>A23</td>\n", " <td>S</td>\n", " <td>B</td>\n", " <td>NaN</td>\n", " <td>Hessle, Yorks</td>\n", " </tr>\n", " <tr>\n", " <th>15</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>Baumann, Mr. John D</td>\n", " <td>male</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>PC 17318</td>\n", " <td>25.9250</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>New York, NY</td>\n", " </tr>\n", " <tr>\n", " <th>16</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>Baxter, Mr. Quigg Edmond</td>\n", " <td>male</td>\n", " <td>24.0000</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>PC 17558</td>\n", " <td>247.5208</td>\n", " <td>B58 B60</td>\n", " <td>C</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>Montreal, PQ</td>\n", " </tr>\n", " <tr>\n", " <th>17</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Baxter, Mrs. James (Helene DeLaudeniere Chaput)</td>\n", " <td>female</td>\n", " <td>50.0000</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>PC 17558</td>\n", " <td>247.5208</td>\n", " <td>B58 B60</td>\n", " <td>C</td>\n", " <td>6</td>\n", " <td>NaN</td>\n", " <td>Montreal, PQ</td>\n", " </tr>\n", " <tr>\n", " <th>18</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Bazzani, Miss. Albina</td>\n", " <td>female</td>\n", " <td>32.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>11813</td>\n", " <td>76.2917</td>\n", " <td>D15</td>\n", " <td>C</td>\n", " <td>8</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>19</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>Beattie, Mr. Thomson</td>\n", " <td>male</td>\n", " <td>36.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>13050</td>\n", " <td>75.2417</td>\n", " <td>C6</td>\n", " <td>C</td>\n", " <td>A</td>\n", " <td>NaN</td>\n", " <td>Winnipeg, MN</td>\n", " </tr>\n", " <tr>\n", " <th>20</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Beckwith, Mr. Richard Leonard</td>\n", " <td>male</td>\n", " <td>37.0000</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>11751</td>\n", " <td>52.5542</td>\n", " <td>D35</td>\n", " <td>S</td>\n", " <td>5</td>\n", " <td>NaN</td>\n", " <td>New York, NY</td>\n", " </tr>\n", " <tr>\n", " <th>21</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Beckwith, Mrs. Richard Leonard (Sallie Monypeny)</td>\n", " <td>female</td>\n", " <td>47.0000</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>11751</td>\n", " <td>52.5542</td>\n", " <td>D35</td>\n", " <td>S</td>\n", " <td>5</td>\n", " <td>NaN</td>\n", " <td>New York, NY</td>\n", " </tr>\n", " <tr>\n", " <th>22</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Behr, Mr. Karl Howell</td>\n", " <td>male</td>\n", " <td>26.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>111369</td>\n", " <td>30.0000</td>\n", " <td>C148</td>\n", " <td>C</td>\n", " <td>5</td>\n", " <td>NaN</td>\n", " <td>New York, NY</td>\n", " </tr>\n", " <tr>\n", " <th>23</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Bidois, Miss. Rosalie</td>\n", " <td>female</td>\n", " <td>42.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>PC 17757</td>\n", " <td>227.5250</td>\n", " <td>NaN</td>\n", " <td>C</td>\n", " <td>4</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>24</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Bird, Miss. Ellen</td>\n", " <td>female</td>\n", " <td>29.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>PC 17483</td>\n", " <td>221.7792</td>\n", " <td>C97</td>\n", " <td>S</td>\n", " <td>8</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>25</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>Birnbaum, Mr. Jakob</td>\n", " <td>male</td>\n", " <td>25.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>13905</td>\n", " <td>26.0000</td>\n", " <td>NaN</td>\n", " <td>C</td>\n", " <td>NaN</td>\n", " <td>148.0</td>\n", " <td>San Francisco, CA</td>\n", " </tr>\n", " <tr>\n", " <th>26</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Bishop, Mr. Dickinson H</td>\n", " <td>male</td>\n", " <td>25.0000</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>11967</td>\n", " <td>91.0792</td>\n", " <td>B49</td>\n", " <td>C</td>\n", " <td>7</td>\n", " <td>NaN</td>\n", " <td>Dowagiac, MI</td>\n", " </tr>\n", " <tr>\n", " <th>27</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Bishop, Mrs. Dickinson H (Helen Walton)</td>\n", " <td>female</td>\n", " <td>19.0000</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>11967</td>\n", " <td>91.0792</td>\n", " <td>B49</td>\n", " <td>C</td>\n", " <td>7</td>\n", " <td>NaN</td>\n", " <td>Dowagiac, MI</td>\n", " </tr>\n", " <tr>\n", " <th>28</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Bissette, Miss. Amelia</td>\n", " <td>female</td>\n", " <td>35.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>PC 17760</td>\n", " <td>135.6333</td>\n", " <td>C99</td>\n", " <td>S</td>\n", " <td>8</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>29</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Bjornstrom-Steffansson, Mr. Mauritz Hakan</td>\n", " <td>male</td>\n", " <td>28.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>110564</td>\n", " <td>26.5500</td>\n", " <td>C52</td>\n", " <td>S</td>\n", " <td>D</td>\n", " <td>NaN</td>\n", " <td>Stockholm, Sweden / Washington, DC</td>\n", " </tr>\n", " <tr>\n", " <th>...</th>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " </tr>\n", " <tr>\n", " <th>1279</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Vestrom, Miss. Hulda Amanda Adolfina</td>\n", " <td>female</td>\n", " <td>14.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>350406</td>\n", " <td>7.8542</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1280</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Vovk, Mr. Janko</td>\n", " <td>male</td>\n", " <td>22.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>349252</td>\n", " <td>7.8958</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1281</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Waelens, Mr. Achille</td>\n", " <td>male</td>\n", " <td>22.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>345767</td>\n", " <td>9.0000</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>Antwerp, Belgium / Stanton, OH</td>\n", " </tr>\n", " <tr>\n", " <th>1282</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Ware, Mr. Frederick</td>\n", " <td>male</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>359309</td>\n", " <td>8.0500</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1283</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Warren, Mr. Charles William</td>\n", " <td>male</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>C.A. 49867</td>\n", " <td>7.5500</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1284</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Webber, Mr. James</td>\n", " <td>male</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>SOTON/OQ 3101316</td>\n", " <td>8.0500</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1285</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Wenzel, Mr. Linhart</td>\n", " <td>male</td>\n", " <td>32.5000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>345775</td>\n", " <td>9.5000</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>298.0</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1286</th>\n", " <td>3</td>\n", " <td>1</td>\n", " <td>Whabee, Mrs. George Joseph (Shawneene Abi-Saab)</td>\n", " <td>female</td>\n", " <td>38.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>2688</td>\n", " <td>7.2292</td>\n", " <td>NaN</td>\n", " <td>C</td>\n", " <td>C</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1287</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Widegren, Mr. Carl/Charles Peter</td>\n", " <td>male</td>\n", " <td>51.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>347064</td>\n", " <td>7.7500</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1288</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Wiklund, Mr. Jakob Alfred</td>\n", " <td>male</td>\n", " <td>18.0000</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>3101267</td>\n", " <td>6.4958</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>314.0</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1289</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Wiklund, Mr. Karl Johan</td>\n", " <td>male</td>\n", " <td>21.0000</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>3101266</td>\n", " <td>6.4958</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1290</th>\n", " <td>3</td>\n", " <td>1</td>\n", " <td>Wilkes, Mrs. James (Ellen Needs)</td>\n", " <td>female</td>\n", " <td>47.0000</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>363272</td>\n", " <td>7.0000</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1291</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Willer, Mr. Aaron (\"Abi Weller\")</td>\n", " <td>male</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>3410</td>\n", " <td>8.7125</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1292</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Willey, Mr. Edward</td>\n", " <td>male</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>S.O./P.P. 751</td>\n", " <td>7.5500</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1293</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Williams, Mr. Howard Hugh \"Harry\"</td>\n", " <td>male</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>A/5 2466</td>\n", " <td>8.0500</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1294</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Williams, Mr. Leslie</td>\n", " <td>male</td>\n", " <td>28.5000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>54636</td>\n", " <td>16.1000</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>14.0</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1295</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Windelov, Mr. Einar</td>\n", " <td>male</td>\n", " <td>21.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>SOTON/OQ 3101317</td>\n", " <td>7.2500</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1296</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Wirz, Mr. Albert</td>\n", " <td>male</td>\n", " <td>27.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>315154</td>\n", " <td>8.6625</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>131.0</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1297</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Wiseman, Mr. Phillippe</td>\n", " <td>male</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>A/4. 34244</td>\n", " <td>7.2500</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1298</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Wittevrongel, Mr. Camille</td>\n", " <td>male</td>\n", " <td>36.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>345771</td>\n", " <td>9.5000</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1299</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Yasbeck, Mr. Antoni</td>\n", " <td>male</td>\n", " <td>27.0000</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>2659</td>\n", " <td>14.4542</td>\n", " <td>NaN</td>\n", " <td>C</td>\n", " <td>C</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1300</th>\n", " <td>3</td>\n", " <td>1</td>\n", " <td>Yasbeck, Mrs. Antoni (Selini Alexander)</td>\n", " <td>female</td>\n", " <td>15.0000</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>2659</td>\n", " <td>14.4542</td>\n", " <td>NaN</td>\n", " <td>C</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1301</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Youseff, Mr. Gerious</td>\n", " <td>male</td>\n", " <td>45.5000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>2628</td>\n", " <td>7.2250</td>\n", " <td>NaN</td>\n", " <td>C</td>\n", " <td>NaN</td>\n", " <td>312.0</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1302</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Yousif, Mr. Wazli</td>\n", " <td>male</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>2647</td>\n", " <td>7.2250</td>\n", " <td>NaN</td>\n", " <td>C</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1303</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Yousseff, Mr. Gerious</td>\n", " <td>male</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>2627</td>\n", " <td>14.4583</td>\n", " <td>NaN</td>\n", " <td>C</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1304</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Zabour, Miss. Hileni</td>\n", " <td>female</td>\n", " <td>14.5000</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>2665</td>\n", " <td>14.4542</td>\n", " <td>NaN</td>\n", " <td>C</td>\n", " <td>NaN</td>\n", " <td>328.0</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1305</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Zabour, Miss. Thamine</td>\n", " <td>female</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>2665</td>\n", " <td>14.4542</td>\n", " <td>NaN</td>\n", " <td>C</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1306</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Zakarian, Mr. Mapriededer</td>\n", " <td>male</td>\n", " <td>26.5000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>2656</td>\n", " <td>7.2250</td>\n", " <td>NaN</td>\n", " <td>C</td>\n", " <td>NaN</td>\n", " <td>304.0</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1307</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Zakarian, Mr. Ortin</td>\n", " <td>male</td>\n", " <td>27.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>2670</td>\n", " <td>7.2250</td>\n", " <td>NaN</td>\n", " <td>C</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1308</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Zimmerman, Mr. Leo</td>\n", " <td>male</td>\n", " <td>29.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>315082</td>\n", " <td>7.8750</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "<p>1307 rows × 14 columns</p>\n", "</div>" ], "text/plain": [ " pclass survived name \\\n", "0 1 1 Allen, Miss. Elisabeth Walton \n", "1 1 1 Allison, Master. Hudson Trevor \n", "2 1 0 Allison, Miss. Helen Loraine \n", "3 1 0 Allison, Mr. Hudson Joshua Creighton \n", "4 1 0 Allison, Mrs. Hudson J C (Bessie Waldo Daniels) \n", "5 1 1 Anderson, Mr. Harry \n", "6 1 1 Andrews, Miss. Kornelia Theodosia \n", "7 1 0 Andrews, Mr. Thomas Jr \n", "8 1 1 Appleton, Mrs. Edward Dale (Charlotte Lamson) \n", "9 1 0 Artagaveytia, Mr. Ramon \n", "10 1 0 Astor, Col. John Jacob \n", "11 1 1 Astor, Mrs. John Jacob (Madeleine Talmadge Force) \n", "12 1 1 Aubart, Mme. Leontine Pauline \n", "13 1 1 Barber, Miss. Ellen \"Nellie\" \n", "14 1 1 Barkworth, Mr. Algernon Henry Wilson \n", "15 1 0 Baumann, Mr. John D \n", "16 1 0 Baxter, Mr. Quigg Edmond \n", "17 1 1 Baxter, Mrs. James (Helene DeLaudeniere Chaput) \n", "18 1 1 Bazzani, Miss. Albina \n", "19 1 0 Beattie, Mr. Thomson \n", "20 1 1 Beckwith, Mr. Richard Leonard \n", "21 1 1 Beckwith, Mrs. Richard Leonard (Sallie Monypeny) \n", "22 1 1 Behr, Mr. Karl Howell \n", "23 1 1 Bidois, Miss. Rosalie \n", "24 1 1 Bird, Miss. Ellen \n", "25 1 0 Birnbaum, Mr. Jakob \n", "26 1 1 Bishop, Mr. Dickinson H \n", "27 1 1 Bishop, Mrs. Dickinson H (Helen Walton) \n", "28 1 1 Bissette, Miss. Amelia \n", "29 1 1 Bjornstrom-Steffansson, Mr. Mauritz Hakan \n", "... ... ... ... \n", "1279 3 0 Vestrom, Miss. Hulda Amanda Adolfina \n", "1280 3 0 Vovk, Mr. Janko \n", "1281 3 0 Waelens, Mr. Achille \n", "1282 3 0 Ware, Mr. Frederick \n", "1283 3 0 Warren, Mr. Charles William \n", "1284 3 0 Webber, Mr. James \n", "1285 3 0 Wenzel, Mr. Linhart \n", "1286 3 1 Whabee, Mrs. George Joseph (Shawneene Abi-Saab) \n", "1287 3 0 Widegren, Mr. Carl/Charles Peter \n", "1288 3 0 Wiklund, Mr. Jakob Alfred \n", "1289 3 0 Wiklund, Mr. Karl Johan \n", "1290 3 1 Wilkes, Mrs. James (Ellen Needs) \n", "1291 3 0 Willer, Mr. Aaron (\"Abi Weller\") \n", "1292 3 0 Willey, Mr. Edward \n", "1293 3 0 Williams, Mr. Howard Hugh \"Harry\" \n", "1294 3 0 Williams, Mr. Leslie \n", "1295 3 0 Windelov, Mr. Einar \n", "1296 3 0 Wirz, Mr. Albert \n", "1297 3 0 Wiseman, Mr. Phillippe \n", "1298 3 0 Wittevrongel, Mr. Camille \n", "1299 3 0 Yasbeck, Mr. Antoni \n", "1300 3 1 Yasbeck, Mrs. Antoni (Selini Alexander) \n", "1301 3 0 Youseff, Mr. Gerious \n", "1302 3 0 Yousif, Mr. Wazli \n", "1303 3 0 Yousseff, Mr. Gerious \n", "1304 3 0 Zabour, Miss. Hileni \n", "1305 3 0 Zabour, Miss. Thamine \n", "1306 3 0 Zakarian, Mr. Mapriededer \n", "1307 3 0 Zakarian, Mr. Ortin \n", "1308 3 0 Zimmerman, Mr. Leo \n", "\n", " sex age sibsp parch ticket fare cabin \\\n", "0 female 29.0000 0 0 24160 211.3375 B5 \n", "1 male 0.9167 1 2 113781 151.5500 C22 C26 \n", "2 female 2.0000 1 2 113781 151.5500 C22 C26 \n", "3 male 30.0000 1 2 113781 151.5500 C22 C26 \n", "4 female 25.0000 1 2 113781 151.5500 C22 C26 \n", "5 male 48.0000 0 0 19952 26.5500 E12 \n", "6 female 63.0000 1 0 13502 77.9583 D7 \n", "7 male 39.0000 0 0 112050 0.0000 A36 \n", "8 female 53.0000 2 0 11769 51.4792 C101 \n", "9 male 71.0000 0 0 PC 17609 49.5042 NaN \n", "10 male 47.0000 1 0 PC 17757 227.5250 C62 C64 \n", "11 female 18.0000 1 0 PC 17757 227.5250 C62 C64 \n", "12 female 24.0000 0 0 PC 17477 69.3000 B35 \n", "13 female 26.0000 0 0 19877 78.8500 NaN \n", "14 male 80.0000 0 0 27042 30.0000 A23 \n", "15 male NaN 0 0 PC 17318 25.9250 NaN \n", "16 male 24.0000 0 1 PC 17558 247.5208 B58 B60 \n", "17 female 50.0000 0 1 PC 17558 247.5208 B58 B60 \n", "18 female 32.0000 0 0 11813 76.2917 D15 \n", "19 male 36.0000 0 0 13050 75.2417 C6 \n", "20 male 37.0000 1 1 11751 52.5542 D35 \n", "21 female 47.0000 1 1 11751 52.5542 D35 \n", "22 male 26.0000 0 0 111369 30.0000 C148 \n", "23 female 42.0000 0 0 PC 17757 227.5250 NaN \n", "24 female 29.0000 0 0 PC 17483 221.7792 C97 \n", "25 male 25.0000 0 0 13905 26.0000 NaN \n", "26 male 25.0000 1 0 11967 91.0792 B49 \n", "27 female 19.0000 1 0 11967 91.0792 B49 \n", "28 female 35.0000 0 0 PC 17760 135.6333 C99 \n", "29 male 28.0000 0 0 110564 26.5500 C52 \n", "... ... ... ... ... ... ... ... \n", "1279 female 14.0000 0 0 350406 7.8542 NaN \n", "1280 male 22.0000 0 0 349252 7.8958 NaN \n", "1281 male 22.0000 0 0 345767 9.0000 NaN \n", "1282 male NaN 0 0 359309 8.0500 NaN \n", "1283 male NaN 0 0 C.A. 49867 7.5500 NaN \n", "1284 male NaN 0 0 SOTON/OQ 3101316 8.0500 NaN \n", "1285 male 32.5000 0 0 345775 9.5000 NaN \n", "1286 female 38.0000 0 0 2688 7.2292 NaN \n", "1287 male 51.0000 0 0 347064 7.7500 NaN \n", "1288 male 18.0000 1 0 3101267 6.4958 NaN \n", "1289 male 21.0000 1 0 3101266 6.4958 NaN \n", "1290 female 47.0000 1 0 363272 7.0000 NaN \n", "1291 male NaN 0 0 3410 8.7125 NaN \n", "1292 male NaN 0 0 S.O./P.P. 751 7.5500 NaN \n", "1293 male NaN 0 0 A/5 2466 8.0500 NaN \n", "1294 male 28.5000 0 0 54636 16.1000 NaN \n", "1295 male 21.0000 0 0 SOTON/OQ 3101317 7.2500 NaN \n", "1296 male 27.0000 0 0 315154 8.6625 NaN \n", "1297 male NaN 0 0 A/4. 34244 7.2500 NaN \n", "1298 male 36.0000 0 0 345771 9.5000 NaN \n", "1299 male 27.0000 1 0 2659 14.4542 NaN \n", "1300 female 15.0000 1 0 2659 14.4542 NaN \n", "1301 male 45.5000 0 0 2628 7.2250 NaN \n", "1302 male NaN 0 0 2647 7.2250 NaN \n", "1303 male NaN 0 0 2627 14.4583 NaN \n", "1304 female 14.5000 1 0 2665 14.4542 NaN \n", "1305 female NaN 1 0 2665 14.4542 NaN \n", "1306 male 26.5000 0 0 2656 7.2250 NaN \n", "1307 male 27.0000 0 0 2670 7.2250 NaN \n", "1308 male 29.0000 0 0 315082 7.8750 NaN \n", "\n", " embarked boat body home.dest \n", "0 S 2 NaN St Louis, MO \n", "1 S 11 NaN Montreal, PQ / Chesterville, ON \n", "2 S NaN NaN Montreal, PQ / Chesterville, ON \n", "3 S NaN 135.0 Montreal, PQ / Chesterville, ON \n", "4 S NaN NaN Montreal, PQ / Chesterville, ON \n", "5 S 3 NaN New York, NY \n", "6 S 10 NaN Hudson, NY \n", "7 S NaN NaN Belfast, NI \n", "8 S D NaN Bayside, Queens, NY \n", "9 C NaN 22.0 Montevideo, Uruguay \n", "10 C NaN 124.0 New York, NY \n", "11 C 4 NaN New York, NY \n", "12 C 9 NaN Paris, France \n", "13 S 6 NaN NaN \n", "14 S B NaN Hessle, Yorks \n", "15 S NaN NaN New York, NY \n", "16 C NaN NaN Montreal, PQ \n", "17 C 6 NaN Montreal, PQ \n", "18 C 8 NaN NaN \n", "19 C A NaN Winnipeg, MN \n", "20 S 5 NaN New York, NY \n", "21 S 5 NaN New York, NY \n", "22 C 5 NaN New York, NY \n", "23 C 4 NaN NaN \n", "24 S 8 NaN NaN \n", "25 C NaN 148.0 San Francisco, CA \n", "26 C 7 NaN Dowagiac, MI \n", "27 C 7 NaN Dowagiac, MI \n", "28 S 8 NaN NaN \n", "29 S D NaN Stockholm, Sweden / Washington, DC \n", "... ... ... ... ... \n", "1279 S NaN NaN NaN \n", "1280 S NaN NaN NaN \n", "1281 S NaN NaN Antwerp, Belgium / Stanton, OH \n", "1282 S NaN NaN NaN \n", "1283 S NaN NaN NaN \n", "1284 S NaN NaN NaN \n", "1285 S NaN 298.0 NaN \n", "1286 C C NaN NaN \n", "1287 S NaN NaN NaN \n", "1288 S NaN 314.0 NaN \n", "1289 S NaN NaN NaN \n", "1290 S NaN NaN NaN \n", "1291 S NaN NaN NaN \n", "1292 S NaN NaN NaN \n", "1293 S NaN NaN NaN \n", "1294 S NaN 14.0 NaN \n", "1295 S NaN NaN NaN \n", "1296 S NaN 131.0 NaN \n", "1297 S NaN NaN NaN \n", "1298 S NaN NaN NaN \n", "1299 C C NaN NaN \n", "1300 C NaN NaN NaN \n", "1301 C NaN 312.0 NaN \n", "1302 C NaN NaN NaN \n", "1303 C NaN NaN NaN \n", "1304 C NaN 328.0 NaN \n", "1305 C NaN NaN NaN \n", "1306 C NaN 304.0 NaN \n", "1307 C NaN NaN NaN \n", "1308 S NaN NaN NaN \n", "\n", "[1307 rows x 14 columns]" ] }, "execution_count": 160, "metadata": {}, "output_type": "execute_result" } ], "source": [ "titanic_df.drop_duplicates(['name'])" ] }, { "cell_type": "code", "execution_count": 148, "metadata": { "collapsed": false }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": 152, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<pandas.core.groupby.DataFrameGroupBy object at 0x0000015D3C451F98>" ] }, "execution_count": 152, "metadata": {}, "output_type": "execute_result" } ], "source": [] }, { "cell_type": "code", "execution_count": 163, "metadata": { "collapsed": true }, "outputs": [], "source": [ "gender_map = {'male':0, 'female':1}" ] }, { "cell_type": "code", "execution_count": 165, "metadata": { "collapsed": false }, "outputs": [], "source": [ "titanic_df['sex'] = titanic_df.sex.map(gender_map)" ] }, { "cell_type": "code", "execution_count": 168, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>pclass</th>\n", " <th>survived</th>\n", " <th>name</th>\n", " <th>sex</th>\n", " <th>age</th>\n", " <th>sibsp</th>\n", " <th>parch</th>\n", " <th>ticket</th>\n", " <th>fare</th>\n", " <th>cabin</th>\n", " <th>embarked</th>\n", " <th>boat</th>\n", " <th>body</th>\n", " <th>home.dest</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Allen, Miss. Elisabeth Walton</td>\n", " <td>1</td>\n", " <td>29.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>24160</td>\n", " <td>211.3375</td>\n", " <td>B5</td>\n", " <td>S</td>\n", " <td>2</td>\n", " <td>NaN</td>\n", " <td>St Louis, MO</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Allison, Master. Hudson Trevor</td>\n", " <td>0</td>\n", " <td>0.9167</td>\n", " <td>1</td>\n", " <td>2</td>\n", " <td>113781</td>\n", " <td>151.5500</td>\n", " <td>C22 C26</td>\n", " <td>S</td>\n", " <td>11</td>\n", " <td>NaN</td>\n", " <td>Montreal, PQ / Chesterville, ON</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>Allison, Miss. Helen Loraine</td>\n", " <td>1</td>\n", " <td>2.0000</td>\n", " <td>1</td>\n", " <td>2</td>\n", " <td>113781</td>\n", " <td>151.5500</td>\n", " <td>C22 C26</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>Montreal, PQ / Chesterville, ON</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>Allison, Mr. Hudson Joshua Creighton</td>\n", " <td>0</td>\n", " <td>30.0000</td>\n", " <td>1</td>\n", " <td>2</td>\n", " <td>113781</td>\n", " <td>151.5500</td>\n", " <td>C22 C26</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>135.0</td>\n", " <td>Montreal, PQ / Chesterville, ON</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>Allison, Mrs. Hudson J C (Bessie Waldo Daniels)</td>\n", " <td>1</td>\n", " <td>25.0000</td>\n", " <td>1</td>\n", " <td>2</td>\n", " <td>113781</td>\n", " <td>151.5500</td>\n", " <td>C22 C26</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>Montreal, PQ / Chesterville, ON</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Anderson, Mr. Harry</td>\n", " <td>0</td>\n", " <td>48.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>19952</td>\n", " <td>26.5500</td>\n", " <td>E12</td>\n", " <td>S</td>\n", " <td>3</td>\n", " <td>NaN</td>\n", " <td>New York, NY</td>\n", " </tr>\n", " <tr>\n", " <th>6</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Andrews, Miss. Kornelia Theodosia</td>\n", " <td>1</td>\n", " <td>63.0000</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>13502</td>\n", " <td>77.9583</td>\n", " <td>D7</td>\n", " <td>S</td>\n", " <td>10</td>\n", " <td>NaN</td>\n", " <td>Hudson, NY</td>\n", " </tr>\n", " <tr>\n", " <th>7</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>Andrews, Mr. Thomas Jr</td>\n", " <td>0</td>\n", " <td>39.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>112050</td>\n", " <td>0.0000</td>\n", " <td>A36</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>Belfast, NI</td>\n", " </tr>\n", " <tr>\n", " <th>8</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Appleton, Mrs. Edward Dale (Charlotte Lamson)</td>\n", " <td>1</td>\n", " <td>53.0000</td>\n", " <td>2</td>\n", " <td>0</td>\n", " <td>11769</td>\n", " <td>51.4792</td>\n", " <td>C101</td>\n", " <td>S</td>\n", " <td>D</td>\n", " <td>NaN</td>\n", " <td>Bayside, Queens, NY</td>\n", " </tr>\n", " <tr>\n", " <th>9</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>Artagaveytia, Mr. Ramon</td>\n", " <td>0</td>\n", " <td>71.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>PC 17609</td>\n", " <td>49.5042</td>\n", " <td>NaN</td>\n", " <td>C</td>\n", " <td>NaN</td>\n", " <td>22.0</td>\n", " <td>Montevideo, Uruguay</td>\n", " </tr>\n", " <tr>\n", " <th>10</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>Astor, Col. John Jacob</td>\n", " <td>0</td>\n", " <td>47.0000</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>PC 17757</td>\n", " <td>227.5250</td>\n", " <td>C62 C64</td>\n", " <td>C</td>\n", " <td>NaN</td>\n", " <td>124.0</td>\n", " <td>New York, NY</td>\n", " </tr>\n", " <tr>\n", " <th>11</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Astor, Mrs. John Jacob (Madeleine Talmadge Force)</td>\n", " <td>1</td>\n", " <td>18.0000</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>PC 17757</td>\n", " <td>227.5250</td>\n", " <td>C62 C64</td>\n", " <td>C</td>\n", " <td>4</td>\n", " <td>NaN</td>\n", " <td>New York, NY</td>\n", " </tr>\n", " <tr>\n", " <th>12</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Aubart, Mme. Leontine Pauline</td>\n", " <td>1</td>\n", " <td>24.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>PC 17477</td>\n", " <td>69.3000</td>\n", " <td>B35</td>\n", " <td>C</td>\n", " <td>9</td>\n", " <td>NaN</td>\n", " <td>Paris, France</td>\n", " </tr>\n", " <tr>\n", " <th>13</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Barber, Miss. Ellen \"Nellie\"</td>\n", " <td>1</td>\n", " <td>26.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>19877</td>\n", " <td>78.8500</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>6</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>14</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Barkworth, Mr. Algernon Henry Wilson</td>\n", " <td>0</td>\n", " <td>80.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>27042</td>\n", " <td>30.0000</td>\n", " <td>A23</td>\n", " <td>S</td>\n", " <td>B</td>\n", " <td>NaN</td>\n", " <td>Hessle, Yorks</td>\n", " </tr>\n", " <tr>\n", " <th>15</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>Baumann, Mr. John D</td>\n", " <td>0</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>PC 17318</td>\n", " <td>25.9250</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>New York, NY</td>\n", " </tr>\n", " <tr>\n", " <th>16</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>Baxter, Mr. Quigg Edmond</td>\n", " <td>0</td>\n", " <td>24.0000</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>PC 17558</td>\n", " <td>247.5208</td>\n", " <td>B58 B60</td>\n", " <td>C</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>Montreal, PQ</td>\n", " </tr>\n", " <tr>\n", " <th>17</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Baxter, Mrs. James (Helene DeLaudeniere Chaput)</td>\n", " <td>1</td>\n", " <td>50.0000</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>PC 17558</td>\n", " <td>247.5208</td>\n", " <td>B58 B60</td>\n", " <td>C</td>\n", " <td>6</td>\n", " <td>NaN</td>\n", " <td>Montreal, PQ</td>\n", " </tr>\n", " <tr>\n", " <th>18</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Bazzani, Miss. Albina</td>\n", " <td>1</td>\n", " <td>32.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>11813</td>\n", " <td>76.2917</td>\n", " <td>D15</td>\n", " <td>C</td>\n", " <td>8</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>19</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>Beattie, Mr. Thomson</td>\n", " <td>0</td>\n", " <td>36.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>13050</td>\n", " <td>75.2417</td>\n", " <td>C6</td>\n", " <td>C</td>\n", " <td>A</td>\n", " <td>NaN</td>\n", " <td>Winnipeg, MN</td>\n", " </tr>\n", " <tr>\n", " <th>20</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Beckwith, Mr. Richard Leonard</td>\n", " <td>0</td>\n", " <td>37.0000</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>11751</td>\n", " <td>52.5542</td>\n", " <td>D35</td>\n", " <td>S</td>\n", " <td>5</td>\n", " <td>NaN</td>\n", " <td>New York, NY</td>\n", " </tr>\n", " <tr>\n", " <th>21</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Beckwith, Mrs. Richard Leonard (Sallie Monypeny)</td>\n", " <td>1</td>\n", " <td>47.0000</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>11751</td>\n", " <td>52.5542</td>\n", " <td>D35</td>\n", " <td>S</td>\n", " <td>5</td>\n", " <td>NaN</td>\n", " <td>New York, NY</td>\n", " </tr>\n", " <tr>\n", " <th>22</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Behr, Mr. Karl Howell</td>\n", " <td>0</td>\n", " <td>26.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>111369</td>\n", " <td>30.0000</td>\n", " <td>C148</td>\n", " <td>C</td>\n", " <td>5</td>\n", " <td>NaN</td>\n", " <td>New York, NY</td>\n", " </tr>\n", " <tr>\n", " <th>23</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Bidois, Miss. Rosalie</td>\n", " <td>1</td>\n", " <td>42.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>PC 17757</td>\n", " <td>227.5250</td>\n", " <td>NaN</td>\n", " <td>C</td>\n", " <td>4</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>24</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Bird, Miss. Ellen</td>\n", " <td>1</td>\n", " <td>29.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>PC 17483</td>\n", " <td>221.7792</td>\n", " <td>C97</td>\n", " <td>S</td>\n", " <td>8</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>25</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>Birnbaum, Mr. Jakob</td>\n", " <td>0</td>\n", " <td>25.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>13905</td>\n", " <td>26.0000</td>\n", " <td>NaN</td>\n", " <td>C</td>\n", " <td>NaN</td>\n", " <td>148.0</td>\n", " <td>San Francisco, CA</td>\n", " </tr>\n", " <tr>\n", " <th>26</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Bishop, Mr. Dickinson H</td>\n", " <td>0</td>\n", " <td>25.0000</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>11967</td>\n", " <td>91.0792</td>\n", " <td>B49</td>\n", " <td>C</td>\n", " <td>7</td>\n", " <td>NaN</td>\n", " <td>Dowagiac, MI</td>\n", " </tr>\n", " <tr>\n", " <th>27</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Bishop, Mrs. Dickinson H (Helen Walton)</td>\n", " <td>1</td>\n", " <td>19.0000</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>11967</td>\n", " <td>91.0792</td>\n", " <td>B49</td>\n", " <td>C</td>\n", " <td>7</td>\n", " <td>NaN</td>\n", " <td>Dowagiac, MI</td>\n", " </tr>\n", " <tr>\n", " <th>28</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Bissette, Miss. Amelia</td>\n", " <td>1</td>\n", " <td>35.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>PC 17760</td>\n", " <td>135.6333</td>\n", " <td>C99</td>\n", " <td>S</td>\n", " <td>8</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>29</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Bjornstrom-Steffansson, Mr. Mauritz Hakan</td>\n", " <td>0</td>\n", " <td>28.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>110564</td>\n", " <td>26.5500</td>\n", " <td>C52</td>\n", " <td>S</td>\n", " <td>D</td>\n", " <td>NaN</td>\n", " <td>Stockholm, Sweden / Washington, DC</td>\n", " </tr>\n", " <tr>\n", " <th>...</th>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " </tr>\n", " <tr>\n", " <th>1279</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Vestrom, Miss. Hulda Amanda Adolfina</td>\n", " <td>1</td>\n", " <td>14.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>350406</td>\n", " <td>7.8542</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1280</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Vovk, Mr. Janko</td>\n", " <td>0</td>\n", " <td>22.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>349252</td>\n", " <td>7.8958</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1281</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Waelens, Mr. Achille</td>\n", " <td>0</td>\n", " <td>22.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>345767</td>\n", " <td>9.0000</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>Antwerp, Belgium / Stanton, OH</td>\n", " </tr>\n", " <tr>\n", " <th>1282</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Ware, Mr. Frederick</td>\n", " <td>0</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>359309</td>\n", " <td>8.0500</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1283</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Warren, Mr. Charles William</td>\n", " <td>0</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>C.A. 49867</td>\n", " <td>7.5500</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1284</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Webber, Mr. James</td>\n", " <td>0</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>SOTON/OQ 3101316</td>\n", " <td>8.0500</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1285</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Wenzel, Mr. Linhart</td>\n", " <td>0</td>\n", " <td>32.5000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>345775</td>\n", " <td>9.5000</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>298.0</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1286</th>\n", " <td>3</td>\n", " <td>1</td>\n", " <td>Whabee, Mrs. George Joseph (Shawneene Abi-Saab)</td>\n", " <td>1</td>\n", " <td>38.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>2688</td>\n", " <td>7.2292</td>\n", " <td>NaN</td>\n", " <td>C</td>\n", " <td>C</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1287</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Widegren, Mr. Carl/Charles Peter</td>\n", " <td>0</td>\n", " <td>51.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>347064</td>\n", " <td>7.7500</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1288</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Wiklund, Mr. Jakob Alfred</td>\n", " <td>0</td>\n", " <td>18.0000</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>3101267</td>\n", " <td>6.4958</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>314.0</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1289</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Wiklund, Mr. Karl Johan</td>\n", " <td>0</td>\n", " <td>21.0000</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>3101266</td>\n", " <td>6.4958</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1290</th>\n", " <td>3</td>\n", " <td>1</td>\n", " <td>Wilkes, Mrs. James (Ellen Needs)</td>\n", " <td>1</td>\n", " <td>47.0000</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>363272</td>\n", " <td>7.0000</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1291</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Willer, Mr. Aaron (\"Abi Weller\")</td>\n", " <td>0</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>3410</td>\n", " <td>8.7125</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1292</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Willey, Mr. Edward</td>\n", " <td>0</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>S.O./P.P. 751</td>\n", " <td>7.5500</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1293</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Williams, Mr. Howard Hugh \"Harry\"</td>\n", " <td>0</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>A/5 2466</td>\n", " <td>8.0500</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1294</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Williams, Mr. Leslie</td>\n", " <td>0</td>\n", " <td>28.5000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>54636</td>\n", " <td>16.1000</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>14.0</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1295</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Windelov, Mr. Einar</td>\n", " <td>0</td>\n", " <td>21.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>SOTON/OQ 3101317</td>\n", " <td>7.2500</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1296</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Wirz, Mr. Albert</td>\n", " <td>0</td>\n", " <td>27.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>315154</td>\n", " <td>8.6625</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>131.0</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1297</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Wiseman, Mr. Phillippe</td>\n", " <td>0</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>A/4. 34244</td>\n", " <td>7.2500</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1298</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Wittevrongel, Mr. Camille</td>\n", " <td>0</td>\n", " <td>36.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>345771</td>\n", " <td>9.5000</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1299</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Yasbeck, Mr. Antoni</td>\n", " <td>0</td>\n", " <td>27.0000</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>2659</td>\n", " <td>14.4542</td>\n", " <td>NaN</td>\n", " <td>C</td>\n", " <td>C</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1300</th>\n", " <td>3</td>\n", " <td>1</td>\n", " <td>Yasbeck, Mrs. Antoni (Selini Alexander)</td>\n", " <td>1</td>\n", " <td>15.0000</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>2659</td>\n", " <td>14.4542</td>\n", " <td>NaN</td>\n", " <td>C</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1301</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Youseff, Mr. Gerious</td>\n", " <td>0</td>\n", " <td>45.5000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>2628</td>\n", " <td>7.2250</td>\n", " <td>NaN</td>\n", " <td>C</td>\n", " <td>NaN</td>\n", " <td>312.0</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1302</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Yousif, Mr. Wazli</td>\n", " <td>0</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>2647</td>\n", " <td>7.2250</td>\n", " <td>NaN</td>\n", " <td>C</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1303</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Yousseff, Mr. Gerious</td>\n", " <td>0</td>\n", " <td>NaN</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>2627</td>\n", " <td>14.4583</td>\n", " <td>NaN</td>\n", " <td>C</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1304</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Zabour, Miss. Hileni</td>\n", " <td>1</td>\n", " <td>14.5000</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>2665</td>\n", " <td>14.4542</td>\n", " <td>NaN</td>\n", " <td>C</td>\n", " <td>NaN</td>\n", " <td>328.0</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1305</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Zabour, Miss. Thamine</td>\n", " <td>1</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>2665</td>\n", " <td>14.4542</td>\n", " <td>NaN</td>\n", " <td>C</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1306</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Zakarian, Mr. Mapriededer</td>\n", " <td>0</td>\n", " <td>26.5000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>2656</td>\n", " <td>7.2250</td>\n", " <td>NaN</td>\n", " <td>C</td>\n", " <td>NaN</td>\n", " <td>304.0</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1307</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Zakarian, Mr. Ortin</td>\n", " <td>0</td>\n", " <td>27.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>2670</td>\n", " <td>7.2250</td>\n", " <td>NaN</td>\n", " <td>C</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1308</th>\n", " <td>3</td>\n", " <td>0</td>\n", " <td>Zimmerman, Mr. Leo</td>\n", " <td>0</td>\n", " <td>29.0000</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>315082</td>\n", " <td>7.8750</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "<p>1309 rows × 14 columns</p>\n", "</div>" ], "text/plain": [ " pclass survived name \\\n", "0 1 1 Allen, Miss. Elisabeth Walton \n", "1 1 1 Allison, Master. Hudson Trevor \n", "2 1 0 Allison, Miss. Helen Loraine \n", "3 1 0 Allison, Mr. Hudson Joshua Creighton \n", "4 1 0 Allison, Mrs. Hudson J C (Bessie Waldo Daniels) \n", "5 1 1 Anderson, Mr. Harry \n", "6 1 1 Andrews, Miss. Kornelia Theodosia \n", "7 1 0 Andrews, Mr. Thomas Jr \n", "8 1 1 Appleton, Mrs. Edward Dale (Charlotte Lamson) \n", "9 1 0 Artagaveytia, Mr. Ramon \n", "10 1 0 Astor, Col. John Jacob \n", "11 1 1 Astor, Mrs. John Jacob (Madeleine Talmadge Force) \n", "12 1 1 Aubart, Mme. Leontine Pauline \n", "13 1 1 Barber, Miss. Ellen \"Nellie\" \n", "14 1 1 Barkworth, Mr. Algernon Henry Wilson \n", "15 1 0 Baumann, Mr. John D \n", "16 1 0 Baxter, Mr. Quigg Edmond \n", "17 1 1 Baxter, Mrs. James (Helene DeLaudeniere Chaput) \n", "18 1 1 Bazzani, Miss. Albina \n", "19 1 0 Beattie, Mr. Thomson \n", "20 1 1 Beckwith, Mr. Richard Leonard \n", "21 1 1 Beckwith, Mrs. Richard Leonard (Sallie Monypeny) \n", "22 1 1 Behr, Mr. Karl Howell \n", "23 1 1 Bidois, Miss. Rosalie \n", "24 1 1 Bird, Miss. Ellen \n", "25 1 0 Birnbaum, Mr. Jakob \n", "26 1 1 Bishop, Mr. Dickinson H \n", "27 1 1 Bishop, Mrs. Dickinson H (Helen Walton) \n", "28 1 1 Bissette, Miss. Amelia \n", "29 1 1 Bjornstrom-Steffansson, Mr. Mauritz Hakan \n", "... ... ... ... \n", "1279 3 0 Vestrom, Miss. Hulda Amanda Adolfina \n", "1280 3 0 Vovk, Mr. Janko \n", "1281 3 0 Waelens, Mr. Achille \n", "1282 3 0 Ware, Mr. Frederick \n", "1283 3 0 Warren, Mr. Charles William \n", "1284 3 0 Webber, Mr. James \n", "1285 3 0 Wenzel, Mr. Linhart \n", "1286 3 1 Whabee, Mrs. George Joseph (Shawneene Abi-Saab) \n", "1287 3 0 Widegren, Mr. Carl/Charles Peter \n", "1288 3 0 Wiklund, Mr. Jakob Alfred \n", "1289 3 0 Wiklund, Mr. Karl Johan \n", "1290 3 1 Wilkes, Mrs. James (Ellen Needs) \n", "1291 3 0 Willer, Mr. Aaron (\"Abi Weller\") \n", "1292 3 0 Willey, Mr. Edward \n", "1293 3 0 Williams, Mr. Howard Hugh \"Harry\" \n", "1294 3 0 Williams, Mr. Leslie \n", "1295 3 0 Windelov, Mr. Einar \n", "1296 3 0 Wirz, Mr. Albert \n", "1297 3 0 Wiseman, Mr. Phillippe \n", "1298 3 0 Wittevrongel, Mr. Camille \n", "1299 3 0 Yasbeck, Mr. Antoni \n", "1300 3 1 Yasbeck, Mrs. Antoni (Selini Alexander) \n", "1301 3 0 Youseff, Mr. Gerious \n", "1302 3 0 Yousif, Mr. Wazli \n", "1303 3 0 Yousseff, Mr. Gerious \n", "1304 3 0 Zabour, Miss. Hileni \n", "1305 3 0 Zabour, Miss. Thamine \n", "1306 3 0 Zakarian, Mr. Mapriededer \n", "1307 3 0 Zakarian, Mr. Ortin \n", "1308 3 0 Zimmerman, Mr. Leo \n", "\n", " sex age sibsp parch ticket fare cabin \\\n", "0 1 29.0000 0 0 24160 211.3375 B5 \n", "1 0 0.9167 1 2 113781 151.5500 C22 C26 \n", "2 1 2.0000 1 2 113781 151.5500 C22 C26 \n", "3 0 30.0000 1 2 113781 151.5500 C22 C26 \n", "4 1 25.0000 1 2 113781 151.5500 C22 C26 \n", "5 0 48.0000 0 0 19952 26.5500 E12 \n", "6 1 63.0000 1 0 13502 77.9583 D7 \n", "7 0 39.0000 0 0 112050 0.0000 A36 \n", "8 1 53.0000 2 0 11769 51.4792 C101 \n", "9 0 71.0000 0 0 PC 17609 49.5042 NaN \n", "10 0 47.0000 1 0 PC 17757 227.5250 C62 C64 \n", "11 1 18.0000 1 0 PC 17757 227.5250 C62 C64 \n", "12 1 24.0000 0 0 PC 17477 69.3000 B35 \n", "13 1 26.0000 0 0 19877 78.8500 NaN \n", "14 0 80.0000 0 0 27042 30.0000 A23 \n", "15 0 NaN 0 0 PC 17318 25.9250 NaN \n", "16 0 24.0000 0 1 PC 17558 247.5208 B58 B60 \n", "17 1 50.0000 0 1 PC 17558 247.5208 B58 B60 \n", "18 1 32.0000 0 0 11813 76.2917 D15 \n", "19 0 36.0000 0 0 13050 75.2417 C6 \n", "20 0 37.0000 1 1 11751 52.5542 D35 \n", "21 1 47.0000 1 1 11751 52.5542 D35 \n", "22 0 26.0000 0 0 111369 30.0000 C148 \n", "23 1 42.0000 0 0 PC 17757 227.5250 NaN \n", "24 1 29.0000 0 0 PC 17483 221.7792 C97 \n", "25 0 25.0000 0 0 13905 26.0000 NaN \n", "26 0 25.0000 1 0 11967 91.0792 B49 \n", "27 1 19.0000 1 0 11967 91.0792 B49 \n", "28 1 35.0000 0 0 PC 17760 135.6333 C99 \n", "29 0 28.0000 0 0 110564 26.5500 C52 \n", "... ... ... ... ... ... ... ... \n", "1279 1 14.0000 0 0 350406 7.8542 NaN \n", "1280 0 22.0000 0 0 349252 7.8958 NaN \n", "1281 0 22.0000 0 0 345767 9.0000 NaN \n", "1282 0 NaN 0 0 359309 8.0500 NaN \n", "1283 0 NaN 0 0 C.A. 49867 7.5500 NaN \n", "1284 0 NaN 0 0 SOTON/OQ 3101316 8.0500 NaN \n", "1285 0 32.5000 0 0 345775 9.5000 NaN \n", "1286 1 38.0000 0 0 2688 7.2292 NaN \n", "1287 0 51.0000 0 0 347064 7.7500 NaN \n", "1288 0 18.0000 1 0 3101267 6.4958 NaN \n", "1289 0 21.0000 1 0 3101266 6.4958 NaN \n", "1290 1 47.0000 1 0 363272 7.0000 NaN \n", "1291 0 NaN 0 0 3410 8.7125 NaN \n", "1292 0 NaN 0 0 S.O./P.P. 751 7.5500 NaN \n", "1293 0 NaN 0 0 A/5 2466 8.0500 NaN \n", "1294 0 28.5000 0 0 54636 16.1000 NaN \n", "1295 0 21.0000 0 0 SOTON/OQ 3101317 7.2500 NaN \n", "1296 0 27.0000 0 0 315154 8.6625 NaN \n", "1297 0 NaN 0 0 A/4. 34244 7.2500 NaN \n", "1298 0 36.0000 0 0 345771 9.5000 NaN \n", "1299 0 27.0000 1 0 2659 14.4542 NaN \n", "1300 1 15.0000 1 0 2659 14.4542 NaN \n", "1301 0 45.5000 0 0 2628 7.2250 NaN \n", "1302 0 NaN 0 0 2647 7.2250 NaN \n", "1303 0 NaN 0 0 2627 14.4583 NaN \n", "1304 1 14.5000 1 0 2665 14.4542 NaN \n", "1305 1 NaN 1 0 2665 14.4542 NaN \n", "1306 0 26.5000 0 0 2656 7.2250 NaN \n", "1307 0 27.0000 0 0 2670 7.2250 NaN \n", "1308 0 29.0000 0 0 315082 7.8750 NaN \n", "\n", " embarked boat body home.dest \n", "0 S 2 NaN St Louis, MO \n", "1 S 11 NaN Montreal, PQ / Chesterville, ON \n", "2 S NaN NaN Montreal, PQ / Chesterville, ON \n", "3 S NaN 135.0 Montreal, PQ / Chesterville, ON \n", "4 S NaN NaN Montreal, PQ / Chesterville, ON \n", "5 S 3 NaN New York, NY \n", "6 S 10 NaN Hudson, NY \n", "7 S NaN NaN Belfast, NI \n", "8 S D NaN Bayside, Queens, NY \n", "9 C NaN 22.0 Montevideo, Uruguay \n", "10 C NaN 124.0 New York, NY \n", "11 C 4 NaN New York, NY \n", "12 C 9 NaN Paris, France \n", "13 S 6 NaN NaN \n", "14 S B NaN Hessle, Yorks \n", "15 S NaN NaN New York, NY \n", "16 C NaN NaN Montreal, PQ \n", "17 C 6 NaN Montreal, PQ \n", "18 C 8 NaN NaN \n", "19 C A NaN Winnipeg, MN \n", "20 S 5 NaN New York, NY \n", "21 S 5 NaN New York, NY \n", "22 C 5 NaN New York, NY \n", "23 C 4 NaN NaN \n", "24 S 8 NaN NaN \n", "25 C NaN 148.0 San Francisco, CA \n", "26 C 7 NaN Dowagiac, MI \n", "27 C 7 NaN Dowagiac, MI \n", "28 S 8 NaN NaN \n", "29 S D NaN Stockholm, Sweden / Washington, DC \n", "... ... ... ... ... \n", "1279 S NaN NaN NaN \n", "1280 S NaN NaN NaN \n", "1281 S NaN NaN Antwerp, Belgium / Stanton, OH \n", "1282 S NaN NaN NaN \n", "1283 S NaN NaN NaN \n", "1284 S NaN NaN NaN \n", "1285 S NaN 298.0 NaN \n", "1286 C C NaN NaN \n", "1287 S NaN NaN NaN \n", "1288 S NaN 314.0 NaN \n", "1289 S NaN NaN NaN \n", "1290 S NaN NaN NaN \n", "1291 S NaN NaN NaN \n", "1292 S NaN NaN NaN \n", "1293 S NaN NaN NaN \n", "1294 S NaN 14.0 NaN \n", "1295 S NaN NaN NaN \n", "1296 S NaN 131.0 NaN \n", "1297 S NaN NaN NaN \n", "1298 S NaN NaN NaN \n", "1299 C C NaN NaN \n", "1300 C NaN NaN NaN \n", "1301 C NaN 312.0 NaN \n", "1302 C NaN NaN NaN \n", "1303 C NaN NaN NaN \n", "1304 C NaN 328.0 NaN \n", "1305 C NaN NaN NaN \n", "1306 C NaN 304.0 NaN \n", "1307 C NaN NaN NaN \n", "1308 S NaN NaN NaN \n", "\n", "[1309 rows x 14 columns]" ] }, "execution_count": 168, "metadata": {}, "output_type": "execute_result" } ], "source": [ "titanic_df" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": 185, "metadata": { "collapsed": true }, "outputs": [], "source": [ "titanic_grouped = titanic_df.groupby(titanic_df.sex)" ] }, { "cell_type": "code", "execution_count": 170, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<pandas.core.groupby.DataFrameGroupBy object at 0x0000015D3C4E19B0>" ] }, "execution_count": 170, "metadata": {}, "output_type": "execute_result" } ], "source": [ "titanic_grouped" ] }, { "cell_type": "code", "execution_count": 188, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "sex 0\n", "survived pclass survived name sex \\\n", "1 1 1 Allison, Master. Hudson Trevor 0 \n", "3 1 0 Allison, Mr. Hudson Joshua Creighton 0 \n", "5 1 1 Anderson, Mr. Harry 0 \n", "7 1 0 Andrews, Mr. Thomas Jr 0 \n", "9 1 0 Artagaveytia, Mr. Ramon 0 \n", "10 1 0 Astor, Col. John Jacob 0 \n", "14 1 1 Barkworth, Mr. Algernon Henry Wilson 0 \n", "15 1 0 Baumann, Mr. John D 0 \n", "16 1 0 Baxter, Mr. Quigg Edmond 0 \n", "19 1 0 Beattie, Mr. Thomson 0 \n", "20 1 1 Beckwith, Mr. Richard Leonard 0 \n", "22 1 1 Behr, Mr. Karl Howell 0 \n", "25 1 0 Birnbaum, Mr. Jakob 0 \n", "26 1 1 Bishop, Mr. Dickinson H 0 \n", "29 1 1 Bjornstrom-Steffansson, Mr. Mauritz Hakan 0 \n", "30 1 0 Blackwell, Mr. Stephen Weart 0 \n", "31 1 1 Blank, Mr. Henry 0 \n", "34 1 0 Borebank, Mr. John James 0 \n", "37 1 1 Bradley, Mr. George (\"George Arthur Brayton\") 0 \n", "38 1 0 Brady, Mr. John Bertram 0 \n", "39 1 0 Brandeis, Mr. Emil 0 \n", "40 1 0 Brewe, Dr. Arthur Jackson 0 \n", "45 1 0 Butt, Major. Archibald Willingham 0 \n", "46 1 0 Cairns, Mr. Alexander 0 \n", "47 1 1 Calderhead, Mr. Edward Pennington 0 \n", "49 1 1 Cardeza, Mr. Thomas Drake Martinez 0 \n", "51 1 0 Carlsson, Mr. Frans Olof 0 \n", "52 1 0 Carrau, Mr. Francisco M 0 \n", "53 1 0 Carrau, Mr. Jose Pedro 0 \n", "54 1 1 Carter, Master. William Thornton II 0 \n", "... ... ... ... ... \n", "1271 3 0 Vanden Steen, Mr. Leo Peter 0 \n", "1272 3 0 Vander Cruyssen, Mr. Victor 0 \n", "1274 3 0 Vander Planke, Mr. Julius 0 \n", "1275 3 0 Vander Planke, Mr. Leo Edmondus 0 \n", "1277 3 1 Vartanian, Mr. David 0 \n", "1278 3 0 Vendel, Mr. Olof Edvin 0 \n", "1280 3 0 Vovk, Mr. Janko 0 \n", "1281 3 0 Waelens, Mr. Achille 0 \n", "1282 3 0 Ware, Mr. Frederick 0 \n", "1283 3 0 Warren, Mr. Charles William 0 \n", "1284 3 0 Webber, Mr. James 0 \n", "1285 3 0 Wenzel, Mr. Linhart 0 \n", "1287 3 0 Widegren, Mr. Carl/Charles Peter 0 \n", "1288 3 0 Wiklund, Mr. Jakob Alfred 0 \n", "1289 3 0 Wiklund, Mr. Karl Johan 0 \n", "1291 3 0 Willer, Mr. Aaron (\"Abi Weller\") 0 \n", "1292 3 0 Willey, Mr. Edward 0 \n", "1293 3 0 Williams, Mr. Howard Hugh \"Harry\" 0 \n", "1294 3 0 Williams, Mr. Leslie 0 \n", "1295 3 0 Windelov, Mr. Einar 0 \n", "1296 3 0 Wirz, Mr. Albert 0 \n", "1297 3 0 Wiseman, Mr. Phillippe 0 \n", "1298 3 0 Wittevrongel, Mr. Camille 0 \n", "1299 3 0 Yasbeck, Mr. Antoni 0 \n", "1301 3 0 Youseff, Mr. Gerious 0 \n", "1302 3 0 Yousif, Mr. Wazli 0 \n", "1303 3 0 Yousseff, Mr. Gerious 0 \n", "1306 3 0 Zakarian, Mr. Mapriededer 0 \n", "1307 3 0 Zakarian, Mr. Ortin 0 \n", "1308 3 0 Zimmerman, Mr. Leo 0 \n", "\n", " age sibsp parch ticket fare cabin embarked \\\n", "1 0.9167 1 2 113781 151.5500 C22 C26 S \n", "3 30.0000 1 2 113781 151.5500 C22 C26 S \n", "5 48.0000 0 0 19952 26.5500 E12 S \n", "7 39.0000 0 0 112050 0.0000 A36 S \n", "9 71.0000 0 0 PC 17609 49.5042 NaN C \n", "10 47.0000 1 0 PC 17757 227.5250 C62 C64 C \n", "14 80.0000 0 0 27042 30.0000 A23 S \n", "15 NaN 0 0 PC 17318 25.9250 NaN S \n", "16 24.0000 0 1 PC 17558 247.5208 B58 B60 C \n", "19 36.0000 0 0 13050 75.2417 C6 C \n", "20 37.0000 1 1 11751 52.5542 D35 S \n", "22 26.0000 0 0 111369 30.0000 C148 C \n", "25 25.0000 0 0 13905 26.0000 NaN C \n", "26 25.0000 1 0 11967 91.0792 B49 C \n", "29 28.0000 0 0 110564 26.5500 C52 S \n", "30 45.0000 0 0 113784 35.5000 T S \n", "31 40.0000 0 0 112277 31.0000 A31 C \n", "34 42.0000 0 0 110489 26.5500 D22 S \n", "37 NaN 0 0 111427 26.5500 NaN S \n", "38 41.0000 0 0 113054 30.5000 A21 S \n", "39 48.0000 0 0 PC 17591 50.4958 B10 C \n", "40 NaN 0 0 112379 39.6000 NaN C \n", "45 45.0000 0 0 113050 26.5500 B38 S \n", "46 NaN 0 0 113798 31.0000 NaN S \n", "47 42.0000 0 0 PC 17476 26.2875 E24 S \n", "49 36.0000 0 1 PC 17755 512.3292 B51 B53 B55 C \n", "51 33.0000 0 0 695 5.0000 B51 B53 B55 S \n", "52 28.0000 0 0 113059 47.1000 NaN S \n", "53 17.0000 0 0 113059 47.1000 NaN S \n", "54 11.0000 1 2 113760 120.0000 B96 B98 S \n", "... ... ... ... ... ... ... ... \n", "1271 28.0000 0 0 345783 9.5000 NaN S \n", "1272 47.0000 0 0 345765 9.0000 NaN S \n", "1274 31.0000 3 0 345763 18.0000 NaN S \n", "1275 16.0000 2 0 345764 18.0000 NaN S \n", "1277 22.0000 0 0 2658 7.2250 NaN C \n", "1278 20.0000 0 0 350416 7.8542 NaN S \n", "1280 22.0000 0 0 349252 7.8958 NaN S \n", "1281 22.0000 0 0 345767 9.0000 NaN S \n", "1282 NaN 0 0 359309 8.0500 NaN S \n", "1283 NaN 0 0 C.A. 49867 7.5500 NaN S \n", "1284 NaN 0 0 SOTON/OQ 3101316 8.0500 NaN S \n", "1285 32.5000 0 0 345775 9.5000 NaN S \n", "1287 51.0000 0 0 347064 7.7500 NaN S \n", "1288 18.0000 1 0 3101267 6.4958 NaN S \n", "1289 21.0000 1 0 3101266 6.4958 NaN S \n", "1291 NaN 0 0 3410 8.7125 NaN S \n", "1292 NaN 0 0 S.O./P.P. 751 7.5500 NaN S \n", "1293 NaN 0 0 A/5 2466 8.0500 NaN S \n", "1294 28.5000 0 0 54636 16.1000 NaN S \n", "1295 21.0000 0 0 SOTON/OQ 3101317 7.2500 NaN S \n", "1296 27.0000 0 0 315154 8.6625 NaN S \n", "1297 NaN 0 0 A/4. 34244 7.2500 NaN S \n", "1298 36.0000 0 0 345771 9.5000 NaN S \n", "1299 27.0000 1 0 2659 14.4542 NaN C \n", "1301 45.5000 0 0 2628 7.2250 NaN C \n", "1302 NaN 0 0 2647 7.2250 NaN C \n", "1303 NaN 0 0 2627 14.4583 NaN C \n", "1306 26.5000 0 0 2656 7.2250 NaN C \n", "1307 27.0000 0 0 2670 7.2250 NaN C \n", "1308 29.0000 0 0 315082 7.8750 NaN S \n", "\n", " boat body home.dest \n", "1 11 NaN Montreal, PQ / Chesterville, ON \n", "3 NaN 135.0 Montreal, PQ / Chesterville, ON \n", "5 3 NaN New York, NY \n", "7 NaN NaN Belfast, NI \n", "9 NaN 22.0 Montevideo, Uruguay \n", "10 NaN 124.0 New York, NY \n", "14 B NaN Hessle, Yorks \n", "15 NaN NaN New York, NY \n", "16 NaN NaN Montreal, PQ \n", "19 A NaN Winnipeg, MN \n", "20 5 NaN New York, NY \n", "22 5 NaN New York, NY \n", "25 NaN 148.0 San Francisco, CA \n", "26 7 NaN Dowagiac, MI \n", "29 D NaN Stockholm, Sweden / Washington, DC \n", "30 NaN NaN Trenton, NJ \n", "31 7 NaN Glen Ridge, NJ \n", "34 NaN NaN London / Winnipeg, MB \n", "37 9 NaN Los Angeles, CA \n", "38 NaN NaN Pomeroy, WA \n", "39 NaN 208.0 Omaha, NE \n", "40 NaN NaN Philadelphia, PA \n", "45 NaN NaN Washington, DC \n", "46 NaN NaN NaN \n", "47 5 NaN New York, NY \n", "49 3 NaN Austria-Hungary / Germantown, Philadelphia, PA \n", "51 NaN NaN New York, NY \n", "52 NaN NaN Montevideo, Uruguay \n", "53 NaN NaN Montevideo, Uruguay \n", "54 4 NaN Bryn Mawr, PA \n", "... ... ... ... \n", "1271 NaN NaN NaN \n", "1272 NaN NaN NaN \n", "1274 NaN NaN NaN \n", "1275 NaN NaN NaN \n", "1277 13 15 NaN NaN \n", "1278 NaN NaN NaN \n", "1280 NaN NaN NaN \n", "1281 NaN NaN Antwerp, Belgium / Stanton, OH \n", "1282 NaN NaN NaN \n", "1283 NaN NaN NaN \n", "1284 NaN NaN NaN \n", "1285 NaN 298.0 NaN \n", "1287 NaN NaN NaN \n", "1288 NaN 314.0 NaN \n", "1289 NaN NaN NaN \n", "1291 NaN NaN NaN \n", "1292 NaN NaN NaN \n", "1293 NaN NaN NaN \n", "1294 NaN 14.0 NaN \n", "1295 NaN NaN NaN \n", "1296 NaN 131.0 NaN \n", "1297 NaN NaN NaN \n", "1298 NaN NaN NaN \n", "1299 C NaN NaN \n", "1301 NaN 312.0 NaN \n", "1302 NaN NaN NaN \n", "1303 NaN NaN NaN \n", "1306 NaN 304.0 NaN \n", "1307 NaN NaN NaN \n", "1308 NaN NaN NaN \n", "\n", "[843 rows x 14 columns]\n", "sex 1\n", "survived pclass survived name \\\n", "0 1 1 Allen, Miss. Elisabeth Walton \n", "2 1 0 Allison, Miss. Helen Loraine \n", "4 1 0 Allison, Mrs. Hudson J C (Bessie Waldo Daniels) \n", "6 1 1 Andrews, Miss. Kornelia Theodosia \n", "8 1 1 Appleton, Mrs. Edward Dale (Charlotte Lamson) \n", "11 1 1 Astor, Mrs. John Jacob (Madeleine Talmadge Force) \n", "12 1 1 Aubart, Mme. Leontine Pauline \n", "13 1 1 Barber, Miss. Ellen \"Nellie\" \n", "17 1 1 Baxter, Mrs. James (Helene DeLaudeniere Chaput) \n", "18 1 1 Bazzani, Miss. Albina \n", "21 1 1 Beckwith, Mrs. Richard Leonard (Sallie Monypeny) \n", "23 1 1 Bidois, Miss. Rosalie \n", "24 1 1 Bird, Miss. Ellen \n", "27 1 1 Bishop, Mrs. Dickinson H (Helen Walton) \n", "28 1 1 Bissette, Miss. Amelia \n", "32 1 1 Bonnell, Miss. Caroline \n", "33 1 1 Bonnell, Miss. Elizabeth \n", "35 1 1 Bowen, Miss. Grace Scott \n", "36 1 1 Bowerman, Miss. Elsie Edith \n", "41 1 1 Brown, Mrs. James Joseph (Margaret Tobin) \n", "42 1 1 Brown, Mrs. John Murray (Caroline Lane Lamson) \n", "43 1 1 Bucknell, Mrs. William Robert (Emma Eliza Ward) \n", "44 1 1 Burns, Miss. Elizabeth Margaret \n", "48 1 1 Candee, Mrs. Edward (Helen Churchill Hungerford) \n", "50 1 1 Cardeza, Mrs. James Warburton Martinez (Charlo... \n", "55 1 1 Carter, Miss. Lucile Polk \n", "57 1 1 Carter, Mrs. William Ernest (Lucile Polk) \n", "59 1 1 Cassebeer, Mrs. Henry Arthur Jr (Eleanor Genev... \n", "61 1 1 Cavendish, Mrs. Tyrell William (Julia Florence... \n", "63 1 1 Chaffee, Mrs. Herbert Fuller (Carrie Constance... \n", "... ... ... ... \n", "1182 3 1 Salkjelsvik, Miss. Anna Kristine \n", "1187 3 1 Sandstrom, Miss. Beatrice Irene \n", "1188 3 1 Sandstrom, Mrs. Hjalmar (Agnes Charlotta Bengt... \n", "1189 3 1 Sandstrom, Miss. Marguerite Rut \n", "1198 3 1 Shine, Miss. Ellen Natalia \n", "1205 3 1 Sjoblom, Miss. Anna Sofia \n", "1208 3 0 Skoog, Miss. Mabel \n", "1209 3 0 Skoog, Miss. Margit Elizabeth \n", "1211 3 0 Skoog, Mrs. William (Anna Bernhardina Karlsson) \n", "1216 3 1 Smyth, Miss. Julia \n", "1223 3 1 Stanley, Miss. Amy Zillah Elsie \n", "1227 3 0 Strandberg, Miss. Ida Sofia \n", "1230 3 0 Strom, Miss. Telma Matilda \n", "1231 3 0 Strom, Mrs. Wilhelm (Elna Matilda Persson) \n", "1244 3 1 Thomas, Mrs. Alexander (Thamine \"Thelma\") \n", "1247 3 1 Thorneycroft, Mrs. Percival (Florence Kate White) \n", "1257 3 1 Touma, Miss. Maria Youssef \n", "1258 3 1 Touma, Mrs. Darwis (Hanne Youssef Razi) \n", "1260 3 1 Turja, Miss. Anna Sofia \n", "1261 3 1 Turkula, Mrs. (Hedwig) \n", "1265 3 0 Van Impe, Miss. Catharina \n", "1267 3 0 Van Impe, Mrs. Jean Baptiste (Rosalie Paula Go... \n", "1273 3 0 Vander Planke, Miss. Augusta Maria \n", "1276 3 0 Vander Planke, Mrs. Julius (Emelia Maria Vande... \n", "1279 3 0 Vestrom, Miss. Hulda Amanda Adolfina \n", "1286 3 1 Whabee, Mrs. George Joseph (Shawneene Abi-Saab) \n", "1290 3 1 Wilkes, Mrs. James (Ellen Needs) \n", "1300 3 1 Yasbeck, Mrs. Antoni (Selini Alexander) \n", "1304 3 0 Zabour, Miss. Hileni \n", "1305 3 0 Zabour, Miss. Thamine \n", "\n", " sex age sibsp parch ticket fare cabin embarked \\\n", "0 1 29.0 0 0 24160 211.3375 B5 S \n", "2 1 2.0 1 2 113781 151.5500 C22 C26 S \n", "4 1 25.0 1 2 113781 151.5500 C22 C26 S \n", "6 1 63.0 1 0 13502 77.9583 D7 S \n", "8 1 53.0 2 0 11769 51.4792 C101 S \n", "11 1 18.0 1 0 PC 17757 227.5250 C62 C64 C \n", "12 1 24.0 0 0 PC 17477 69.3000 B35 C \n", "13 1 26.0 0 0 19877 78.8500 NaN S \n", "17 1 50.0 0 1 PC 17558 247.5208 B58 B60 C \n", "18 1 32.0 0 0 11813 76.2917 D15 C \n", "21 1 47.0 1 1 11751 52.5542 D35 S \n", "23 1 42.0 0 0 PC 17757 227.5250 NaN C \n", "24 1 29.0 0 0 PC 17483 221.7792 C97 S \n", "27 1 19.0 1 0 11967 91.0792 B49 C \n", "28 1 35.0 0 0 PC 17760 135.6333 C99 S \n", "32 1 30.0 0 0 36928 164.8667 C7 S \n", "33 1 58.0 0 0 113783 26.5500 C103 S \n", "35 1 45.0 0 0 PC 17608 262.3750 NaN C \n", "36 1 22.0 0 1 113505 55.0000 E33 S \n", "41 1 44.0 0 0 PC 17610 27.7208 B4 C \n", "42 1 59.0 2 0 11769 51.4792 C101 S \n", "43 1 60.0 0 0 11813 76.2917 D15 C \n", "44 1 41.0 0 0 16966 134.5000 E40 C \n", "48 1 53.0 0 0 PC 17606 27.4458 NaN C \n", "50 1 58.0 0 1 PC 17755 512.3292 B51 B53 B55 C \n", "55 1 14.0 1 2 113760 120.0000 B96 B98 S \n", "57 1 36.0 1 2 113760 120.0000 B96 B98 S \n", "59 1 NaN 0 0 17770 27.7208 NaN C \n", "61 1 76.0 1 0 19877 78.8500 C46 S \n", "63 1 47.0 1 0 W.E.P. 5734 61.1750 E31 S \n", "... ... ... ... ... ... ... ... ... \n", "1182 1 21.0 0 0 343120 7.6500 NaN S \n", "1187 1 1.0 1 1 PP 9549 16.7000 G6 S \n", "1188 1 24.0 0 2 PP 9549 16.7000 G6 S \n", "1189 1 4.0 1 1 PP 9549 16.7000 G6 S \n", "1198 1 NaN 0 0 330968 7.7792 NaN Q \n", "1205 1 18.0 0 0 3101265 7.4958 NaN S \n", "1208 1 9.0 3 2 347088 27.9000 NaN S \n", "1209 1 2.0 3 2 347088 27.9000 NaN S \n", "1211 1 45.0 1 4 347088 27.9000 NaN S \n", "1216 1 NaN 0 0 335432 7.7333 NaN Q \n", "1223 1 23.0 0 0 CA. 2314 7.5500 NaN S \n", "1227 1 22.0 0 0 7553 9.8375 NaN S \n", "1230 1 2.0 0 1 347054 10.4625 G6 S \n", "1231 1 29.0 1 1 347054 10.4625 G6 S \n", "1244 1 16.0 1 1 2625 8.5167 NaN C \n", "1247 1 NaN 1 0 376564 16.1000 NaN S \n", "1257 1 9.0 1 1 2650 15.2458 NaN C \n", "1258 1 29.0 0 2 2650 15.2458 NaN C \n", "1260 1 18.0 0 0 4138 9.8417 NaN S \n", "1261 1 63.0 0 0 4134 9.5875 NaN S \n", "1265 1 10.0 0 2 345773 24.1500 NaN S \n", "1267 1 30.0 1 1 345773 24.1500 NaN S \n", "1273 1 18.0 2 0 345764 18.0000 NaN S \n", "1276 1 31.0 1 0 345763 18.0000 NaN S \n", "1279 1 14.0 0 0 350406 7.8542 NaN S \n", "1286 1 38.0 0 0 2688 7.2292 NaN C \n", "1290 1 47.0 1 0 363272 7.0000 NaN S \n", "1300 1 15.0 1 0 2659 14.4542 NaN C \n", "1304 1 14.5 1 0 2665 14.4542 NaN C \n", "1305 1 NaN 1 0 2665 14.4542 NaN C \n", "\n", " boat body home.dest \n", "0 2 NaN St Louis, MO \n", "2 NaN NaN Montreal, PQ / Chesterville, ON \n", "4 NaN NaN Montreal, PQ / Chesterville, ON \n", "6 10 NaN Hudson, NY \n", "8 D NaN Bayside, Queens, NY \n", "11 4 NaN New York, NY \n", "12 9 NaN Paris, France \n", "13 6 NaN NaN \n", "17 6 NaN Montreal, PQ \n", "18 8 NaN NaN \n", "21 5 NaN New York, NY \n", "23 4 NaN NaN \n", "24 8 NaN NaN \n", "27 7 NaN Dowagiac, MI \n", "28 8 NaN NaN \n", "32 8 NaN Youngstown, OH \n", "33 8 NaN Birkdale, England Cleveland, Ohio \n", "35 4 NaN Cooperstown, NY \n", "36 6 NaN St Leonards-on-Sea, England Ohio \n", "41 6 NaN Denver, CO \n", "42 D NaN Belmont, MA \n", "43 8 NaN Philadelphia, PA \n", "44 3 NaN NaN \n", "48 6 NaN Washington, DC \n", "50 3 NaN Germantown, Philadelphia, PA \n", "55 4 NaN Bryn Mawr, PA \n", "57 4 NaN Bryn Mawr, PA \n", "59 5 NaN New York, NY \n", "61 6 NaN Little Onn Hall, Staffs \n", "63 4 NaN Amenia, ND \n", "... ... ... ... \n", "1182 C NaN NaN \n", "1187 13 NaN NaN \n", "1188 13 NaN NaN \n", "1189 13 NaN NaN \n", "1198 NaN NaN NaN \n", "1205 16 NaN NaN \n", "1208 NaN NaN NaN \n", "1209 NaN NaN NaN \n", "1211 NaN NaN NaN \n", "1216 13 NaN NaN \n", "1223 C NaN NaN \n", "1227 NaN NaN NaN \n", "1230 NaN NaN NaN \n", "1231 NaN NaN NaN \n", "1244 14 NaN NaN \n", "1247 10 NaN NaN \n", "1257 C NaN NaN \n", "1258 C NaN NaN \n", "1260 15 NaN NaN \n", "1261 15 NaN NaN \n", "1265 NaN NaN NaN \n", "1267 NaN NaN NaN \n", "1273 NaN NaN NaN \n", "1276 NaN NaN NaN \n", "1279 NaN NaN NaN \n", "1286 C NaN NaN \n", "1290 NaN NaN NaN \n", "1300 NaN NaN NaN \n", "1304 NaN 328.0 NaN \n", "1305 NaN NaN NaN \n", "\n", "[466 rows x 14 columns]\n" ] } ], "source": [ "for sex, survived in titanic_grouped:\n", " print('sex', sex)\n", " print('survived', survived)" ] }, { "cell_type": "code", "execution_count": 176, "metadata": { "collapsed": false }, "outputs": [ { "ename": "SyntaxError", "evalue": "invalid syntax (<ipython-input-176-5f79da9652e6>, line 1)", "output_type": "error", "traceback": [ "\u001b[1;36m File \u001b[1;32m\"<ipython-input-176-5f79da9652e6>\"\u001b[1;36m, line \u001b[1;32m1\u001b[0m\n\u001b[1;33m SELECT titanic_df.pclass, mean(titanic_df.age)\u001b[0m\n\u001b[1;37m ^\u001b[0m\n\u001b[1;31mSyntaxError\u001b[0m\u001b[1;31m:\u001b[0m invalid syntax\n" ] } ], "source": [] }, { "cell_type": "code", "execution_count": 155, "metadata": { "collapsed": false }, "outputs": [ { "ename": "TypeError", "evalue": "'DataFrame' objects are mutable, thus they cannot be hashed", "output_type": "error", "traceback": [ "\u001b[1;31m---------------------------------------------------------------------------\u001b[0m", "\u001b[1;31mTypeError\u001b[0m Traceback (most recent call last)", "\u001b[1;32m<ipython-input-155-ea4233ceb39e>\u001b[0m in \u001b[0;36m<module>\u001b[1;34m()\u001b[0m\n\u001b[1;32m----> 1\u001b[1;33m \u001b[0mtitanic_grouped\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0magg\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0msurvived\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mmean\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mhead\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m", "\u001b[1;32mC:\\Users\\merin\\Anaconda3\\lib\\site-packages\\pandas\\core\\groupby.py\u001b[0m in \u001b[0;36maggregate\u001b[1;34m(self, arg, *args, **kwargs)\u001b[0m\n\u001b[0;32m 3595\u001b[0m \u001b[1;33m@\u001b[0m\u001b[0mAppender\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mSelectionMixin\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_agg_doc\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 3596\u001b[0m \u001b[1;32mdef\u001b[0m \u001b[0maggregate\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mself\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0marg\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;33m*\u001b[0m\u001b[0margs\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;33m**\u001b[0m\u001b[0mkwargs\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m-> 3597\u001b[1;33m \u001b[1;32mreturn\u001b[0m \u001b[0msuper\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mDataFrameGroupBy\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0maggregate\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0marg\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;33m*\u001b[0m\u001b[0margs\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;33m**\u001b[0m\u001b[0mkwargs\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 3598\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 3599\u001b[0m \u001b[0magg\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0maggregate\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;32mC:\\Users\\merin\\Anaconda3\\lib\\site-packages\\pandas\\core\\groupby.py\u001b[0m in \u001b[0;36maggregate\u001b[1;34m(self, arg, *args, **kwargs)\u001b[0m\n\u001b[0;32m 3112\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 3113\u001b[0m \u001b[0m_level\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mkwargs\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mpop\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;34m'_level'\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;32mNone\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m-> 3114\u001b[1;33m \u001b[0mresult\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mhow\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_aggregate\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0marg\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0m_level\u001b[0m\u001b[1;33m=\u001b[0m\u001b[0m_level\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;33m*\u001b[0m\u001b[0margs\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;33m**\u001b[0m\u001b[0mkwargs\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 3115\u001b[0m \u001b[1;32mif\u001b[0m \u001b[0mhow\u001b[0m \u001b[1;32mis\u001b[0m \u001b[1;32mNone\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 3116\u001b[0m \u001b[1;32mreturn\u001b[0m \u001b[0mresult\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;32mC:\\Users\\merin\\Anaconda3\\lib\\site-packages\\pandas\\core\\base.py\u001b[0m in \u001b[0;36m_aggregate\u001b[1;34m(self, arg, *args, **kwargs)\u001b[0m\n\u001b[0;32m 566\u001b[0m \u001b[0mresult\u001b[0m \u001b[1;33m=\u001b[0m \u001b[1;32mNone\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 567\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m--> 568\u001b[1;33m \u001b[0mcy_func\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_is_cython_func\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0marg\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 569\u001b[0m \u001b[1;32mif\u001b[0m \u001b[0mcy_func\u001b[0m \u001b[1;32mand\u001b[0m \u001b[1;32mnot\u001b[0m \u001b[0margs\u001b[0m \u001b[1;32mand\u001b[0m \u001b[1;32mnot\u001b[0m \u001b[0mkwargs\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 570\u001b[0m \u001b[1;32mreturn\u001b[0m \u001b[0mgetattr\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mself\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mcy_func\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;32mNone\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;32mC:\\Users\\merin\\Anaconda3\\lib\\site-packages\\pandas\\core\\base.py\u001b[0m in \u001b[0;36m_is_cython_func\u001b[1;34m(self, arg)\u001b[0m\n\u001b[0;32m 631\u001b[0m \u001b[1;32mdef\u001b[0m \u001b[0m_is_cython_func\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mself\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0marg\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 632\u001b[0m \u001b[1;34m\"\"\" if we define an internal function for this argument, return it \"\"\"\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m--> 633\u001b[1;33m \u001b[1;32mreturn\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_cython_table\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mget\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0marg\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 634\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 635\u001b[0m \u001b[1;32mdef\u001b[0m \u001b[0m_is_builtin_func\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mself\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0marg\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;32mC:\\Users\\merin\\Anaconda3\\lib\\site-packages\\pandas\\core\\generic.py\u001b[0m in \u001b[0;36m__hash__\u001b[1;34m(self)\u001b[0m\n\u001b[0;32m 804\u001b[0m \u001b[1;32mdef\u001b[0m \u001b[0m__hash__\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mself\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 805\u001b[0m raise TypeError('{0!r} objects are mutable, thus they cannot be'\n\u001b[1;32m--> 806\u001b[1;33m ' hashed'.format(self.__class__.__name__))\n\u001b[0m\u001b[0;32m 807\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 808\u001b[0m \u001b[1;32mdef\u001b[0m \u001b[0m__iter__\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mself\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;31mTypeError\u001b[0m: 'DataFrame' objects are mutable, thus they cannot be hashed" ] } ], "source": [ "titanic_grouped.agg(survived.mean).head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## References\n", "\n", "[Python for Data Analysis](http://shop.oreilly.com/product/0636920023784.do) Wes McKinney" ] } ], "metadata": { "anaconda-cloud": {}, "kernelspec": { "display_name": "Python [Root]", "language": "python", "name": "Python [Root]" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.2" }, "nav_menu": {}, "toc": { "navigate_menu": true, "number_sections": false, "sideBar": false, "threshold": "3", "toc_cell": true, "toc_section_display": "block", "toc_window_display": false } }, "nbformat": 4, "nbformat_minor": 1 }
gpl-3.0
atcemgil/notes
DecisionTree.ipynb
1
971878
{ "cells": [ { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": true }, "outputs": [], "source": [ "from sklearn import tree\n", "\n", "X = [[0, 0], [1, 1]]\n", "Y = [0, 1]\n", "decision_tree_classifier = tree.DecisionTreeClassifier()\n", "decision_tree_classifier = decision_tree_classifier.fit(X, Y)\n" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": true }, "outputs": [], "source": [ "from sklearn.datasets import load_iris\n", "from sklearn import tree\n", "from IPython.display import Image \n", "import pydotplus \n" ] }, { "cell_type": "code", "execution_count": 4, "metadata": {}, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAawAAAGeCAYAAADIejUKAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x119240dd0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "%matplotlib inline\n", "import matplotlib.pyplot as plt\n", "import numpy as np\n", "\n", "# Limits of the domain\n", "Lim = 4\n", "\n", "# Number of components\n", "N = 5\n", "\n", "# Generate random mixture parameters\n", "w = np.random.rand(N)\n", "w = w/np.sum(w)\n", "mu = []\n", "Sig = []\n", "\n", "for i in range(N):\n", " mu.append(np.random.randn(2))\n", " A = np.random.randn(2,2)/3\n", " Sig.append(A.dot(A.T))\n", " \n", "# Number of data points\n", "T = N*100\n", "# Number of points from each cluster center\n", "Ts = np.random.multinomial(T, w)\n", "X = []\n", "C = []\n", "for i in range(N):\n", " x = np.random.multivariate_normal(mu[i], Sig[i], Ts[i])\n", " c = np.ones(Ts[i])*i\n", " X.append(x)\n", " C.append(c)\n", "\n", "XX = np.concatenate(X,axis=0)\n", "CC = np.concatenate(C,axis=0)\n", "\n", "from sklearn import tree\n", "\n", "plt.figure(figsize=(7,7))\n", "plt.rc('text', usetex=True)\n", "#plt.rc('font', family='serif')\n", "\n", "ax = plt.gca()\n", "ax.set_xlim(-Lim,Lim)\n", "ax.set_ylim(-Lim,Lim)\n", "\n", "col = ['r','b','g','k','y','m']\n", "\n", "for i in range(N):\n", " plt.plot(X[i][:,0],X[i][:,1],'.'+col[i%len(col)])\n", "\n", " \n", "plt.show()" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "scrolled": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAawAAAGeCAYAAADIejUKAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAIABJREFUeJzt3XlwHNd9J/Dv657BSRgAAQmgRIEiSFOgREkMSduxbK1N\nkZbiWvrYiN7ESWntTTlUUklqdyuy5c06qVSOja3Yu/+kKhbjTeyoYkVlOV479K5kk6K9suhDPETz\nAiEKECnKIsQBMSOCM8Qc/faPPqa70T0HZoDpN/P9VKmIAWZ6GjaIL997v/d7QkoJIiKiqNMafQNE\nRESVYGAREZESGFhERKQEBhYRESmhboElhPhMva5FRETkV5fAEkLsBPCBelyLiIgoCKcEiYhICTUH\nlhBii5Ryfz1uhoiIKEw9Rlgr63ANIiKikmK1vLiS0ZUQYg+APQDQ3d29dWxsrJa3JCKiJnPkyJGE\nlPKGcs+rKbAAjAohRmGOslZaAXbU/QQp5V4AewFg27Zt8vDhwzW+JRERNRMhxPlKnlfTlKCU8mkp\n5dPWw75arkVERFRKrSMsAN5RVClX0lk8eexiPd6SWsi9u96Nv1r3J3hg9f9o9K0QUZ18+Otnqn4N\ny9qJiEgJDCwiIlICA4uIiJTAwCIiIiUwsIiISAkMLCIiUgIDi4iIlMDAIiIiJTCwiIhICQwsIiJS\nAgOLiIiUwMAiIiIlMLCIiEgJDCwiIlICA4uIiJTAwCIiIiUwsIiISAkMLCIiUgIDi4iIlMDAIiIi\nJTCwiIhICQwsIiJSAgOLiIiUwMAiIiIlMLCIiEgJDCwiIlICA4uIiJTAwCIiIiUwsIiISAkMLCIi\nUgIDi4iIlMDAIiIiJTCwiIhICQwsIiJSAgOLiIiUwMAiIiIlMLCIiEgJDCwiIlICA4uIiJTAwCIi\nIiXEar2AEGKn9eEHpJSP1no9IiKiIDWNsKyw+piUcj+ALUKILfW5LSIiIq+aRlhWUO23Ho5KKY/W\nfktEREQL1WUNSwjxGQAP1+NaREREQeoSWFLKxwA8LITo839NCLFHCHFYCHH46uyVerwdERG1oFrX\nsNzrVpMA9vifI6XcK6XcJqXc1tO/spa3IyKiFlbrCGsnADuF+mCGFhERUd3VGlh7AYwKIfYAgJTy\n6dpviYiIaKFaqwSTMEOLiIhoSbHTBRERKYGBRURESmBgERGREhhYRESkBAYWEREpgYFFRERKYGAR\nEZESGFhERKQEBhYRESmBgUVEREpgYBERkRIYWEREpAQGFhERKYGBRURESmBgERGREhhYRESkBAYW\nEREpgYFFRERKYGAREZESGFhERKQEBhYRESmBgUVEREpgYBERkRIYWEREpAQGFhERKYGBRQ01ceUI\nvv3y32DiypFG3woRRVys0TdAzW/iyhGcmfkxNg68GxtWbvV8/r8f+nXkjRxiWhx/dM8/e75OROTG\nwKIlVSqUzsz8GHkjBwMF5A3zMQOLiMIwsGhJ2KOqRPr10FDaOPBuxLQ48gYQ0+LYOPDuBt81EUUZ\nA4vqzj2q0oQOTdOBgFDasHIr/uiefw6cLiQi8mNgUd25p/ogge0jH8dg182BobRh5VYGFRFVhIFF\ndeef6rv3lt0MJSKqGQOL6i5sqi+sWpAWGk9kcHI6jU1DXRgb7Gz07RBFAgOLloR/qo8l7JUbT2Tw\nx89dQL4gEdMF/vy+EYYWEbhxmJaJt4Q9hzMzP270LUXWyek08gUJA0DekDg5nW70LRFFAgOLloW9\nrqVBZwl7GZuGuhDTBTQBxDSBTUNdjb4lokjglCCFqueaE0vYKzc22Ik/v2+Ea1hEPgwsCrQUa07u\nDhfux7TQ2GAng4rIp+bAEkLssT5cJ6V8tNbrUTQsRdskFl4QUS1qWsMSQuwEsF9KuRfAqPWYmsCK\neD+EEBDQyq45VdpxnYUXRFSLWkdYo9Z/ewFMWh+T4iauHMETJ/8UhjSgCQ0PbfrT0JFQNaOmoN6B\n3JtFRJWqKbCskZVtC4CnarsdigJ7JCRhQEqBudxs2edWMnXoL7wAwClCIqpYXYouhBBbAByVUh6t\nx/Wosarpol5tx3X3huJvv/w3PF6EiCpWryrBnWEFF1ZRxh4AGBy+uU5vR0upmhL0xZarT1w5gkT6\ndWhCB+TCsHNPFd5b83dERM2gLlWCUsrHrI93Sin3u79uTRvuBYDR2++Stb4fLY9quqhX23Hdc/yI\npmP7yMc9DXL962Kbb+xZ1PdARM2lHlWCXxBCvCKECF/oIHJxr3sZRgGDXTd7As9fTfjjm+YbeLdE\nFBW1Fl3sB9Bfp3uhFlFu3cv/9Xf/oh3f4yCLqOWx0wUtu3LrXv6vb/3y77dkYPGIESIvBhY1RKl1\nL+7NKh4xkitIaAJ4eNswHljf1+jbImooBhZFStBG5FasEjw5nUa2YNYoFSTw+OFLWNPXzpEWtTQe\nL0KRwvZNpmu5guexIeGcizWeyODpUzMYT2QacWtEDcMRFkVKcEHGPzX6tpbVeCKDb49f8XxOE+Y5\nWTyNmFoZA4sihedmmSMpw7VjUcBcwxob7MTf/uwScgUJieJpxAwsahUMLFoyYcUT5Yoqqt2I3Gw2\nDXUhrosFBRfjiQwOTKVgZ5k96iJqFQwsWhJhXdx5JlZ5YScOn5xOo2ANvQSAnaN9yo6u9Bsz0G9K\no/CLLhTeVPN7oOXHwKIlEdbFfSkOhmxGQScObxrqQkwXyBsSMU1g+9reBt1dbfQbM+jadQHQJGAI\npPeNMLSoIgwsWhJh3Syq7e7easYTGRycTAEC2L621xNaYSMv1eg3pQFNQmiAhDRHWgwsqgADi5ZE\nWPEEiyrCjScy+G8HziNvmI8PTKbwFzvMKkB314vddww09kZrVPhFF2AISJgjrMIvuA5HlWFg0ZIJ\nK55o9aKKMOYaVfGxXQUIoKlK2QtvdiK9b4RrWFQ1bhwmiohNQ13QXX8jY5rApqEunJxOI1+QMOAN\nMZUV3uxE9qUBhhVVhSMsoogYG+zEX+5YE7iG5S62YCk7tSoGFlGEBFUHNkuxBVGtGFhEERJ2pIg/\nyHj0CLUiBhZRRFTaJ5D9BKlVMbCIIsJfXHFwKhU4igorwuCIi5odA4soItydLHQhsH8yCcPAglGU\nv+NFT7vujLh0TWDH2l5sH+1lcFHTYWARRYS7uOLytRy+90rSM4qyA8hfhHFwKuUc9mgYEs++ksRz\nr6YWTBWyfx+pjoFFFCF2ccV4IoPnXk2FlrK7n7d/Mun5WtDRI4vp3xcWcAw+ahQGFlEEjQ124lNb\nhnDotau455ae0Ok9f3cMDQAEFoRcWP++UqHUtesCoEugUAw4Nq6lRmJgEUXQeCKDrxydRr4gcfpy\nGmv62gNDq6ddh+usR3x040p0x/UFxRfe/n2A1p1D/LYkOt4zHRg+8benAF1CCEDqEvG3p8zAYuNa\naiC2ZiKKoErbMV2dL0BYHwsA3XEdu+8YWBBudv++3PkVgADiG5PoeO8lJ3ygmeFjk8LzcuexE3wG\n2LiWlh1HWEQRZPYVFJBWxWBYOyb7dOKgta6gzcXxkTlAgzlyMgBIBIZPfqIXbRuSkBoAw3wMsHEt\nNRYDiyiipDXZJz2Tfl5hbZuCNhfftTkNCCusJAAJXP/RMERnYUH4mMG0JjCYCm92MqioIRhYRBF0\ncjoNwzAr/gwJT8WfX1D/QfeU4oYxCe3OBGSmp7iOZYVV7mxf6D0wmChqGFhEEeTfHFxuqs+vp12H\nEMAdG4G//iLQ3nYNMNK4/sJQ4IiKSAUMLKIIqmaqzx9az55L4vHDl2BI4Jc2A21xOFV92g3XMf+j\n4bLvz71WFEUMLKKICurQ/uSJBHIFGbg52H7O44cvwWp8gWMvAZ8wzMCCANo2pJCf6A3cg2U/lhk9\ntNydqJEYWEQKsEdWdlgBZhm7v3rw5HQahqtGY/wMMPPzFbhxy5xZbOEqX3dvAL7+wlAxpKR5ce61\noqjhPiyiiPOPrGwFCfz04lU8fWoG44kMgGKZuwCgC+DhbcNY8doAUPDunXJvAIYmERu9WnwsEFru\nTtRIHGERRZh7ZLXxdmDzZuCll4DTp82vf+vMFQgAmgbsHO3D9rW9npZOa/ra8dTBNH45NYTRjb5i\nC6fzhUB+sgexVWnnMYszKIoYWEQR5R5Zbbwd+NKXgHgcyOWAP/xDM7Ss7VQwDOCZc0nsn0xCQKBg\nSJx60wwgwwCeOuUt0AjaAGzMtrPQgiKNgUUUQf41q82bzbDSdXPG7oP3xjEme/CKfgV33FUcdRUM\n14ZjQ+L224G7NwM/P76wQMO/z4r7rijqGFhEEWRv/LXqH3DttQ7AmIcUEkIKbO+4CdvvBzr+7SyE\nJpHNAZ9+xCyyEBAoSIlNdwBf+OviqGzyKR2YL/2+LGenKGNgEUWQf+PwPR1DuP5deMKkbfMMNN0s\nlGgH8IkHuvFjox0nptNY2RnD7/1qDO1tSQjNDL30yqsYPxHc9R3wnZlVQScMouXGwCKKoKCNw4U3\ngVOngenOFDZvTmEw0+FqtSSQOt+OfzlzxbnGB06txOp7BaQ0R2Bfe+YaxsevYeuqFejviGH7aK/3\nRGL30SES6HjvJRiz7RxpUWTUJbCEEFuklEfrcS0iMgVtHP76G+fxeWuaz67m0wevQwrgxJveI0j2\nvTCPVT1DmGyfwTPP53DKqiz86etzAID9U0n85Y41znvIjG7+Ka0GuQLcg0WRUnNgCSF2AngcwLra\nb4fCTBxvw5kj7di4dR4b7s42+naoAU5Op7HprmLxhQEJffA64relAE3i9z4HvPxfiiXv2byB//yP\n08gbwf3e80axqa5+Y8bcOGzhHiyKopoDS0q5XwgxWY+boWATx9vw339nEPmcQCwu8UdfTjC0WtCm\noS58/edmAYW0NvbOXs/jRmsaT9OB++83A0sAOG1tJra9rU3HW9mC81hDsVOGZzrQAPKvdyN7ZJCj\nK4oUrmE1WCUjpzNH2pHPCRiGQD5vPmZgqamWKryxwU78BtbgG/9zBtkb5vDSMUDX5vCluwFNmJuH\nP/hB4HvfK46y3K7lCtCF2SFDA/A77xgu7suyTxK2Ng4zrCiKGFgNVOnIaePWecTiEvk8EItJbNxa\npjaZIslThVdDU9mZTB7dEjAAnD4FTPykA2P3XDdHWZq5ZysosAwJPLC+Dzd0xRccTcKThEkFSx5Y\nQog9APYAwODwzUv9dkqpdOS04e4s/ujLCa5hKc4z7YZiE9pSIeEekZ06DU/RRS4HfPbTgHylD/jl\naRSk+Y+aVAr4jd/wtnCyjfZ34IH1waXq3DhMUbfkgSWl3AtgLwCM3n5X+FnfLaiakdOGu7MMKsX5\np91kRi854jJHZOfN+TsDmJ7q8xRdAMAf/mofel/uQ3pfOw4b03hx8jp+//cXtnACzBZOf3dkGmv6\n2j3na5U7DFI1/YkMBqbTmBnqwmyTfE9kqkeV4G4A24QQu6WUT9fhnlrGYkZOrBZUl3/aLWjE5Q6s\n2IYUoBdLzN/zwXn843fNvoFSAkIKrEz1omBduzMxhP4bzjuBJuXC6UH3GVpfe+lNfOvMFUgAbSGH\nQaqmP5HBPc9dgChISF3g0H0jDK0mUo8qwacBMKgWqZqRE6sF1bdg2s034mrbPONMDwrffET/hgz+\nYL11GKMEcif6nWlF+5rHXVWE+bw5LeimAbh8LYdnU6+j45euYqMwAy1bWNhrUEUD02mIgjQHpYbE\nwHSagdVEWHSxDMJGRdWOllgt2FzcIy7zlN9LzvRfet8a5F7uRXxj0uwnKMwpPU0zA8soAPG7rkAI\nONOJJ0+lceqkOQ3oP4bEZgB4rT2J//QH3mnDM6cXHgapopmhLkhdwDAkpCYw0wTfExUxsJZY2Kho\nMaMlVgs2H3vE1f7eS57pv5h1lD0MANb0Hgyz0k9aU4KaVRVoTyduGuqCppkhZe/F8pMwu7f7pw3H\n5ErlR1cAMDvYiUP3jXANq0kxsJZY2KhoMaMlVgs2F3cFoH/6T0i7qtAKMQPInu3Diz/Po310DhMv\nA7t3m18TBpyOFMMr2nDxLfPnIqzC6aWXvNOGb73aht/efOMSfqfLa3awk0HVpBhYSyxsVLTY0RKr\nBZuDf0/W9ReGEC8ISF0CBYHcy73mEw0reAxA68jj3bvnAGGOkjQrzCCA86l5fO7ANHJG+ULc06e9\n04bjp7Nom30T3XEdPe06rs4XmqpqkJoHA2uJhY2KOFpqbf4Kwdjo1QXH0us3ZgAIa7gFxNaaTWuF\nMDtbQFijLw0Q65KBYXX77cHrWfa0oc3d5V0AiDdJ1SA1FwbWMggbFdVztMRyd7V49mQJIHbzNcRW\npZ29WPqNGbRtTZihJlwd1K01LL+VnTHENeEJrdtvB770peA9WaVIFMvfAbNBLkdeFAUMrGW2FMHC\ncnd1uNet0vtG0LY1gdjN14ojrQ0pxN+eQvy2pFkxaK1fOX8aAtmJXhiXO9DxnkuQVlXhitcG8Bc7\nBnBwKoULyXnkDIn778kjHs87m4zvvx84e9os6ig1cygAxDSBnnYdf/zcBeRcJx9z5EWNxMBaJhPH\n2/D8vi788F+7YeRRVbCUCzmWu6shqJdg9sggYqvS1iGMQNuGJGAFjF1skX+9G/nJHufcq/xELwpv\ndsKYbfe0dRobNF9nh8z3DwG/srtYTViqMS4A6AL4yNhKdMd1bBrqwsnpNPKF4tEk7pEXA4sagYG1\nDOwRUC4rzPJkVB4slYyeWO4ebfaoSqzIedat2rYmkD0yiOyJfrTdfcUcUcE19WcNa/KTPTBm283z\nqjQJfX0SE08OY/WbZk9A9+bhk9NpZ0R06jTwzDPAhz5UDC1/54v+Dh3b1/Y6IeUPopguPCOsmCaa\nYr8WqYmBtQzsEZCUAoCEENITLKVGUO7RUy4HfPPxt+HBh9/yPI8FHNHlH1U5VX+udSsIWSygsIMK\nxRGW6CxA7ywWaUADfpK/hF9uBzbumvaM2DYluqBZR4gA5ojqgQeAWCy480XyegHvWt0TOGIaG+zE\nn983wjUsigwG1iJVsxblHgFpmsT7PpzGvbvSFW0gtl+by5m/vE7+tB1njw0ueB7L3aMp/vYUoFuF\nE5DInemDeFuuuG4lzKlAO6wggfyrKxAbmbPWp4qn/hbyADQzeI4dA+564OqCXoRjbw7g4W3D+PKL\nl8zjR04Dn34E2H1fB/K/6MKZ01c89ycBPHkigY/fORgaWgwoigoG1iJUW+RQagRUbv3Jfu03H38b\nTv60HVIufF5QeLJqsHHsKUCZ0c3iCRTDqJDogPFyr2fdyv4DErj+o2HkzvZBvzGD+NtTkFa7isKb\nnZh4chg/yV/CsWPAxLhA1zt6ACPt9CK0g+2B9X1Y09eOg5MpQADbb+rFWKITz15IBt7v8UvXcPpy\nmsUUFHkMrEVYbJeKxR7OuOHuLB58+C2cPTa44HlB4QmAVYOLVM2JwEHP9UwBWpzRkwZ0vGca6X0j\nuP7CEDree8lcGJIC2fFep5jCFr8tBWgSbRuSyJ3tw5qXezF3eg2gp/HQfV1YPd+J9L72wPu1R0b2\n8SHnk/N4/PClwO4XlRZTNONRJKQWBtYi1Frk4B/9VLL+FPa8oPAEwKrBRajmROCw53o2BFvpYJel\nm5t8iwc3QsCZzpNzce9ZWO7rCCB+exLx21K4AyMYGxxwnlfq0MXxRAZ//NwF5AvmGpm7lF0A+Hcb\nV2LfxCzyhlxQTOEPJ/e1YixtpwZhYC1CJSFTqkO7XTGoaRKf/GwSOx5MV1QteOZIO1b0FpxQ2nB3\nNjQ8WTVYvXLnU1Xy3MIvuswpPlksmihc7oA+MA+pFafutP5583nW3ip7Os8mM7q598pdgKGVvic/\nuyzdADyNBTUAv/OOYTywvg/vWt3jFFUcnEzh4FQKo/0d+MrRaU84ua+lSmk7D3JsPgysRSpV5DBx\nvA1/8fANyOeAWBz43OOXPSMis7xdoFAAvvqFftyyPl92PcpdFi8EEG8rTv/duyvt/Gm/hlWD1fOf\nCOwPkUqeW3izE9d/NIyO914y158MgfkfD0Hrn0ds9Crykz0AzKlBczoQuP7CEAB4z8LqLJjFGJqr\nu0WJewqarts01OUpSwfMt7x/fR8eWG+WxI8NduJ8ch5fPnzJGYFpdrUiiuFkXytoNBZFPMixOTGw\n6sAfMs/v60I+CwAC+azE8/u6nNDYuHUemiZRKJhfNwzz6/bo6Ykv9jlrTw89ksRcSkfiDd1TFm8X\nXuz72goc+1GneTZSm3SCC2DV4GL4TwQuN5LJne31bOQtfr7Ps6kXgLOHKrYqjexEb3F0ZgCxW+YQ\ns9ez7OlFXyBmJxaucdnCpuvssvSDUynsn0zCkOY+qu1re53XPnsuib990bu2ZUhzE7FEcd+Vu8Rd\nhTUsHuTYnBhYVSg1+snnBLQY8L4PXUNqRgu9xoa7s/jkZ5P46hf6YRgSegz44Xe6YBQEhGaVLkMg\nmzVHX9IANF1ahxsVew4IARz9fx0wDPP5uRzXquqh1JqQzb9+lZ/oXfAc93XaNs94pg+FhCuMgNit\nc8U1Lmt6MfvSQMXhWWq6zg6u7Wt7F4TNeCITWIgRE8DWm1agvzOG7Wt7F1xLBZUe5MhpQ7W0fGBV\nWv4dVsruLnowshLP/Us39JgZREZBQo95Rz4AsOPBNG5Zn8eZI+1IvKHj4Le6YRgCQhYDCdI8VVZK\nYVY+G3avAbN795rbspg63QZ7XkkIcK1qmVSz1gUsnD7MvdyL3Mu90G9KQ+vOIb4x6dmH5Z5erGS9\nqpLpuqCwOTmdXlCIsXGwExNXMnjx9TnE9OJoTLUKwUoOcuS0oXpaOrCq2U8VVsrubOzN2l0KBAwD\n2P7RaxhcVQgNQnvKzu4xmM+b/8K2R1gQEppuTmeYrLCChK6b178wEUc+Z3016HhZWhL+AJIZHW2b\nZyAzuud4EKBY+u4/OgSA05U9flvK2ZN1/UfDFRdV2BY7XbdpqAtxa41LE8DD24Zxdb6A8UTGM1oD\noGSFYLmDHDltqJ6WDqxq9lOFVePZFYPP7+syp/YMQNOLr6nmFGFnDct6j/t/fQ7/9596YBTsZ5tt\nnT75qFlZ+Op4Gw78SzcgBaSUnBJcJu61LpnRnfUpu4jCXocCULZMvtp1szCLma4LCrrxRAaatbam\nCXia4BoAcgWJg5MpJQKrnEqnDSk6WjqwqtlPVaqU3R4t3bsr7QTXwW914/l9XXjokSReHW8DAHSu\nMHD+bBveuSONHQ8GF0jYU4Uregv42YEuZ1rQXr+SUmD6YgwTx81rxmIShsHy9XKq2RBcCXu6zrM+\nJeGZJgRQcurQfU/ZlwZC3mlpuYNuPJExu2NYFYLCXDjFpqEucwRvff7AVArbR3uVD61Kpg0pWlo6\nsKptGluu8s5e0zIKxWa1//D5ftcIyXTiJ+04/kIHdn1ibsH17Mfe7u7uaUGJ7/5jD575+goYBbPQ\nY/tHr3lK2smr1IbgSoMs7Hn+gxgXlJ+HlMlXs0l5OdiVhu4S+IIslrS7CzNU2YdViXLThhQtLR1Y\nQG3l30EFG+5Rm4BEoWCvPQH2v1sBicM/6MTPf9zhrJu5r+Xu7i40iZvW5PD6VNx5vZQShbxV5l6Q\nGFxVYFiVEFYkUWlolHqef3rQv07ln+4LO2qkmg3Bi1WqcOLgZGrBfi37EMcnTyScDcxAcaqQaLm1\nfGBVwx0qQHC/vg13Z/HQI0n87EAX1tyWxTNP9iCfdf/7tPgrwd1KyX2thx5JeqYqf/tPkjj8gw58\n94keQJrFGGZFmeRUYAXCNvlWWu0X25AKDTz/lJ79OaA4bRjYZ9B91IircKNeU5Z+pVorjScyODCV\ncn4yNZibi+2OF+7zsOzijGYYXZF6GFgByu23isXNUvWggo2J423O5t+zx9rwiU/P4tXxNqRmNMy9\npeHqrIZLr8VhGBIC0mm15L7WXEpfMFW54e4str3/erG4o2C2dnrokSRHV2WEFTZU0tlCvzGDtg2p\nYpskw3xd0KgLKF1k4Q/I3Jk+GNfi3sKNJZoeDNqrZX/+8rUccr76dnvfVt4VVncPd4ceQ0K0HBhY\nPpXst8rnzecGFWz4n2cXXLx0qBNG3nzNB3/zqlX9J/C1v+7H5nsy0GIAChKaJpF4wywz/MhvXfXc\nm3uNzN6fNZfSl+t/GqUF7WmqpELPCRkrsHJn+xYWW0AitiEFraf0NF/Qfqygay3F9KB/r1ZPu+6M\nuDTflghDwpk6tCsGdQ0MK2o4BpZPuf1WdkDdu8s8hLHUGpamm10szPUmwJ4GPH+2DdIwK/7yWXM9\nS9clttx7HS8d6nQqDIP2hdXaKZ68ym3ODQqZhZ+HOQrTQgovXO+12JFerfwl7O4Rl3t9ytbTbv5D\nSMC8LwFu9KPGY2D5lNtv5Q+ooCo/+3l2Fwu7LF0Ic83pnTvSOHusDVkna8xGuLOXdRh5lNwX5t+3\n5e7cXgke7Fid0JBxfd7pVmGNRvKvdyN7ZDAwCBc70qsH/14te8QlABR8oXV1vmCGmjVVmDMkDk6p\ns/+KLZeaEwPLp5L9Vn7+EAjqYqFpEu/7cNopP79lfR5PfLEXr5xqc67Tf0MBr50LHz0d+GYXfnag\nC+/ckcbGrfNVH9JY7UnJZAobhdmf93SrMERoWC3mPZaKuzHu9855TyLWrSrA88l5Tzn7s+eSGO3v\ncDq9RxVbLjUvBlaASkrd3edTuTusu0Og3D6vW8dyePVsG4yCWfnXO2A4Hdr9zz/wzS78r7/sB2Du\n49r2/kzVhzQu5qRkKm+5Rkj1NjbYiZPTaU8oCZhVgADw/Ve8QSYBPH74Etb0tUd6pMWWS82LgVUF\nd0h97bE+FPLCmQayj/zwh4A//OxR1w//tRtGHtBiwNb3ZvDSCx04+K1uxOJdgXuzfvC/u60rmNOL\nE8fbnC7ula5lcf1r6Sz3CKlW9p6snnbd00/wI2MrMXnlOvYevoR8wNqWXZAR5cBiy6XmxcCqkHs6\nDQJW9woBaVX2Ca18CPgPYgTMjb/z1zVnI7B9TAhQ3Jul6RKG4d58DLw1q0OvsstFtZ09yFSuG0a9\n2z4tNf9Jza9PAAAdaklEQVSerE9tGcLV+QJ62nXPvqsgKhzeyJZLzYuBVSH3dJp5oFHRln9zHes2\n5cqGgLuDhbsIY81tWZz4STsACWnAKaawTyY2DFjNMuyegmZ4GYvocsGDHatTrhtG2H6sKAeYv5nt\n5Ox1/O47hvH0qRln31UQAWDHWjV6CLLlUnMKP2mQPOzpNE03Q0aPwQycNmDXJ+acPVPf/vsepzFt\nqWvousTo7WZXjMyc/X+DGUSvjrdhRW/B00dQ1wGhuc7LgoQeL38G1sTxtpL3REX6jRm0bZ6BfmOm\n+DnXZl9orqa2IV+PbUiha9d5tG+7jK5d5z3XiopNQ13Qrc1XEsD+ySTGExlnr5YmgJgGvOvmFXjX\nzSsQ14TT5WJ0ZUdD751aW8uOsKot7/ZPpwHwvL6SCjzPUST/2o2pM2147Vwco3csfP+5lG5tVjVH\ndLfelsWtYzncOpZ1NiO7pwIr6c7BqsBwYSOpcnuk/F/XOvKAbp0eLMy2TlEbZY0NdmLH2l48+0rS\nPA3FWpfafcdA4Llaz55L4vHDl2BI4CtHpyNfdEHNqyUDa7G/yP3Tae6P3VN42SzwzcffhnfuSC+o\n+HO6VVj7rXI54OxL7dZVzJHbvbvSeO1crDjCksArp8xwu3eX92gSwKwg/OoXzK7w8bbw7hysCgwX\n1lewXAWg/+vxt6c8Xxdh82sNtn20F8+9aja8FTA3Crub4wLA06dmsGmoC1fnzdG+RHN1aif1tGRg\nLcUvcs8UnjRLz0/8pB1CeEME8FbrQdoFFeb61C+9N+OEjTPCstat3M1y3SO9r36+DwWrCMQu2gjq\nzsGqwHClRlJlu2H4vh6/LQWpS6BQ7IwRNWODnfjUliFn5PR3R6YhYZ6tpmvC+VjTgK2rVkDTAEg1\nii6oebVkYFXzi7zSqUN7ms5bGCFDy93v3WWOklIzGg7/oPjLrnfAcO4x3iaRy5ll80Iz185W9BY8\no8O73n3ddYSJhKahbHcO1VVSlVdt5V699lKptCfLP3ICrNVR18eGAfz09TnENYH7R3ub4uBGUlfN\ngSWE2A0gCWCLlPKx2m9p6VX6i7zSqcOJ42344Xfsf3VKz5920Ngh4r/mQ48k8dILHSjkAd3qUWiH\npL2JeEVvwZladI8Oczng6POdzvtpmsQHf3POGYUBaMqwKneG1WIPR6zXXipV9mS5G+LqwhpVSTgf\nF4ziT3NBStzQHWdYUUPVFFhCiC0AIKXcL4QYFUJskVIerc+tLa1KyrsrnTq0O6gXR1dmBeE9v5LB\n6nV5T2AEHSXyub3eYo5yIanp0jyOHRLSNZ24YXMW3/vnFc7eLQjhdIhvloKLSs6wqvScq1bnbojb\n065j8sp152gRwDzU8cBUCgVZ7PBur2v5g6vU4ZBE9VLrCOvXAHzf+ngSwE4ASgRWJSqdOty4dd7a\n3Gt/xtwYfOjZLvzWf531BEXQNd3h+e2/7ykfksI8WkSzyo0LVkuC8aPtnvc3l9TMkdg3H38bHnz4\nLeVDq5LO5svR/bxZ2OHi3ki83dprNTbYie2jvU6gfeXodOgBkGGHQxLVU62B1QfgiuvxQI3Xi5RK\npw433J3F+z6cxoFvdsO9hiUNia9+vg+3rM9X3F+wXEjaFYaQAlJKrB3LWg10i6M7CAk9Zo6wCnlz\nM/LJn7bj7LFB5UdalawR1bqOpFrniloFHe5oB44dXPam4qDnlHo9UT21ZNFFNSrtDHHvrjSe39eF\nXBauDb8ChizfXzDoWvaf5QLt/R+9hsnT8WKrJ0gMrc7jd/9sFoA5sjr50/bQ4g8VVbJGtNh1pMWu\nf6nMf7ijvwpwPJHB5XQutFKw3OuJ6qXWwEoCWGl93Adgxv8EIcQeAHsAYHD45hrfLlr8FYT2yOna\nVYH/88QKcwFbr7yc3F+Q4S7AcE8dPvRI0jlm5Jb1edy2OYvxY2ZrJwDY9R+uOqH04MNv4eyxwZYu\nba9mxFRq/atZR17+wx3doyP3dJ8eUilY6vVE9VRrYD0FYJv18SiA/f4nSCn3AtgLAKO33xXRbZTV\nC6sgtLtePPNkD4wczJYHrteUml70F2TYHTHyOSAWBz73+GUAwBNf7EMuK3DyZ+3WcE5A1yVuHcvh\n/R+9hh0PeoOuGUvbK1XtiCls/avZR17+wx1t7uk+lKgUdE8Puh8T1VNNgSWlPCqE2CaE2AkgGfUK\nwcWethv0ulIVhO51JqMgF3RfD6ramzjehsQbuufIkNSMhnwWAATyWYnn93VhcFXB6ahhh5U99bht\n+3UnrPzvZfc6bDXVVgyGrX+1auVhpdN9LLyg5VDzGpY1goq8xbZjCnvdxq3z0GKAzJmHL7qn2tzr\nTJoOJN7QzZOHQwLO/R5CA24dy2L7R6+5NiPDc21NtysDXUeOSHNTMcCDGt0WUzEYeIx9i1YeVjrd\nx8ILWg4tU3Sx2F/iQdN09iGOzghHemc6PU1uv9OFg9/qhqZLaDEABblgLclzdIkhMXW6DRfPxfHQ\nI0nE4l2eTcUb7s7ik4/O4h/+qs9VRm+2cHrii2ZFIlsyFZWqGKxmTUqlDhb15p8uDNpzxcILWg4t\nE1iL/SXuGS1p0jkpWAizB6B9XlVQJaC9odgwzH1ToxvNjuv+LuuJN3RntCZl8fRi/6Zi+zU7HjSL\nLZ7f14VXx+OYPN3mqQL8yG9dbel1K7+gEdNi1qRU6WCxlMKm/lh4QcuhZQJrsX313K9LvKHj4Le6\nYRjm1J2mA1IuHDHZ7LCz+wFOni52XAcWTgXedGsOl16Le64ZVgJf/FwXzr/cBsM3cuNBjaW16ppU\nrSrZsxVV/YkMTyFWXMsEFrD4X+Lu6r/n93U5ozS7119QALr7Af7sQFfgXij/VODrU3HousT2j6bL\nHnvvDjutwtdQUauuSS2GewowKlN/1YZPfyKDe567AFGQkLrAoftGGFoKaqnAqlUlozQ71H74nS4Y\nhWKD27PH2hZMR9ojsKwzOBMoFMwO7tX0OYQABlcVGFZVaOU1qWoETQE2eurPHz4ntgyhbb4QGl79\niQw2nEhAs87+MgyJgek0A0tBDKwqlRql2aOebFZYe3iLa1FBQWcH4BNf7LXaK5mOPt+JieNtJQOI\nhRW145pUee4pwFxB4skTCXz8zkHsvqNxXdgGptMQBQkNgFGQuOvwJbNQNmDk5A43ADAASE0g265j\n/akZTg8qhoFVR/aoB9ahi0JUthb10CMp/NmnboBRsHoQSulMG4btHQsa7VWyz2yxe9GoNdlTgLmC\nhARw/NI1nL6cbug+q5mhLkhdwLDO7RLm1sXAkZMn3ABcHu7GG7f04M6j05weVBADq478+6/e96Fr\nFa0rbbg7i//42Vl89fN9MCQQj5shV27vmDsEK9lntti9aNS67Oq/rxyZxstXrjuHPTZyn9XsYCcO\n3TeCgek0su26GT6GhNQEZnxrau5wk5rAxJ2D3hDj9KBSGFh1VMsJv3apur3Hy65KrHTv2PP7uqyp\nyPDnckMxLdZU8rrzsS4av89qdrDTCZmrfe2hBRjucHN/3R1i/pCj6GJg1VnY1J97Kg4IPgnY/tip\n/ovB06opbJ3KOfHY6hLv77xh47oXLcbJ6bSzSV0A2LG2N1Ll6+7wAhZWEPq/HhZiFH0MrGXgL0Ev\ndRKwp/qvYJarD64qlByxuU88FkLifR+6Frpexg3FVC1/Kfv20d5G31KoSsvX/SFGamBgLQN3CLlP\nAg6alvOPgoLWwPyFE0GvCcMNxVQtlbpYcH2quTGwloG/vROEWNCZwlZuFBRWOMGREy2lqHexsPmL\nLLg+1VwYWMsg6NDFUuFSahQUVlzBkRM1u0q7W1xY2wtI4OJoL0dXTYaBtQwmjrfhiS/2IZ8TOHus\nbdHnU1VaXEHUbMqtTfUnMlh3egbDr88BMKsAL0Z4rY0WR2v0DbQCbzm5cA50XMx1isUVCC2uIFoq\n44kMnj41g/FEZlnf1702Jay1KVt/IoN7DlzAqtfnIGD+UhMF73OoOXCEtQzqVU5eTXEFUb35+wp+\nassQrs4XlqUQo9Ta1MB0Gpoh3ceZAgKh61fs2q4uBtYyqKYoolTrJBZXUCP5+wo+fvgSpITnXKwg\nQQc+lhO0lyps79TMUBcMTUCzWjVJAD/fNhzaCJdd29XFwFomlRRFVNI6icUV1Cju/VgCgGFt0SjV\nqinswMdSwkIlbO/U7GAnDu0YweqpVNliC5a9q42BFSFsnURR5t6P1dOu4ytHp8uei1XqwMcwiwmV\nSjcCs+xdbQysCGHrJIo6936sNX3tZaf6FnPg42JDpZK1KbZlUhsDK0K4RkUqqWQz8WK6ZCwmVOxK\nQc2QMDSBQzvC16bYlkldDKyI4RoVNZvFdMkICpVSI6jVUymnUlAzJFZPpUo2xCU1MbCIKPKCCjEA\nOCFk1rIXtWfyzonCAFgZ2CQYWEQUef5CjNWTKYy8mnJC6MSWIRhTgDDMA7+H3pjD8OtzkLrAhbW9\nrAxsEux0QUSR5xRiCEBqAhBwQkgrSPTOXseJrcO4PNyN6ZtWQBhwumJAwvNaVgaqiyMsIoo8fyEG\nAIxMpiCtzcIjryTNEDMAqZnBZEizyvDiaC8ujvZyDasJMLCISAn+QowLa3ux5pWkOcqSgJTmqMqQ\nwPnRXmS6456AYlCpj4FFREq6ONqLkVdT5n4tIQBIs/uGNapiQDUfBhYRKSlompDTfs2NgUVEynJP\nE/aHHHnCPVjNg4FFRMoLa5jL7uzNhWXtRKSU/kQG60/NeEZUYQc8ljr4kdTDERYRRZp7Sg8I7lox\nM9QFqQlIqwDDfi67szcXBhYRLbnFHOIILJzqK9m1Qkrvn2B39mbDwCKiJbWYQxxt/pZMTtcK34hp\n9VQKmoTZ/FbC0/yW3dmbBwOLiJZUJYc4hlXy+af0Uis7cAEABHBxrWuvla/57YLHJd6D1MHAIqIl\nVeoQx/5EBqunUhiZTJptlXyVfO4pvWy7jjuPTjvTgxfX9jrXuTjai5GppNOa6eJor+ceWC3YHBhY\nRFR3/jWroEMc7RDRCuZwSCC4m7o9pbf+1Ezo+tXsYCcO7VhT+rysggx9D1JDXQJLCLFFSnm0Htci\nIrWFrVn5pwHt9SmzqRJgoHQ39bCKP/dU37k7Bha8rj+RwchkErDex11FSGqpObCEEDsBPA5gXe23\nQ0Sqq2TNCvAFkDArAEv1AAyq+PNP9Z3YMoS2+YJnlDUwnYYwrBEczKa5HF2pqebAklLuF0JM1uNm\niEh9pdas3EqVnIcVSPgr/jxVhAWJuw5fcioJPXu0XCMz//oWqYNrWERUV2FrVkGCSs6rKZDItuuA\nMI8UgQCEXLgWxr1YzWPJA0sIsQfAHgAYHL55qd+OiCIgaM2qUv69V/4CCXv05VQNSkAK4NzYSqyb\nmIUI6GrBvVjNoWxgWYHjNyml3F/JG0gp9wLYCwCjt98VsDuCiKioVDsl9+jLPaKSAPJxHSe2DGHV\na1fxxi09DKgmVDawrMAhIloWs4OdocHjHn1Ja2QlYVYXuvdpDV5O42pfO0OrydSjSnA3gG1CiN1S\nyqfrcE9E1ML6E5nQ4HE3uQXMsLqwrg+p/g6seu0q91o1uZqPF5FSPi2l7GdYEVE9eI4EKUisnkwB\nKK5dTa/qhlVjAQEAErjz6DRuuHQNwML9XCPnknjXwdcwci7ZgO+G6olVgkQUKeYoCpDW3qmRqRRS\nKzuKbZk0AamZlYFSE+Zall2kAeDycDcm7hzE7GAnRs4lcfeLlwAAN1qBdmF9X8O+N6oNA4uIImV2\nsBMXRvtw67mkOYqSEqteu1oMJSlxfl0fMl3x4ihqKuUUadhhBQCrXrsKoFiYseq1qwwshTGwiCgy\n7Gm/VH8HDF04Jepv3NKDwcvp4uZfX7eKsH1Wb9zSgxsvXXOat79xS88yf0dUTwwsIoqEcm2Wrva1\nh27+DdtnZY+m7IpDjq7UxsAiokjwbxhumy/g3B0D6E9ksP7UTGhz23IurO9jUDUJBhYRRULQhuFK\nmttS62BgEVEkBPX885yB5W5uqwGHdqxhaLUYBhYRRYZ/Lco96gJcrZgMOPuz2NS2dTCwiCiy3KOu\nvpkMVr0+53xtRWoe79l/fsFxItS8au50QUS0lGYHO3HujgG8edMKAHBK1AdmMhASTkeMgel0w+6R\nlgcDi4iU0DZfcFoySXg7tUOAx963AE4JEpESPFWEApAwNxZDAD/fNszpwBbAwCIiJfirCAEWXLQa\nBhYRKcNfRVhpUNktnxhuamNgEVFkLEWw+Dcfs5pQXQwsIoqExQRLJQHnb/nEgx3VxcAiooZxB06l\nwWK/JtuuF8/ICgm4/kQGnddykJqAIaXnYEdSDwOLiBoiqE+gu5dgtl13mt7aQeR+jV3THhZwnutr\nwPl1fQuOJSG1MLCIqCGCurPbVYD+0ZPd9LYznXMd5AhAmKcMBwWc5/oSyHTFGVaKY2ARUUMEdWe3\nqwDXn5qBVpDmIMrX9NY9vWcHWdD0YND1SW0MLCJqiKDu7LZsuw6g2IbJmfqTwPnRXmS6457XeLq6\nW9OD5+4YCL0+qYmBRUQNE3ZSsN2GSYM55edM/Qk4bZjCurq7R1Nh1yc1MbCIaFn1JzJYPZUCJHBx\ndGERRH8ig850DlIzR1T21F/vlesYmUphzbkkRiaTuDBaLKIoNVqj5sHAIqJl05/I4J4DF6BZ51uN\nTCU9BzF6K/sEzo/2OqG2/tQMhGFO+0kDuPVcEiNTKaecnaOp5sdu7US0bAam09AMs5hCABAGPMeC\nuCv7hCHRdS0HwLefynqusJ7DY0VaB0dYRLRsZoa6YGjCGWFJzXssiLMWZVUI3njpGgbfvAbAfI0E\nMDPYiZVXrkNwI3DLYWAR0bKZHezEoR0joWtYs4OdOLFlCOvOzGDFXM4ZhQmYAQYAA4kMzm1ciXxc\n53pVi2FgEdGyKrXW1J/IOPupAHtTMMz6dqu5BQCsH7+CF3Z6175YcNH8GFhEFBme7hQALg93Y+LO\nQQxdvIr1Z64AsDoySTitmNiNvXWw6IKIIsNZwxKA1AUm7hwEAKw7e8UZXUl41778hRoswmheHGER\nUWQE7acyy9nhCazpVSucYGILptbBwCKiSHCvQ527Y8D5/MxQl9lD0KpnlwIYemMOw6/POVOA3DTc\nGhhYRNRwYetQdoid2DqM3ivXnSNFbn0lCQHAKBT7BjKomh8Di4gaLujwRgALQgwA1p2eAWBODQoU\nG+VS82NgEVHDBa1D+UNs9VQKI1Mpp+RdwKwkbJsvNPLWaRkxsIio4cKa17pDDBJOgEn7P51FFq2E\ngUVEkeDfUOwPMQAYeTVlBpiAp1s7Nw63BgYWEUWWP8SCRmEj55LFE4m5cbipceMwEUVGfyKD9adm\n0J/IBH4egKcisD+RwV2HL0FYJxKLAjcON7OaR1hCiD3Wh+uklI/Wej0iah3uqTxgYVXggtZLmncq\ncGA6DSGdanfnNGJqTjUFlhBiJ4D9UspJIcQ3hBA7pZT763RvRNTE/HuvLqztXVDa7oRSIfjgxpmh\nLhi6MCsHBfDzbcOcDmxitY6wRq3/9gKYtD4mIirLX7Zur0H5Wyz5z8hyH9x47o4BdrloITUFlpRy\nr+vhFgBP1XY7RNQq/HuvLo724uJo74LwsasFV09a+7B8BzeWOq6EmktdqgSFEFsAHJVSHg342h4A\newBgcPjmerwdETWBsL1XQeFjh1JQoFHrKBtYrqIKt0nfWtXOsIILaxS2FwBGb79LLuouiagpVTs6\n4miqtZUNLN+03wJCiD1Sysesj1l0QUQ140ZgClKPKsEvCCEeBbASwMfqcldE1LKCOrcDYIBRzUUX\n+wH01+leiIiCm95OJiEM86ThQzvWMLRaFDtdEFGkONWDApCaQHsmD80wf1lpBrB6MtXoW6QGYS9B\nIooUf/Xg6ilfQInG3Bc1HgOLiCLHXw04MpmCZkgYmsDFtb0NvDNqJAYWEUXa7GAnDu1gNwtiYBFR\nRPlL2xlUxMAiosgJKm1nYBGrBIkoctyl7XajWyIGFhFFjr+0nWdcEcApQSKKoLDGuNTaGFhEFEks\ntCA/TgkSEZESGFhEFDn9iQzWn5pBfyLT6FuhCOGUIBFFCkvaKQxHWEQUKSxppzAMLCKKFJa0UxhO\nCRJRpLCkncIwsIgocljSTkEYWEQUSf2JjHlYowAuru1lgBEDi4iipz+RwT0HzkMzzMcjkykc2sFq\nwVbHogsiipyB6TSEYR4uLABorBYkMLCIKIJmhrogNUDC/M9gtSCBU4JEFEHmKcNruIZFHgwsIook\nVgqSH6cEiYhICQwsIiJSAgOLiIiUwMAiIiIlMLCIiEgJDCwiIlICA4uIiJTAwCIiIiUwsIiISAkM\nLCIiUgIDi4iIlMDAIiIiJTCwiIhICQwsIiJSAgOLiIiUwMAiIiIl1HyAoxBip/XhB6SUj9Z6PSIi\noiA1jbCssPqYlHI/gC1CiC31uS0iIiKvmkZYVlDttx6OSimP1n5LREREC9VlDUsI8RkAD4d8bY8Q\n4rAQ4vDV2Sv1eDsiImpBdQksKeVjAB4WQvQFfG2vlHKblHJbT//KerwdERG1oLJTgkKIPQGfnpRS\n7rfXrKypwEkAewA8Vt9bJCIiqiCwpJR7S3x5JwB73aoPwIv1uCkiIiK/WqcE9wIYtUdhUsqna78l\nIiKihWqtEkzCDC0iIqIlxU4XRESkBAYWEREpgYFFRERKYGAREZESGFhERKQEBhYRESmBgUVEREpg\nYBERkRIYWEREpAQGFhERKYGBRURESmBgERGREhhYRESkBAYWEREpgYFFRERKYGAREZESGFhERKQE\nBhYRESmBgUVEREpgYBERkRIYWEREpAQGFhERKYGBRURESmBgERGREhhYRESkBAYWEREpgYFFRERK\nYGAREZESGFhERKQEBhYRESmBgUVEREpgYBERkRIYWEREpAQGFhERKYGBRURESmBgERGREhhYRESk\nBAYWEREpoW6BJYT4TL2uRURE5FeXwBJC7ATwgXpci4iIKAinBImISAk1B5YQYouUcn89boaIiCiM\nkFLWdgEhdkop9wshvi+lXDAtKITYA2CP9XATgJM1vWHjDQJINPomaqT696D6/QP8HqKC30M03Cal\n7Cn3pLKBZQWO36QVUluklEet5wUGlu9ah6WU28rdVJTxe2g81e8f4PcQFfweoqHS7yFW7glSyr0l\nvjwqhBgFsBLASneAERER1VNNa1hSyqellE9bD/vqcD9ERESB6lIlKKXcK6VcV8HoqtRoTRX8HhpP\n9fsH+D1EBb+HaKjoe6i56IKIWhOXAKhehBCfkVI+Vu55Dd+HxQ4ZVCshxJZG30M1hBC7hRA7Vf7Z\nt5oFfKPR97FYQog91n9faPS9LJb1M7RT5e8BqK7xREMDS+UOGc3ww9Isf2mh0C9OO1ytvYtJ1cLW\nZt3/ZKPvYzGsn5n9VkHZqPVYKdY9f8z6/2GLqj9H1Wr4CEtFzfDD0gx/aQElf3H+GoCk9fEkACX/\nd1fcKIr/u09aj5UipdwvpXzYejiq6tRstY0nGhZYKnfIaJIfFuX/0iqqD8AV1+OBRt1Iq7KKxOxF\n/i0ADjfyfmphTSs/XPaJ0bWymieX3Ye1hKq60ShS+YfFt79uC4CnGnUvRI1gzYwcVfQfnAAAKeVj\nQohvWBtvk+VfER2LGbQsWWBV0CFDydGVm8o/LLao/6Ut9XO07DdTH0kU/7HWB2CmgffS6nZKKR9t\n9E0shmst9CjMGZI9AMpW2UVM1Y0nliywVO+QUS5wgej/sFT4yz7Sf2nL/Byp6CkAdguaUQBKBq8Q\nYjeAbUKI3a7mAcoQQuyxy6jtfqiNvqcq7QRg/87sA/BiA+9lUeyfG+v3VEWNJxq6D8u60UdhFjBE\nKrBKsaYCj1rh9TiA7yv8l3av9bGKf2ntX5x/B+C3Vfn/wPq5n4S5/tlsgRx5rsrSKzD/0fwx1X72\nhRB9AP699XCra029qXHj8CI0ww9LM/ylJaLWwsAiIiIlcB8WEREpgYFFRERKYGAREZESGFhERKQE\nBhYRESmBgUVEREpgYBERkRL+P+3kr6OYnTQOAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x11caa2550>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAawAAAGeCAYAAADIejUKAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n/g/ywABL5/HFPAAAAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x11caa2190>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAawAAAGeCAYAAADIejUKAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAIABJREFUeJzt3X2cVNWd7/vP2ruqQYw8iIACSoSIDchDuglKdI4nQPDG\nq5EomhjnzHicIzqJc25uHoxzhvF4HZIx5uHOnWMSxTuOmRmNQcXHMdFAT2I0GrQ7QAu2GDDGiKKi\nQCIPVbX3On/sqt27qqu6q7uqu2pXf9+vly+6uqt27U6a/rLW+q3fMtZaRERE6p1T6xsQEREphwJL\nRERiQYElIiKxoMASEZFYqFpgGWOurda1REREClUlsIwxy4CPV+NaIiIixWhKUEREYqHiwDLGtFhr\nN1TjZkREREqpxgjr2CpcQ0REpFeJSl5czujKGLMKWAVw9NFHtzY3N1fyliIi0mDa29vfsdZO6Ot5\nFQUWMN0YM51glHVsNsA6ok+w1q4F1gIsXLjQPv/88xW+pYiINBJjzKvlPK+iwLLW3pd9s1XA2L6e\nn9q6ld9PObGSt5RhZvJdF/FX18/hnKnfqfWtDJkTLl1f61sYFHd+8ykAvvvklTW+E4mrSkdYQP4o\nSkQqc+c3n+Lyr5xV69sYFOdM/Q4Pf3b4/ONDSvvk3S/2+zUqaxcRkVhQYImISCwosEREJBYUWCIi\nEgsKLBERiQUFloiIxIICS0REYkGBJSIisaDAEhGRWFBgiYhILCiwREQkFhRYIiISCwosERGJBQWW\niIjEggJLRERiQYElIiKxoMASEZFYUGCJiEgsKLBERCQWFFgiIhILCiwREYkFBZaIiMSCAktERGJB\ngSUiIrGgwBIRkVhQYImISCwosEREJBYUWCIiEgsKLBERiQUFloiIxIICS0REYkGBJSIisaDAEhGR\nWFBgiYhILCiwREQkFhRYIiISCwosERGJBQWWiIjEggJLRERiQYElIiKxkKj0AsaYZdkPP26t/Wql\n1xMRESmmohFWNqwuttZuAFqMMS3VuS0REZF8FY2wskG1IftwurW2o/JbEhER6akqa1jGmGuBq6px\nLRERkWKqEljW2puBq4wxYwu/ZoxZZYx53hjz/Lu+X423ExGRYajSNazoutUuYFXhc6y1a621C621\nC491VJQoIiIDU2mCLAOOzX48liC0REREqq7SwFoLTDfGrAKw1t5X+S2JiIj0VGmV4D6C0BIRERlU\nWlQSEZFYUGCJiEgsKLBERCQWFFgiIhILCiwREYkFBZaIiMSCAktERGJBgSUiIrGgwBIRkVhQYImI\nSCwosEREJBYUWCIiEgsKLBERiQUFloiIxIICS0REYkGBJSIisaDAEhGRWFBgiYhILCiwREQkFhRY\nIiISCwosERGJBQWWiIjEggJLRERiQYElIiKxoMASEZFYUGBJTbVPPMItCw7QPvFIrW9FROpcotY3\nII2vfeIRnpl8hMW7R9D61oi8z3/mvHdIO5akb7jn0ePyvi4iEqXAkkHVWyg9M/kIacfiOQCWZyYf\nUWCJSEkKLBkUuVHV60d7JUNp8e4RJH0DBGG2eLfCSkRKU2BJ1UVHVa4Prh98vjCUWt8awT2PHld0\nulBEpJACS6ouf6oPLu0axZQ/JoqGUutbCioRKY8CS6qucKpv5Y6jFUoiUjEFllRdqam+UtWC0tPW\nrs10dG6iZe4i5jUvqPXtiNQFBZYMisKpPpWwl+/NAzv5/Or/j3QmRTLRxHfX3KHQEkEbh2WIRNe1\n0k5QLSjFvb5/B+lMCt/3yWTSdHRuqvUtidQFBZYMidy6luv3rBaUfFPGzCSZaMJ1XBKJJC1zF9X6\nlkTqgqYEpaRqrjmphL18x4+ewXfX3KE1LJECCiwpajDWnKIdLqKPpad5zQsUVCIFKg4sY8yq7Icz\nrLVfrfR6Uh8Go22SCi9EpBIVrWEZY5YBG6y1a4Hp2cfSAMYdcjAWnDLWnMrtuK7CCxGpRKUjrOnZ\n/9YCu7IfS8y1TzzCDWfuxzfgWLjh6TElR0L9GTUV6x2ovVkiUq6KAis7ssppAX5U2e1IPciNhHwH\njA/vHeX3+dxypg4LCy8ATRGKSNmqUnRhjGkBOqy1HdW4ntRWf7qo97fjenRD8S0LDuh4EREpW7Wq\nBJeVKrjIFmWsApjiulV6OxlM/SlBH2i5evvE4OiRUp3cc1OF5+9/A5hTybcjIg2iKlWC1tqbsx8v\ns9ZuiH49O224FmBeU5Ot9P1kaPSni3p/O64XHj9yadeovAa50a//49b1fGL0yQP6HkSksVSjSvAb\nxpidxpj3qnRP0uCi616eA1P+mMgLvOjXU77H6+N21PBuRaReVFp0sQEYV6V7kWGir3Wv6NebEi5T\n3psJo1+syb2KSP1QpwsZcn2te0W/fv6q/4O7fzoDRtfoZmtIR4yI5FNgSU30tu4V3Zu1eMwJ3D3E\n91YPtnZt5vOrryCVPoLjuFx79Wo+dc4ltb4tkZpSYEldKdyIvGGYVgl2dG7iSOowAJ6X4Zu3rmHG\ntJkaacmwpuNFpK4Utm/6+f7f1/qWauIPB/+Q99jzvfBcrK1dm7nz3rVs7dpci1sTqRmNsKSuFBZk\nnD1m6rCbEnzzwE4e/uUP8j7nOi4tcxeFU4U6jViGI42wpK7kCi6+/Pxo7nn0OBaPOaHWtzTkXt+/\nA8/vbodljMNXrl7NvOYFPNb2IKn0EZ1GLMOSRlgyaEo1tu2r4W1/NyI3miljZtKUbCKdTuE4QVh9\n6pxL2Nq1mUc2Poi1wf57JzvqEhkuFFgyKEp1cdeZWH0rdeJwR+cmPC8DgDGG85d9KrbTge7EQ7iT\nD+LtHoX31lG1vh2JCQWWDIpSXdwH42DIRlTsxOGWuYtIJprIZNIkEknOXXJBje6uMu7EQ4w673fB\n2TW+4eCjJym0pCwKLBkUpbpZ9Le7+3DT9c4hfvbyv/Hm9zZy7pIL8kJrXvOCoiOvuHEnHwTHYhyw\n2GCkpcCSMiiwZFCU6mYx0O7uw0HXO4f4m42vkvFfZftP4JGND/D9r93JvOYFeV0vLr94Va1vtSLe\n7lHgGyzBCMvbParWtyQxocCSQVOqeGK4F1WU8sKeg3iRszKjVYCNVMruvXUUBx89SWtY0m8qaxep\nE6dNGoUb+RuZSCRpmbuIjs5NpDOphipl9946itTm8Qor6ReNsETqRPNxR/G1pdP4Qec0mheckLeG\nFS22UCm7DFcKLJE60nzcUfznU/6Uyz93Vvi5Rim2EKmUAkukjnS9c4j2135MS9cHelQIRh/r6BEZ\njhRYInWi651D/G3b70h7v2Pz6h+XLK5QP0EZrhRYInXihT0HyXgWS1Ah+FjbQ0VHUaWKMDTikkan\nwBKpE6dNGkXCNaQ9g+O6PLLhATw/02MUVdjxYszoseGIy3UTnL90BecuWaHgkoajsnaROtF83FH8\n3ZKTWPTBCzh/6Qo8P1O0lD1XhHHVZX/Fd9fcwUs7XySVCjq4p9MpHnj8Xj6/+ooe52V5zmZSTWvx\nHJ2jJfGkwBKpI83HHUXriZ/g3CUrSCaacB23aCn7vOYFYceLRzY8EHSNyLLW9gg5z9nM4VFXkG76\nRw6PuqKs0CoVcAo+qRVNCYrUoXnNC/jildfR9vQTLDlzecnpvY7OTWSyHdwhOHLEQI+Q8xKbgBQY\nH2waL7EJN7UAz9kcfJxZhOt3v0cQcJcDadJNSUYevBPXXxAGH6RINzUx8uAdea8TGUwKLJE6tLVr\nM9+5/SbSmRSbt3cwY9rMoqE1ZvRYrO3u53TZpy7nmFHH9Ci+cDOLSDc1gU0DLta8QTqxjtTImygW\nPpnkQwQBB9gUmeRDuEcWlAw+kaGgKUGROlRuO6b9B/ZhjAHAMQ7HjDqGyy9e1SPcXH8BIw/egZM5\nG/DIJNeRGrkGOBKED+lsGAWiU4zRx25mEdAE1gWS2cciQ0MjLJE61DJ3Ea6bwNo0jlv6ZOGWuYto\nSo4o2rap2OZiP/Ek4GVHTh7ggrUUhk8yvQIv+WB2RJYkmV4BdAdfsWlEkcGmwBKpV7bgzyJKtW0q\ntrm4ed4mwM+GFYBL0+HVWGdfj/AJgunOosHk+gs0DSg1MaSBtXOKy4obxg7lW0rMPXjZ/Vx+61W8\n8cPv1PpWhlRH5yY8P4O1Ft/36OjcVLLwotjpxNEpxVNmpjhobsH4ywmm81KAQ9Ph1SQzl5S8BwWT\nDKbP/6fb+e6TV/brNUMaWJOPGc//PPvPhvItJfb+sdY3UBOFm4P7muorNGb0WIxxOG2O5Zvf9Bkx\n4llSdNB0+LqiI6qhcPlXzgLO4s5vPjWk7yuNQ1OCInWoP1N9haH1wOPr+Oata/B9jwUfNjQ1gTE+\n2CN47nZGHrmhz/cvVe5eDf/rxm0senVjVa8pcVXHIywRKV+xDu23330LqfSRvM3Bhc+5+dY1eNm9\nWZt/Df6fOzjGAyxe8kG89IpwT1U0lHKPjT+2ZLl7pVqPuY1//+AUvjTmx1W5ngwvCiyRGMiNrFLp\nFNZmqzCM6VE92NG5Cd/3wsddXS7v7z2bMRPbwFiwXlC+niFvA3DT4evCkAp2u/jaayV1R/uwROpc\n98gqlbdJ2PMy/PxXG7nz3rVh38BcmbtjHFw3wVeuXs2kD/wFMCJv71TeBmDSZJJPRB77gKO9VlJ3\nNMISqWPdI6sjzJplWbAANm+G7duDr//b+n/GGHCdBOcv+xTnLrkgr6XTjGkz+dcfbWLxGddx8oxI\nsUWGSOeLJIn0clJuR/i4lsUZIqUosETqVHRkNWuW5dvfhmQS0mn40peC0LLWx1rw/RQP/GQdj2x4\nAEww+urY9jxY8PwM//Sj/AKNYhuAnYMztSFY6poCS6QORUdW1lo+vMCQTFpcF4wxnP+JE2md9XE6\nf/OvzJ6Tyo66LBkvDTZopWRtmtmzLfPnw9atqR4FGoX7rLTvSuqdAkukDuU2/lprcYxD6v05GF4C\n6+GYJCs+dhMAB0f+KxhIpeArX3F4qSsBBnzPY85phm98I5Mdlfm8s6vvTfuDWc4uUikFlkgdKtw4\nfM6Zf82ow+SFSappLY6TAQMjRjis+vMzeO7ZWbRv3cSE8RP5q88fx4gR92KMjzGG9w4+wdau4l3f\ngcjRIUdIN7l9dsIQGWoKLJE6VHTjsA/btsJv33yQBR9+iBPGzyJotZTGkOTggVn86/3/FFzgZfjE\nx/+C06c0YW2KVMpn7Q+e4aWu5/nowj9h/NjxnLtkRV54BZWDR7Ll7xlSI9fgHJypkZbUjaoEljGm\nxVrbUY1riUig2MbhW+66nL+/KUUyCYdpYuTh/4HvvojF0r41/wiSBx55kSnHX8cLu+7g0Z/8jm3b\nLJDi588GXSYe2fAg3//6neF7GH8sYILu7QawvvZgSV2pOLCMMcuA24AZld+OlNLVMZnOZ09k7hmv\n0dyyu9a3IzXQ0bmJOaelSSbBdcH30/jui+Fhi6s+b9j2cnfJ+5FUiiu+8HUymQy2SMf3aKcMz9mc\n3TicfaI1QJP2YEldqXjjsLV2A7CrCvciJXR1TGb1ZZdw17fPYvVll9DVMbnWtyQ10DJ3EdteSJJO\nQyYDnuewd9875Db8Gsdj+fLgucYYNm9/nnS42dgwbvS4vOs5jhN2yujeSGwBB8dbXNWWTCLVoE4X\nNdbVMZl7v3d6ryHU+eyJZFIuvu+QSTt0PnviEN6hVJM78RBNC/biTjzU79fOa17ANZfdySP3LuVf\nfuDyxS/63PD1X+D7DtaC48AnPgGzZ9PdvilkOfD+H3DdBAaD47hc+5d/270vK+8k4SaajlyjsJK6\no6KLGsqNnDIpl0STx5q71hWd7pt7xmskmjwyaUsi6TP3jNdqcLdSKXfiIUad9ztwLPiGg4+ehPfW\nUf2+ztt73yJ5tIfvQ+d2j107ZjOjuRNjgtBasKB7WjDK+j4rzrmY4yec0ONoEp0kLHEw6IFljFkF\nrAKYMFmHN0blj5wsnc+eWDSwmlt2s+audVrDijl38kFwLMYJNva6kw+Gn/d2jyoaXtF9Udu2k1d0\nkU7DX1/nMtJehOFlPO8wmQzs3w+f/Wx+CycI3vPUGbP41DnFS9W1cVjq3aAHlrV2LbAW4JR5U3s5\n7Hv46c/Iqbllt4Iq5rzdo8A3WIIRlj3k9jriCvZFXQ6kSTcl+e2bK/KKLgBWf/lTnDTmEryDM2l7\n/u/55XOdXHNNzxZOEEwTfmvt15kxbWbe+Vp9HQYZN+O3tDOp/Rn2tC5m7/zWWt+OVFE1qgRXAguN\nMSuttfdV4Z6GjYGMnFQtGF/eW0dx8NGTwhFVsRFXNLDSyQcJCiEAm+L0M3fR+S8uvh9U/TmmiSnj\nLgA/GB1NGvXXjBv3pySTPq4bVKcXTg9GKwP/1w++zb+t/2es9RnRNLLoYZBxM35LO0uu/gxOOs2c\nZJK2W+9RaDWQigMrG1IKqgHqz8ip3DUvqV/eW0flT/0VjLiaFuzlWLMTOAuDyXvtB8Z18N//ygED\nBpdk+r+EZ1vl1py2bnFIp4OGuJlMMC0Y5TgOb769m3sevxZvxKPMmhUEWip1pEevwTia1P4MTjqN\n43uQCR4rsBqHii6GQKlRUX9HS+WueUk8REdc9pDLyDPfBAfO/vC38FLzSaQvIJO8F6wfbuR1nGBT\nr+97pJN3YowNTwXu6NzEC9t8vvQlehxDkuP7lpdeW8eV/1f+tOGLL/Y8DDKO9rQuZk4yCRnwE0n2\ntC6u9S1JFSmwBlmpUdFARkuqFmw8uRHXiLPeBJfgbCvjkbYPkkyvIDz91wIk8H3wbXZK0PFwHcJT\ngVvmLsJ1EmzfnmL79mAvVrgROMtan/nzCdfBctOGrbP+a+xHVwB757fSdus9WsNqUAqsQVZqVDSQ\n0ZKqBRuLO/FQuJ5lCsqRDCa7mTc3ujK46Qt5btM7MKKNHTtg5cog4Bzjhh0pph5/Iq/8ficQFFkY\nslOOEZs3ByOr3LTh+wea+ZtVXxqC73ho7J3fqqBqUAqsQVZqVDTQ0ZKqBRtD4Z6sw09PIukZrGvx\nvQSJ9AUApJsS2VOAXTB7aV38JBaYPz/Yc2UMgM9vXt3BX/7N10mnU3nvUxhWEEwTRqcNu7peZvSI\nb3PMqGMYM3os+w/sa6iqQWkcCqxBVmpUpNHS8FZYIZiY/gcOPz0Jc5TH01uu4JOfCfr7BSyQwUts\nDFrTZDcIQzawbIbD5n4y6XSP95k9u/h61vbt0cded5d3gqnEpuSIhqgalMaiwBoCpUZF1Rwtqdw9\nXvL2ZBlITHmfxAkHOfjoSbz72oygGe2IW4BMdkqQ7j+tweAAXni9CeMnkkgm80ZYs2fDt79dfE9W\nb6y1Yfk7BE13NfKSeqDAGmKDESwqd4+P6LrVwUdPoqn1HRJT3u8eac3cz4cn/xuHRz0LpAnOu88m\nlXWABG56Ba43m9TIr2enC5NMOvov+P7X/oLH2h5i12s7SaWO8Ilz3iWZfD3cZLx8Obz0kgsWPN8r\neY+OcUgkkowZPZbPr76CVPpIsB5mHJqSTRp5Sc0osIZIV8dk2u6fzcb75uJlnH4FS18hp3L3eCjW\nSzDVfhyJEw4GIy0LTTP3McN5MnhBttjC8RaTSC8Pz71Kplfg+gtwDs7M6/03rzl4WRAyKZwnLB8/\nJ5g+zDXGfeIJr+QoK+EmuHTFn3PMqGNombuIjs5NpDOpsJGutX7exmORoabAGgK5EVD6iIu1BjBl\nB0s5oyeVu9e33KjKfCCdt27V1PoOqfbjSHWOo2n+u+HZCWE1ugWwJNLLcfyZpEbehCXFEWc9b+5Y\nzaknXgIZ8jYPd3RuIpU9UuSFbfCTn8D553eHVmHni/HjJnDukk+GIVUYRMlEE+l0Ct/64cirEfZr\nSTwpsIZAbgRkrQNYjPHzgqW3EVR09JROwd3/8FE++4Vf5j1PBRz1q3BUFW6piqxbYYJ1LJM97Nf6\n2dAygHWwzj48ZxOWFMb4gM9Pn10DwNRZNwGpcPNwsBfLIeP5ADzxBJxzDiQSxTtfvLtvL2efvrTo\niGle8wK+u+YOrWFJ3VBgDVB/1qKiIyDHtSy7+AWWXLitrA3EudemU2B9w5anprH9uak9nqdy9/qU\nPGU/uDYIIyzpF8diRqe7161MMBWYCyssvN61gKmztoP1gGS4x8pzg03EmQx0/Npn4bwnmJo9vDG3\neXhe8yq+cvVqvvH9v8P3g+m/r3zF5dKVs3G9Rbz44j8Dfnh/1vrcfvctXPnZa0qGlgJK6oUCawD6\nW+TQ2wior/Wn3Gvv/oePsuWpaVjb83nFwlNVg7WTmwK0h1ySp+4DusPIe2ck/stj8tatcn9g4fBT\nx/PMxs/xX776ATLJh8J9VK6/gDd3rOanz66h49c+L+9oYtyo5UBHWHiRC7ZPnXMJM6bN5LG2BwHD\nuUsuYF7zAh54fB2FnS8ANm15ls3bO1RMIXVPgTUAA+1SMdDDGZtbdvPZL/yS7c9N7fG8YuEJqGpw\ngKJVfH0drljsuXlTgFnh6MmBkWfu4eCjJ3H46UmMPOvNsLAi1TWGzI4xee+ZST4EpPCSD5JIf4oP\nTbuAI+//Cx9gE1/4s0WceuICvILCi5zcyCh3fMjOV3dw861r8k4iDrpglF9M0YhHkUi8KLAGoNIi\nh8LRTznrT6WeVyw8AVUNDkB/TgQu9dy8DcHZbLA+3WtUTvfBjRjCAgz7x2T+WViJTcCRYH3Lpsgk\n15FJPsSc2Xcwr3lV9330cuji1q7NfH71FaQzqaDVU6SU3TEOl134X1n3yF1kMukexRSF4RS9VjKh\n0napDQXWAJQTMr11aM9VDDqu5eobN3DOpVvLqhbsfPZERo87FIZSc8vukuGpqsH+6+t8qnKe6+0e\nFUzx5dalfPDeHok7/gg2G27e7lE4444Ez/MJPxdl/LF0zxWSDa5gnarcU4FzZem+7+d93nFcvvqX\nf8unzrmEs09fGhZVPNb2II+1PcSpM2bxndtvygun6LXiUtqugxwbjwJrgHorcujqmMzfXPpp0mmX\nZNLjaz/8Ud6IKChvd/AylluvX8a0U9/pcz0qWhZvHEsyMv235KJtYC1LLtoevkZVg/1XeCJwYYiU\n81zvraM4/NTxjDzrzWC/r2848swknHFHSEz/A5ldxwDB1GCuc8XhpycB0LRgb3Cd34N19gFOtqCC\n7Obh7nWqQsWm61rmLiKZaAo3/kLQdmnF8pV86pxLgGDqcOerO8IiDQgCzVqbN1WYu1ax0Vg90kGO\njUmBVQWFIdO2fg7plAsY0imXtvVzwtCYe8ZrOK7FywS9dnzf0LZ+Tjh6uv3GJeHa05XXt3HgvaN4\ne/fovLJ4m53qW3/bR3iubQa+b0g2eSy5qHuDjaoG+6/wROC+1rDSL43BGnqsPaVfGov/3ojwOpAN\nKMeSOOEgqR1jukdnPiRO/COJ3HqWbzj27Z24mUWkm5rCxrduekW4YbhQqem6XFn6Y20P8ciGB/B9\nj0QiyblLLghf+8Dj6/jG927Et92jMN/3SLgJrDVhOEVL3OOwhqWDHBuTAqsfehv9ZFIubsJn6cpO\n3nun4F/mkYXu5pbdXH3jBm69fhm+b0gkfDbcexp+xsE4Fs8zYB1SR+DW65dhfYOT8DEm3EkKWBwH\nNm2cge85BMGI1qqqoMeJwEUUrl9ldozp9TpNC/bmTR8aS2R0BokP/rF7jQvLxA/uwPX/nJEH7yha\nUFGot+m6XHCdu+SCHmGztWszN9+6Ji+sABKJBGe2/qfspuILelwrDso9yFHThvEy7AOr3PLvUqXs\n0aIHP2V4/IfzcZM+bsLD9xzcpJ838gE459KtTDv1HTqfPZG3Xz+GJ+6Zj+87GOtH+sYZfA+sdbCZ\naOYZMD4nz97Db7YeT25eyRi0VjVE+rPWBT2nD9MvjyH98hjcyQdxjk6TnLUvbx/WW7+dCWf0XlAR\nVc50XbGw6ejcFE4DQjBdOH92K9te2sovnvsZyURTOBqLW4VgOQc5atowfoZ1YPVnP1WpUvZwY+8R\nsNZgrYPvWZZ/eisTpvyhZBDmpuy6OibTtv40MukgdLwMBGsXHo5L9heK6W6PgMV1Lcs/3ckrL04k\nkzIA2Q4IMhQKA8gecmlasBd7yMUc5fUoc3cnHwyPDol+zXvrKNyJh0ieuj/ck3X4qeN597UZ/bqf\ngU7XtcxdRFNyBOl0CuM4XHv1avYf2MfWFzvyRmtALCsE+zrIUdOG8TOsA6s/+6lKVePlKgbb1s8J\npvY8g+va8DX9OUU4XMPKvsf5l7fz4P//EYJ/BBvAxzjdlYU7t03iJ3fPB2uw1mhKcIhE17rsITdc\nnwqP/8iWuQN9lsn3d92slIFM1xULuq1dm3GdBNamcRw3rwmu7/uk0kd4rO3BWARWX8qdNpT6MawD\nqz/7qXorZc+NlpZcuC0MrifumU/b+tO48vo2dm6bBNZy9Ogj7Nw2iTM/sYNzLt3a4/VAOFU4etwh\nnv7xTHzfhMUWYLC+4c3fjaGrYzIAiaSH7xmVr/ehPxuCy5Fbo8pbn7LkTRMCvU4dRu8ptXl8xfc0\nENGg29q1mcfaHsTmGh4Gg3da5i7CmKAtlLWWRzY+yLlLVsQ+tMqZNpT6MqwDq79NY/uqvMutafkZ\nJ9us1vL9v/04vmfynrf5Fx/k+Z+dzEVXPdfjernH+d3dw804gGX9bYt46I6F+BkHN+Gz/NNb80ra\nJV9vG4LLDbJSzys8iLHHvqoSZfL92aQ8FHKVhtESeN/zwtFXtHDIy2RisQ+rHH1NG0p9GdaBBZWV\nfxcr2IiO2oI1qVzbbYgeG/urJ07h10+eHK6bRa8V7e5uHI8p09/l9785Lny9teClnWDk5VkmTPmD\nwqoXpYokyg2N3p5XOD1YuE5VON1X6qiRvgo3qqG3wonH2h7ssV8rd4jj7XffkldJaByn7vdhSWMa\n9oHVH9FQgeL9+ppbdnPl9W08/eOZzJizh4fvWJjdk5XTPVrKpJ2wa0X0Wlde35Y3Vfnfv/EEv/rp\nDNavDf6l6yYsvg9YX1OBZSi1ybfcar/EzP0lA69wSi/3OeieNizaZzB61EikcCO3cbjaemuttLVr\nM49sfDAMxqnkAAAgAElEQVQMK8dxWbF8ZdjxovvEYYPruHzl6tUNMbqS+FFgFdHXfqtEk8eSC18o\nWrDR1TE53Py7/bmprLphIzu3TeK9t47ij/uPYv+7R/HGb8fh+wZjCFstRa914L2jekxVNrfs5vSP\n7wzXyKzv4LiWK69v0+iqD6UKG8rpbOFOPETTzP3BdJ8F/OB1xUZd0HuRRWFApl8ci/9+Mr9wI7tx\nGM6q6v8GxfZq5T7/5tu7SadT4XMNhPu2cicOO8bhI/PPKHkMichQUGAVKGe/VSYdNIorVrBR+Lxc\nwUXHz2fgZRwSTR4r/tvzQfWfb1h7w1Jazt6Jm/DBC87Lenv3aAAu/tyv8u4tukZmrYO1Hgfeq926\nR5wU2xBcToVeGDLZwEq/NLZnsQWWxMz9OMf0Ps1XbD9WsWtN/OCOqn//hXu1xoweG464HMfJe67v\n++HUYa5i0HUTCiupOQVWgb72W+UCasmF21hy4bZe17Bc17Lh3tOy603BWlYuxKxvsL5DOmX41U9P\nwU34fGTpTjp+Np0nfjiPtvvnFN0XVmmneMnXV2eLYiHT8/MEozCnROFF5L3KGenlNg5XU2EJe3TE\nFT1yBILQHDN6bPAgV/NjelxSZMgpsAr0td+qMKCKVfnlnpfrYpErSzcmWHM68xM72P7cVFJHchuC\nHbyM4d09H8DLVhiW2hdWuG8r2rm9HDrYsX9Khkzk82G3imxvwMzrR5NqP65oEJYz0uvvxuFyFe7V\nyo24MOB5Xt5z9x/YR0fnJjKZTDB9mU7zWNtDsRlhqeVSY1JgFShnv1WhwhAo1sXCcS3LLn6BJRdu\no7llN9NOfYfbb/wYL285IbzOsZP+yKsvlR49Pf7DeTz945mc+YkdzD3jtX4f0tjfk5IlUGoUlvt8\nXrcK35QMq4G8x2CJNsZ98In78r7mugla5i5i56s7sGF1oOXBx+/l1Bmzwk7v9UotlxqXAquIckrd\no+dTRTusR0Ogr31eM057i13bJgY9BxOWcce9H3ZoL3z+4z+cx3f/x3Ig2Md1+sd39PuQxoGclCx9\nq1a3iqE2r3kBHZ2bIqEUHOx47dWrAXj4ifvznu9bn5tvXcOMaTPreqSllkuNS4HVD9GQuu2GpXjp\noMO6zXajKBYCheHX1TGZtvtns/G+uXjZjb8Ll/yG9p9N54l75ueFXnTk9sSP5mavECwqdLVPwckW\napS7lqX1r8Ez1COkSuX2ZI0ZPTavn+BnV/w5L+3czjdvW0Mmk+nxOpstyKjnwFLLpcalwCpTdDoN\nY8NjPaxncVwfg9dnCBQexBgsHliOHEqGG4HTKdtjb5aT8LFedPMx7H/3aBLJ/nW56G9nDwn01Q2j\n2m2fBlvhnqwvXnkd+w/sY8zosXn7ropxE4m63zSslkuNS4FVpuh0GgWd0Rct3ckp89/sMwSiHSyi\nRRgz5uxh8y8+SO5wxlwxRe5kYj9FcFhSQcmWlzH97nKhgx37p69uGKX2Y9VzgBU2s31p54tc97n/\nyZ33rg33XRVjjOH8pfHoIaiWS43J6fspAt3TaY4bjKQSSR9jfJJNHhde9Vy4Z+re750eNqbt7Rpu\nwuND897kyuvbeP/AiOwzgiDa+cJERo87FOkjaHBdD+N0L4BDedN6XR2Te70n6eZOPETTgr24Ew91\nfy6y2Rcn0tS2xNcTM/cz6rxXGbHwbUad92retepFy9xFuG7wb1VrLY9seICtXZvDvVqu45JMNHH2\nGUs5+/QlJJNNOMbBcVxOnTG7xncvw9mwHWH1t7y7cDoNyHt9ORV44VEk2TWsnZ3Hc/tLEzhl3hv5\nb2YMB947CmNsMBozlulz3mLGaW8xY84edr4wEYwJKw5LfT+qCixfqZFUX90wCr/ujMyAmz092ARt\nneptlDWveQHnL13BA4/fi7UW3w+a3F5+8aqi52o98Pg6vnnrGjzf5zu331T3RRfSuIZlYA30F3nh\ndFr04+gUXuoI3P0PH+XMT+zoUfGX61bhRTq6b39+avYq3ZuSX33puO4RloWXtxzPqy9NYMmF2/KO\nJoGggvDW65fh+4ZkL905VBVYWqm+gn1VABZ+PXnK/ryvm+KzazV37pIV/Hvbw0FLJmMYM3psXnNc\ngDvvXUvL3EXsP7AP3/pY293WSYEltTAsA2swfpHnTeFZw+ZfTGPzLz6IcWxeiEB+tR6QPX4keG3r\nx3aGYROOsLBA973mvofcSO/W65fhZYIikHSKkt05VBVYWm8jqT67YRR8PXnqfqxrwevujFFv5jUv\n4ItXXheOnL619utgwfMzwXRh7mMnwUcX/gmukwgKixLJui+6kMY1LAOrP7/Iy5063LltUvaj3LpT\nEDS2RCguuWgbWMt77xzNr544Jfz8uOPeD+8xOcIjnQLrG4wTrJ2NHncob3T44T/ZFTnCxOI4ts/u\nHHFXTlVefyv3qrWXKk57sgpHThCsaVnb/bHvp3jy2TYSySQXLL+oIQ5ulPiqOLCMMSuBfUCLtfbm\nym9p8JX7i7zcqcOujslsuPe07KPcHJAPGIyTfwRI4TWvvL6N9p9Nx0s7uEmfJRdtD0Myt4l49LhD\n4dRidHSYTlmeazuFMKxcnxX/7blwFAY0ZFj1dYbVQA9HrNZeqrjsyYo2xHVcFyz4vhd+nPHSQYBh\n8T2P4ydMVlhJTVUUWMaYFgBr7QZjzHRjTIu1tqM6tza4yinvLnfqMNdBvXt0FRyVfvYnt3HiKe/m\nBUaxo0S+/sMf9XnOVpST8LFpwg7iufed1fo6j9zZGu7dMhB2iG+UgotyzrAq95yr4S7aEHfM6LG8\ntHM7YDh3yQVAcKjjIxsfxPe8sMN7bl2rMLh6OxxSpFoqHWF9Gvhp9uNdwDIgFoFVjnKnDuee8RpO\nwsdP5Tb3GqwPP394Np9b89O8oCh2zWh43vu90/sMySCeDK5jsdbH84P33bYpV7zhYNPBc7DButbd\n//BRPvuFX8Y+tMo5w6qc50ggFy7RjcTnLrkgbJR77pIVYaB95/abSh4AWepwSJFqqnQf1ljg3cjj\n8RVer67kpg4v++LTvY5Qmlt2s+ziF7KPuvdOWd9w6/XL8vZA9XXNwv1ehSGZqzDEGnwfps95K3y/\n8D/j4SZ9kslg75b1DVuemsbqyy6J/X6s3BrRkecnlJzqK+c5vSm2H6uRlTrcEYJAu/ziVew/sK/k\nc3p7vUg1Dcuii/4otzPEkgu30Xb/nEjbpSBEfJ8eo6S+rpkryCjWcqlwhLb80538pvN4rJ8LLcsJ\n0/bzf3/7x0Awstry1LSSvQ7jqJw1ooGuIw10/SvOCg93LKwC3Nq1mTfffqNkpWBfrxeplkoDax9w\nbPbjscDewicYY1YBqwAmTB5b4dvVl8IKwlwhx8E/NPHA7cGJwm7Cll1OXliQES3AiE4dXnl9W3jM\nyLRT32HWwt+zfdOJ5NbPLlz1XBhKn/3CL9n+3NRhXdren4rB3ta/4tYzsFyFhztGp/Oi032umyha\nKdjb60WqqdLA+hGwMPvxdGBD4ROstWuBtQCnzJtap9so+69UBWGu68XDdyzE9528g1r7KpEvLMho\nWz+HjfeeRjrtkkx6fO2HPwLg9huXkE65bHl6Grl9X27CY/qct1j+6U7OuXRr3ns1Yml7ufo7Yiq1\n/tXoI6/Cwx1zotN9htKVgrnP5aYDFVoyGCoKLGtthzFmoTFmGbCv3isEB3rabrHX9VZBGF1n8jzT\no/t6saq9ro7JvL17dN6RIe+9PYp0yiXYEOzStn4OEyYfIJ1ysX5uQ3GwbuX7ljOW/yYMq8L3yvU6\nHG76WzFYah/VcK08LHe6T4UXMhQqXsPKjqDq3kDbMZV63dwzXsPNlpe7bv60X3SdyXUtb79+DG3r\n55QMuOh7OK5lxml7WP7pzqBnYJQN3sdxLF64ZgW54Bo9LigSUEumbgOpGCx6jP0wrTwsd7qvWOGF\nAkuqbdgUXQz0l3iPabr7Z4eHOObmNwvnOcMmt+vnsOHe03jinvk4CR+3xIGL0ffwfctvtgZ9A6+8\nvo1Ek5e3qbi5ZTdX37iB7//tsuyZXNl7sMFU4bRT31FLpojeOk/0Z00qTh0sqq1wurDYnisVXshQ\nGDaBNdBf4tHXOa4NTwo2jsXPnjTse8VPGs5tKPZ9B5uxfGjuHmbM2ZNX/dfVMZm3Xz8mHK1Z2316\nceGm4txrzrl0K9NOfYe2+2ezc9skfrP1+LwqwIs/96thvW5VqNiIaSBrUnHpYDGYSk39qfBChsKw\nCayB9tWLvu7t3aN54ofzssUUHo5jsab0ScO5sEungp6Cv+nMdly/aDuQPxVoHJ8pM/byxm/HYa0p\nuqm48L5yfvviRLyCkZsOauzdcF2TqlRvU3+lCjfqxfgt7TqFOOaGTWDBwH+JR6v/2u6fE47Scr3+\nigVgtB/g0z+eyZanp/VohJt3irFv+P1vxuMmfJZ/pjPvrKti8ta9ynyNdBuua1IDEZ0CrJepv/6G\nz/gt7Sy5+jM46TRzkknabr1HoRVDwyqwKlXOKK2rY3K4duVn+/hdeX1b0b1QuRFY6jAEBRQOXsbw\n3ltH9avPIZ5lwuQDCqt+GM5rUv1RbAqw1lN/heHT/uUbGLn/vZLhNX5LO3Nv+w5OKoVjfcjApPZn\nFFgxpMDqp95GablRT+pIIluJYcK1qGJBlwvA22/8GC9vOSG8znNtH6KrY3KvAaTCisppTapv0SnA\ndDrF7XffwpWfvYbLL15Vs3ua1P4MTjqN43uQtnzkG6vBt0VHTmG4pVIY6+M7Dn4iyeEx45h9xy2a\nHowZBVYV5UY9ZFszGeOXtRZ15fX/wXWXXIrvARis7W7nVGrvWLHRXjn7zAa6F02Gp9wUYDqdwrc+\nm7Y8w+btHTXdZ7WndTFzkknIBA2ejeeXHDmF4ZYNqz2LzuJ3S8+l9Vs3aHowhhRYVVS4/2rpys6i\n/QALNbfs5i//7qfZY+4h2RSEXF97x6IhWM4+s4HuRZPhK1f99//e/vdse7kTa23N91ntnd9K2633\nMKn9GQ6PGUfrt26ATBo/kWRP6+K850bDzU8k6bzqi/kjNE0PxooCq4oqOeE3V6qe2+PV+eyJvP36\nMWXvHWtbPyc7FWlKPlcbimWgdrzyUvix47o132e1d35rGDL7P9RcsgAjGm7Rr0dDrDDkpH4psKqs\n1NRfdCoOip8EnPs4NwpyE35eq6ZS61ThiccWwPbovJGjdS8ZiI7OTXh+BgBjDOcvXVFX5evR8IKe\nFYSFXy8VYlL/FFhDoLAEvbeTgAur/5Z/ppMJkw/0OmKLnnhsjM/SlZ0l18u0oVj6q7CU/dwlK2p9\nSyWVW75eGGISDwqsIRANoehJwMWm5QpHQcX2VhUWTvR4TXZjcjHaUCz9FacuFlqfamwKrCFQ2N7J\nAJ5nik7L9TUKKlU4oZGTDKZ672KRU1hkofWpxqLAGgLFDl3sLVx6GwWVKq7QyEkaXbndLV45b2X4\np0ZXjUWBNQS6OiZz+41LyKRctj83dcDnU5VbXCHSaPpamxq/pZ1ZP/g+k5/cgLEWv6kpDC5pHE7f\nT5FK5ZeTO+GBjgO5TndxhS1ZXCEyWLZ2bebOe9eytWvzkL5vdG3KyaSZ1P5M+LXxW9pZetUlTP3Z\n48HXrY+TTuU9RxqDRlhDoFrl5P0prhCptsK+gl+88jr2H9g3JIUYva1N5cIsepypNU7J9St1bY8v\nBdYQ6E9RRG+tk1RcIbVU2Ffw5lvXYK2fdy5WMdFu760fKe+9iu2lKrV3ak/rYvxkEieVAsC6Ls9f\nt6ZkI1x1bY8vBdYQKacoopzWSSqukFqJ7scyxuD5Xp+tmgpHZc3f+SR8cEqv71MqVErtndo7v5WN\nt63j5EfvA3ovtlDZe7wpsOqIWidJPYvuxxozeizfuf2mPs/FKjzw8eebf8/c/3Nhr+8zkFApdyOw\nyt7jTYFVR9Q6SepddD/WjGkz+9xMXNgl4+wFU3m3j/cYaKiUszaltkzxpsCqI1qjkjgpZzNxYZeM\nxXN+xb/3cd2BhEquUtBJp/GTSTbetq7X0FJQxZMCq85ojUoaTX6wlbf/sFio9DaCOvnR+4JDGgEn\nleLkR+/rtSGuxJMCS0TqXrFCDCAMoUIj974dnigMqDKwQSiwRKTuFRZinPzofcGoKhtC7V++AS/Z\nhJNJY12XE57+D6Y8uYE5ySSvnLdSlYENQp0uRKTu5fZa+a6Ln0gChCHkpo5wbNcLtF97I3tO/xN2\nn7UUx/PCrhhA3mtVGRhfGmGJSN0rLMQAmP7IOmzKA2uZ/tA9nPywg+N5+K6LTbj4XlBl+Mp5K3nl\nvJVaw2oACiwRiYXCQoxd51/CjPV34ViL43lYPBxrwcDOFZdy8IQpeQGloIo/BZaIxNIr560Multk\n162sBXwvHFUpoBqPAktEYqnYNKGm/RqbAktEYis6TTh+S3vR52gPVuNQYIlI7JVqmKvu7I1FZe0i\nEivjt7Qz+45b8kZUpQ547O3gR4kfjbBEpK5Fp/SgeNeKPa2LOS3hYtM+1nXD56o7e2NRYInIoMsd\n4pg6/Y0+z8OKKpzS67Vrhe+DtcGfWerO3lgUWCIyqKKHOP7zOof/54QJTD25vNcWtmSCoGtF4Yjp\n5Efvw8lkgua3mUxe81t1Z28cCiwRGVTRQxxTaUvnszt7BFapSr7CKb13m08Lv9bfvVaqFow/BZaI\nDKroIY5NScPcM2aEXxu/pT1oZPvwOhzP61HJF53SOzxmHK3fuiE88+qV81aG13nlvJXBNTLpcONw\nlKoFG4MCS0SqLrdmlTuNOHeI42dP3827LdP443vdIeKmjoC1GCjaTT03pTf7jltKrl/tnd9K29p1\nvZ6X5aaOYKxVx/YYq0pgGWNarLUd1biWiMRbdM0qmWjiu2vuCA9xbD3mtvDE4dz6lLEWC/jG9FrJ\nV6riLzrVt/2Ka3q8bvyWdk5+eB1k3ydaRSjxUnFgGWOWAbcBM/p6rog0vuiaVSaTpqNzU+TE4W7R\nALKuy67zL+l1XapYxV/hVF/7l29g5P738kZZk9qfwfE8DEEo7jr/Eo2uYqriwLLWbjDG7KrGzYhI\n/EXXrBKJJC1zFxV9Xm8l56UKJAor/vKqCNOWj3xjNfi2xx6t6MiscH1L4kNrWCJSVdE1q9waVinF\nSs77UyBxeMw4rDH4joM1Dsbzcayft06lvViNY9ADyxizClgFMGHy2MF+OxGpA7k1q4Eo3HtVWCCR\nG33lqgaN74Pr0HXZlZx6zz9DtlIwuk6lvViNoc/AygZOoV3W2g3lvIG1di2wFuCUeVNt/25PRIab\n3topRUdf1hiMH4yofGvIHDOa9i/fwEkbH+N3S89VQDWgPgMrGzgiIkNi7/zWksETHX3lKv58XPxE\nMm+f1oRfb2L/h5oVWg2mGlWCK4GFxpiV1tr7qnBPIjKMjd/SXjJ4wia3KQ8AH8MrKz7Du82ncdLG\nx3BSqR5rWNI4Kj5exFp7n7V2nMJKRKoh70iQ1BFOfjT41ZJbu9r90Y8F04GAQ7DK0PqtG5j0q6cw\n1sd3nLypxOn338V//txlTL//rlp9S1IlqhIUkbqyp3Uxc1wX43sYa5n+yDrebT4tHHXZRDAFiO8F\nf0IQcNmw2rPoLDqv+iJ757cy/f67WPS16wA4/tknAdh10WU1+96kMjrAUUTqyt75rbzyyUsgO4oy\nnhdM92VHXcbzeOWTl9D5l1+m7dZ7eOW8lfjJJL7r4iebwrACOGnjYwBB26fIY4knjbBEpG7kpv3e\nbT4Nr2lE2Mz2d0vPZcKvN+Vt/o2uT5XaZ/W7pedy/LNPYiOPJb4UWCJSF6Il636RNkv7P9RccvNv\nqX1Wuem/XMWhpgPjTYElInWhcMPwyP3vsf2Kaxi/pZ3Zd9xSsrltX3ZddJmCqkEosESkLhTbMFxO\nc1sZPhRYIlIXivX8yzsDK21Z+Pd/g7E+cxJJ2tauU2gNMwosEakbhWtR0VEXEB4TYtKpcH+WmtoO\nHwosEalb0VHXsS9sZurPHg+/NnrXyyz9bysxvs+cpiYdez8MaB+WiNS1vfNb2X7FNew+82MAYYn6\nhC3P4XgZHOvjpFNMan+mdjcpQ0KBJSKxMHL/e8GZVxB2ajcEAWaNo2PvhwEFlojEwp7WxfhNTUFH\ni0Qy+NhxsIkEz1+3RtOBw4DWsEQkFgqrCEEFF8ONAktEYqOwirDcoMq1fFK4xZsCS0TqxmAES+Hm\nY1UTxpcCS0TqwkCCpZyAK2z5pIMd40uBJSI1Ew2ccoMl95rDY8aFZ2SVCrjxW9oZ9cbrwRlaHnkH\nO0r8KLBEpCaK9Qn0I70ED48ZFza9zQVR9DU4Bjwfx/pFAy6v+7vrsmvFpT2OJZF4UWCJSE0U686e\nqwIsHD3lmt6OeuP18DU+DtZx8DFFAy7v+gYOnjBFYRVzCiwRqYli3dlzVYCz77gFJ5XCsT4m5bPw\nptUYa/FdN296LxdkxaYHi11f4k2BJSI1Uaw7e87hMeMw1g/aMFmL8TwcLBjYueJSDp4wJe81eV3d\ns9OD26+4puT1JZ4UWCJSM6VOCs61YXKsj28M1nHxsfiOC9AjgEqNpkpdX+JJgSUiQ2pcx6s03/3X\nAEWLIHKVfX4iAb4XTv0d2/UC0x9Zx4wHfsjJD6/jlU9eEr6+t9GaNA4FlogMnW1vsPiL63FSwQFX\nJz+8Lu8gxmhln0247IxU9o284xZMxsPxPYzv8aH1d3Hyo/eF5ewaTTU+Nb8VkaGz+fc46UxwCCPg\nZNJ5x4JEK/tMJsMHXv8dULCfyhgAjLU9Xi+NTSMsERk6C6biJxPhCKuwei9ci0pbjO8z6VdPMaH9\nWYwBk86AY3h7wSKO2/ZrjOep+m+YUWCJyNCZcwLP/PAqJt29H+i5hrV3fivtX76BWf9yK8f8/tXs\npuA0xtrg7CsPJmx+jhf/7Coyx4zWetUwo8ASkSH1Xss0Xjv50qJfG7+lPdhPlUqBtfiOg+8mgnJ1\nz8MAWJ9Zd93Ohtvvy1v7UsFF49MalojUjXANy/pYx2HPorNoW7uOF/90VXCyMMHaF74frl3lCjXm\nfu9bLLn6M4zf0l7D70AGkwJLROrGntbF+MlkcKpwsonOq74IwKl3/1MQVASh5buJvEMcc4UaKsJo\nbJoSFJG6UWw/1ew7bsHJpPMC640zPxYGk1owDR8KLBGpC9F1qO1XXBN+fk/rYuYkkph0CghC6YSn\n/4MpT24I+wZq0/DwoMASkZordXhjLsTar72RY7teCJ8/Y/3dQQVh2oZ9AxVUjU+BJSI1V+zwRqD7\nPKtsiAHM+sH3w8a4xvc5PGZcDe9chpICS0Rqrtg6VGGInfzofZz86H04qSNAUC3oOw4j979X25uX\nIaPAEpGaK9W8NhpiQLbk3QYl7sbgJ5tUZDGMKLBEpC4UNq8tDDEIRllkwHfcvG7t2jg8PCiwRKRu\nFYZYsVHY9PvvCk4k9n3mNDWFBRvSeLRxWETqxvgt7cy+45Ye3SpynwfyKgLHb2nnI99YjeNlcKyP\nk05p43ADq3iEZYxZlf1whrX2q5VeT0SGj+hUHlCytD38vJs/FTip/Rnw/KAxLmCNozWtBlZRYBlj\nlgEbrLW7jDH3GmOWWWs3VOneRKSBFe69euW8lT1K23OhFJ6RVXBw457WxcxpaoJ0ChyH57+6RtOB\nDazSEdb07H9rgV3Zj0VE+lRYtg7gF2mxFJa8p/zgmJHIwY3br7hGXS6GkYoCy1q7NvKwBfhRZbcj\nIsNF4d6rV85bySvnrewRPrlqwZMfvY/pj6zrcXBjYWGGNK6qVAkaY1qADmttR5GvrQJWAUyYPLYa\nbyciDaDU3qti4ZMLpWKBJsNHn4EVKaqI2lWwVrWsVMFFdhS2FuCUeVPtgO5SRBpSf0dHGk0Nb30G\nVsG0Xw/GmFXW2puzH6voQkQqpo3AUkw1qgS/YYz5KnAscHFV7kpEhq1indsBBZhUXHSxAVCrZBGp\nmqJNbx9eh5NJMyeRpG3tOoXWMKVOFyJSV/a0LsZPJvFdFz+RZOTet3HTKRxrcdOpoJ+gDEvqJSgi\ndaWwelABJTkKLBGpO4XVgNMfWRce5PjKeStreGdSSwosEalre+e3svG2dSq6EAWWiNSnwtJ2BZUo\nsESk7hQrbVdgiaoERaTuREvbc41uRRRYIlJ3CkvbdcaVgKYERaQOlWqMK8ObAktE6pIKLaSQpgRF\nRCQWFFgiUnfGb2ln9h23MH5Le61vReqIpgRFpK6opF1K0QhLROqKStqlFAWWiNQVlbRLKZoSFJG6\nopJ2KUWBJSJ1RyXtUowCS0Tq0vgt7eFZWK+ct1IBJgosEak/47e0s2TVJbjpFBCch7XxtnUKrWFO\nRRciUncmtT+Dk0ljAAM4aVULigJLROrQntbF+IkkFrCAn1S1oGhKUETq0N75rbStXac1LMmjwBKR\nuqRKQSmkKUEREYkFBZaIiMSCAktERGJBgSUiIrGgwBIRkVhQYImISCwosEREJBYUWCIiEgsKLBER\niQUFloiIxIICS0REYkGBJSIisaDAEhGRWFBgiYhILCiwREQkFhRYIiISCxUf4GiMWZb98OPW2q9W\nej0REZFiKhphZcPqYmvtBqDFGNNSndsSERHJV9EIKxtUG7IPp1trOyq/JRERkZ6qsoZljLkWuKrE\n11YZY543xjy/f+/71Xg7EREZhqoSWNbam4GrjDFji3xtrbV2obV24ZjxR1fj7UREZBjqc0rQGLOq\nyKd3WWs35NasslOBu4BVwM3VvUUREZEyAstau7aXLy8DcutWY4HnqnFTIiIihSqdElwLTM+Nwqy1\n91V+SyIiIj1VWiW4jyC0REREBpU6XYiISCwosEREJBYUWCIiEgsKLBERiQUFloiIxIICS0REYkGB\nJSIisaDAEhGRWFBgiYhILCiwREQkFhRYIiISCwosERGJBQWWiIjEggJLRERiQYElIiKxoMASEZFY\nUBkJOEgAAAO4SURBVGCJiEgsKLBERCQWFFgiIhILCiwREYkFBZaIiMSCAktERGJBgSUiIrGgwBIR\nkVhQYImISCwosEREJBYUWCIiEgsKLBERiQUFloiIxIICS0REYkGBJSIisaDAEhGRWFBgiYhILCiw\nREQkFhRYIiISCwosERGJBQWWiIjEggJLRERioWqBZYy5tlrXEhERKVSVwDLGLAM+Xo1riYiIFKMp\nQRERiYWKA8sY02Kt3VCNmxERESnFWGsru4Axy6y1G4wxP7XW9pgWNMasAlZlH54GvFDRG9beccA7\ntb6JCsX9e4j7/YO+h3qh76E+nGqtPaavJ/UZWNnAKbQrG1It1tqO7POKBlbBtZ631i7s66bqmb6H\n2ov7/YO+h3qh76E+lPs9JPp6grV2bS9fnm6MmQ4cCxwbDTAREZFqqmgNy1p7n7X2vuzDsVW4HxER\nkaKqUiVorV1rrZ1Rxuiqt9FaXOh7qL243z/oe6gX+h7qQ1nfQ8VFFyIyPGkJQKrFGHOttfbmvp5X\n831Y6pAhlTLGtNT6HvrDGLPSGLMszj/72WYB99b6PgbKGLMq+983an0vA5X9GVoW5+8B+td4oqaB\nFecOGY3ww9Iof2mJ0S/OXLhm9y7ui1vY5mTvf1et72Mgsj8zG7IFZdOzj2Mle88XZ/9/aInrz1F/\n1XyEFUeN8MPSCH9pIZa/OD8N7Mt+vAuI5f/uMTed7v/dd2Ufx4q1doO19qrsw+lxnZrtb+OJmgVW\nnDtkNMgPS+z/0sbUWODdyOPxtbqR4SpbJJZb5G8Bnq/l/VQiO618VZ9PrF/H9ufJfe7DGkT9utF6\nFOcfloL9dS3Aj2p1LyK1kJ0Z6YjpPzgBsNbebIy5N7vxdl/fr6gfAxm0DFpgldEhI5ajq6g4/7Dk\n1Ptf2t5+job8ZqpjH93/WBsL7K3hvQx3y6y1X631TQxEZC20g2CGZBXQZ5Vdnel344lBC6y4d8jo\nK3Ch/n9YyvxlX9d/afv4OYqjHwG5FjTTgVgGrzFmJbDQGLMy0jwgNowxq3Jl1Ll+qLW+p35aBuR+\nZ44FnqvhvQxI7ucm+3uqrMYTNd2Hlb3RrxIUMNRVYPUmOxXYkQ2v24Cfxvgv7drsx3H8S5v7xXk7\ncGVc/j/I/tzvIlj/bLRArnuRytJ3Cf7RfHHcfvaNMWOBS7IPWyNr6g1NG4cHoBF+WBrhL62IDC8K\nLBERiQXtwxIRkVhQYImISCwosEREJBYUWCIiEgsKLBERiQUFloiIxIICS0REYuF/A2zLhIm1ZG6r\nAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x11cbbd810>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAawAAAGeCAYAAADIejUKAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x11cdbf550>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAawAAAGeCAYAAADIejUKAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAIABJREFUeJzt3X2cVNWd7/vP2ruqQYw8iIACSoSIDchDuglKdI4nQPDG\nq5EomhjnzHicIzqJc25uHoxzhvF4HZIx5uHOnWMSxTuOmRmNQcXHMdFAT2I0GrQ7QAu2GDDGiKKi\nQCIPVbX3On/sqt27qqu6q7uqu2pXf9+vly+6uqt27U6a/rLW+q3fMtZaRERE6p1T6xsQEREphwJL\nRERiQYElIiKxoMASEZFYqFpgGWOurda1REREClUlsIwxy4CPV+NaIiIixWhKUEREYqHiwDLGtFhr\nN1TjZkREREqpxgjr2CpcQ0REpFeJSl5czujKGLMKWAVw9NFHtzY3N1fyliIi0mDa29vfsdZO6Ot5\nFQUWMN0YM51glHVsNsA6ok+w1q4F1gIsXLjQPv/88xW+pYiINBJjzKvlPK+iwLLW3pd9s1XA2L6e\nn9q6ld9PObGSt5RhZvJdF/FX18/hnKnfqfWtDJkTLl1f61sYFHd+8ykAvvvklTW+E4mrSkdYQP4o\nSkQqc+c3n+Lyr5xV69sYFOdM/Q4Pf3b4/ONDSvvk3S/2+zUqaxcRkVhQYImISCwosEREJBYUWCIi\nEgsKLBERiQUFloiIxIICS0REYkGBJSIisaDAEhGRWFBgiYhILCiwREQkFhRYIiISCwosERGJBQWW\niIjEggJLRERiQYElIiKxoMASEZFYUGCJiEgsKLBERCQWFFgiIhILCiwREYkFBZaIiMSCAktERGJB\ngSUiIrGgwBIRkVhQYImISCwosEREJBYUWCIiEgsKLBERiQUFloiIxIICS0REYkGBJSIisaDAEhGR\nWFBgiYhILCiwREQkFhRYIiISCwosERGJBQWWiIjEggJLRERiQYElIiKxkKj0AsaYZdkPP26t/Wql\n1xMRESmmohFWNqwuttZuAFqMMS3VuS0REZF8FY2wskG1IftwurW2o/JbEhER6akqa1jGmGuBq6px\nLRERkWKqEljW2puBq4wxYwu/ZoxZZYx53hjz/Lu+X423ExGRYajSNazoutUuYFXhc6y1a621C621\nC491VJQoIiIDU2mCLAOOzX48liC0REREqq7SwFoLTDfGrAKw1t5X+S2JiIj0VGmV4D6C0BIRERlU\nWlQSEZFYUGCJiEgsKLBERCQWFFgiIhILCiwREYkFBZaIiMSCAktERGJBgSUiIrGgwBIRkVhQYImI\nSCwosEREJBYUWCIiEgsKLBERiQUFloiIxIICS0REYkGBJSIisaDAEhGRWFBgiYhILCiwREQkFhRY\nIiISCwosERGJBQWWiIjEggJLRERiQYElIiKxoMASEZFYUGBJTbVPPMItCw7QPvFIrW9FROpcotY3\nII2vfeIRnpl8hMW7R9D61oi8z3/mvHdIO5akb7jn0ePyvi4iEqXAkkHVWyg9M/kIacfiOQCWZyYf\nUWCJSEkKLBkUuVHV60d7JUNp8e4RJH0DBGG2eLfCSkRKU2BJ1UVHVa4Prh98vjCUWt8awT2PHld0\nulBEpJACS6ouf6oPLu0axZQ/JoqGUutbCioRKY8CS6qucKpv5Y6jFUoiUjEFllRdqam+UtWC0tPW\nrs10dG6iZe4i5jUvqPXtiNQFBZYMisKpPpWwl+/NAzv5/Or/j3QmRTLRxHfX3KHQEkEbh2WIRNe1\n0k5QLSjFvb5/B+lMCt/3yWTSdHRuqvUtidQFBZYMidy6luv3rBaUfFPGzCSZaMJ1XBKJJC1zF9X6\nlkTqgqYEpaRqrjmphL18x4+ewXfX3KE1LJECCiwpajDWnKIdLqKPpad5zQsUVCIFKg4sY8yq7Icz\nrLVfrfR6Uh8Go22SCi9EpBIVrWEZY5YBG6y1a4Hp2cfSAMYdcjAWnDLWnMrtuK7CCxGpRKUjrOnZ\n/9YCu7IfS8y1TzzCDWfuxzfgWLjh6TElR0L9GTUV6x2ovVkiUq6KAis7ssppAX5U2e1IPciNhHwH\njA/vHeX3+dxypg4LCy8ATRGKSNmqUnRhjGkBOqy1HdW4ntRWf7qo97fjenRD8S0LDuh4EREpW7Wq\nBJeVKrjIFmWsApjiulV6OxlM/SlBH2i5evvE4OiRUp3cc1OF5+9/A5hTybcjIg2iKlWC1tqbsx8v\ns9ZuiH49O224FmBeU5Ot9P1kaPSni3p/O64XHj9yadeovAa50a//49b1fGL0yQP6HkSksVSjSvAb\nxpidxpj3qnRP0uCi616eA1P+mMgLvOjXU77H6+N21PBuRaReVFp0sQEYV6V7kWGir3Wv6NebEi5T\n3psJo1+syb2KSP1QpwsZcn2te0W/fv6q/4O7fzoDRtfoZmtIR4yI5FNgSU30tu4V3Zu1eMwJ3D3E\n91YPtnZt5vOrryCVPoLjuFx79Wo+dc4ltb4tkZpSYEldKdyIvGGYVgl2dG7iSOowAJ6X4Zu3rmHG\ntJkaacmwpuNFpK4Utm/6+f7f1/qWauIPB/+Q99jzvfBcrK1dm7nz3rVs7dpci1sTqRmNsKSuFBZk\nnD1m6rCbEnzzwE4e/uUP8j7nOi4tcxeFU4U6jViGI42wpK7kCi6+/Pxo7nn0OBaPOaHWtzTkXt+/\nA8/vbodljMNXrl7NvOYFPNb2IKn0EZ1GLMOSRlgyaEo1tu2r4W1/NyI3miljZtKUbCKdTuE4QVh9\n6pxL2Nq1mUc2Poi1wf57JzvqEhkuFFgyKEp1cdeZWH0rdeJwR+cmPC8DgDGG85d9KrbTge7EQ7iT\nD+LtHoX31lG1vh2JCQWWDIpSXdwH42DIRlTsxOGWuYtIJprIZNIkEknOXXJBje6uMu7EQ4w673fB\n2TW+4eCjJym0pCwKLBkUpbpZ9Le7+3DT9c4hfvbyv/Hm9zZy7pIL8kJrXvOCoiOvuHEnHwTHYhyw\n2GCkpcCSMiiwZFCU6mYx0O7uw0HXO4f4m42vkvFfZftP4JGND/D9r93JvOYFeV0vLr94Va1vtSLe\n7lHgGyzBCMvbParWtyQxocCSQVOqeGK4F1WU8sKeg3iRszKjVYCNVMruvXUUBx89SWtY0m8qaxep\nE6dNGoUb+RuZSCRpmbuIjs5NpDOphipl9946itTm8Qor6ReNsETqRPNxR/G1pdP4Qec0mheckLeG\nFS22UCm7DFcKLJE60nzcUfznU/6Uyz93Vvi5Rim2EKmUAkukjnS9c4j2135MS9cHelQIRh/r6BEZ\njhRYInWi651D/G3b70h7v2Pz6h+XLK5QP0EZrhRYInXihT0HyXgWS1Ah+FjbQ0VHUaWKMDTikkan\nwBKpE6dNGkXCNaQ9g+O6PLLhATw/02MUVdjxYszoseGIy3UTnL90BecuWaHgkoajsnaROtF83FH8\n3ZKTWPTBCzh/6Qo8P1O0lD1XhHHVZX/Fd9fcwUs7XySVCjq4p9MpHnj8Xj6/+ooe52V5zmZSTWvx\nHJ2jJfGkwBKpI83HHUXriZ/g3CUrSCaacB23aCn7vOYFYceLRzY8EHSNyLLW9gg5z9nM4VFXkG76\nRw6PuqKs0CoVcAo+qRVNCYrUoXnNC/jildfR9vQTLDlzecnpvY7OTWSyHdwhOHLEQI+Q8xKbgBQY\nH2waL7EJN7UAz9kcfJxZhOt3v0cQcJcDadJNSUYevBPXXxAGH6RINzUx8uAdea8TGUwKLJE6tLVr\nM9+5/SbSmRSbt3cwY9rMoqE1ZvRYrO3u53TZpy7nmFHH9Ci+cDOLSDc1gU0DLta8QTqxjtTImygW\nPpnkQwQBB9gUmeRDuEcWlAw+kaGgKUGROlRuO6b9B/ZhjAHAMQ7HjDqGyy9e1SPcXH8BIw/egZM5\nG/DIJNeRGrkGOBKED+lsGAWiU4zRx25mEdAE1gWS2cciQ0MjLJE61DJ3Ea6bwNo0jlv6ZOGWuYto\nSo4o2rap2OZiP/Ek4GVHTh7ggrUUhk8yvQIv+WB2RJYkmV4BdAdfsWlEkcGmwBKpV7bgzyJKtW0q\ntrm4ed4mwM+GFYBL0+HVWGdfj/AJgunOosHk+gs0DSg1MaSBtXOKy4obxg7lW0rMPXjZ/Vx+61W8\n8cPv1PpWhlRH5yY8P4O1Ft/36OjcVLLwotjpxNEpxVNmpjhobsH4ywmm81KAQ9Ph1SQzl5S8BwWT\nDKbP/6fb+e6TV/brNUMaWJOPGc//PPvPhvItJfb+sdY3UBOFm4P7muorNGb0WIxxOG2O5Zvf9Bkx\n4llSdNB0+LqiI6qhcPlXzgLO4s5vPjWk7yuNQ1OCInWoP1N9haH1wOPr+Oata/B9jwUfNjQ1gTE+\n2CN47nZGHrmhz/cvVe5eDf/rxm0senVjVa8pcVXHIywRKV+xDu23330LqfSRvM3Bhc+5+dY1eNm9\nWZt/Df6fOzjGAyxe8kG89IpwT1U0lHKPjT+2ZLl7pVqPuY1//+AUvjTmx1W5ngwvCiyRGMiNrFLp\nFNZmqzCM6VE92NG5Cd/3wsddXS7v7z2bMRPbwFiwXlC+niFvA3DT4evCkAp2u/jaayV1R/uwROpc\n98gqlbdJ2PMy/PxXG7nz3rVh38BcmbtjHFw3wVeuXs2kD/wFMCJv71TeBmDSZJJPRB77gKO9VlJ3\nNMISqWPdI6sjzJplWbAANm+G7duDr//b+n/GGHCdBOcv+xTnLrkgr6XTjGkz+dcfbWLxGddx8oxI\nsUWGSOeLJIn0clJuR/i4lsUZIqUosETqVHRkNWuW5dvfhmQS0mn40peC0LLWx1rw/RQP/GQdj2x4\nAEww+urY9jxY8PwM//Sj/AKNYhuAnYMztSFY6poCS6QORUdW1lo+vMCQTFpcF4wxnP+JE2md9XE6\nf/OvzJ6Tyo66LBkvDTZopWRtmtmzLfPnw9atqR4FGoX7rLTvSuqdAkukDuU2/lprcYxD6v05GF4C\n6+GYJCs+dhMAB0f+KxhIpeArX3F4qSsBBnzPY85phm98I5Mdlfm8s6vvTfuDWc4uUikFlkgdKtw4\nfM6Zf82ow+SFSappLY6TAQMjRjis+vMzeO7ZWbRv3cSE8RP5q88fx4gR92KMjzGG9w4+wdau4l3f\ngcjRIUdIN7l9dsIQGWoKLJE6VHTjsA/btsJv33yQBR9+iBPGzyJotZTGkOTggVn86/3/FFzgZfjE\nx/+C06c0YW2KVMpn7Q+e4aWu5/nowj9h/NjxnLtkRV54BZWDR7Ll7xlSI9fgHJypkZbUjaoEljGm\nxVrbUY1riUig2MbhW+66nL+/KUUyCYdpYuTh/4HvvojF0r41/wiSBx55kSnHX8cLu+7g0Z/8jm3b\nLJDi588GXSYe2fAg3//6neF7GH8sYILu7QawvvZgSV2pOLCMMcuA24AZld+OlNLVMZnOZ09k7hmv\n0dyyu9a3IzXQ0bmJOaelSSbBdcH30/jui+Fhi6s+b9j2cnfJ+5FUiiu+8HUymQy2SMf3aKcMz9mc\n3TicfaI1QJP2YEldqXjjsLV2A7CrCvciJXR1TGb1ZZdw17fPYvVll9DVMbnWtyQ10DJ3EdteSJJO\nQyYDnuewd9875Db8Gsdj+fLgucYYNm9/nnS42dgwbvS4vOs5jhN2yujeSGwBB8dbXNWWTCLVoE4X\nNdbVMZl7v3d6ryHU+eyJZFIuvu+QSTt0PnviEN6hVJM78RBNC/biTjzU79fOa17ANZfdySP3LuVf\nfuDyxS/63PD1X+D7DtaC48AnPgGzZ9PdvilkOfD+H3DdBAaD47hc+5d/270vK+8k4SaajlyjsJK6\no6KLGsqNnDIpl0STx5q71hWd7pt7xmskmjwyaUsi6TP3jNdqcLdSKXfiIUad9ztwLPiGg4+ehPfW\nUf2+ztt73yJ5tIfvQ+d2j107ZjOjuRNjgtBasKB7WjDK+j4rzrmY4yec0ONoEp0kLHEw6IFljFkF\nrAKYMFmHN0blj5wsnc+eWDSwmlt2s+audVrDijl38kFwLMYJNva6kw+Gn/d2jyoaXtF9Udu2k1d0\nkU7DX1/nMtJehOFlPO8wmQzs3w+f/Wx+CycI3vPUGbP41DnFS9W1cVjq3aAHlrV2LbAW4JR5U3s5\n7Hv46c/Iqbllt4Iq5rzdo8A3WIIRlj3k9jriCvZFXQ6kSTcl+e2bK/KKLgBWf/lTnDTmEryDM2l7\n/u/55XOdXHNNzxZOEEwTfmvt15kxbWbe+Vp9HQYZN+O3tDOp/Rn2tC5m7/zWWt+OVFE1qgRXAguN\nMSuttfdV4Z6GjYGMnFQtGF/eW0dx8NGTwhFVsRFXNLDSyQcJCiEAm+L0M3fR+S8uvh9U/TmmiSnj\nLgA/GB1NGvXXjBv3pySTPq4bVKcXTg9GKwP/1w++zb+t/2es9RnRNLLoYZBxM35LO0uu/gxOOs2c\nZJK2W+9RaDWQigMrG1IKqgHqz8ip3DUvqV/eW0flT/0VjLiaFuzlWLMTOAuDyXvtB8Z18N//ygED\nBpdk+r+EZ1vl1py2bnFIp4OGuJlMMC0Y5TgOb769m3sevxZvxKPMmhUEWip1pEevwTia1P4MTjqN\n43uQCR4rsBqHii6GQKlRUX9HS+WueUk8REdc9pDLyDPfBAfO/vC38FLzSaQvIJO8F6wfbuR1nGBT\nr+97pJN3YowNTwXu6NzEC9t8vvQlehxDkuP7lpdeW8eV/1f+tOGLL/Y8DDKO9rQuZk4yCRnwE0n2\ntC6u9S1JFSmwBlmpUdFARkuqFmw8uRHXiLPeBJfgbCvjkbYPkkyvIDz91wIk8H3wbXZK0PFwHcJT\ngVvmLsJ1EmzfnmL79mAvVrgROMtan/nzCdfBctOGrbP+a+xHVwB757fSdus9WsNqUAqsQVZqVDSQ\n0ZKqBRuLO/FQuJ5lCsqRDCa7mTc3ujK46Qt5btM7MKKNHTtg5cog4Bzjhh0pph5/Iq/8ficQFFkY\nslOOEZs3ByOr3LTh+wea+ZtVXxqC73ho7J3fqqBqUAqsQVZqVDTQ0ZKqBRtD4Z6sw09PIukZrGvx\nvQSJ9AUApJsS2VOAXTB7aV38JBaYPz/Yc2UMgM9vXt3BX/7N10mnU3nvUxhWEEwTRqcNu7peZvSI\nb3PMqGMYM3os+w/sa6iqQWkcCqxBVmpUpNHS8FZYIZiY/gcOPz0Jc5TH01uu4JOfCfr7BSyQwUts\nDFrTZDcIQzawbIbD5n4y6XSP95k9u/h61vbt0cded5d3gqnEpuSIhqgalMaiwBoCpUZF1Rwtqdw9\nXvL2ZBlITHmfxAkHOfjoSbz72oygGe2IW4BMdkqQ7j+tweAAXni9CeMnkkgm80ZYs2fDt79dfE9W\nb6y1Yfk7BE13NfKSeqDAGmKDESwqd4+P6LrVwUdPoqn1HRJT3u8eac3cz4cn/xuHRz0LpAnOu88m\nlXWABG56Ba43m9TIr2enC5NMOvov+P7X/oLH2h5i12s7SaWO8Ilz3iWZfD3cZLx8Obz0kgsWPN8r\neY+OcUgkkowZPZbPr76CVPpIsB5mHJqSTRp5Sc0osIZIV8dk2u6fzcb75uJlnH4FS18hp3L3eCjW\nSzDVfhyJEw4GIy0LTTP3McN5MnhBttjC8RaTSC8Pz71Kplfg+gtwDs7M6/03rzl4WRAyKZwnLB8/\nJ5g+zDXGfeIJr+QoK+EmuHTFn3PMqGNombuIjs5NpDOpsJGutX7exmORoabAGgK5EVD6iIu1BjBl\nB0s5oyeVu9e33KjKfCCdt27V1PoOqfbjSHWOo2n+u+HZCWE1ugWwJNLLcfyZpEbehCXFEWc9b+5Y\nzaknXgIZ8jYPd3RuIpU9UuSFbfCTn8D553eHVmHni/HjJnDukk+GIVUYRMlEE+l0Ct/64cirEfZr\nSTwpsIZAbgRkrQNYjPHzgqW3EVR09JROwd3/8FE++4Vf5j1PBRz1q3BUFW6piqxbYYJ1LJM97Nf6\n2dAygHWwzj48ZxOWFMb4gM9Pn10DwNRZNwGpcPNwsBfLIeP5ADzxBJxzDiQSxTtfvLtvL2efvrTo\niGle8wK+u+YOrWFJ3VBgDVB/1qKiIyDHtSy7+AWWXLitrA3EudemU2B9w5anprH9uak9nqdy9/qU\nPGU/uDYIIyzpF8diRqe7161MMBWYCyssvN61gKmztoP1gGS4x8pzg03EmQx0/Npn4bwnmJo9vDG3\neXhe8yq+cvVqvvH9v8P3g+m/r3zF5dKVs3G9Rbz44j8Dfnh/1vrcfvctXPnZa0qGlgJK6oUCawD6\nW+TQ2wior/Wn3Gvv/oePsuWpaVjb83nFwlNVg7WTmwK0h1ySp+4DusPIe2ck/stj8tatcn9g4fBT\nx/PMxs/xX776ATLJh8J9VK6/gDd3rOanz66h49c+L+9oYtyo5UBHWHiRC7ZPnXMJM6bN5LG2BwHD\nuUsuYF7zAh54fB2FnS8ANm15ls3bO1RMIXVPgTUAA+1SMdDDGZtbdvPZL/yS7c9N7fG8YuEJqGpw\ngKJVfH0drljsuXlTgFnh6MmBkWfu4eCjJ3H46UmMPOvNsLAi1TWGzI4xee+ZST4EpPCSD5JIf4oP\nTbuAI+//Cx9gE1/4s0WceuICvILCi5zcyCh3fMjOV3dw861r8k4iDrpglF9M0YhHkUi8KLAGoNIi\nh8LRTznrT6WeVyw8AVUNDkB/TgQu9dy8DcHZbLA+3WtUTvfBjRjCAgz7x2T+WViJTcCRYH3Lpsgk\n15FJPsSc2Xcwr3lV9330cuji1q7NfH71FaQzqaDVU6SU3TEOl134X1n3yF1kMukexRSF4RS9VjKh\n0napDQXWAJQTMr11aM9VDDqu5eobN3DOpVvLqhbsfPZERo87FIZSc8vukuGpqsH+6+t8qnKe6+0e\nFUzx5dalfPDeHok7/gg2G27e7lE4444Ez/MJPxdl/LF0zxWSDa5gnarcU4FzZem+7+d93nFcvvqX\nf8unzrmEs09fGhZVPNb2II+1PcSpM2bxndtvygun6LXiUtqugxwbjwJrgHorcujqmMzfXPpp0mmX\nZNLjaz/8Ud6IKChvd/AylluvX8a0U9/pcz0qWhZvHEsyMv235KJtYC1LLtoevkZVg/1XeCJwYYiU\n81zvraM4/NTxjDzrzWC/r2848swknHFHSEz/A5ldxwDB1GCuc8XhpycB0LRgb3Cd34N19gFOtqCC\n7Obh7nWqQsWm61rmLiKZaAo3/kLQdmnF8pV86pxLgGDqcOerO8IiDQgCzVqbN1WYu1ax0Vg90kGO\njUmBVQWFIdO2fg7plAsY0imXtvVzwtCYe8ZrOK7FywS9dnzf0LZ+Tjh6uv3GJeHa05XXt3HgvaN4\ne/fovLJ4m53qW3/bR3iubQa+b0g2eSy5qHuDjaoG+6/wROC+1rDSL43BGnqsPaVfGov/3ojwOpAN\nKMeSOOEgqR1jukdnPiRO/COJ3HqWbzj27Z24mUWkm5rCxrduekW4YbhQqem6XFn6Y20P8ciGB/B9\nj0QiyblLLghf+8Dj6/jG927Et92jMN/3SLgJrDVhOEVL3OOwhqWDHBuTAqsfehv9ZFIubsJn6cpO\n3nun4F/mkYXu5pbdXH3jBm69fhm+b0gkfDbcexp+xsE4Fs8zYB1SR+DW65dhfYOT8DEm3EkKWBwH\nNm2cge85BMGI1qqqoMeJwEUUrl9ldozp9TpNC/bmTR8aS2R0BokP/rF7jQvLxA/uwPX/nJEH7yha\nUFGot+m6XHCdu+SCHmGztWszN9+6Ji+sABKJBGe2/qfspuILelwrDso9yFHThvEy7AOr3PLvUqXs\n0aIHP2V4/IfzcZM+bsLD9xzcpJ838gE459KtTDv1HTqfPZG3Xz+GJ+6Zj+87GOtH+sYZfA+sdbCZ\naOYZMD4nz97Db7YeT25eyRi0VjVE+rPWBT2nD9MvjyH98hjcyQdxjk6TnLUvbx/WW7+dCWf0XlAR\nVc50XbGw6ejcFE4DQjBdOH92K9te2sovnvsZyURTOBqLW4VgOQc5atowfoZ1YPVnP1WpUvZwY+8R\nsNZgrYPvWZZ/eisTpvyhZBDmpuy6OibTtv40MukgdLwMBGsXHo5L9heK6W6PgMV1Lcs/3ckrL04k\nkzIA2Q4IMhQKA8gecmlasBd7yMUc5fUoc3cnHwyPDol+zXvrKNyJh0ieuj/ck3X4qeN597UZ/bqf\ngU7XtcxdRFNyBOl0CuM4XHv1avYf2MfWFzvyRmtALCsE+zrIUdOG8TOsA6s/+6lKVePlKgbb1s8J\npvY8g+va8DX9OUU4XMPKvsf5l7fz4P//EYJ/BBvAxzjdlYU7t03iJ3fPB2uw1mhKcIhE17rsITdc\nnwqP/8iWuQN9lsn3d92slIFM1xULuq1dm3GdBNamcRw3rwmu7/uk0kd4rO3BWARWX8qdNpT6MawD\nqz/7qXorZc+NlpZcuC0MrifumU/b+tO48vo2dm6bBNZy9Ogj7Nw2iTM/sYNzLt3a4/VAOFU4etwh\nnv7xTHzfhMUWYLC+4c3fjaGrYzIAiaSH7xmVr/ehPxuCy5Fbo8pbn7LkTRMCvU4dRu8ptXl8xfc0\nENGg29q1mcfaHsTmGh4Gg3da5i7CmKAtlLWWRzY+yLlLVsQ+tMqZNpT6MqwDq79NY/uqvMutafkZ\nJ9us1vL9v/04vmfynrf5Fx/k+Z+dzEVXPdfjernH+d3dw804gGX9bYt46I6F+BkHN+Gz/NNb80ra\nJV9vG4LLDbJSzys8iLHHvqoSZfL92aQ8FHKVhtESeN/zwtFXtHDIy2RisQ+rHH1NG0p9GdaBBZWV\nfxcr2IiO2oI1qVzbbYgeG/urJ07h10+eHK6bRa8V7e5uHI8p09/l9785Lny9teClnWDk5VkmTPmD\nwqoXpYokyg2N3p5XOD1YuE5VON1X6qiRvgo3qqG3wonH2h7ssV8rd4jj7XffkldJaByn7vdhSWMa\n9oHVH9FQgeL9+ppbdnPl9W08/eOZzJizh4fvWJjdk5XTPVrKpJ2wa0X0Wlde35Y3Vfnfv/EEv/rp\nDNavDf6l6yYsvg9YX1OBZSi1ybfcar/EzP0lA69wSi/3OeieNizaZzB61EikcCO3cbjaemuttLVr\nM49sfDAMxqnkAAAgAElEQVQMK8dxWbF8ZdjxovvEYYPruHzl6tUNMbqS+FFgFdHXfqtEk8eSC18o\nWrDR1TE53Py7/bmprLphIzu3TeK9t47ij/uPYv+7R/HGb8fh+wZjCFstRa914L2jekxVNrfs5vSP\n7wzXyKzv4LiWK69v0+iqD6UKG8rpbOFOPETTzP3BdJ8F/OB1xUZd0HuRRWFApl8ci/9+Mr9wI7tx\nGM6q6v8GxfZq5T7/5tu7SadT4XMNhPu2cicOO8bhI/PPKHkMichQUGAVKGe/VSYdNIorVrBR+Lxc\nwUXHz2fgZRwSTR4r/tvzQfWfb1h7w1Jazt6Jm/DBC87Lenv3aAAu/tyv8u4tukZmrYO1Hgfeq926\nR5wU2xBcToVeGDLZwEq/NLZnsQWWxMz9OMf0Ps1XbD9WsWtN/OCOqn//hXu1xoweG464HMfJe67v\n++HUYa5i0HUTCiupOQVWgb72W+UCasmF21hy4bZe17Bc17Lh3tOy603BWlYuxKxvsL5DOmX41U9P\nwU34fGTpTjp+Np0nfjiPtvvnFN0XVmmneMnXV2eLYiHT8/MEozCnROFF5L3KGenlNg5XU2EJe3TE\nFT1yBILQHDN6bPAgV/NjelxSZMgpsAr0td+qMKCKVfnlnpfrYpErSzcmWHM68xM72P7cVFJHchuC\nHbyM4d09H8DLVhiW2hdWuG8r2rm9HDrYsX9Khkzk82G3imxvwMzrR5NqP65oEJYz0uvvxuFyFe7V\nyo24MOB5Xt5z9x/YR0fnJjKZTDB9mU7zWNtDsRlhqeVSY1JgFShnv1WhwhAo1sXCcS3LLn6BJRdu\no7llN9NOfYfbb/wYL285IbzOsZP+yKsvlR49Pf7DeTz945mc+YkdzD3jtX4f0tjfk5IlUGoUlvt8\nXrcK35QMq4G8x2CJNsZ98In78r7mugla5i5i56s7sGF1oOXBx+/l1Bmzwk7v9UotlxqXAquIckrd\no+dTRTusR0Ogr31eM057i13bJgY9BxOWcce9H3ZoL3z+4z+cx3f/x3Ig2Md1+sd39PuQxoGclCx9\nq1a3iqE2r3kBHZ2bIqEUHOx47dWrAXj4ifvznu9bn5tvXcOMaTPreqSllkuNS4HVD9GQuu2GpXjp\noMO6zXajKBYCheHX1TGZtvtns/G+uXjZjb8Ll/yG9p9N54l75ueFXnTk9sSP5mavECwqdLVPwckW\napS7lqX1r8Ez1COkSuX2ZI0ZPTavn+BnV/w5L+3czjdvW0Mmk+nxOpstyKjnwFLLpcalwCpTdDoN\nY8NjPaxncVwfg9dnCBQexBgsHliOHEqGG4HTKdtjb5aT8LFedPMx7H/3aBLJ/nW56G9nDwn01Q2j\n2m2fBlvhnqwvXnkd+w/sY8zosXn7ropxE4m63zSslkuNS4FVpuh0GgWd0Rct3ckp89/sMwSiHSyi\nRRgz5uxh8y8+SO5wxlwxRe5kYj9FcFhSQcmWlzH97nKhgx37p69uGKX2Y9VzgBU2s31p54tc97n/\nyZ33rg33XRVjjOH8pfHoIaiWS43J6fspAt3TaY4bjKQSSR9jfJJNHhde9Vy4Z+re750eNqbt7Rpu\nwuND897kyuvbeP/AiOwzgiDa+cJERo87FOkjaHBdD+N0L4BDedN6XR2Te70n6eZOPETTgr24Ew91\nfy6y2Rcn0tS2xNcTM/cz6rxXGbHwbUad92retepFy9xFuG7wb1VrLY9seICtXZvDvVqu45JMNHH2\nGUs5+/QlJJNNOMbBcVxOnTG7xncvw9mwHWH1t7y7cDoNyHt9ORV44VEk2TWsnZ3Hc/tLEzhl3hv5\nb2YMB947CmNsMBozlulz3mLGaW8xY84edr4wEYwJKw5LfT+qCixfqZFUX90wCr/ujMyAmz092ARt\nneptlDWveQHnL13BA4/fi7UW3w+a3F5+8aqi52o98Pg6vnnrGjzf5zu331T3RRfSuIZlYA30F3nh\ndFr04+gUXuoI3P0PH+XMT+zoUfGX61bhRTq6b39+avYq3ZuSX33puO4RloWXtxzPqy9NYMmF2/KO\nJoGggvDW65fh+4ZkL905VBVYWqm+gn1VABZ+PXnK/ryvm+KzazV37pIV/Hvbw0FLJmMYM3psXnNc\ngDvvXUvL3EXsP7AP3/pY293WSYEltTAsA2swfpHnTeFZw+ZfTGPzLz6IcWxeiEB+tR6QPX4keG3r\nx3aGYROOsLBA973mvofcSO/W65fhZYIikHSKkt05VBVYWm8jqT67YRR8PXnqfqxrwevujFFv5jUv\n4ItXXheOnL619utgwfMzwXRh7mMnwUcX/gmukwgKixLJui+6kMY1LAOrP7/Iy5063LltUvaj3LpT\nEDS2RCguuWgbWMt77xzNr544Jfz8uOPeD+8xOcIjnQLrG4wTrJ2NHncob3T44T/ZFTnCxOI4ts/u\nHHFXTlVefyv3qrWXKk57sgpHThCsaVnb/bHvp3jy2TYSySQXLL+oIQ5ulPiqOLCMMSuBfUCLtfbm\nym9p8JX7i7zcqcOujslsuPe07KPcHJAPGIyTfwRI4TWvvL6N9p9Nx0s7uEmfJRdtD0Myt4l49LhD\n4dRidHSYTlmeazuFMKxcnxX/7blwFAY0ZFj1dYbVQA9HrNZeqrjsyYo2xHVcFyz4vhd+nPHSQYBh\n8T2P4ydMVlhJTVUUWMaYFgBr7QZjzHRjTIu1tqM6tza4yinvLnfqMNdBvXt0FRyVfvYnt3HiKe/m\nBUaxo0S+/sMf9XnOVpST8LFpwg7iufed1fo6j9zZGu7dMhB2iG+UgotyzrAq95yr4S7aEHfM6LG8\ntHM7YDh3yQVAcKjjIxsfxPe8sMN7bl2rMLh6OxxSpFoqHWF9Gvhp9uNdwDIgFoFVjnKnDuee8RpO\nwsdP5Tb3GqwPP394Np9b89O8oCh2zWh43vu90/sMySCeDK5jsdbH84P33bYpV7zhYNPBc7DButbd\n//BRPvuFX8Y+tMo5w6qc50ggFy7RjcTnLrkgbJR77pIVYaB95/abSh4AWepwSJFqqnQf1ljg3cjj\n8RVer67kpg4v++LTvY5Qmlt2s+ziF7KPuvdOWd9w6/XL8vZA9XXNwv1ehSGZqzDEGnwfps95K3y/\n8D/j4SZ9kslg75b1DVuemsbqyy6J/X6s3BrRkecnlJzqK+c5vSm2H6uRlTrcEYJAu/ziVew/sK/k\nc3p7vUg1Dcuii/4otzPEkgu30Xb/nEjbpSBEfJ8eo6S+rpkryCjWcqlwhLb80538pvN4rJ8LLcsJ\n0/bzf3/7x0Awstry1LSSvQ7jqJw1ooGuIw10/SvOCg93LKwC3Nq1mTfffqNkpWBfrxeplkoDax9w\nbPbjscDewicYY1YBqwAmTB5b4dvVl8IKwlwhx8E/NPHA7cGJwm7Cll1OXliQES3AiE4dXnl9W3jM\nyLRT32HWwt+zfdOJ5NbPLlz1XBhKn/3CL9n+3NRhXdren4rB3ta/4tYzsFyFhztGp/Oi032umyha\nKdjb60WqqdLA+hGwMPvxdGBD4ROstWuBtQCnzJtap9so+69UBWGu68XDdyzE9528g1r7KpEvLMho\nWz+HjfeeRjrtkkx6fO2HPwLg9huXkE65bHl6Grl9X27CY/qct1j+6U7OuXRr3ns1Yml7ufo7Yiq1\n/tXoI6/Cwx1zotN9htKVgrnP5aYDFVoyGCoKLGtthzFmoTFmGbCv3isEB3rabrHX9VZBGF1n8jzT\no/t6saq9ro7JvL17dN6RIe+9PYp0yiXYEOzStn4OEyYfIJ1ysX5uQ3GwbuX7ljOW/yYMq8L3yvU6\nHG76WzFYah/VcK08LHe6T4UXMhQqXsPKjqDq3kDbMZV63dwzXsPNlpe7bv60X3SdyXUtb79+DG3r\n55QMuOh7OK5lxml7WP7pzqBnYJQN3sdxLF64ZgW54Bo9LigSUEumbgOpGCx6jP0wrTwsd7qvWOGF\nAkuqbdgUXQz0l3iPabr7Z4eHOObmNwvnOcMmt+vnsOHe03jinvk4CR+3xIGL0ffwfctvtgZ9A6+8\nvo1Ek5e3qbi5ZTdX37iB7//tsuyZXNl7sMFU4bRT31FLpojeOk/0Z00qTh0sqq1wurDYnisVXshQ\nGDaBNdBf4tHXOa4NTwo2jsXPnjTse8VPGs5tKPZ9B5uxfGjuHmbM2ZNX/dfVMZm3Xz8mHK1Z2316\nceGm4txrzrl0K9NOfYe2+2ezc9skfrP1+LwqwIs/96thvW5VqNiIaSBrUnHpYDGYSk39qfBChsKw\nCayB9tWLvu7t3aN54ofzssUUHo5jsab0ScO5sEungp6Cv+nMdly/aDuQPxVoHJ8pM/byxm/HYa0p\nuqm48L5yfvviRLyCkZsOauzdcF2TqlRvU3+lCjfqxfgt7TqFOOaGTWDBwH+JR6v/2u6fE47Scr3+\nigVgtB/g0z+eyZanp/VohJt3irFv+P1vxuMmfJZ/pjPvrKti8ta9ynyNdBuua1IDEZ0CrJepv/6G\nz/gt7Sy5+jM46TRzkknabr1HoRVDwyqwKlXOKK2rY3K4duVn+/hdeX1b0b1QuRFY6jAEBRQOXsbw\n3ltH9avPIZ5lwuQDCqt+GM5rUv1RbAqw1lN/heHT/uUbGLn/vZLhNX5LO3Nv+w5OKoVjfcjApPZn\nFFgxpMDqp95GablRT+pIIluJYcK1qGJBlwvA22/8GC9vOSG8znNtH6KrY3KvAaTCisppTapv0SnA\ndDrF7XffwpWfvYbLL15Vs3ua1P4MTjqN43uQtnzkG6vBt0VHTmG4pVIY6+M7Dn4iyeEx45h9xy2a\nHowZBVYV5UY9ZFszGeOXtRZ15fX/wXWXXIrvARis7W7nVGrvWLHRXjn7zAa6F02Gp9wUYDqdwrc+\nm7Y8w+btHTXdZ7WndTFzkknIBA2ejeeXHDmF4ZYNqz2LzuJ3S8+l9Vs3aHowhhRYVVS4/2rpys6i\n/QALNbfs5i//7qfZY+4h2RSEXF97x6IhWM4+s4HuRZPhK1f99//e/vdse7kTa23N91ntnd9K2633\nMKn9GQ6PGUfrt26ATBo/kWRP6+K850bDzU8k6bzqi/kjNE0PxooCq4oqOeE3V6qe2+PV+eyJvP36\nMWXvHWtbPyc7FWlKPlcbimWgdrzyUvix47o132e1d35rGDL7P9RcsgAjGm7Rr0dDrDDkpH4psKqs\n1NRfdCoOip8EnPs4NwpyE35eq6ZS61ThiccWwPbovJGjdS8ZiI7OTXh+BgBjDOcvXVFX5evR8IKe\nFYSFXy8VYlL/FFhDoLAEvbeTgAur/5Z/ppMJkw/0OmKLnnhsjM/SlZ0l18u0oVj6q7CU/dwlK2p9\nSyWVW75eGGISDwqsIRANoehJwMWm5QpHQcX2VhUWTvR4TXZjcjHaUCz9FacuFlqfamwKrCFQ2N7J\nAJ5nik7L9TUKKlU4oZGTDKZ672KRU1hkofWpxqLAGgLFDl3sLVx6GwWVKq7QyEkaXbndLV45b2X4\np0ZXjUWBNQS6OiZz+41LyKRctj83dcDnU5VbXCHSaPpamxq/pZ1ZP/g+k5/cgLEWv6kpDC5pHE7f\nT5FK5ZeTO+GBjgO5TndxhS1ZXCEyWLZ2bebOe9eytWvzkL5vdG3KyaSZ1P5M+LXxW9pZetUlTP3Z\n48HXrY+TTuU9RxqDRlhDoFrl5P0prhCptsK+gl+88jr2H9g3JIUYva1N5cIsepypNU7J9St1bY8v\nBdYQ6E9RRG+tk1RcIbVU2Ffw5lvXYK2fdy5WMdFu760fKe+9iu2lKrV3ak/rYvxkEieVAsC6Ls9f\nt6ZkI1x1bY8vBdYQKacoopzWSSqukFqJ7scyxuD5Xp+tmgpHZc3f+SR8cEqv71MqVErtndo7v5WN\nt63j5EfvA3ovtlDZe7wpsOqIWidJPYvuxxozeizfuf2mPs/FKjzw8eebf8/c/3Nhr+8zkFApdyOw\nyt7jTYFVR9Q6SepddD/WjGkz+9xMXNgl4+wFU3m3j/cYaKiUszaltkzxpsCqI1qjkjgpZzNxYZeM\nxXN+xb/3cd2BhEquUtBJp/GTSTbetq7X0FJQxZMCq85ojUoaTX6wlbf/sFio9DaCOvnR+4JDGgEn\nleLkR+/rtSGuxJMCS0TqXrFCDCAMoUIj974dnigMqDKwQSiwRKTuFRZinPzofcGoKhtC7V++AS/Z\nhJNJY12XE57+D6Y8uYE5ySSvnLdSlYENQp0uRKTu5fZa+a6Ln0gChCHkpo5wbNcLtF97I3tO/xN2\nn7UUx/PCrhhA3mtVGRhfGmGJSN0rLMQAmP7IOmzKA2uZ/tA9nPywg+N5+K6LTbj4XlBl+Mp5K3nl\nvJVaw2oACiwRiYXCQoxd51/CjPV34ViL43lYPBxrwcDOFZdy8IQpeQGloIo/BZaIxNIr560Multk\n162sBXwvHFUpoBqPAktEYqnYNKGm/RqbAktEYis6TTh+S3vR52gPVuNQYIlI7JVqmKvu7I1FZe0i\nEivjt7Qz+45b8kZUpQ547O3gR4kfjbBEpK5Fp/SgeNeKPa2LOS3hYtM+1nXD56o7e2NRYInIoMsd\n4pg6/Y0+z8OKKpzS67Vrhe+DtcGfWerO3lgUWCIyqKKHOP7zOof/54QJTD25vNcWtmSCoGtF4Yjp\n5Efvw8lkgua3mUxe81t1Z28cCiwRGVTRQxxTaUvnszt7BFapSr7CKb13m08Lv9bfvVaqFow/BZaI\nDKroIY5NScPcM2aEXxu/pT1oZPvwOhzP61HJF53SOzxmHK3fuiE88+qV81aG13nlvJXBNTLpcONw\nlKoFG4MCS0SqLrdmlTuNOHeI42dP3827LdP443vdIeKmjoC1GCjaTT03pTf7jltKrl/tnd9K29p1\nvZ6X5aaOYKxVx/YYq0pgGWNarLUd1biWiMRbdM0qmWjiu2vuCA9xbD3mtvDE4dz6lLEWC/jG9FrJ\nV6riLzrVt/2Ka3q8bvyWdk5+eB1k3ydaRSjxUnFgGWOWAbcBM/p6rog0vuiaVSaTpqNzU+TE4W7R\nALKuy67zL+l1XapYxV/hVF/7l29g5P738kZZk9qfwfE8DEEo7jr/Eo2uYqriwLLWbjDG7KrGzYhI\n/EXXrBKJJC1zFxV9Xm8l56UKJAor/vKqCNOWj3xjNfi2xx6t6MiscH1L4kNrWCJSVdE1q9waVinF\nSs77UyBxeMw4rDH4joM1Dsbzcayft06lvViNY9ADyxizClgFMGHy2MF+OxGpA7k1q4Eo3HtVWCCR\nG33lqgaN74Pr0HXZlZx6zz9DtlIwuk6lvViNoc/AygZOoV3W2g3lvIG1di2wFuCUeVNt/25PRIab\n3topRUdf1hiMH4yofGvIHDOa9i/fwEkbH+N3S89VQDWgPgMrGzgiIkNi7/zWksETHX3lKv58XPxE\nMm+f1oRfb2L/h5oVWg2mGlWCK4GFxpiV1tr7qnBPIjKMjd/SXjJ4wia3KQ8AH8MrKz7Du82ncdLG\nx3BSqR5rWNI4Kj5exFp7n7V2nMJKRKoh70iQ1BFOfjT41ZJbu9r90Y8F04GAQ7DK0PqtG5j0q6cw\n1sd3nLypxOn338V//txlTL//rlp9S1IlqhIUkbqyp3Uxc1wX43sYa5n+yDrebT4tHHXZRDAFiO8F\nf0IQcNmw2rPoLDqv+iJ757cy/f67WPS16wA4/tknAdh10WU1+96kMjrAUUTqyt75rbzyyUsgO4oy\nnhdM92VHXcbzeOWTl9D5l1+m7dZ7eOW8lfjJJL7r4iebwrACOGnjYwBB26fIY4knjbBEpG7kpv3e\nbT4Nr2lE2Mz2d0vPZcKvN+Vt/o2uT5XaZ/W7pedy/LNPYiOPJb4UWCJSF6Il636RNkv7P9RccvNv\nqX1Wuem/XMWhpgPjTYElInWhcMPwyP3vsf2Kaxi/pZ3Zd9xSsrltX3ZddJmCqkEosESkLhTbMFxO\nc1sZPhRYIlIXivX8yzsDK21Z+Pd/g7E+cxJJ2tauU2gNMwosEakbhWtR0VEXEB4TYtKpcH+WmtoO\nHwosEalb0VHXsS9sZurPHg+/NnrXyyz9bysxvs+cpiYdez8MaB+WiNS1vfNb2X7FNew+82MAYYn6\nhC3P4XgZHOvjpFNMan+mdjcpQ0KBJSKxMHL/e8GZVxB2ajcEAWaNo2PvhwEFlojEwp7WxfhNTUFH\ni0Qy+NhxsIkEz1+3RtOBw4DWsEQkFgqrCEEFF8ONAktEYqOwirDcoMq1fFK4xZsCS0TqxmAES+Hm\nY1UTxpcCS0TqwkCCpZyAK2z5pIMd40uBJSI1Ew2ccoMl95rDY8aFZ2SVCrjxW9oZ9cbrwRlaHnkH\nO0r8KLBEpCaK9Qn0I70ED48ZFza9zQVR9DU4Bjwfx/pFAy6v+7vrsmvFpT2OJZF4UWCJSE0U686e\nqwIsHD3lmt6OeuP18DU+DtZx8DFFAy7v+gYOnjBFYRVzCiwRqYli3dlzVYCz77gFJ5XCsT4m5bPw\nptUYa/FdN296LxdkxaYHi11f4k2BJSI1Uaw7e87hMeMw1g/aMFmL8TwcLBjYueJSDp4wJe81eV3d\ns9OD26+4puT1JZ4UWCJSM6VOCs61YXKsj28M1nHxsfiOC9AjgEqNpkpdX+JJgSUiQ2pcx6s03/3X\nAEWLIHKVfX4iAb4XTv0d2/UC0x9Zx4wHfsjJD6/jlU9eEr6+t9GaNA4FlogMnW1vsPiL63FSwQFX\nJz+8Lu8gxmhln0247IxU9o284xZMxsPxPYzv8aH1d3Hyo/eF5ewaTTU+Nb8VkaGz+fc46UxwCCPg\nZNJ5x4JEK/tMJsMHXv8dULCfyhgAjLU9Xi+NTSMsERk6C6biJxPhCKuwei9ci0pbjO8z6VdPMaH9\nWYwBk86AY3h7wSKO2/ZrjOep+m+YUWCJyNCZcwLP/PAqJt29H+i5hrV3fivtX76BWf9yK8f8/tXs\npuA0xtrg7CsPJmx+jhf/7Coyx4zWetUwo8ASkSH1Xss0Xjv50qJfG7+lPdhPlUqBtfiOg+8mgnJ1\nz8MAWJ9Zd93Ohtvvy1v7UsFF49MalojUjXANy/pYx2HPorNoW7uOF/90VXCyMMHaF74frl3lCjXm\nfu9bLLn6M4zf0l7D70AGkwJLROrGntbF+MlkcKpwsonOq74IwKl3/1MQVASh5buJvEMcc4UaKsJo\nbJoSFJG6UWw/1ew7bsHJpPMC640zPxYGk1owDR8KLBGpC9F1qO1XXBN+fk/rYuYkkph0CghC6YSn\n/4MpT24I+wZq0/DwoMASkZordXhjLsTar72RY7teCJ8/Y/3dQQVh2oZ9AxVUjU+BJSI1V+zwRqD7\nPKtsiAHM+sH3w8a4xvc5PGZcDe9chpICS0Rqrtg6VGGInfzofZz86H04qSNAUC3oOw4j979X25uX\nIaPAEpGaK9W8NhpiQLbk3QYl7sbgJ5tUZDGMKLBEpC4UNq8tDDEIRllkwHfcvG7t2jg8PCiwRKRu\nFYZYsVHY9PvvCk4k9n3mNDWFBRvSeLRxWETqxvgt7cy+45Ye3SpynwfyKgLHb2nnI99YjeNlcKyP\nk05p43ADq3iEZYxZlf1whrX2q5VeT0SGj+hUHlCytD38vJs/FTip/Rnw/KAxLmCNozWtBlZRYBlj\nlgEbrLW7jDH3GmOWWWs3VOneRKSBFe69euW8lT1K23OhFJ6RVXBw457WxcxpaoJ0ChyH57+6RtOB\nDazSEdb07H9rgV3Zj0VE+lRYtg7gF2mxFJa8p/zgmJHIwY3br7hGXS6GkYoCy1q7NvKwBfhRZbcj\nIsNF4d6rV85bySvnrewRPrlqwZMfvY/pj6zrcXBjYWGGNK6qVAkaY1qADmttR5GvrQJWAUyYPLYa\nbyciDaDU3qti4ZMLpWKBJsNHn4EVKaqI2lWwVrWsVMFFdhS2FuCUeVPtgO5SRBpSf0dHGk0Nb30G\nVsG0Xw/GmFXW2puzH6voQkQqpo3AUkw1qgS/YYz5KnAscHFV7kpEhq1indsBBZhUXHSxAVCrZBGp\nmqJNbx9eh5NJMyeRpG3tOoXWMKVOFyJSV/a0LsZPJvFdFz+RZOTet3HTKRxrcdOpoJ+gDEvqJSgi\ndaWwelABJTkKLBGpO4XVgNMfWRce5PjKeStreGdSSwosEalre+e3svG2dSq6EAWWiNSnwtJ2BZUo\nsESk7hQrbVdgiaoERaTuREvbc41uRRRYIlJ3CkvbdcaVgKYERaQOlWqMK8ObAktE6pIKLaSQpgRF\nRCQWFFgiUnfGb2ln9h23MH5Le61vReqIpgRFpK6opF1K0QhLROqKStqlFAWWiNQVlbRLKZoSFJG6\nopJ2KUWBJSJ1RyXtUowCS0Tq0vgt7eFZWK+ct1IBJgosEak/47e0s2TVJbjpFBCch7XxtnUKrWFO\nRRciUncmtT+Dk0ljAAM4aVULigJLROrQntbF+IkkFrCAn1S1oGhKUETq0N75rbStXac1LMmjwBKR\nuqRKQSmkKUEREYkFBZaIiMSCAktERGJBgSUiIrGgwBIRkVhQYImISCwosEREJBYUWCIiEgsKLBER\niQUFloiIxIICS0REYkGBJSIisaDAEhGRWFBgiYhILCiwREQkFhRYIiISCxUf4GiMWZb98OPW2q9W\nej0REZFiKhphZcPqYmvtBqDFGNNSndsSERHJV9EIKxtUG7IPp1trOyq/JRERkZ6qsoZljLkWuKrE\n11YZY543xjy/f+/71Xg7EREZhqoSWNbam4GrjDFji3xtrbV2obV24ZjxR1fj7UREZBjqc0rQGLOq\nyKd3WWs35NasslOBu4BVwM3VvUUREZEyAstau7aXLy8DcutWY4HnqnFTIiIihSqdElwLTM+Nwqy1\n91V+SyIiIj1VWiW4jyC0REREBpU6XYiISCwosEREJBYUWCIiEgsKLBERiQUFloiIxIICS0REYkGB\nJSIisaDAEhGRWFBgiYhILCiwREQkFhRYIiISCwosERGJBQWWiIjEggJLRERiQYElIiKxoMASEZFY\nUBkJOEgAAAO4SURBVGCJiEgsKLBERCQWFFgiIhILCiwREYkFBZaIiMSCAktERGJBgSUiIrGgwBIR\nkVhQYImISCwosEREJBYUWCIiEgsKLBERiQUFloiIxIICS0REYkGBJSIisaDAEhGRWFBgiYhILCiw\nREQkFhRYIiISCwosERGJBQWWiIjEggJLRERioWqBZYy5tlrXEhERKVSVwDLGLAM+Xo1riYiIFKMp\nQRERiYWKA8sY02Kt3VCNmxERESnFWGsru4Axy6y1G4wxP7XW9pgWNMasAlZlH54GvFDRG9beccA7\ntb6JCsX9e4j7/YO+h3qh76E+nGqtPaavJ/UZWNnAKbQrG1It1tqO7POKBlbBtZ631i7s66bqmb6H\n2ov7/YO+h3qh76E+lPs9JPp6grV2bS9fnm6MmQ4cCxwbDTAREZFqqmgNy1p7n7X2vuzDsVW4HxER\nkaKqUiVorV1rrZ1Rxuiqt9FaXOh7qL243z/oe6gX+h7qQ1nfQ8VFFyIyPGkJQKrFGHOttfbmvp5X\n831Y6pAhlTLGtNT6HvrDGLPSGLMszj/72WYB99b6PgbKGLMq+983an0vA5X9GVoW5+8B+td4oqaB\nFecOGY3ww9Iof2mJ0S/OXLhm9y7ui1vY5mTvf1et72Mgsj8zG7IFZdOzj2Mle88XZ/9/aInrz1F/\n1XyEFUeN8MPSCH9pIZa/OD8N7Mt+vAuI5f/uMTed7v/dd2Ufx4q1doO19qrsw+lxnZrtb+OJmgVW\nnDtkNMgPS+z/0sbUWODdyOPxtbqR4SpbJJZb5G8Bnq/l/VQiO618VZ9PrF/H9ufJfe7DGkT9utF6\nFOcfloL9dS3Aj2p1LyK1kJ0Z6YjpPzgBsNbebIy5N7vxdl/fr6gfAxm0DFpgldEhI5ajq6g4/7Dk\n1Ptf2t5+job8ZqpjH93/WBsL7K3hvQx3y6y1X631TQxEZC20g2CGZBXQZ5Vdnel344lBC6y4d8jo\nK3Ch/n9YyvxlX9d/afv4OYqjHwG5FjTTgVgGrzFmJbDQGLMy0jwgNowxq3Jl1Ll+qLW+p35aBuR+\nZ44FnqvhvQxI7ucm+3uqrMYTNd2Hlb3RrxIUMNRVYPUmOxXYkQ2v24Cfxvgv7drsx3H8S5v7xXk7\ncGVc/j/I/tzvIlj/bLRArnuRytJ3Cf7RfHHcfvaNMWOBS7IPWyNr6g1NG4cHoBF+WBrhL62IDC8K\nLBERiQXtwxIRkVhQYImISCwosEREJBYUWCIiEgsKLBERiQUFloiIxIICS0REYuF/A2zLhIm1ZG6r\nAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x11cf144d0>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAawAAAGeCAYAAADIejUKAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x11caa2d50>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAawAAAGeCAYAAADIejUKAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nROQLDCwiIvIFBhYREfnC/w+bLIlX+lygTAAAAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x11d1eee50>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAawAAAGeCAYAAADIejUKAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nIj5lkbQAAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x11d385a10>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAawAAAGeCAYAAADIejUKAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nsIiIyBf+HyC9pBKBiFbMAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x11d508d10>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "for depth in range(1,10):\n", " clf = tree.DecisionTreeClassifier(max_depth=depth, criterion='entropy')\n", " clf = clf.fit(XX, CC)\n", "\n", " plot_step = 0.05\n", " plt.figure(figsize=(7,7))\n", "\n", " ax = plt.gca()\n", " ax.set_xlim(-Lim,Lim)\n", " ax.set_ylim(-Lim,Lim)\n", "\n", " x_min, x_max = -Lim, Lim\n", " y_min, y_max = -Lim, Lim\n", " xx, yy = np.meshgrid(np.arange(x_min, x_max, plot_step),\n", " np.arange(y_min, y_max, plot_step))\n", "\n", " Z = clf.predict(np.c_[xx.ravel(), yy.ravel()])\n", " Z = Z.reshape(xx.shape)\n", " cs = plt.contourf(xx, yy, Z, cmap=plt.cm.Paired)\n", "\n", " col = ['r','b','g','k','y','m']\n", "\n", " for i in range(N):\n", " plt.plot(X[i][:,0],X[i][:,1],'.'+col[i%len(col)])\n", "\n", "\n", " " ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "scrolled": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAABgEAAAW4CAYAAACYXWPQAAAAAXNSR0IArs4c6QAAQABJREFUeAHs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\nLAAAAABJRU5ErkJggg==\n", "text/plain": [ "<IPython.core.display.Image object>" ] }, "execution_count": 6, "metadata": {}, "output_type": "execute_result" } ], "source": [ " \n", "\n", "class_names = col[0:N]\n", "feature_names = ['x_0','x_1']\n", "dot_data = tree.export_graphviz(clf, out_file=None, feature_names=feature_names, class_names=class_names, filled=True, rounded=True, special_characters=True) \n", "\n", "graph = pydotplus.graph_from_dot_data(dot_data) \n", "Image(graph.create_png()) \n", "\n", "#plt.show()" ] }, { "cell_type": "code", "execution_count": 18, "metadata": { "collapsed": true }, "outputs": [], "source": [ "%matplotlib inline\n", "\n", "import matplotlib as mpl\n", "import matplotlib.pyplot as plt\n", "import numpy as np\n", "import scipy as sc\n", "\n", "def entropy(p):\n", " return -np.sum(np.log2(p)*p)" ] }, { "cell_type": "code", "execution_count": 26, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "0.68872187554086717" ] }, "execution_count": 26, "metadata": {}, "output_type": "execute_result" } ], "source": [ "p = np.array([2.,1.])\n", "p = p/np.sum(p)\n", "\n", "entropy(p)*3./4" ] }, { "cell_type": "code", "execution_count": 27, "metadata": { "collapsed": true }, "outputs": [], "source": [ "from sklearn.datasets import fetch_mldata\n", "mnist = fetch_mldata('MNIST original')" ] }, { "cell_type": "code", "execution_count": 38, "metadata": { "scrolled": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAV0AAAFdCAYAAACgiL63AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAAT6D4nD408Evz/IAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x1137fbf50>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "plt.imshow(mnist.data[10000].reshape(28,28),cmap='gray_r',interpolation='nearest')\n", "plt.show()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Question 3: Decision Trees\n", "\n", "In this exercise we will investigate and compare two alternative measures for choosing a \n", "decision boundry and a feature in decision trees: (a) Gini impurity and (b) Information Gain. \n", "\n", "Suppose there are $C$ classes in a dataset with $N$ samples. The number of examples having class $c$ is\n", "$N_c$ where $\\sum_c N_c = N$. \n", "\n", "At each step, a decision tree algorithm tries multiple potential thresholds (remember that as the basic decision tree algorithm looks at a single feature at a time\n", "we only need to consider midpoints) and selects the one that would lead to the \"purest\" partitions. We will measure \n", "the impurity by Entropy or the Gini impurity.\n", "\n", "\n", "A given threshold $\\tau$ subdivides the dataset into two partitions of sizes $L$ and $R$\n", "according to a single feature $x$: $L$ data points with $x< \\tau$ and $R$ data points with $x \\geq \\tau$ where $L + R = N$. The number of data points of class $c$ in each partition is $L_c$ and $R_c$. We have $L_1 + L_2 + \\dots + L_C = L$ and $R_1 + R_2 + \\dots + R_C = R$.\n", "\n", "The Gini impurity is defined as:\n", "$$G(p_{1:C}) = 1 - \\Sigma_c p_c^2$$\n", "where $p_i$ is the frequency of each class\n", "\n", "The entropy, on the other hand is defined as \n", "$$H(p_{1:C}) = - \\Sigma_c p_c \\log{p_c}$$\n", "Note that $\\log$ stands for the natural logarithm.\n", "\n", "Compute the following indices\n", "\n", "### Gini:\n", "$$\n", "U_G(\\tau) = \\frac{L}{N} G(L_{1:C}/L) + \\frac{R}{N} G(R_{1:C}/R)\n", "$$\n", "### Entropy:\n", "$$\n", "U_H(\\tau) = \\frac{L}{N} H(L_{1:C}/L) + \\frac{R}{N} H(R_{1:C}/R)\n", "$$\n", "\n", "### Information gain:\n", "$$\n", "I(\\tau) = H(N_{1:C}/N) - U_H(\\tau)\n", "$$\n", "\n", "\n", "Given a dataset write a program that plots the scatterplot any two features and computes each index.\n" ] }, { "cell_type": "code", "execution_count": 8, "metadata": {}, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAYUAAAEKCAYAAAD9xUlFAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nrkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x1a14973240>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAYUAAAEKCAYAAAD9xUlFAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nBTMza3FRMDOzFhcFMzNr+R+ACEWmI8FaGAAAAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x1a14840898>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAYUAAAEKCAYAAAD9xUlFAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nGIaHNQqGYRiGx/8DUWyvsB3/3+8AAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x1a1496cda0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "%matplotlib inline\n", "import matplotlib as mpl\n", "import matplotlib.pyplot as plt\n", "import numpy as np\n", "import scipy as sc\n", "import pandas as pd\n", "\n", "from sklearn.datasets import load_iris\n", "\n", "dataset = load_iris()\n", "X, c = dataset['data'][:,[3,0]], dataset['target']\n", "M, N = X.shape\n", "\n", "class_labels = np.unique(c)\n", "num_of_labels = len(class_labels)\n", "\n", "col = 'ov*'\n", "\n", "for z in range(num_of_labels):\n", " ss = c == z\n", " plt.plot(X[ss,0], X[ss,1],col[z])\n", " \n", "plt.xlabel('Feature 0')\n", "plt.ylabel('Feature 1')\n", "plt.show()\n", "\n", "\n", "for idx in range(N):\n", " ux = np.unique(X[:,idx])\n", " boundries = np.convolve(ux, np.array([0.5, 0.5]), mode='valid')\n", "\n", " G = np.zeros_like(boundries)\n", " H = np.zeros_like(boundries)\n", " IG = np.zeros_like(boundries)\n", "\n", " for i, tau in enumerate(boundries): \n", " u, cnt = np.unique(c, return_counts=True)\n", " u_l, cnt_l = np.unique(c[X[:,idx]<=tau], return_counts=True)\n", " u_r, cnt_r = np.unique(c[X[:,idx]>tau], return_counts=True)\n", "\n", " #p_l = np.zeros(num_of_labels)\n", " #p_l[u_l] = cnt_l/np.sum(cnt_l)\n", " p_l = cnt_l/np.sum(cnt_l)\n", " w_l = np.sum(cnt_l)/np.sum(cnt)\n", " \n", " #p_r = np.zeros(num_of_labels)\n", " #p_r[u_r] = cnt_r/np.sum(cnt_r)\n", " p_r = cnt_r/np.sum(cnt_r)\n", " w_r = np.sum(cnt_r)/np.sum(cnt)\n", "\n", " p = cnt/np.sum(cnt)\n", " \n", " G[i] = w_l*(1 - np.sum(p_l**2) ) + w_r*(1 - np.sum(p_r**2) )\n", " H[i] = -w_l*np.sum(p_l*np.log(p_l)) - w_r*np.sum(p_r*np.log(p_r))\n", " IG[i] = -np.sum(p*np.log(p)) - (-w_l*np.sum(p_l*np.log(p_l)) - w_r*np.sum(p_r*np.log(p_r)))\n", " \n", " #print('L:', u_l, cnt_l)\n", " #print('R:', u_r, cnt_r)\n", "\n", " plt.plot(boundries, H, '.r')\n", " plt.plot(boundries, G, '.b')\n", " plt.plot(boundries, IG, 'g')\n", " plt.ylim([-0.1,1.5])\n", "\n", "\n", " plt.xlabel('Feature'+str(idx))\n", " plt.ylabel('Impurity/Information Gain')\n", " plt.legend(['Entropy','Gini', 'Information Gain'])\n", " for b in boundries:\n", " plt.axvline(b, ls=':')\n", " \n", " for z in range(num_of_labels):\n", " ss = c == z\n", " plt.plot(X[ss,idx], np.zeros_like(X[ss,idx]),col[z])\n", "\n", " plt.show()" ] } ], "metadata": { "kernelspec": { "display_name": "Python [conda env:py36]", "language": "python", "name": "conda-env-py36-py" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.1" }, "toc": { "nav_menu": {}, "number_sections": true, "sideBar": true, "skip_h1_title": false, "toc_cell": false, "toc_position": {}, "toc_section_display": "block", "toc_window_display": false } }, "nbformat": 4, "nbformat_minor": 1 }
mit
joelmpiper/bill_taxonomy
notebooks/Congress_Text_Analysis.ipynb
1
339528
{ "cells": [ { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "postgres://Joel@localhost/bills_db\n" ] } ], "source": [ "## Python packages - you may have to pip install sqlalchemy, sqlalchemy_utils, and psycopg2.\n", "from sqlalchemy import create_engine\n", "from sqlalchemy_utils import database_exists, create_database\n", "import psycopg2\n", "import pandas as pd\n", "#In Python: Define a database name (we're using a dataset on births, so I call it \n", "# birth_db), and your username for your computer (CHANGE IT BELOW). \n", "dbname = 'bills_db'\n", "username = 'Joel'\n", "## 'engine' is a connection to a database\n", "## Here, we're using postgres, but sqlalchemy can connect to other things too.\n", "engine = create_engine('postgres://%s@localhost/%s'%(username,dbname))\n", "print engine.url" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>bill_num</th>\n", " <th>bill_name</th>\n", " <th>bill_text</th>\n", " <th>top_subject</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>hconres1-114</td>\n", " <td>Regarding consent to assemble outside the seat...</td>\n", " <td>{\"\\n\",\"[Congressional Bills 114th Congress]\\n\"...</td>\n", " <td>Congress</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>hconres10-114</td>\n", " <td>Recognizing the challenges and burdens associa...</td>\n", " <td>{\"\\n\",\"[Congressional Bills 114th Congress]\\n\"...</td>\n", " <td>Education</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>hconres100-114</td>\n", " <td>Expressing the sense of the Congress regarding...</td>\n", " <td>{\"\\n\",\"[Congressional Bills 114th Congress]\\n\"...</td>\n", " <td>International affairs</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>hconres101-114</td>\n", " <td>Supporting the Association of American Veterin...</td>\n", " <td>{\"\\n\",\"[Congressional Bills 114th Congress]\\n\"...</td>\n", " <td>Education</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>hconres102-114</td>\n", " <td>Providing for a joint session of Congress to r...</td>\n", " <td>{\"\\n\",\"[Congressional Bills 114th Congress]\\n\"...</td>\n", " <td>Congress</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " bill_num bill_name \\\n", "0 hconres1-114 Regarding consent to assemble outside the seat... \n", "1 hconres10-114 Recognizing the challenges and burdens associa... \n", "2 hconres100-114 Expressing the sense of the Congress regarding... \n", "3 hconres101-114 Supporting the Association of American Veterin... \n", "4 hconres102-114 Providing for a joint session of Congress to r... \n", "\n", " bill_text top_subject \n", "0 {\"\\n\",\"[Congressional Bills 114th Congress]\\n\"... Congress \n", "1 {\"\\n\",\"[Congressional Bills 114th Congress]\\n\"... Education \n", "2 {\"\\n\",\"[Congressional Bills 114th Congress]\\n\"... International affairs \n", "3 {\"\\n\",\"[Congressional Bills 114th Congress]\\n\"... Education \n", "4 {\"\\n\",\"[Congressional Bills 114th Congress]\\n\"... Congress " ] }, "execution_count": 3, "metadata": {}, "output_type": "execute_result" } ], "source": [ "## Now try the same queries, but in python!\n", "\n", "# connect:\n", "con = None\n", "con = psycopg2.connect(database = dbname, user = username)\n", "\n", "# query:\n", "sql_query = \"\"\"\n", "SELECT * FROM us_bills;\n", "\"\"\"\n", "us_bills = pd.read_sql_query(sql_query,con)\n", "\n", "us_bills.head()" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "'{\"\\n\",\"[Congressional Bills 114th Congress]\\n\",\"[From the U.S. Government Printing Office]\\n\",\"[H. Con. Res. 1 Received in Senate (RDS)]\\n\",\"\\n\",\"114th CONGRESS\\n\",\" 1st Session\\n\",\"H. CON. RES. 1\\n\",\"\\n\",\"\\n\",\"_______________________________________________________________________\\n\",\"\\n\",\"\\n\",\" IN THE SENATE OF THE UNITED STATES\\n\",\"\\n\",\" January 7, 2015\\n\",\"\\n\",\" Received\\n\",\"\\n\",\"_______________________________________________________________________\\n\",\"\\n\",\" CONCURRENT RESOLUTION\\n\",\"\\n\",\"\\n\",\" \\n\",\" Regarding consent to assemble outside the seat of government.\\n\",\"\\n\",\" Resolved by the House of Representatives (the Senate concurring), \\n\",\"That pursuant to clause 4, section 5, article I of the Constitution, \\n\",\"during the One Hundred Fourteenth Congress the Speaker of the House and \\n\",\"the Majority Leader of the Senate or their respective designees, acting \\n\",\"jointly after consultation with the Minority Leader of the House and \\n\",\"the Minority Leader of the Senate,\\n\",\"\\n\",\"\\n\",\" \\n\",\"\\n\",\"may notify the Members of the House and the Senate, respectively, to \\n\",\"assemble at a place outside the District of Columbia if, in their \\n\",\"opinion, the public interest shall warrant it.\\n\",\"\\n\",\" Passed the House of Representatives January 6, 2015.\\n\",\"\\n\",\" Attest:\\n\",\"\\n\",\" KAREN L. HAAS,\\n\",\"\\n\",\" Clerk.\\n\"}'" ] }, "execution_count": 9, "metadata": {}, "output_type": "execute_result" } ], "source": [ "us_bills.iloc[0]['bill_text']" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": false }, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "/Users/Joel/anaconda/envs/insight/lib/python2.7/site-packages/matplotlib/font_manager.py:273: UserWarning: Matplotlib is building the font cache using fc-list. This may take a moment.\n", " warnings.warn('Matplotlib is building the font cache using fc-list. This may take a moment.')\n" ] } ], "source": [ "from os import path\n", "from wordcloud import WordCloud\n", "import matplotlib.pyplot as plt\n", "%matplotlib inline" ] }, { "cell_type": "code", "execution_count": 14, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "(10933,)" ] }, "execution_count": 14, "metadata": {}, "output_type": "execute_result" } ], "source": [ "bill_text = us_bills['bill_text']\n", "bill_text.shape" ] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": false }, "outputs": [], "source": [ "words = [bill.split() for bill in bill_text]" ] }, { "cell_type": "code", "execution_count": 27, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import re\n", "refined_words = [re.sub(r'[\\W_]+','',s).lower() for word in words for s in word]" ] }, { "cell_type": "code", "execution_count": 38, "metadata": { "collapsed": false }, "outputs": [], "source": [ "no_empties = [x for x in refined_words if len(x) > 3]" ] }, { "cell_type": "code", "execution_count": 41, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "13009999" ] }, "execution_count": 41, "metadata": {}, "output_type": "execute_result" } ], "source": [ "len(no_empties)" ] }, { "cell_type": "code", "execution_count": 46, "metadata": { "collapsed": false }, "outputs": [], "source": [ "wordcloud = WordCloud().generate(reduce(lambda a, b: a + \" \" + b, no_empties[0:100000]))" ] }, { "cell_type": "code", "execution_count": 47, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "(-0.5, 399.5, 199.5, -0.5)" ] }, "execution_count": 47, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAX4AAADICAYAAADvPoogAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n9wzBXQjxUAAAAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x1e7ec6250>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "plt.imshow(wordcloud)\n", "plt.axis(\"off\")" ] }, { "cell_type": "code", "execution_count": 48, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAX4AAADICAYAAADvPoogAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x1e7475390>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "wordcloud = WordCloud(max_font_size=40, relative_scaling=.5).generate(reduce(lambda a,b: a+\" \"+b,no_empties[0:100000]))\n", "plt.figure()\n", "plt.imshow(wordcloud)\n", "plt.axis(\"off\")\n", "plt.show()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": 49, "metadata": { "collapsed": true }, "outputs": [], "source": [ "from sklearn.feature_extraction.text import CountVectorizer" ] }, { "cell_type": "code", "execution_count": 50, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "[u'00',\n", " u'000',\n", " u'0000',\n", " u'000005042',\n", " u'00007',\n", " u'0001',\n", " u'0002',\n", " u'0003',\n", " u'00034',\n", " u'0005',\n", " u'000in',\n", " u'000mi',\n", " u'000th',\n", " u'001',\n", " u'00168',\n", " u'002',\n", " u'00200',\n", " u'0025',\n", " u'0028',\n", " u'002a',\n", " u'003',\n", " u'0030',\n", " u'00315',\n", " u'0035',\n", " u'0039',\n", " u'004',\n", " u'00436',\n", " u'005',\n", " u'00506',\n", " u'00549',\n", " u'0056',\n", " u'006',\n", " u'0060',\n", " u'0067',\n", " u'007',\n", " u'008',\n", " u'0080',\n", " u'00801',\n", " u'0081',\n", " u'0082',\n", " u'0083',\n", " u'009',\n", " u'0090',\n", " u'01',\n", " u'010',\n", " u'0100',\n", " u'0101',\n", " u'0101113f',\n", " u'0101122f',\n", " u'0101125f',\n", " u'0101126f',\n", " u'0101127f',\n", " u'0101213f',\n", " u'0101221n',\n", " u'0101224n',\n", " u'0101226n',\n", " u'0101313f',\n", " u'0101314f',\n", " u'0101316f',\n", " u'0101402n',\n", " u'0102110f',\n", " u'0102326f',\n", " u'0105921f',\n", " u'0106',\n", " u'01080',\n", " u'011',\n", " u'0117',\n", " u'012',\n", " u'01247',\n", " u'012a',\n", " u'013',\n", " u'01367',\n", " u'013b',\n", " u'014',\n", " u'014a',\n", " u'015',\n", " u'015a',\n", " u'016',\n", " u'016a',\n", " u'017',\n", " u'0172',\n", " u'0178',\n", " u'018',\n", " u'01832',\n", " u'0189',\n", " u'019',\n", " u'019a',\n", " u'01b',\n", " u'01h',\n", " u'01rv14136',\n", " u'02',\n", " u'020',\n", " u'0202',\n", " u'0202429a',\n", " u'0203345d8z',\n", " u'0203728a',\n", " u'0203735a',\n", " u'0203740a',\n", " u'0203744a',\n", " u'0203752a',\n", " u'0203758a',\n", " u'0203761n',\n", " u'0203801a',\n", " u'0203802a',\n", " u'0203808a',\n", " u'0204136n',\n", " u'0204163n',\n", " u'0204202n',\n", " u'0204228n',\n", " u'0204229n',\n", " u'0204311n',\n", " u'0204413n',\n", " u'0204460m',\n", " u'0204571j',\n", " u'0204571n',\n", " u'0204574n',\n", " u'0204575n',\n", " u'0205219f',\n", " u'0205402a',\n", " u'0205410a',\n", " u'0205456a',\n", " u'0205601n',\n", " u'0205604n',\n", " u'0205620n',\n", " u'0205632n',\n", " u'0205633n',\n", " u'0205671f',\n", " u'0205675n',\n", " u'0205778a',\n", " u'0206313m',\n", " u'0206335m',\n", " u'0206623m',\n", " u'0206624m',\n", " u'0206625m',\n", " u'0206629m',\n", " u'0207110f',\n", " u'0207131f',\n", " u'0207133f',\n", " u'0207134f',\n", " u'0207136f',\n", " u'0207138f',\n", " u'0207142f',\n", " u'0207161f',\n", " u'0207161n',\n", " u'0207163f',\n", " u'0207163n',\n", " u'0207171f',\n", " u'0207224f',\n", " u'0207227f',\n", " u'0207247f',\n", " u'0207249f',\n", " u'0207253f',\n", " u'0207268f',\n", " u'0207325f',\n", " u'0207410f',\n", " u'0207412f',\n", " u'0207417f',\n", " u'0207418f',\n", " u'0207431f',\n", " u'0207444f',\n", " u'0207448f',\n", " u'0207452f',\n", " u'0207455f',\n", " u'0207590f',\n", " u'0207601f',\n", " u'0207605f',\n", " u'0207697f',\n", " u'0207701f',\n", " u'0208006f',\n", " u'0208043j',\n", " u'0208045k',\n", " u'0208053a',\n", " u'0208087f',\n", " u'0208088f',\n", " u'021',\n", " u'0210609a',\n", " u'0211',\n", " u'0219902m',\n", " u'022',\n", " u'023',\n", " u'024',\n", " u'025',\n", " u'025a',\n", " u'026',\n", " u'027',\n", " u'028',\n", " u'028a',\n", " u'028b',\n", " u'029',\n", " u'03',\n", " u'030',\n", " u'03005',\n", " u'0301017f',\n", " u'0301112f',\n", " u'0301144k',\n", " u'0301400f',\n", " u'0302015f',\n", " u'0302016k',\n", " u'0302019k',\n", " u'0303001f',\n", " u'0303028a',\n", " u'0303032a',\n", " u'0303109n',\n", " u'0303126k',\n", " u'0303131f',\n", " u'0303131k',\n", " u'0303135g',\n", " u'0303136g',\n", " u'0303138n',\n", " u'0303140a',\n", " u'0303140d8z',\n", " u'0303140f',\n", " u'0303140g',\n", " u'0303140n',\n", " u'0303141a',\n", " u'0303141f',\n", " u'0303141k',\n", " u'0303142a',\n", " u'0303142f',\n", " u'0303150a',\n", " u'0303150k',\n", " u'0303150m',\n", " u'0303153k',\n", " u'0303166j',\n", " u'0303170k',\n", " u'0303191d8z',\n", " u'0303228k',\n", " u'0303260a',\n", " u'0303260d8z',\n", " u'0303310d8z',\n", " u'0303354n',\n", " u'0303430k',\n", " u'0303610k',\n", " u'0304210bb',\n", " u'0304231n',\n", " u'0304260f',\n", " u'0304270a',\n", " u'0304270n',\n", " u'0304785n',\n", " u'0305099f',\n", " u'0305103c',\n", " u'0305103k',\n", " u'0305110f',\n", " u'0305111f',\n", " u'0305114f',\n", " u'0305116f',\n", " u'0305124n',\n", " u'0305128f',\n", " u'0305145f',\n", " u'0305146f',\n", " u'0305160f',\n", " u'0305160n',\n", " u'0305164f',\n", " u'0305172k',\n", " u'0305173f',\n", " u'0305174f',\n", " u'0305176f',\n", " u'0305179a',\n", " u'0305179f',\n", " u'0305182f',\n", " u'0305186d8z',\n", " u'0305192n',\n", " u'0305193d8z',\n", " u'0305199d8z',\n", " u'0305202f',\n", " u'0305204a',\n", " u'0305204n',\n", " u'0305205n',\n", " u'0305206a',\n", " u'0305206f',\n", " u'0305207f',\n", " u'0305208a',\n", " u'0305208bb',\n", " u'0305208f',\n", " u'0305208k',\n", " u'0305208m',\n", " u'0305208n',\n", " u'0305219a',\n", " u'0305219f',\n", " u'0305220f',\n", " u'0305220n',\n", " u'0305221f',\n", " u'0305231n',\n", " u'0305232a',\n", " u'0305232m',\n", " u'0305233a',\n", " u'0305233n',\n", " u'0305234n',\n", " u'0305236f',\n", " u'0305238f',\n", " u'0305239m',\n", " u'0305240f',\n", " u'0305241n',\n", " u'0305242m',\n", " u'0305251a',\n", " u'0305258f',\n", " u'0305265f',\n", " u'0305304d8z',\n", " u'0305327v',\n", " u'0305387d8z',\n", " u'0305421n',\n", " u'0305600f',\n", " u'0305614f',\n", " u'0305881f',\n", " u'0305906f',\n", " u'0305913f',\n", " u'0305940f',\n", " u'0306250f',\n", " u'0306250m',\n", " u'0306415f',\n", " u'0307577d8z',\n", " u'0307581f',\n", " u'0307665a',\n", " u'0308601n',\n", " u'0308602f',\n", " u'0308699f',\n", " u'030a',\n", " u'031',\n", " u'0310349a',\n", " u'03110',\n", " u'032',\n", " u'03232',\n", " u'0327',\n", " u'033',\n", " u'034',\n", " u'0340',\n", " u'034a',\n", " u'035',\n", " u'036',\n", " u'03600',\n", " u'036a',\n", " u'037',\n", " u'037a',\n", " u'038',\n", " u'039',\n", " u'0390',\n", " u'0391',\n", " u'0396',\n", " u'039a',\n", " u'04',\n", " u'040',\n", " u'0401115f',\n", " u'0401119f',\n", " u'0401130f',\n", " u'0401132f',\n", " u'0401134f',\n", " u'0401219f',\n", " u'0401314f',\n", " u'0401318f',\n", " u'0401319f',\n", " u'0408011f',\n", " u'040a',\n", " u'041',\n", " u'042',\n", " u'043',\n", " u'0433',\n", " u'044',\n", " u'0447',\n", " u'045',\n", " u'046',\n", " u'047',\n", " u'048',\n", " u'049',\n", " u'0495',\n", " u'04b',\n", " u'05',\n", " u'050',\n", " u'0505',\n", " u'051',\n", " u'052',\n", " u'053',\n", " u'054',\n", " u'055',\n", " u'0554',\n", " u'056',\n", " u'0560',\n", " u'0564',\n", " u'057',\n", " u'058',\n", " u'059',\n", " u'0593215',\n", " u'06',\n", " u'060',\n", " u'0601000br',\n", " u'0601101a',\n", " u'0601101e',\n", " u'0601102a',\n", " u'0601102f',\n", " u'0601103a',\n", " u'0601103f',\n", " u'0601103n',\n", " u'0601104a',\n", " u'0601108f',\n", " u'0601110d8z',\n", " u'0601117e',\n", " u'0601120d8z',\n", " u'0601152n',\n", " u'0601153n',\n", " u'0601228d8z',\n", " u'0601384bp',\n", " u'0602',\n", " u'0602000d8z',\n", " u'0602102f',\n", " u'0602105a',\n", " u'0602114n',\n", " u'0602115e',\n", " u'0602120a',\n", " u'0602122a',\n", " u'0602123n',\n", " u'0602131m',\n", " u'0602201f',\n", " u'0602202f',\n", " u'0602203f',\n", " u'0602204f',\n", " u'0602211a',\n", " u'0602230d8z',\n", " u'0602234d8z',\n", " u'0602235n',\n", " u'0602236n',\n", " u'0602251d8z',\n", " u'0602270a',\n", " u'0602271n',\n", " u'0602303a',\n", " u'0602303e',\n", " u'0602307a',\n", " u'0602308a',\n", " u'0602383e',\n", " u'0602384bp',\n", " u'0602435n',\n", " u'0602601a',\n", " u'0602601f',\n", " u'0602602f',\n", " u'0602605f',\n", " u'0602618a',\n", " u'0602622a',\n", " u'0602623a',\n", " u'0602624a',\n", " u'0602651m',\n", " u'0602668d8z',\n", " u'0602702e',\n", " u'0602705a',\n", " u'0602709a',\n", " u'0602712a',\n", " u'0602715e',\n", " u'0602716a',\n", " u'0602716e',\n", " u'0602718br',\n", " u'0602720a',\n", " u'0602747n',\n", " u'0602750n',\n", " u'0602751d8z',\n", " u'0602782a',\n", " u'0602782n',\n", " u'0602783a',\n", " u'0602784a',\n", " u'0602785a',\n", " u'0602786a',\n", " u'0602787a',\n", " u'0602788f',\n", " u'0602890f',\n", " u'0602898n',\n", " u'0603',\n", " u'0603000d8z',\n", " u'0603001a',\n", " u'0603002a',\n", " u'0603003a',\n", " u'0603004a',\n", " u'0603005a',\n", " u'0603006a',\n", " u'0603007a',\n", " u'0603009a',\n", " u'0603015a',\n", " u'0603020a',\n", " u'0603112f',\n", " u'0603114n',\n", " u'0603122d8z',\n", " u'0603123n',\n", " u'0603125a',\n", " u'0603130a',\n", " u'0603131a',\n", " u'0603133d8z',\n", " u'0603160br',\n", " u'0603161d8z',\n", " u'0603176c',\n", " u'0603177c',\n", " u'0603178c',\n", " u'0603179c',\n", " u'0603180c',\n", " u'0603199f',\n", " u'0603203f',\n", " u'0603207n',\n", " u'0603208n',\n", " u'0603211f',\n", " u'0603216f',\n", " u'0603216n',\n", " u'0603225d8z',\n", " u'0603237n',\n", " u'0603251n',\n", " u'0603254n',\n", " u'0603260f',\n", " u'0603261n',\n", " u'0603264s',\n", " u'0603270a',\n", " u'0603270f',\n", " u'0603271n',\n", " u'0603274c',\n", " u'0603286e',\n", " u'0603287e',\n", " u'0603288d8z',\n", " u'0603289d8z',\n", " u'0603294c',\n", " u'0603305a',\n", " u'0603308a',\n", " u'0603313a',\n", " u'0603322a',\n", " u'0603375d8z',\n", " u'0603382n',\n", " u'0603384bp',\n", " u'0603401f',\n", " u'0603423f',\n", " u'0603438f',\n", " u'0603444f',\n", " u'0603456f',\n", " u'0603461a',\n", " u'0603502n',\n", " u'0603506n',\n", " u'0603512n',\n", " u'0603525n',\n", " u'0603527d8z',\n", " u'0603527n',\n", " u'0603536n',\n", " u'0603542n',\n", " u'0603553n',\n", " u'0603561n',\n", " u'0603562n',\n", " u'0603563n',\n", " u'0603564n',\n", " u'0603570n',\n", " u'0603573n',\n", " u'0603576n',\n", " u'0603581n',\n", " u'0603582n',\n", " u'0603595n',\n", " u'0603596n',\n", " u'0603597n',\n", " u'0603599n',\n", " u'0603600d8z',\n", " u'0603601f',\n", " u'0603605f',\n", " u'0603606a',\n", " u'0603607a',\n", " u'0603609n',\n", " u'0603611m',\n", " u'0603618d8z',\n", " u'0603619a',\n", " u'0603627a',\n", " u'0603635m',\n", " u'0603639a',\n", " u'0603640m',\n", " u'0603648d8z',\n", " u'0603651m',\n", " u'0603654n',\n", " u'0603658n',\n", " u'0603662d8z',\n", " u'0603673n',\n", " u'0603680d8z',\n", " u'0603680f',\n", " u'0603680n',\n", " u'0603680s',\n", " u'0603699d8z',\n", " u'0603710a',\n", " u'0603712s',\n", " u'0603713n',\n", " u'0603713s',\n", " u'0603714d8z',\n", " u'0603716d8z',\n", " u'0603720s',\n", " u'0603721n',\n", " u'0603724n',\n", " u'0603725n',\n", " u'0603727d8z',\n", " u'0603728a',\n", " u'0603729n',\n", " u'0603734a',\n", " u'0603734n',\n", " u'0603739e',\n", " u'0603739n',\n", " u'0603742f',\n", " u'0603746n',\n", " u'0603747a',\n", " u'0603747n',\n", " u'0603748n',\n", " u'0603751n',\n", " u'0603758n',\n", " u'0603760e',\n", " u'0603764n',\n", " u'0603766a',\n", " u'0603766e',\n", " u'0603767e',\n", " u'0603769se',\n", " u'0603772a',\n", " u'0603774a',\n", " u'0603778a',\n", " u'0603779a',\n", " u'0603781d8z',\n", " u'0603782n',\n", " u'0603787n',\n", " u'0603788f',\n", " u'0603790a',\n", " u'0603790f',\n", " u'0603790n',\n", " u'0603794a',\n", " u'0603795n',\n", " u'0603801a',\n", " u'0603804a',\n", " u'0603807a',\n", " u'0603813a',\n", " u'0603821d8z',\n", " u'0603826d8z',\n", " u'0603827a',\n", " u'0603830f',\n", " u'0603833d8z',\n", " u'0603851d8z',\n", " u'0603851f',\n", " u'0603851m',\n", " u'0603860n',\n", " u'0603881c',\n", " u'0603882c',\n", " u'0603884bp',\n", " u'0603884c',\n", " u'0603890c',\n", " u'0603891c',\n", " u'0603892c',\n", " u'0603893c',\n", " u'0603895c',\n", " u'0603896c',\n", " u'0603898c',\n", " u'0603904c',\n", " u'0603906c',\n", " u'0603907c',\n", " u'0603913c',\n", " u'0603914c',\n", " u'0603915c',\n", " u'0603920d8z',\n", " u'0603923d8z',\n", " u'0603925n',\n", " u'0603941d8z',\n", " u'0603xxxc',\n", " u'0603xxxx',\n", " u'0604015f',\n", " u'0604016d8z',\n", " u'0604055d8z',\n", " u'0604100a',\n", " u'0604112n',\n", " u'0604114a',\n", " u'0604115a',\n", " u'0604115c',\n", " u'0604120a',\n", " u'0604122n',\n", " u'0604130v',\n", " u'0604132d8z',\n", " u'0604161d8z',\n", " u'0604165d8z',\n", " u'0604201a',\n", " u'0604212n',\n", " u'0604214n',\n", " u'0604215n',\n", " u'0604216n',\n", " u'0604218n',\n", " u'0604221n',\n", " u'0604230n',\n", " u'0604231n',\n", " u'0604233f',\n", " u'0604234n',\n", " u'0604245n',\n", " u'0604250d8z',\n", " u'0604256a',\n", " u'0604256f',\n", " u'0604256n',\n", " u'0604257f',\n", " u'0604258a',\n", " u'0604258n',\n", " u'0604261n',\n", " u'0604262n',\n", " u'0604264n',\n", " u'0604269n',\n", " u'0604270a',\n", " u'0604270f',\n", " u'0604270n',\n", " u'0604272n',\n", " u'0604273n',\n", " u'0604274n',\n", " u'0604279n',\n", " u'0604280a',\n", " u'0604280n',\n", " u'0604281f',\n", " u'0604282n',\n", " u'0604287f',\n", " u'0604290a',\n", " u'0604292n',\n", " u'0604307n',\n", " u'0604311n',\n", " u'0604317f',\n", " u'0604319a',\n", " u'0604321a',\n", " u'0604327f',\n", " u'0604328a',\n", " u'0604329f',\n", " u'0604329n',\n", " u'0604366n',\n", " u'0604373n',\n", " u'0604376m',\n", " u'0604378n',\n", " u'0604384bp',\n", " u'0604400d8z',\n", " u'0604404n',\n", " u'0604421f',\n", " u'0604422f',\n", " u'0604425f',\n", " u'0604426f',\n", " u'0604429f',\n", " u'0604441f',\n", " u'0604445f',\n", " u'0604454n',\n", " u'0604501n',\n", " u'0604503n',\n", " u'0604504n',\n", " u'0604512n',\n", " u'0604518n',\n", " u'0604522n',\n", " u'0604536n',\n", " u'0604558n',\n", " u'0604562n',\n", " u'0604567n',\n", " u'0604574n',\n", " u'0604580n',\n", " u'0604601a',\n", " u'0604601n',\n", " u'0604602f',\n", " u'0604604f',\n", " u'0604610n',\n", " u'0604611a',\n", " u'0604617f',\n", " u'0604618f',\n", " u'0604622a',\n", " u'0604633a',\n", " u'0604641a',\n", " u'0604642a',\n", " u'0604645a',\n", " u'0604653n',\n", " u'0604654n',\n", " u'0604659n',\n", " u'0604682d8z',\n", " u'0604703n',\n", " u'0604706f',\n", " u'0604707n',\n", " u'0604710a',\n", " u'0604713a',\n", " u'0604715a',\n", " u'0604727n',\n", " u'0604735f',\n", " u'0604741a',\n", " u'0604742a',\n", " u'0604746a',\n", " u'0604755n',\n", " u'0604756n',\n", " u'0604757n',\n", " u'0604759a',\n", " u'0604759f',\n", " u'0604759n',\n", " u'0604760a',\n", " u'0604761n',\n", " u'0604764k',\n", " u'0604771d8z',\n", " u'0604771n',\n", " u'0604774d8z',\n", " u'0604776f',\n", " u'0604777n',\n", " u'0604780a',\n", " u'0604786n',\n", " u'0604798a',\n", " u'0604800f',\n", " u'0604800m',\n", " u'0604800n',\n", " u'0604802a',\n", " u'0604804a',\n", " u'0604805a',\n", " u'0604807a',\n", " u'0604808a',\n", " u'0604810m',\n", " u'0604810n',\n", " u'0604818a',\n", " u'0604820a',\n", " u'0604822a',\n", " u'0604823a',\n", " u'0604826j',\n", " u'0604827a',\n", " u'0604853f',\n", " u'0604854a',\n", " u'0604857f',\n", " u'0604858f',\n", " u'0604873c',\n", " u'0604874c',\n", " u'0604875d8z',\n", " u'0604876c',\n", " u'0604878c',\n", " u'0604879c',\n", " u'0604880c',\n", " u'0604881c',\n", " u'0604887c',\n", " u'0604894c',\n", " u'0604932f',\n", " u'0604933f',\n", " u'0604940d8z',\n", " u'0604942d8z',\n", " u'0604xxxd',\n", " u'0604xxxf',\n", " u'0605000br',\n", " u'0605001e',\n", " u'0605013a',\n", " u'0605013bl',\n", " u'0605013m',\n", " u'0605013n',\n", " u'0605018a',\n", " u'0605018f',\n", " u'0605021se',\n", " u'0605022d8z',\n", " u'0605024a',\n", " u'0605024f',\n", " u'0605024n',\n", " u'0605027d8z',\n", " u'0605028a',\n", " u'0605029a',\n", " u'0605030a',\n", " u'0605030f',\n", " u'0605031a',\n", " u'0605032a',\n", " u'0605033a',\n", " u'0605034a',\n", " u'0605035a',\n", " u'0605036a',\n", " u'0605041a',\n", " u'0605042a',\n", " u'0605047a',\n", " u'0605051a',\n", " u'0605052a',\n", " u'0605070s',\n", " u'0605075d8z',\n", " u'0605080s',\n", " u'0605090s',\n", " u'0605100d8z',\n", " u'0605101f',\n", " u'0605103a',\n", " u'0605104d8z',\n", " u'0605117f',\n", " u'0605118ote',\n", " u'0605126j',\n", " u'0605126n',\n", " u'0605127t',\n", " u'0605131ote',\n", " u'0605140d8z',\n", " u'0605142d8z',\n", " u'0605147t',\n", " u'0605151d8z',\n", " u'0605152n',\n", " u'0605154n',\n", " u'0605161d8z',\n", " u'0605170d8z',\n", " u'0605200d8z',\n", " u'0605210d8z',\n", " u'0605212n',\n", " u'0605213f',\n", " u'0605214f',\n", " u'0605215n',\n", " u'0605217n',\n", " u'0605220n',\n", " u'0605221f',\n", " u'0605223f',\n", " u'0605229f',\n", " u'0605230f',\n", " u'0605278f',\n", " u'0605285n',\n", " u'0605301a',\n", " u'0605326a',\n", " u'0605327n',\n", " u'0605350a',\n", " u'0605380a',\n", " u'0605384bp',\n", " u'0605414n',\n", " u'0605431f',\n", " u'0605432f',\n", " u'0605433f',\n", " u'0605450a',\n", " u'0605450n',\n", " u'0605456a',\n", " u'0605457a',\n", " u'0605458f',\n", " u'0605500n',\n", " u'0605504n',\n", " u'0605601a',\n", " u'0605602a',\n", " u'0605604a',\n", " u'0605606a',\n", " u'0605625a',\n", " u'0605626a',\n", " u'0605702a',\n", " u'0605706a',\n", " u'0605709a',\n", " u'0605712a',\n", " u'0605712f',\n", " u'0605716a',\n", " u'0605718a',\n", " u'0605766a',\n", " u'0605790d8z',\n", " u'0605798d8z',\n", " u'0605801a',\n", " u'0605801ka',\n", " u'0605803a',\n", " u'0605803se',\n", " u'0605804d8z',\n", " u'0605804n',\n", " u'0605805a',\n", " u'0605807f',\n", " u'0605812a',\n", " u'0605812m',\n", " u'0605814ote',\n", " u'0605830a',\n", " u'0605853n',\n", " u'0605856n',\n", " u'0605857a',\n", " u'0605860f',\n", " u'0605861n',\n", " u'0605863n',\n", " u'0605864f',\n", " u'0605864n',\n", " u'0605865n',\n", " u'0605866n',\n", " u'0605867n',\n", " u'0605873m',\n", " u'0605898a',\n", " u'0605898e',\n", " u'0605898n',\n", " u'0605931f',\n", " u'0605976f',\n", " u'0605978f',\n", " u'0605998ka',\n", " u'0606017f',\n", " u'0606100d8z',\n", " u'0606116f',\n", " u'0606355n',\n", " u'0606392f',\n", " u'0607131a',\n", " u'0607133a',\n", " u'0607134a',\n", " u'0607135a',\n", " u'0607136a',\n", " u'0607137a',\n", " u'0607138a',\n", " u'0607139a',\n", " u'0607140a',\n", " u'0607141a',\n", " u'0607210d8z',\n", " u'0607310d8z',\n", " u'0607327t',\n", " u'0607384bp',\n", " u'0607658n',\n", " u'0607665a',\n", " u'0607700n',\n", " u'0607865a',\n", " u'061',\n", " u'062',\n", " u'0621',\n", " u'0625',\n", " u'063',\n", " u'06371',\n", " u'064',\n", " u'0648',\n", " u'065',\n", " u'066',\n", " u'067',\n", " u'068',\n", " u'069',\n", " u'0699',\n", " u'06cv2239tfh',\n", " u'07',\n", " u'070',\n", " u'0701212f',\n", " u'0702207f',\n", " u'0702207n',\n", " u'0702806f',\n", " u'0707',\n", " u'0708011s',\n", " u'0708012s',\n", " u'0708045a',\n", " u'0708047s',\n", " u'0708610f',\n", " u'0708611f',\n", " u'0708730n',\n", " u'070a',\n", " u'071',\n", " ...]" ] }, "execution_count": 50, "metadata": {}, "output_type": "execute_result" } ], "source": [ "vect = CountVectorizer()\n", "vect.fit(bill_text)\n", "vect.get_feature_names()" ] }, { "cell_type": "code", "execution_count": 52, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<10933x55476 sparse matrix of type '<type 'numpy.int64'>'\n", "\twith 3754520 stored elements in Compressed Sparse Row format>" ] }, "execution_count": 52, "metadata": {}, "output_type": "execute_result" } ], "source": [ "simple_train_dtm = vect.transform(bill_text)\n", "simple_train_dtm" ] }, { "cell_type": "code", "execution_count": 54, "metadata": { "collapsed": false }, "outputs": [], "source": [ "us_bills['international_affairs']=0" ] }, { "cell_type": "code", "execution_count": 103, "metadata": { "collapsed": true }, "outputs": [], "source": [ "us_bills['marine_and_inland_water_transportation'] = 0" ] }, { "cell_type": "code", "execution_count": 104, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>bill_num</th>\n", " <th>bill_name</th>\n", " <th>bill_text</th>\n", " <th>top_subject</th>\n", " <th>international_affairs</th>\n", " <th>marine_and_inland_water_transportation</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>hconres1-114</td>\n", " <td>Regarding consent to assemble outside the seat...</td>\n", " <td>{\"\\n\",\"[Congressional Bills 114th Congress]\\n\"...</td>\n", " <td>Congress</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>hconres10-114</td>\n", " <td>Recognizing the challenges and burdens associa...</td>\n", " <td>{\"\\n\",\"[Congressional Bills 114th Congress]\\n\"...</td>\n", " <td>Education</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>hconres100-114</td>\n", " <td>Expressing the sense of the Congress regarding...</td>\n", " <td>{\"\\n\",\"[Congressional Bills 114th Congress]\\n\"...</td>\n", " <td>International affairs</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>hconres101-114</td>\n", " <td>Supporting the Association of American Veterin...</td>\n", " <td>{\"\\n\",\"[Congressional Bills 114th Congress]\\n\"...</td>\n", " <td>Education</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>hconres102-114</td>\n", " <td>Providing for a joint session of Congress to r...</td>\n", " <td>{\"\\n\",\"[Congressional Bills 114th Congress]\\n\"...</td>\n", " <td>Congress</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " bill_num bill_name \\\n", "0 hconres1-114 Regarding consent to assemble outside the seat... \n", "1 hconres10-114 Recognizing the challenges and burdens associa... \n", "2 hconres100-114 Expressing the sense of the Congress regarding... \n", "3 hconres101-114 Supporting the Association of American Veterin... \n", "4 hconres102-114 Providing for a joint session of Congress to r... \n", "\n", " bill_text top_subject \\\n", "0 {\"\\n\",\"[Congressional Bills 114th Congress]\\n\"... Congress \n", "1 {\"\\n\",\"[Congressional Bills 114th Congress]\\n\"... Education \n", "2 {\"\\n\",\"[Congressional Bills 114th Congress]\\n\"... International affairs \n", "3 {\"\\n\",\"[Congressional Bills 114th Congress]\\n\"... Education \n", "4 {\"\\n\",\"[Congressional Bills 114th Congress]\\n\"... Congress \n", "\n", " international_affairs marine_and_inland_water_transportation \n", "0 0 0 \n", "1 0 0 \n", "2 0 0 \n", "3 0 0 \n", "4 0 0 " ] }, "execution_count": 104, "metadata": {}, "output_type": "execute_result" } ], "source": [ "us_bills.head()" ] }, { "cell_type": "code", "execution_count": 94, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# query:\n", "sql_query = \"\"\"\n", "SELECT bill_num, subject FROM bill_subject WHERE subject='International affairs' OR subject='International Affairs';\n", "\"\"\"\n", "international_terms = pd.read_sql_query(sql_query,con)" ] }, { "cell_type": "code", "execution_count": 100, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# query:\n", "sql_query = \"\"\"\n", "SELECT bill_num, subject FROM bill_subject WHERE subject='Marine and inland water transportation';\n", "\"\"\"\n", "marine_terms = pd.read_sql_query(sql_query,con)" ] }, { "cell_type": "code", "execution_count": 101, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>bill_num</th>\n", " <th>subject</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>hr1056-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>hr1135-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>hr1248-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>hr1288-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>hr1308-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td>hr142-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>6</th>\n", " <td>hr1540-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>7</th>\n", " <td>hr1665-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>8</th>\n", " <td>hr1735-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>9</th>\n", " <td>hr1804-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>10</th>\n", " <td>hr1823-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>11</th>\n", " <td>hr1900-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>12</th>\n", " <td>hr198-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>13</th>\n", " <td>hr1987-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>14</th>\n", " <td>hr2028-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>15</th>\n", " <td>hr2029-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>16</th>\n", " <td>hr2048-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>17</th>\n", " <td>hr22-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>18</th>\n", " <td>hr229-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>19</th>\n", " <td>hr240-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>20</th>\n", " <td>hr2410-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>21</th>\n", " <td>hr2485-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>22</th>\n", " <td>hr2534-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>23</th>\n", " <td>hr2577-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>24</th>\n", " <td>hr2700-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>25</th>\n", " <td>hr2876-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>26</th>\n", " <td>hr2923-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>27</th>\n", " <td>hr2992-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>28</th>\n", " <td>hr3064-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>29</th>\n", " <td>hr3142-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>...</th>\n", " <td>...</td>\n", " <td>...</td>\n", " </tr>\n", " <tr>\n", " <th>107</th>\n", " <td>s2130-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>108</th>\n", " <td>s2206-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>109</th>\n", " <td>s2328-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>110</th>\n", " <td>s2378-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>111</th>\n", " <td>s2635-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>112</th>\n", " <td>s268-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>113</th>\n", " <td>s272-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>114</th>\n", " <td>s2726-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>115</th>\n", " <td>s2829-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>116</th>\n", " <td>s2844-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>117</th>\n", " <td>s2848-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>118</th>\n", " <td>s2865-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>119</th>\n", " <td>s2989-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>120</th>\n", " <td>s3001-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>121</th>\n", " <td>s33-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>122</th>\n", " <td>s371-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>123</th>\n", " <td>s373-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>124</th>\n", " <td>s515-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>125</th>\n", " <td>s525-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>126</th>\n", " <td>s589-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>127</th>\n", " <td>s764-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>128</th>\n", " <td>s825-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>129</th>\n", " <td>s834-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>130</th>\n", " <td>s859-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>131</th>\n", " <td>sconres11-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>132</th>\n", " <td>sres153-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>133</th>\n", " <td>sres291-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>134</th>\n", " <td>sres332-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>135</th>\n", " <td>sres359-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " <tr>\n", " <th>136</th>\n", " <td>sres370-114</td>\n", " <td>Marine and inland water transportation</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "<p>137 rows × 2 columns</p>\n", "</div>" ], "text/plain": [ " bill_num subject\n", "0 hr1056-114 Marine and inland water transportation\n", "1 hr1135-114 Marine and inland water transportation\n", "2 hr1248-114 Marine and inland water transportation\n", "3 hr1288-114 Marine and inland water transportation\n", "4 hr1308-114 Marine and inland water transportation\n", "5 hr142-114 Marine and inland water transportation\n", "6 hr1540-114 Marine and inland water transportation\n", "7 hr1665-114 Marine and inland water transportation\n", "8 hr1735-114 Marine and inland water transportation\n", "9 hr1804-114 Marine and inland water transportation\n", "10 hr1823-114 Marine and inland water transportation\n", "11 hr1900-114 Marine and inland water transportation\n", "12 hr198-114 Marine and inland water transportation\n", "13 hr1987-114 Marine and inland water transportation\n", "14 hr2028-114 Marine and inland water transportation\n", "15 hr2029-114 Marine and inland water transportation\n", "16 hr2048-114 Marine and inland water transportation\n", "17 hr22-114 Marine and inland water transportation\n", "18 hr229-114 Marine and inland water transportation\n", "19 hr240-114 Marine and inland water transportation\n", "20 hr2410-114 Marine and inland water transportation\n", "21 hr2485-114 Marine and inland water transportation\n", "22 hr2534-114 Marine and inland water transportation\n", "23 hr2577-114 Marine and inland water transportation\n", "24 hr2700-114 Marine and inland water transportation\n", "25 hr2876-114 Marine and inland water transportation\n", "26 hr2923-114 Marine and inland water transportation\n", "27 hr2992-114 Marine and inland water transportation\n", "28 hr3064-114 Marine and inland water transportation\n", "29 hr3142-114 Marine and inland water transportation\n", ".. ... ...\n", "107 s2130-114 Marine and inland water transportation\n", "108 s2206-114 Marine and inland water transportation\n", "109 s2328-114 Marine and inland water transportation\n", "110 s2378-114 Marine and inland water transportation\n", "111 s2635-114 Marine and inland water transportation\n", "112 s268-114 Marine and inland water transportation\n", "113 s272-114 Marine and inland water transportation\n", "114 s2726-114 Marine and inland water transportation\n", "115 s2829-114 Marine and inland water transportation\n", "116 s2844-114 Marine and inland water transportation\n", "117 s2848-114 Marine and inland water transportation\n", "118 s2865-114 Marine and inland water transportation\n", "119 s2989-114 Marine and inland water transportation\n", "120 s3001-114 Marine and inland water transportation\n", "121 s33-114 Marine and inland water transportation\n", "122 s371-114 Marine and inland water transportation\n", "123 s373-114 Marine and inland water transportation\n", "124 s515-114 Marine and inland water transportation\n", "125 s525-114 Marine and inland water transportation\n", "126 s589-114 Marine and inland water transportation\n", "127 s764-114 Marine and inland water transportation\n", "128 s825-114 Marine and inland water transportation\n", "129 s834-114 Marine and inland water transportation\n", "130 s859-114 Marine and inland water transportation\n", "131 sconres11-114 Marine and inland water transportation\n", "132 sres153-114 Marine and inland water transportation\n", "133 sres291-114 Marine and inland water transportation\n", "134 sres332-114 Marine and inland water transportation\n", "135 sres359-114 Marine and inland water transportation\n", "136 sres370-114 Marine and inland water transportation\n", "\n", "[137 rows x 2 columns]" ] }, "execution_count": 101, "metadata": {}, "output_type": "execute_result" } ], "source": [ "marine_terms" ] }, { "cell_type": "code", "execution_count": 112, "metadata": { "collapsed": false }, "outputs": [], "source": [ "us_bills.ix[us_bills['bill_num'].isin(marine_terms['bill_num']), 'marine_and_inland_water_transportation'] = 1" ] }, { "cell_type": "code", "execution_count": 116, "metadata": { "collapsed": false }, "outputs": [], "source": [ "X = us_bills['bill_text']\n", "y = us_bills['marine_and_inland_water_transportation']" ] }, { "cell_type": "code", "execution_count": 117, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# split into training and testing sets\n", "from sklearn.cross_validation import train_test_split\n", "X_train, X_test, y_train, y_test = train_test_split(X, y, random_state=1)" ] }, { "cell_type": "code", "execution_count": 118, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# import and instantiate the vectorizer\n", "from sklearn.feature_extraction.text import CountVectorizer\n", "vect = CountVectorizer()" ] }, { "cell_type": "code", "execution_count": 119, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# fit and transform X_train, but only transform X_test\n", "X_train_dtm = vect.fit_transform(X_train)\n", "X_test_dtm = vect.transform(X_test)" ] }, { "cell_type": "code", "execution_count": 120, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "MultinomialNB(alpha=1.0, class_prior=None, fit_prior=True)" ] }, "execution_count": 120, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# import/instantiate/fit\n", "from sklearn.naive_bayes import MultinomialNB\n", "nb = MultinomialNB()\n", "nb.fit(X_train_dtm, y_train)" ] }, { "cell_type": "code", "execution_count": 121, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# make class predictions\n", "y_pred_class = nb.predict(X_test_dtm)" ] }, { "cell_type": "code", "execution_count": 122, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "0.966349670812\n" ] } ], "source": [ "# calculate accuracy\n", "from sklearn import metrics\n", "print metrics.accuracy_score(y_test, y_pred_class)" ] }, { "cell_type": "code", "execution_count": 124, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# predict class probabilities\n", "y_pred_prob = nb.predict_proba(X_test_dtm)[:, 1]" ] }, { "cell_type": "code", "execution_count": 143, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "5850 1\n", "7602 1\n", "7454 1\n", "8454 1\n", "2954 1\n", "8211 1\n", "8082 1\n", "1443 1\n", "8110 1\n", "3027 1\n", "7816 1\n", "7920 1\n", "9802 1\n", "7709 1\n", "916 1\n", "7326 1\n", "4852 1\n", "9797 1\n", "3432 1\n", "443 1\n", "6484 1\n", "1206 1\n", "6238 1\n", "5493 1\n", "7853 1\n", "6504 1\n", "4269 1\n", "1859 1\n", "1984 1\n", "3701 1\n", "642 1\n", "7555 1\n", "8956 1\n", "3449 1\n", "8999 1\n", "Name: marine_and_inland_water_transportation, dtype: int64" ] }, "execution_count": 143, "metadata": {}, "output_type": "execute_result" } ], "source": [ "y_test[y_test == 1]" ] }, { "cell_type": "code", "execution_count": 126, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "0.799719472821\n" ] } ], "source": [ "# calculate the AUC using y_test_binary and y_pred_prob\n", "print metrics.roc_auc_score(y_test, y_pred_prob)" ] }, { "cell_type": "code", "execution_count": 127, "metadata": { "collapsed": true }, "outputs": [], "source": [ "%matplotlib inline\n", "import matplotlib.pyplot as plt" ] }, { "cell_type": "code", "execution_count": 129, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.text.Text at 0x237fd8d10>" ] }, "execution_count": 129, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAYgAAAEPCAYAAABY9lNGAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n+v+jKvIOoJxM0AAAAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x22a963e10>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "# plot ROC curve using y_test_binary and y_pred_prob\n", "fpr, tpr, thresholds = metrics.roc_curve(y_test, y_pred_prob)\n", "plt.plot(fpr, tpr)\n", "plt.xlim([0.0, 1.0])\n", "plt.ylim([0.0, 1.0])\n", "plt.xlabel('False Positive Rate (1 - Specificity)')\n", "plt.ylabel('True Positive Rate (Sensitivity)')" ] }, { "cell_type": "code", "execution_count": 130, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[[2622 77]\n", " [ 15 20]]\n" ] } ], "source": [ "print metrics.confusion_matrix(y_test, y_pred_class)" ] }, { "cell_type": "code", "execution_count": 131, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "9574 {\"\\n\",\"[Congressional Bills 114th Congress]\\n\"...\n", "1777 {\"\\n\",\"[Congressional Bills 114th Congress]\\n\"...\n", "2106 {\"\\n\",\"[Congressional Bills 114th Congress]\\n\"...\n", "941 {\"\\n\",\"[Congressional Bills 114th Congress]\\n\"...\n", "8119 {\"\\n\",\"[Congressional Bills 114th Congress]\\n\"...\n", "9110 {\"\\n\",\"[Congressional Bills 114th Congress]\\n\"...\n", "4803 {\"\\n\",\"[Congressional Bills 114th Congress]\\n\"...\n", "7267 {\"\\n\",\"[Congressional Bills 114th Congress]\\n\"...\n", "4467 {\"\\n\",\"[Congressional Bills 114th Congress]\\n\"...\n", "9248 {\"\\n\",\"[Congressional Bills 114th Congress]\\n\"...\n", "Name: bill_text, dtype: object" ] }, "execution_count": 131, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# first 10 false positives (meaning they were incorrectly classified as 5-star reviews)\n", "X_test[y_test < y_pred_class][:10]" ] }, { "cell_type": "code", "execution_count": 139, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "77" ] }, "execution_count": 139, "metadata": {}, "output_type": "execute_result" } ], "source": [ "len(X_test[y_test < y_pred_class])" ] }, { "cell_type": "code", "execution_count": 132, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "'{\"\\n\",\"[Congressional Bills 114th Congress]\\n\",\"[From the U.S. Government Printing Office]\\n\",\"[S. 327 Introduced in Senate (IS)]\\n\",\"\\n\",\"114th CONGRESS\\n\",\" 1st Session\\n\",\" S. 327\\n\",\"\\n\",\" To provide for auditable financial statements for the Department of \\n\",\" Defense, and for other purposes.\\n\",\"\\n\",\"\\n\",\"_______________________________________________________________________\\n\",\"\\n\",\"\\n\",\" IN THE SENATE OF THE UNITED STATES\\n\",\"\\n\",\" February 2, 2015\\n\",\"\\n\",\"Mr. Manchin (for himself, Mr. Paul, Mr. Wyden, and Mr. Cruz) introduced \\n\",\"the following bill; which was read twice and referred to the Committee \\n\",\" on Armed Services\\n\",\"\\n\",\"_______________________________________________________________________\\n\",\"\\n\",\" A BILL\\n\",\"\\n\",\"\\n\",\" \\n\",\" To provide for auditable financial statements for the Department of \\n\",\" Defense, and for other purposes.\\n\",\"\\n\",\" Be it enacted by the Senate and House of Representatives of the \\n\",\"United States of America in Congress assembled,\\n\",\"\\n\",\"SECTION 1. SHORT TITLE.\\n\",\"\\n\",\" This Act may be cited as the ``Audit the Pentagon Act of 2015\\'\\'.\\n\",\"\\n\",\"SEC. 2. FINDINGS.\\n\",\"\\n\",\" Congress makes the following findings:\\n\",\" (1) Section 9 of Article I of the Constitution of the \\n\",\" United States requires all agencies of the Federal Government, \\n\",\" including the Department of Defense, to publish ``a regular \\n\",\" statement and account of the receipts and expenditures of all \\n\",\" public money\\'\\'.\\n\",\" (2) Section 3515 of title 31, United States Code, requires \\n\",\" the agencies of the Federal Government, including the \\n\",\" Department of Defense, to present auditable financial \\n\",\" statements beginning not later than March 1, 1997. The \\n\",\" Department has not complied with this law.\\n\",\" (3) The Federal Financial Management Improvement Act of \\n\",\" 1996 (31 U.S.C. 3512 note) requires financial systems acquired \\n\",\" by the Federal Government, including the Department of Defense, \\n\",\" to be able to provide information to leaders to manage and \\n\",\" control the cost of Government. The Department has not complied \\n\",\" with this law.\\n\",\" (4) The financial management of the Department of Defense \\n\",\" has been on the ``High-Risk\\'\\' list of the Government \\n\",\" Accountability Office, which means that the Department is not \\n\",\" consistently able to ``control costs; ensure basic \\n\",\" accountability; anticipate future costs and claims on the \\n\",\" budget; measure performance; maintain funds control; [and] \\n\",\" prevent and detect fraud, waste, and abuse\\'\\'.\\n\",\" (5) The National Defense Authorization Act for Fiscal Year \\n\",\" 2002 (Public Law 107-107) requires the Secretary of Defense to \\n\",\" report to Congress annually on the reliability of the financial \\n\",\" statements of the Department of Defense, to minimize resources \\n\",\" spent on producing unreliable financial statements, and to use \\n\",\" resources saved to improve financial management policies, \\n\",\" procedures, and internal controls.\\n\",\" (6) In 2005, the Department of Defense created a Financial \\n\",\" Improvement and Audit Readiness (FIAR) Plan, overseen by a \\n\",\" directorate within the office of the Under Secretary of Defense \\n\",\" (Comptroller), to improve Department business processes with \\n\",\" the goal of producing timely, reliable, and accurate financial \\n\",\" information that could generate an audit-ready annual financial \\n\",\" statement. In December 2005, that directorate, known as the \\n\",\" FIAR Directorate, issued the first of a series of semiannual \\n\",\" reports on the status of the Financial Improvement and Audit \\n\",\" Readiness Plan.\\n\",\" (7) The National Defense Authorization Act for Fiscal Year \\n\",\" 2010 (Public Law 111-84) requires regular status reports on the \\n\",\" Financial Improvement and Audit Readiness Plan described in \\n\",\" paragraph (6), and codified as a statutory requirement the goal \\n\",\" of the Plan in ensuring that Department of Defense financial \\n\",\" statements are validated as ready for audit not later than \\n\",\" September 30, 2017. In addition, the National Defense \\n\",\" Authorization Act for Fiscal Year 2013 (Public Law 112-239) \\n\",\" requires that the statement of budgetary resources of the \\n\",\" Department of Defense be validated as ready for audit by not \\n\",\" later than September 30, 2014.\\n\",\" (8) At a September 2010 hearing of the Senate, the \\n\",\" Government Accountability Office stated that past expenditures \\n\",\" by the Department of Defense of $5,800,000,000 to improve \\n\",\" financial information, and billions of dollars more of \\n\",\" anticipated expenditures on new information technology systems \\n\",\" for that purpose, may not suffice to achieve full audit \\n\",\" readiness of the financial statement of the Department. At that \\n\",\" hearing, the Government Accountability Office could not predict \\n\",\" when the Department would achieve full audit readiness of such \\n\",\" statements.\\n\",\" (9) At a 2013 hearing of the Senate, Secretary of Defense \\n\",\" Chuck Hagel affirmed his commitment to audit-ready budget \\n\",\" statements for the Department of Defense by the end of 2014, \\n\",\" and stated that he ``will do everything he can to fulfill this \\n\",\" commitment\\'\\'. At that hearing, Secretary Hagel noted that \\n\",\" auditable financial statements were essential to the Department \\n\",\" not only for improving the quality of its financial \\n\",\" information, but also for reassuring the public and Congress \\n\",\" that it is a good steward of public funds.\\n\",\"\\n\",\"SEC. 3. CESSATION OF APPLICABILITY OF REPORTING REQUIREMENTS REGARDING \\n\",\" THE FINANCIAL STATEMENTS OF THE DEPARTMENT OF DEFENSE.\\n\",\"\\n\",\" (a) Cessation of Applicability.--\\n\",\" (1) Military departments.--The financial statements of a \\n\",\" military department shall cease to be covered by the reporting \\n\",\" requirements specified in subsection (b) upon the issuance of \\n\",\" an unqualified audit opinion on such financial statements.\\n\",\" (2) Department of defense.--The reporting requirements \\n\",\" specified in subsection (b) shall cease to be effective when an \\n\",\" unqualified audit opinion is issued on the financial statements \\n\",\" of the Department of Defense, including each of the military \\n\",\" departments and the other reporting entities defined by the \\n\",\" Office of Management and Budget.\\n\",\" (b) Reporting Requirements.--The reporting requirements specified \\n\",\"in this subsection are the following:\\n\",\" (1) The requirement for annual reports in section 892(b) of \\n\",\" the Ike Skelton National Defense Authorization Act for Fiscal \\n\",\" Year 2011 (Public Law 111-383; 124 Stat. 4311; 10 U.S.C. 2306a \\n\",\" note).\\n\",\" (2) The requirement for semi-annual reports in section \\n\",\" 1003(b) of the National Defense Authorization Act for Fiscal \\n\",\" Year 2010 (Public Law 111-84; 123 Stat. 2440; 10 U.S.C. 2222 \\n\",\" note).\\n\",\" (3) The requirement for annual reports in section 817(d) of \\n\",\" the Bob Stump National Defense Authorization Act for Fiscal \\n\",\" Year 2003 (10 U.S.C. 2306a note).\\n\",\" (4) The requirement for annual reports in section 1008(a) \\n\",\" of the National Defense Authorization Act for Fiscal Year 2002 \\n\",\" (Public Law 107-107; 115 Stat. 1204; 10 U.S.C. 113 note).\\n\",\" (5) The requirement for periodic reports in section 908(b) \\n\",\" of the Defense Acquisition Improvement Act of 1986 (Public Law \\n\",\" 99-500; 100 Stat. 1783-140; 10 U.S.C. 2326 note) and duplicate \\n\",\" requirements as provided for in section 6 of the Defense \\n\",\" Technical Corrections Act of 1987 (Public Law 100-26; 101 Stat. \\n\",\" 274; 10 U.S.C. 2302 note).\\n\",\"\\n\",\"SEC. 4. ENHANCED REPROGRAMMING AUTHORITY FOLLOWING ACHIEVEMENT BY \\n\",\" DEPARTMENT OF DEFENSE AND MILITARY DEPARTMENTS OF AUDIT \\n\",\" WITH UNQUALIFIED OPINION OF STATEMENT OF BUDGETARY \\n\",\" RESOURCES FOR FISCAL YEARS AFTER FISCAL YEAR 2015.\\n\",\"\\n\",\" (a) Department of Defense Generally.--Subject to section 6(1), if \\n\",\"the Department of Defense obtains an audit with an unqualified opinion \\n\",\"on its statement of budgetary resources for any fiscal year after \\n\",\"fiscal year 2015, the limitation on the total amount of authorizations \\n\",\"that the Secretary of Defense may transfer pursuant to general transfer \\n\",\"authority available to the Secretary in the national interest in the \\n\",\"succeeding fiscal year shall be $8,000,000,000.\\n\",\" (b) Military Departments, Defense Agencies, and Defense Field \\n\",\"Activities.--Subject to section 7(a), if a military department, Defense \\n\",\"Agency, or defense field activity obtains an audit with an unqualified \\n\",\"opinion on its statement of budgetary resources for any fiscal year \\n\",\"after fiscal year 2015, the thresholds for reprogramming of funds of \\n\",\"such military department, Defense Agency, or defense field activity, as \\n\",\"the case may be, without prior notice to Congress for the succeeding \\n\",\"fiscal year shall be deemed to be the thresholds as follows:\\n\",\" (1) In the case of an increase or decrease to the program \\n\",\" base amount for a procurement program, $60,000,000.\\n\",\" (2) In the case of an increase or decrease to the program \\n\",\" base amount for a research program, $30,000,000.\\n\",\" (3) In the case of an increase or decrease to the amount \\n\",\" for a budget activity for operation and maintenance, \\n\",\" $45,000,000.\\n\",\" (4) In the case of an increase or decrease to the amount \\n\",\" for a budget activity for military personnel, $30,000,000.\\n\",\" (c) Construction.--Nothing in this section shall be construed to \\n\",\"alter or revise any requirement (other than a threshold amount) for \\n\",\"notice to Congress on transfers covered by subsection (a) or \\n\",\"reprogrammings covered by subsection (b) under any other provision of \\n\",\"law.\\n\",\" (d) Definitions.--In this section, the terms ``program base \\n\",\"amount\\'\\', ``procurement program\\'\\', ``research program\\'\\', and ``budget \\n\",\"activity\\'\\' have the meanings given such terms in chapter 6 of volume 3 \\n\",\"of the Financial Management Regulation of the Department of Defense \\n\",\"(DoD 7000.14R), dated March 2011, or any successor document.\\n\",\"\\n\",\"SEC. 5. FAILURE TO OBTAIN AUDITS WITH UNQUALIFIED OPINION OF FISCAL \\n\",\" YEAR 2016 GENERAL FUND STATEMENT OF BUDGETARY RESOURCES \\n\",\" OF THE DEPARTMENT OF DEFENSE.\\n\",\"\\n\",\" (a) In General.--If the Department of Defense fails to obtain an \\n\",\"audit with an unqualified opinion on its general fund statement of \\n\",\"budgetary resources for fiscal year 2016 by December 31, 2016, the \\n\",\"following shall take effect on January 1, 2017:\\n\",\" (1) Additional qualifications and duties of usd \\n\",\" (comptroller).--\\n\",\" (A) Qualifications.--Any individual nominated for \\n\",\" appointment to the position of Under Secretary of \\n\",\" Defense (Comptroller) under section 135 of title 10, \\n\",\" United States Code, shall be an individual who has \\n\",\" served--\\n\",\" (i) as the chief financial officer or \\n\",\" equivalent position of a Federal or State \\n\",\" agency that has received an audit with an \\n\",\" unqualified opinion on such agency\\'s financial \\n\",\" statements during the time of such individual\\'s \\n\",\" service; or\\n\",\" (ii) as the chief financial officer or \\n\",\" equivalent position of a public company that \\n\",\" has received an audit with an unqualified \\n\",\" opinion on such company\\'s financial statements \\n\",\" during the time of such individual\\'s service.\\n\",\" (B) Duties and powers.--The duties and powers of \\n\",\" the individual serving as Under Secretary of Defense \\n\",\" (Comptroller) shall include, in addition to the duties \\n\",\" and powers specified in section 135(c) of title 10, \\n\",\" United States Code, such duties and powers with respect \\n\",\" to the financial management of the Department of \\n\",\" Defense as the Deputy Secretary of Defense (acting in \\n\",\" the capacity of Chief Management Officer of the \\n\",\" Department of Defense) or a successor official in the \\n\",\" Department of Defense (acting in such capacity) may \\n\",\" prescribe.\\n\",\" (2) Additional qualifications and responsibilities of asa \\n\",\" for financial management.--\\n\",\" (A) Qualifications.--Any individual nominated for \\n\",\" appointment to the position of Assistant Secretary of \\n\",\" the Army for Financial Management under section 3016 of \\n\",\" title 10, United States Code, shall be an individual \\n\",\" who has served--\\n\",\" (i) as the chief financial officer or \\n\",\" equivalent position of a Federal or State \\n\",\" agency that has received an audit with an \\n\",\" unqualified opinion on such agency\\'s financial \\n\",\" statements during the time of such individual\\'s \\n\",\" service; or\\n\",\" (ii) as the chief financial officer or \\n\",\" equivalent position of a public company that \\n\",\" has received an audit with an unqualified \\n\",\" opinion on such company\\'s financial statements \\n\",\" during the time of such individual\\'s service.\\n\",\" (B) Responsibilities.--The responsibilities of the \\n\",\" individual serving as Assistant Secretary of the Army \\n\",\" for Financial Management shall include, in addition to \\n\",\" the responsibilities specified in section 3016(b)(4) of \\n\",\" title 10, United States Code, such responsibilities as \\n\",\" the Deputy Secretary of Defense (acting in the capacity \\n\",\" of Chief Management Officer of the Department of \\n\",\" Defense) or a successor official in the Department of \\n\",\" Defense (acting in such capacity) may prescribe.\\n\",\" (3) Additional qualifications and responsibilities of asn \\n\",\" for financial management.--\\n\",\" (A) Qualifications.--Any individual nominated for \\n\",\" appointment to the position of Assistant Secretary of \\n\",\" the Navy for Financial Management under section 5016 of \\n\",\" title 10, United States Code, shall be an individual \\n\",\" who has served--\\n\",\" (i) as the chief financial officer or \\n\",\" equivalent position of a Federal or State \\n\",\" agency that has received an audit with an \\n\",\" unqualified opinion on such agency\\'s financial \\n\",\" statements during the time of such individual\\'s \\n\",\" service; or\\n\",\" (ii) as the chief financial officer or \\n\",\" equivalent position of a public company that \\n\",\" has received an audit with an unqualified \\n\",\" opinion on such company\\'s financial statements \\n\",\" during the time of such individual\\'s service.\\n\",\" (B) Responsibilities.--The responsibilities of the \\n\",\" individual serving as Assistant Secretary of the Navy \\n\",\" for Financial Management shall include, in addition to \\n\",\" the responsibilities specified in section 5016(b)(4) of \\n\",\" title 10, United States Code, such responsibilities as \\n\",\" the Deputy Secretary of Defense (acting in the capacity \\n\",\" of Chief Management Officer of the Department of \\n\",\" Defense) or a successor official in the Department of \\n\",\" Defense (acting in such capacity) may prescribe.\\n\",\" (4) Additional qualifications and responsibilities of asaf \\n\",\" for financial management.--\\n\",\" (A) Qualifications.--Any individual nominated for \\n\",\" appointment to the position of Assistant Secretary of \\n\",\" the Air Force for Financial Management under section \\n\",\" 8016 of title 10, United States Code, shall be an \\n\",\" individual who has served--\\n\",\" (i) as the chief financial officer or \\n\",\" equivalent position of a Federal or State \\n\",\" agency that has received an audit with an \\n\",\" unqualified opinion on such agency\\'s financial \\n\",\" statements during the time of such individual\\'s \\n\",\" service; or\\n\",\" (ii) as the chief financial officer or \\n\",\" equivalent position of a public company that \\n\",\" has received an audit with an unqualified \\n\",\" opinion on such company\\'s financial statements \\n\",\" during the time of such individual\\'s service.\\n\",\" (B) Responsibilities.--The responsibilities of the \\n\",\" individual serving as Assistant Secretary of the Air \\n\",\" Force for Financial Management shall include, in \\n\",\" addition to the responsibilities specified in section \\n\",\" 8016(b)(4) of title 10, United States Code, such \\n\",\" responsibilities as the Deputy Secretary of Defense \\n\",\" (acting in the capacity of Chief Management Officer of \\n\",\" the Department of Defense) or a successor official in \\n\",\" the Department of Defense (acting in such capacity) may \\n\",\" prescribe.\\n\",\" (b) Public Company Defined.--In this section, the term ``public \\n\",\"company\\'\\' has the meaning given the term ``issuer\\'\\' in section 2(a)(7) \\n\",\"of the Sarbanes-Oxley Act of 2002 (15 U.S.C. 7201(a)(7)).\\n\",\"\\n\",\"SEC. 6. FAILURE OF THE DEPARTMENT OF DEFENSE TO OBTAIN AUDITS WITH \\n\",\" UNQUALIFIED OPINION OF FISCAL YEAR 2018 FINANCIAL \\n\",\" STATEMENTS.\\n\",\"\\n\",\" If the Department of Defense fails to obtain an audit with an \\n\",\"unqualified opinion on its general fund statement of budgetary \\n\",\"resources for fiscal year 2018 by December 31, 2018:\\n\",\" (1) Permanent cessation of enhanced general transfer \\n\",\" authority.--Effective as of January 1, 2019, the authority in \\n\",\" section 4(a) shall cease to be available to the Department of \\n\",\" Defense for fiscal year 2018 and any fiscal year thereafter.\\n\",\" (2) Reorganization of responsibilities of chief management \\n\",\" officer.--Effective as of April 1, 2019:\\n\",\" (A) Position of chief management officer.--Section \\n\",\" 132a of title 10, United States Code, is amended to \\n\",\" read as follows:\\n\",\"``Sec. 132a. Chief Management Officer\\n\",\" ``(a) In General.--(1) There is a Chief Management Officer of the \\n\",\"Department of Defense, appointed from civilian life by the President, \\n\",\"by and with the advice and consent of the Senate.\\n\",\" ``(2) Any individual nominated for appointment as Chief Management \\n\",\"Officer shall be an individual who has--\\n\",\" ``(A) extensive executive level leadership and management \\n\",\" experience in the public or private sector;\\n\",\" ``(B) strong leadership skills;\\n\",\" ``(C) a demonstrated ability to manage large and complex \\n\",\" organizations; and\\n\",\" ``(D) a proven record in achieving positive operational \\n\",\" results.\\n\",\" ``(b) Powers and Duties.--The Chief Management Officer shall \\n\",\"perform such duties and exercise such powers as the Secretary of \\n\",\"Defense may prescribe.\\n\",\" ``(c) Service as Chief Management Officer.--(1) The Chief \\n\",\"Management Officer is the Chief Management Officer of the Department of \\n\",\"Defense.\\n\",\" ``(2) In serving as the Chief Management Officer of the Department \\n\",\"of Defense, the Chief Management Officer shall be responsible for the \\n\",\"management and administration of the Department of Defense with respect \\n\",\"to the following:\\n\",\" ``(A) The expenditure of funds, accounting, and finance.\\n\",\" ``(B) Procurement, including procurement of any enterprise \\n\",\" resource planning (ERP) system and any information technology \\n\",\" (IT) system that is a financial feeder system, human resources \\n\",\" system, or logistics system.\\n\",\" ``(C) Facilities, property, nonmilitary equipment, and \\n\",\" other resources.\\n\",\" ``(D) Strategic planning, annual performance planning, and \\n\",\" identification and tracking of performance measures.\\n\",\" ``(E) Internal audits and management analyses of the \\n\",\" programs and activities of the Department, including the \\n\",\" Defense Contract Audit Agency.\\n\",\" ``(F) Such other areas or matters as the Secretary of \\n\",\" Defense may designate.\\n\",\" ``(3) The head of the Defense Contract Audit Agency shall be under \\n\",\"the supervision of, and shall report directly to, the Chief Management \\n\",\"Officer.\\n\",\" ``(d) Precedence.--The Chief Management Officer takes precedence in \\n\",\"the Department of Defense after the Secretary of Defense and the Deputy \\n\",\"Secretary of Defense.\\'\\'.\\n\",\" (B) Conforming amendments.--\\n\",\" (i) Section 131(b) of title 10, United \\n\",\" States Code, is amended--\\n\",\" (I) by striking paragraph (3);\\n\",\" (II) by redesignating paragraph (2) \\n\",\" as paragraph (3); and\\n\",\" (III) by inserting after paragraph \\n\",\" (1) the following new paragraph (2):\\n\",\" ``(2) The Chief Management Officer of the Department of \\n\",\" Defense.\\'\\'.\\n\",\" (ii) Section 132 of such title is amended--\\n\",\" (I) by striking subsection (c); and\\n\",\" (II) by redesignating subsections \\n\",\" (d) and (e) as subsections (c) and (d), \\n\",\" respectively.\\n\",\" (iii) Section 133(e)(1) of such title is \\n\",\" amended by striking ``and the Deputy Secretary \\n\",\" of Defense\\'\\' and inserting ``, the Deputy \\n\",\" Secretary of Defense, and the Chief Management \\n\",\" Officer of the Department of Defense\\'\\'.\\n\",\" (iv) Such title is further amended by \\n\",\" inserting ``the Chief Management Officer of the \\n\",\" Department of Defense,\\'\\' after ``the Deputy \\n\",\" Secretary of Defense,\\'\\' each place it appears \\n\",\" in the provisions as follows:\\n\",\" (I) Section 133(e)(2).\\n\",\" (II) Section 134(c).\\n\",\" (v) Section 137a(d) of such title is \\n\",\" amended by striking ``the Secretaries of the \\n\",\" military departments,\\'\\' and all that follows \\n\",\" and inserting ``the Chief Management Officer of \\n\",\" the Department of Defense, the Secretaries of \\n\",\" the military departments, and the Under \\n\",\" Secretaries of Defense.\\'\\'.\\n\",\" (vi) Section 138(d) of such title is \\n\",\" amended by striking ``the Secretaries of the \\n\",\" military departments,\\'\\' and all that follows \\n\",\" through the period and inserting ``the Chief \\n\",\" Management Officer of the Department of \\n\",\" Defense, the Secretaries of the military \\n\",\" departments, the Under Secretaries of Defense, \\n\",\" and the Director of Defense Research and \\n\",\" Engineering.\\'\\'.\\n\",\" (C) Clerical amendment.--The table of sections at \\n\",\" the beginning of chapter 4 of such title is amended by \\n\",\" striking the item relating to section 132a and \\n\",\" inserting the following new item:\\n\",\"\\n\",\"``132a. Chief Management Officer.\\'\\'.\\n\",\" (D) Executive schedule.--Section 5313 of title 5, \\n\",\" United States Code, is amended by adding at the end the \\n\",\" following:\\n\",\" ``Chief Management Officer of the Department of Defense.\\'\\'.\\n\",\" (E) Reference in law.--Any reference in any \\n\",\" provision of law to the Chief Management Officer of the \\n\",\" Department of Defense shall be deemed to refer to the \\n\",\" Chief Management Officer of the Department of Defense \\n\",\" under section 132a of title 10, United States Code (as \\n\",\" amended by this paragraph).\\n\",\" (3) Jurisdiction of dfas.--Effective as of April 1, 2019:\\n\",\" (A) Transfer to department of the treasury.--\\n\",\" Jurisdiction of the Defense Finance and Accounting \\n\",\" Service (DFAS) is transferred from the Department of \\n\",\" Defense to the Department of the Treasury.\\n\",\" (B) Administration.--The Secretary of the Treasury \\n\",\" shall administer the Defense Finance and Accounting \\n\",\" Service following transfer under this paragraph through \\n\",\" the Financial Management Service of the Department of \\n\",\" the Treasury.\\n\",\" (C) Memorandum of understanding.--The Secretary of \\n\",\" Defense and the Secretary of the Treasury shall jointly \\n\",\" enter into a memorandum of understanding regarding the \\n\",\" transfer of jurisdiction of the Defense Finance and \\n\",\" Accounting Service under this paragraph. The memorandum \\n\",\" of understanding shall provide for the transfer of the \\n\",\" personnel and other resources of the Service to the \\n\",\" Department of the Treasury and for the assumption of \\n\",\" responsibility for such personnel and resources by the \\n\",\" Department of the Treasury.\\n\",\" (D) Construction.--Nothing in this paragraph shall \\n\",\" be construed as terminating, altering, or revising any \\n\",\" responsibilities or authorities of the Defense Finance \\n\",\" and Accounting Service (other than responsibilities and \\n\",\" authorities in connection with the exercise of \\n\",\" jurisdiction of the Service following transfer under \\n\",\" this paragraph).\\n\",\"\\n\",\"SEC. 7. FAILURE OF THE MILITARY DEPARTMENTS TO OBTAIN AUDITS WITH \\n\",\" UNQUALIFIED OPINION OF FINANCIAL STATEMENTS FOR FISCAL \\n\",\" YEARS AFTER FISCAL YEAR 2017.\\n\",\"\\n\",\" (a) Permanent Cessation of Authorities on Reprogramming of Funds.--\\n\",\"If a military department fails to obtain an audit with an unqualified \\n\",\"opinion on its financial statements for fiscal year 2018 by December \\n\",\"31, 2018, effective as of January 1, 2019, the authorities in section \\n\",\"4(b) shall cease to be available to the military department for fiscal \\n\",\"year 2018 and any fiscal year thereafter.\\n\",\" (b) Annual Prohibition on Expenditure of Funds for Certain MDAPs \\n\",\"Past Milestone B in Connection With Failure.--\\n\",\" (1) Prohibition.--Effective for fiscal years after fiscal \\n\",\" year 2017, if a military department fails to obtain an audit \\n\",\" with an unqualified opinion on its financial statements for any \\n\",\" fiscal year, effective as of the date of the issuance of the \\n\",\" opinion on such audit, amounts available to the military \\n\",\" department for the following fiscal year may not be obligated \\n\",\" by the military department for a weapon or weapon system or \\n\",\" platform being acquired as a major defense acquisition program \\n\",\" for any activity beyond Milestone B approval unless such \\n\",\" program has already achieved Milestone B approval of the date \\n\",\" of the issuance of the opinion on such audit.\\n\",\" (2) Definitions.--In this subsection:\\n\",\" (A) The term ``major defense acquisition program\\'\\' \\n\",\" has the meaning given that term in section 2430 of \\n\",\" title 10, United States Code.\\n\",\" (B) The term ``Milestone B approval\\'\\' has the \\n\",\" meaning given that term in section 2366(e)(7) of title \\n\",\" 10, United States Code.\\n\",\"\\n\",\"SEC. 8. ENTERPRISE RESOURCE PLANNING.\\n\",\"\\n\",\" The Secretary of Defense shall amend the acquisition guidance of \\n\",\"the Department of Defense to provide for the following:\\n\",\" (1) The Defense Business System Management Committee may \\n\",\" not approve procurement of any Enterprise Resource Planning \\n\",\" (ERP) business system that is independently estimated to take \\n\",\" longer than three years to procure from initial obligation of \\n\",\" funds to full deployment and sustainment.\\n\",\" (2) Any contract for the acquisition of an Enterprise \\n\",\" Resource Planning business system shall include a provision \\n\",\" authorizing termination of the contract at no cost to the \\n\",\" Government if procurement of the system takes longer than three \\n\",\" years from initial obligation of funds to full deployment and \\n\",\" sustainment.\\n\",\" (3) Any implementation of an Enterprise Resource Planning \\n\",\" system shall comply with each of the following:\\n\",\" (A) The current Business Enterprise Architecture \\n\",\" established by the Chief Management Officer of the \\n\",\" Department of Defense.\\n\",\" (B) The provisions of section 2222 of title 10, \\n\",\" United States Code.\\n\",\" (4) The Deputy Secretary of Defense (acting in the capacity \\n\",\" of Chief Management Officer of the Department of Defense) or a \\n\",\" successor official in the Department of Defense (acting in such \\n\",\" capacity) shall have the authority to replace any program \\n\",\" manager (whether in a military department or a Defense Agency) \\n\",\" for the procurement of an Enterprise Resource Planning business \\n\",\" system if procurement of the system takes longer than three \\n\",\" years from initial obligation of funds to full deployment and \\n\",\" sustainment.\\n\",\" (5) Any integrator contract for the implementation of an \\n\",\" Enterprise Resource Planning business system shall only be \\n\",\" awarded to companies that have a history of successful \\n\",\" implementation of other Enterprise Resource Planning business \\n\",\" systems for the Federal Government (whether with the Department \\n\",\" of Defense or another department or agency of the Federal \\n\",\" Government), including meeting cost and schedule goals.\\n\",\" <all>\\n\"}'" ] }, "execution_count": 132, "metadata": {}, "output_type": "execute_result" } ], "source": [ "X_test[9574]" ] }, { "cell_type": "code", "execution_count": 138, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "bill_num s327-114\n", "bill_name A bill to provide for auditable financial stat...\n", "bill_text {\"\\n\",\"[Congressional Bills 114th Congress]\\n\"...\n", "top_subject Armed forces and national security\n", "international_affairs 0\n", "marine_and_inland_water_transportation 0\n", "Name: 9574, dtype: object" ] }, "execution_count": 138, "metadata": {}, "output_type": "execute_result" } ], "source": [ "us_bills.ix[9574]" ] }, { "cell_type": "code", "execution_count": 133, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "5850 {\"\\n\",\"[Congressional Bills 114th Congress]\\n\"...\n", "2954 {\"\\n\",\"[Congressional Bills 114th Congress]\\n\"...\n", "8211 {\"\\n\",\"[Congressional Bills 114th Congress]\\n\"...\n", "8110 {\"\\n\",\"[Congressional Bills 114th Congress]\\n\"...\n", "3027 {\"\\n\",\"[Congressional Bills 114th Congress]\\n\"...\n", "7920 {\"\\n\",\"[Congressional Bills 114th Congress]\\n\"...\n", "7326 {\"\\n\",\"[Congressional Bills 114th Congress]\\n\"...\n", "9797 {\"\\n\",\"[Congressional Bills 114th Congress]\\n\"...\n", "443 {\"\\n\",\"[Congressional Bills 114th Congress]\\n\"...\n", "6484 {\"\\n\",\"[Congressional Bills 114th Congress]\\n\"...\n", "Name: bill_text, dtype: object" ] }, "execution_count": 133, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# first 10 false negatives (meaning they were incorrectly classified as 1-star reviews)\n", "X_test[y_test > y_pred_class][:10]" ] }, { "cell_type": "code", "execution_count": 136, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "'{\"\\n\",\"[Congressional Bills 114th Congress]\\n\",\"[From the U.S. Government Printing Office]\\n\",\"[H.R. 337 Introduced in House (IH)]\\n\",\"\\n\",\"114th CONGRESS\\n\",\" 1st Session\\n\",\" H. R. 337\\n\",\"\\n\",\" To provide limitations on maritime liens on fishing permits, and for \\n\",\" other purposes.\\n\",\"\\n\",\"\\n\",\"_______________________________________________________________________\\n\",\"\\n\",\"\\n\",\" IN THE HOUSE OF REPRESENTATIVES\\n\",\"\\n\",\" January 13, 2015\\n\",\"\\n\",\" Mr. Young of Alaska introduced the following bill; which was referred \\n\",\" to the Committee on Transportation and Infrastructure\\n\",\"\\n\",\"_______________________________________________________________________\\n\",\"\\n\",\" A BILL\\n\",\"\\n\",\"\\n\",\" \\n\",\" To provide limitations on maritime liens on fishing permits, and for \\n\",\" other purposes.\\n\",\"\\n\",\" Be it enacted by the Senate and House of Representatives of the \\n\",\"United States of America in Congress assembled,\\n\",\"\\n\",\"SECTION 1. SHORT TITLE.\\n\",\"\\n\",\" This Act may be cited as the ``Maritime Lien Reform Act\\'\\'.\\n\",\"\\n\",\"SEC. 2. LIMITATION ON MARITIME LIENS ON FISHING PERMIT AND PERMIT \\n\",\" DESCRIPTION.\\n\",\"\\n\",\" (a) In General.--Subchapter I of chapter 313 of title 46, United \\n\",\"States Code, is amended by adding at the end the following:\\n\",\"``Sec. 31310. Limitation on maritime liens on fishing permit and permit \\n\",\" description\\n\",\" ``(a) In General.--This chapter--\\n\",\" ``(1) does not establish a maritime lien on a permit that--\\n\",\" ``(A) authorizes a person or use of a vessel to \\n\",\" engage in fishing; and\\n\",\" ``(B) is issued under State or Federal law; and\\n\",\" ``(2) does not authorize any civil action to enforce a \\n\",\" maritime lien on such a permit.\\n\",\" ``(b) Fishing Permit Described.--A fishing permit--\\n\",\" ``(1) is governed solely by the State or Federal law under \\n\",\" which it was issued; and\\n\",\" ``(2) is not included in the whole of a vessel or as an \\n\",\" appurtenance or intangible of a vessel for any purpose.\\n\",\" ``(c) Limitation on Statutory Construction.--Nothing in subsections \\n\",\"(a) and (b) shall be construed as imposing any limitation upon the \\n\",\"authority of the Secretary of Commerce to modify, suspend, revoke, or \\n\",\"sanction any Federal fishery permit issued by the Secretary of Commerce \\n\",\"or to bring a civil action to enforce such modification, suspension, \\n\",\"revocation, or sanction.\\'\\'.\\n\",\" (b) Clerical Amendment.--The table of sections at the beginning of \\n\",\"such chapter is amended by inserting after the item relating to section \\n\",\"31309 the following:\\n\",\"\\n\",\"``31310. Limitation on maritime liens on fishing permit and permit \\n\",\" description.\\'\\'.\\n\",\" <all>\\n\"}'" ] }, "execution_count": 136, "metadata": {}, "output_type": "execute_result" } ], "source": [ "X_test[2954]" ] }, { "cell_type": "code", "execution_count": 137, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "bill_num hr337-114\n", "bill_name To provide limitations on maritime liens on fi...\n", "bill_text {\"\\n\",\"[Congressional Bills 114th Congress]\\n\"...\n", "top_subject Transportation and public works\n", "international_affairs 0\n", "marine_and_inland_water_transportation 1\n", "Name: 2954, dtype: object" ] }, "execution_count": 137, "metadata": {}, "output_type": "execute_result" } ], "source": [ "us_bills.ix[2954]" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": 144, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "(8199, 72284)" ] }, "execution_count": 144, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# don't convert to lowercase, we end up with more features\n", "vect = CountVectorizer(lowercase=False)\n", "X_train_dtm = vect.fit_transform(X_train)\n", "X_train_dtm.shape" ] }, { "cell_type": "code", "execution_count": 145, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "(8199, 1436064)" ] }, "execution_count": 145, "metadata": {}, "output_type": "execute_result" } ], "source": [ "# include 1-grams and 2-grams, we end up with many features\n", "vect = CountVectorizer(lowercase=False, ngram_range=(1, 2),stop_words='english')\n", "X_train_dtm = vect.fit_transform(X_train)\n", "X_train_dtm.shape" ] }, { "cell_type": "code", "execution_count": 146, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "0.984637893197\n" ] } ], "source": [ "# create document-term matrices\n", "X_train_dtm = vect.fit_transform(X_train)\n", "X_test_dtm = vect.transform(X_test)\n", "\n", "# use Naive Bayes to predict the star rating\n", "nb = MultinomialNB()\n", "nb.fit(X_train_dtm, y_train)\n", "y_pred_class = nb.predict(X_test_dtm)\n", "\n", "# calculate accuracy\n", "print metrics.accuracy_score(y_test, y_pred_class)" ] }, { "cell_type": "code", "execution_count": 147, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# predict class probabilities\n", "y_pred_prob = nb.predict_proba(X_test_dtm)[:, 1]" ] }, { "cell_type": "code", "execution_count": 148, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[[2680 19]\n", " [ 23 12]]\n" ] } ], "source": [ "print metrics.confusion_matrix(y_test, y_pred_class)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python [insight]", "language": "python", "name": "Python [insight]" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.12" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
diegocavalca/Studies
phd-thesis/benchmarkings/am207-NILM-project-master/CO.ipynb
2
216158
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Karen Yu, Nick Vasios, Thibaut Perol\n", "\n", "# AM207 Final Project\n", "\n", "## Energy Disaggregation from Non-Intrusive Load Monitoring" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# DISAGGREGATION USING COMBINATORIAL OPTIMIZATION" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Importing Necessary Packages" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [], "source": [ "from __future__ import print_function, division\n", "\n", "import numpy as np\n", "import pandas as pd\n", "from os.path import join\n", "import pickle\n", "import copy\n", "\n", "from pylab import rcParams\n", "import matplotlib.pyplot as plt\n", "%matplotlib inline\n", "rcParams['figure.figsize'] = (13, 6)\n", "import nilmtk\n", "from nilmtk import DataSet, TimeFrame, MeterGroup, HDFDataStore\n", "from nilmtk.utils import print_dict,find_nearest\n", "from nilmtk.feature_detectors import cluster\n", "from nilmtk.disaggregate import Disaggregator\n", "from nilmtk.electric import get_vampire_power\n", "from nilmtk.metrics import f1_score\n", "\n", "import warnings\n", "from warnings import warn\n", "warnings.filterwarnings(\"ignore\")\n", "import seaborn as sns\n", "# sns.set_style(\"white\")\n", "\n", "# Fix the seed for repeatability of experiments\n", "SEED = 42\n", "np.random.seed(SEED)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## The Heart of the Notebook: The Combinatorial Optimization class" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [], "source": [ "class CombinatorialOptimisation(Disaggregator):\n", " \"\"\"\n", " \n", " A Combinatorial Optimization Algorithm based on the implementation by NILMTK\n", " \n", " This class is build upon the main Dissagregator class already implemented by NILMTK\n", " All the methods from Dissagregator are passed in here as well since we import the class\n", " as shown above. We should note howeger that Dissagregator is nothing more than a general interface\n", " class upon which all dissagregator algortihms are build. All the methods are initialized in the \n", " Dissagregator class but the specific implementation is based upon the method to be implemented. \n", " In other words, even though we pass in Dissagregator, all methods will be redefined again to work with \n", " the Combinatorial Optimization algorithm as you can see below. \n", "\n", " \n", " Attributes\n", " ----------\n", " model : list of dicts\n", " Each dict has these keys:\n", " states : list of ints (the power (Watts) used in different states)\n", " training_metadata : ElecMeter or MeterGroup object used for training\n", " this set of states. We need this information because we\n", " need the appliance type (and perhaps some other metadata)\n", " for each model.\n", "\n", " state_combinations : 2D array\n", " Each column is an appliance.\n", " Each row is a possible combination of power demand values e.g.\n", " [[0, 0, 0, 0],\n", " [0, 0, 0, 100],\n", " [0, 0, 50, 0],\n", " [0, 0, 50, 100], ...]\n", "\n", " MIN_CHUNK_LENGTH : int\n", " \"\"\"\n", "\n", " def __init__(self):\n", " self.model = []\n", " self.state_combinations = None\n", " self.MIN_CHUNK_LENGTH = 100\n", " self.MODEL_NAME = 'Combinatorial Optimization'\n", "\n", " def train(self, metergroup, num_states_dict=None, **load_kwargs):\n", " \"\"\"\n", " Train using 1D CO. Places the learnt model in the `model` attribute.\n", "\n", " Parameters\n", " ----------\n", " metergroup : a nilmtk.MeterGroup object\n", " num_states_dict : dict\n", " **load_kwargs : keyword arguments passed to `meter.power_series()`\n", "\n", " Notes\n", " -----\n", " * only uses first chunk for each meter (TODO: handle all chunks).\n", " \"\"\"\n", " \n", " # Initializing dictionary to save the number of states\n", " if num_states_dict is None:\n", " num_states_dict = {}\n", "\n", " # The CO class is only able to train in new models. We can only train once. If model exists, raise an error\n", " if self.model:\n", " raise RuntimeError(\n", " \"This implementation of Combinatorial Optimisation\"\n", " \" does not support multiple calls to `train`.\")\n", "\n", " # How many meters do we have in the training set?\n", " num_meters = len(metergroup.meters)\n", " \n", " # If more than 20 then reduce the number of clusters to reduce the computational cost. \n", " if num_meters > 20:\n", " max_num_clusters = 2\n", " else:\n", " max_num_clusters = 3\n", " \n", " print('Now training...')\n", " print('Loop in all meters begins...')\n", " \n", " # We now loop in all meters passed in in the training data set\n", " # Every time, we load the data in the meter and we call the method\n", " # --> train_on_chunk. For more info about this method please see below\n", " for i, meter in enumerate(metergroup.submeters().meters):\n", " \n", " #print('We now train for submeter {}'.format(meter))\n", " \n", " # Load the time series for the power consumption for this meter\n", " power_series = meter.power_series(**load_kwargs)\n", " \n", " # Note that we do not effectively load until we use the next() method\n", " # We load and save into chunk. Chunk will be used in training\n", " chunk = power_series.next()\n", " \n", " # Get the number of total states from the dictionary\n", " num_total_states = num_states_dict.get(meter)\n", " if num_total_states is not None:\n", " num_on_states = num_total_states - 1\n", " else:\n", " num_on_states = None\n", " \n", " #print('i={},num_total_states={},num_on_states={}'.format(i,meter,num_total_states,num_on_states)) \n", " \n", " # The actual training happens now. We call train_on_chunk using the time series we loaded on chunk for this meter\n", " self.train_on_chunk(chunk, meter, max_num_clusters, num_on_states)\n", "\n", " # Check to see if there are any more chunks.\n", " try:\n", " power_series.next()\n", " except StopIteration:\n", " pass\n", " else:\n", " warn(\"The current implementation of CombinatorialOptimisation\"\n", " \" can only handle a single chunk. But there are multiple\"\n", " \" chunks available. So have only trained on the\"\n", " \" first chunk!\")\n", "\n", " print(\"Done training!\")\n", "\n", " def train_on_chunk(self, chunk, meter, max_num_clusters, num_on_states):\n", " \"\"\"\n", " \n", " Train on chunk trains the Combinatorial Optimization Model based on the time series for the power consumption\n", " passed in chunk. This method is based on the sklearn machine learning library and in particular the KMEANS \n", " algorithm. It calls the cluster function which is imported in the beginning of this notebook. Cluster, prepares \n", " the data in chunk so that its size is always compatible and the same and then calls the KMEANS algorithm to \n", " perform the clustering. Function cluster returns only the centers of the clustered data which correspond to the\n", " individual states for the given appliance/meter \n", " \"\"\"\n", " \n", " # Check if we've already trained on this meter. We only allow training once on each meter\n", " meters_in_model = [d['training_metadata'] for d in self.model]\n", " if meter in meters_in_model:\n", " raise RuntimeError(\n", " \"Meter {} is already in model!\"\n", " \" Can't train twice on the same meter!\"\n", " .format(meter))\n", "\n", " # Do the KMEANS clustering and return the centers\n", " states = cluster(chunk, max_num_clusters, num_on_states)\n", " print('\\t Now Clustering in Train on Chunk')\n", " #print('\\t {}'.format(states))\n", " \n", " # Append the clustered data to the model\n", " self.model.append({\n", " 'states': states,\n", " 'training_metadata': meter})\n", "\n", " def _set_state_combinations_if_necessary(self):\n", " \"\"\"Get centroids\"\"\"\n", " # If we import sklearn at the top of the file then auto doc fails.\n", " if (self.state_combinations is None or\n", " self.state_combinations.shape[1] != len(self.model)):\n", " \n", " from sklearn.utils.extmath import cartesian\n", " \n", " # Saving the centroids in centroids (appliance states)\n", " centroids = [model['states'] for model in self.model]\n", " # Function cartesian returns all possible combinations \n", " # than can be performed using centroids\n", " self.state_combinations = cartesian(centroids)\n", " print()\n", " #print('Now printing the state combinations...')\n", " #print(cartesian(centroids))\n", "\n", " def disaggregate(self, mains, output_datastore,\n", " vampire_power=None, **load_kwargs):\n", " '''Disaggregate mains according to the model learnt previously.\n", "\n", " Parameters\n", " ----------\n", " mains : nilmtk.ElecMeter or nilmtk.MeterGroup\n", " output_datastore : instance of nilmtk.DataStore subclass\n", " For storing power predictions from disaggregation algorithm.\n", " vampire_power : None or number (watts)\n", " If None then will automatically determine vampire power\n", " from data. If you do not want to use vampire power then\n", " set vampire_power = 0.\n", " sample_period : number, optional\n", " The desired sample period in seconds. Set to 60 by default.\n", " sections : TimeFrameGroup, optional\n", " Set to mains.good_sections() by default.\n", " **load_kwargs : key word arguments\n", " Passed to `mains.power_series(**kwargs)`\n", " '''\n", " \n", " # Performing default pre disaggregation checks. Checking meters etc..\n", " load_kwargs = self._pre_disaggregation_checks(load_kwargs)\n", "\n", " # Disaggregation defauls. Sample perios and sections\n", " load_kwargs.setdefault('sample_period', 60)\n", " load_kwargs.setdefault('sections', mains.good_sections())\n", "\n", " # Initializing time frames and fetching the meter for the aggregated data\n", " timeframes = []\n", " building_path = '/building{}'.format(mains.building())\n", " mains_data_location = building_path + '/elec/meter1'\n", " data_is_available = False\n", " \n", " # We now load the aggregated data for power consumption of the whole house in small chunks\n", " # Every iteration of the following loop we perform the CO step to disaggregate\n", " \n", " counter = 0\n", " print('Disaggregation now begins...')\n", " for chunk in mains.power_series(**load_kwargs):\n", " counter += 1\n", " # Check that chunk is sensible size\n", " if len(chunk) < self.MIN_CHUNK_LENGTH:\n", " continue\n", " \n", " print('\\t Now processing chunk {}...'.format(counter))\n", "\n", " # Record metadata\n", " timeframes.append(chunk.timeframe)\n", " measurement = chunk.name\n", "\n", " # This is where the disaggregation happens\n", " # Vampire Power is just the minimum of the power series in this chunk\n", " appliance_powers = self.disaggregate_chunk(chunk, vampire_power)\n", "\n", " # Here we save the disaggregated data for this chunk in Pandas dataframe and update the \n", " # HDF5 file we created.\n", " for i, model in enumerate(self.model):\n", " # Fetch the disag data for this appliance\n", " appliance_power = appliance_powers[i]\n", " if len(appliance_power) == 0:\n", " continue\n", " data_is_available = True\n", " \n", " # Just for saving.. Nothing major happening here\n", " cols = pd.MultiIndex.from_tuples([chunk.name])\n", " meter_instance = model['training_metadata'].instance()\n", " df = pd.DataFrame(\n", " appliance_power.values, index=appliance_power.index,\n", " columns=cols)\n", " key = '{}/elec/meter{}'.format(building_path, meter_instance)\n", " output_datastore.append(key, df)\n", "\n", " # Copy mains data to disag output\n", " mains_df = pd.DataFrame(chunk, columns=cols)\n", " output_datastore.append(key=mains_data_location, value=mains_df)\n", "\n", " if data_is_available:\n", " self._save_metadata_for_disaggregation(\n", " output_datastore=output_datastore,\n", " sample_period=load_kwargs['sample_period'],\n", " measurement=measurement,\n", " timeframes=timeframes,\n", " building=mains.building(),\n", " meters=[d['training_metadata'] for d in self.model]\n", " )\n", " \n", " print('Disaggregation Completed Successfully...!!!')\n", "\n", " def disaggregate_chunk(self, mains, vampire_power=None):\n", " \"\"\"In-memory disaggregation.\n", "\n", " Parameters\n", " ----------\n", " mains : pd.Series\n", " vampire_power : None or number (watts)\n", " If None then will automatically determine vampire power\n", " from data. If you do not want to use vampire power then\n", " set vampire_power = 0.\n", "\n", " Returns\n", " -------\n", " appliance_powers : pd.DataFrame where each column represents a\n", " disaggregated appliance. Column names are the integer index\n", " into `self.model` for the appliance in question.\n", " \"\"\"\n", " if not self.model:\n", " raise RuntimeError(\n", " \"The model needs to be instantiated before\"\n", " \" calling `disaggregate`. The model\"\n", " \" can be instantiated by running `train`.\")\n", "\n", " if len(mains) < self.MIN_CHUNK_LENGTH:\n", " raise RuntimeError(\"Chunk is too short.\")\n", "\n", " # sklearn produces lots of DepreciationWarnings with PyTables\n", " import warnings\n", " warnings.filterwarnings(\"ignore\", category=DeprecationWarning)\n", "\n", " # Because CombinatorialOptimisation could have been trained using\n", " # either train() or train_on_chunk(), we must\n", " # set state_combinations here.\n", " self._set_state_combinations_if_necessary()\n", "\n", " # Add vampire power to the model (Min of power series of the aggregated data)\n", " if vampire_power is None:\n", " vampire_power = get_vampire_power(mains)\n", " if vampire_power > 0:\n", " print()\n", " #print(\"Including vampire_power = {} watts to model...\".format(vampire_power))\n", " \n", " # How many combinations\n", " n_rows = self.state_combinations.shape[0]\n", " vampire_power_array = np.zeros((n_rows, 1)) + vampire_power\n", " state_combinations = np.hstack(\n", " (self.state_combinations, vampire_power_array))\n", " else:\n", " state_combinations = self.state_combinations\n", "\n", " summed_power_of_each_combination = np.sum(state_combinations, axis=1)\n", " # summed_power_of_each_combination is now an array where each\n", " # value is the total power demand for each combination of states.\n", "\n", " # Start disaggregation\n", " \n", " # The following line finds the best combination from all the possible combinations\n", " # Returns the index to find the best combination as well as the residual\n", " # Uses the Find_Nearest algorithm\n", " indices_of_state_combinations, residual_power = find_nearest(\n", " summed_power_of_each_combination, mains.values)\n", "\n", " # Now update the state for each appliance with the optimal one and return the list\n", " # as Dataframe\n", " appliance_powers_dict = {}\n", " for i, model in enumerate(self.model):\n", " #print()\n", " #print(\"Estimating power demand for '{}'\".format(model['training_metadata']))\n", " predicted_power = state_combinations[\n", " indices_of_state_combinations, i].flatten()\n", " column = pd.Series(predicted_power, index=mains.index, name=i)\n", " appliance_powers_dict[i] = column\n", "\n", " appliance_powers = pd.DataFrame(appliance_powers_dict)\n", " return appliance_powers\n", "\n", " # The current implementation of the CO does not make use of the following 2 functions.\n", " #\n", " #\n", " # -------------------------------------------------------------------------------------\n", " def import_model(self, filename):\n", " imported_model = pickle.load(open(filename, 'r'))\n", " self.model = imported_model.model\n", " # recreate datastores from filenames\n", " for pair in self.model:\n", " pair['training_metadata'].store = HDFDataStore(\n", " pair['training_metadata'].store)\n", " self.state_combinations = imported_model.state_combinations\n", " self.MIN_CHUNK_LENGTH = imported_model.MIN_CHUNK_LENGTH\n", "\n", " def export_model(self, filename):\n", " # Can't pickle datastore, so convert to filenames\n", " exported_model = copy.deepcopy(self)\n", " for pair in exported_model.model:\n", " pair['training_metadata'].store = (\n", " pair['training_metadata'].store.store.filename)\n", " pickle.dump(exported_model, open(filename, 'wb'))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Importing and Loading the REDD dataset" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "loaded 6 buildings\n" ] } ], "source": [ "data_dir = '\\Users\\Nick\\Google Drive\\PhD\\Courses\\Semester 2\\AM207\\Project'\n", "we = DataSet(join(data_dir, 'REDD.h5'))\n", "print('loaded ' + str(len(we.buildings)) + ' buildings')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We want to train the Combinatorial Optimization Algorithm using the data for 5 buildings and then test it against the last building. To simplify our analysis and also to enable comparison with other methods (Neural Nets, FHMM, MLE etc) we will only try to dissagregate data associated with the fridge and the microwave. However, the REDD dataset that we are using here does not contain data measurements for the fridge and microwave for all buildings. In particular, building 4 does not have measurements for the fridge. As a result, we will exclude building 4 from the dataset and we will only import the meters associated with the fridge from other buildings. \n", "\n", "The train data set will consist of meters associated with the fridge and microwave from buildings 1,2,3 and 6. We will then test the combinatorial optimization algorithm against the aggregated data for building 5. \n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We first plot the time window span for all buildings" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Timeframe for building 1 is TimeFrame(start='2011-04-18 09:22:09-04:00', end='2011-05-24 15:57:02-04:00', empty=False)\n", "Timeframe for building 2 is TimeFrame(start='2011-04-17 19:18:27-04:00', end='2011-05-22 19:59:16-04:00', empty=False)\n", "Timeframe for building 3 is TimeFrame(start='2011-04-16 01:11:27-04:00', end='2011-05-30 20:19:54-04:00', empty=False)\n", "Timeframe for building 4 is TimeFrame(start='2011-04-16 21:16:19-04:00', end='2011-06-03 20:45:45-04:00', empty=False)\n", "Timeframe for building 5 is TimeFrame(start='2011-04-18 00:24:03-04:00', end='2011-05-31 20:20:20-04:00', empty=False)\n", "Timeframe for building 6 is TimeFrame(start='2011-05-21 15:39:19-04:00', end='2011-06-14 01:31:44-04:00', empty=False)\n" ] } ], "source": [ "for i in xrange(1,7):\n", " print('Timeframe for building {} is {}'.format(i,we.buildings[i].elec.get_timeframe())) " ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Unfortunately, due to a bug in one of the main classes of the NILMTK package the implementation of the Combinatorial Optimization do not save the meters for the disaggregated data correctly unless the building on which we test on also exists in the trainihg set. More on this issue can be found here https://github.com/nilmtk/nilmtk/issues/194" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "However, for us it makes no sense to use the same building for training and testing since we would like to compare this algorithm with the results from FHMM and Neural Networks. In order to circumvent this bug we do the following:\n", "\n", "The main issue is that the meter for the building we would like to disaggregate must be on the training set in order to be able to disaggregate correctly. That being said, we still want to train as less as possible on the meter we want to test on since we would like to see how the algorithm performs when a completely unknown dataset is available. In order to do that we create a metergroup comprising of the following:\n", "\n", "1) The meters for the Frigde and Microwave for all buildings but building 5, since building 5 is the building we would like to test on. Later we will see that building 4 needs to be excluded as well because there is no meter associated with the fridge for this building. \n", "\n", "2) The meters for the Frigde and Microwave for building 5 which is the building we would like to test on, but we limit the time window to be a very very small one. Doing that, we make sure that the meters are there and understood by the Combinatorial Optimization Class but at the same time, by limiting the time window to just a few housrd for this building do not provide enough data to overtrain. In other words, we only do this in order to be able to disaggregate correctly. \n", "\n", "After we train we will test the algorithm against the data from building 5 that werent fed into the training meters. After we disaggregate we will compare with the ground truth for the same exact window. " ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Modifying Datasets to work with CO" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ " Found 6 buildings in the Data Ser.. Buildings Loaded successfully.\n" ] } ], "source": [ "# Data file directory\n", "data_dir = '\\Users\\Nick\\Google Drive\\PhD\\Courses\\Semester 2\\AM207\\Project'\n", "\n", "# Make the Data set\n", "Data = DataSet(join(data_dir, 'REDD.h5'))\n", "\n", "# Make copies of the Data Set so that local changes would not affect the global dataset\n", "Data_for_5 = DataSet(join(data_dir, 'REDD.h5'))\n", "Data_for_rest = DataSet(join(data_dir, 'REDD.h5'))\n", "\n", "# How many buildings in the data set?\n", "print(' Found {} buildings in the Data Ser.. Buildings Loaded successfully.'.format(len(Data.buildings)))" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.axes._subplots.AxesSubplot at 0xe1b8f98>" ] }, "execution_count": 6, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAfMAAAFKCAYAAAAJyrb2AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0xb789780>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "# This is the point that we will break the data from building 5 so that we only include a small \n", "# portion in the training set. In fact, the line below makes sure than only a day of data is seen during training.\n", "break_point = '2011-04-19 02:00'\n", "\n", "# Changing the window for building 5\n", "Data_for_5.set_window(end=break_point)\n", "\n", "# Making a metergroup..\n", "e = [Data_for_5.buildings[5].elec[a] for a in ['fridge','microwave']]\n", "me = MeterGroup(e)\n", "\n", "# The data that we pass in for training for building 5 look like this...\n", "me.plot()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Creating MeterGroups with the desired appliances from the desired buildings" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Below we define a function tha is able to create a metergroup that only includes meters for the appliances that we are interested in and is also able to exclude buildings that we don't want in the meter. Also, if an appliance is requested but a meter is not found then the meter is skipped but the metergoup is created nontheless." ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false }, "outputs": [], "source": [ "def get_all_trainings(appliance, dataset, buildings_to_exclude):\n", "\n", " # Filtering by appliances: \n", " elecs = []\n", " for app in appliance:\n", " app_l = [app]\n", " print ('Now loading data for ' + app + ' for all buildings in the data to create the metergroup')\n", " print()\n", " for building in dataset.buildings: \n", " if building not in buildings_to_exclude:\n", " print ('Processing Building ' + str(building) + '...')\n", " print()\n", " try:\n", " elec = dataset.buildings[building].elec[app] \n", " \n", " elecs.append(elec)\n", "\n", " except KeyError:\n", " print ('Appliance '+str(app)+' does not exist in this building')\n", " print ('Building skipped...')\n", " print ()\n", " \n", "\n", " metergroup = MeterGroup(elecs)\n", "\n", " return metergroup" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Now we set the appliances that we want as well as the buildings to exclude and we create the metergroup" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Now loading data for fridge for all buildings in the data to create the metergroup\n", "\n", "Processing Building 1...\n", "\n", "Processing Building 2...\n", "\n", "Processing Building 3...\n", "\n", "Processing Building 6...\n", "\n", "Now loading data for microwave for all buildings in the data to create the metergroup\n", "\n", "Processing Building 1...\n", "\n", "Processing Building 2...\n", "\n", "Processing Building 3...\n", "\n", "Processing Building 6...\n", "\n", "Appliance microwave does not exist in this building\n", "Building skipped...\n", "\n", "Now printing the Meter Group...\n", "\n", "MeterGroup(meters=\n", " ElecMeter(instance=5, building=1, dataset='REDD', appliances=[Appliance(type='fridge', instance=1)])\n", " ElecMeter(instance=9, building=2, dataset='REDD', appliances=[Appliance(type='fridge', instance=1)])\n", " ElecMeter(instance=7, building=3, dataset='REDD', appliances=[Appliance(type='fridge', instance=1)])\n", " ElecMeter(instance=8, building=6, dataset='REDD', appliances=[Appliance(type='fridge', instance=1)])\n", " ElecMeter(instance=11, building=1, dataset='REDD', appliances=[Appliance(type='microwave', instance=1)])\n", " ElecMeter(instance=6, building=2, dataset='REDD', appliances=[Appliance(type='microwave', instance=1)])\n", " ElecMeter(instance=16, building=3, dataset='REDD', appliances=[Appliance(type='microwave', instance=1)])\n", ")\n" ] } ], "source": [ "applianceName = ['fridge','microwave']\n", "buildings_to_exclude = [4,5]\n", "\n", "metergroup = get_all_trainings(applianceName,Data_for_rest,buildings_to_exclude)\n", "\n", "print('Now printing the Meter Group...')\n", "print()\n", "print(metergroup)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "As we can see the Metergroup was successfully created and contains all the appliances we requested (Fridge and Microwave) in all buildings that the appliances exist apart from the ones we excluded" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Correcting the MeterGroup (Necessary for the CO to work)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Now we need to perform the trick we mentioned previously. We need to also include the meter from building 5 with the Fridge and Microwave which is the building we are going to test on but we need to make sure that only a very small portion of the data is seen for this building. We already took care of that by changing the window for the data in building 5 so now we only have to include the meters for the Fridge and Microwave for building 5 from the reduced time dataset" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def correct_meter(Data,building,appliance,oldmeter):\n", " \n", " # Unpack meters from the MeterGroup\n", " meters = oldmeter.all_meters()\n", " \n", " # Get the rest of the meters and append\n", " for a in appliance:\n", " meter_to_add = Data.buildings[building].elec[a]\n", " meters.append(meter_to_add)\n", " \n", " # Group again in a single metergroup and return\n", " return MeterGroup(meters) " ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": false }, "outputs": [], "source": [ "corr_metergroup = correct_meter(Data_for_5,5,applianceName,metergroup)" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "The Modified Meter is now..\n", "\n", "MeterGroup(meters=\n", " ElecMeter(instance=5, building=1, dataset='REDD', appliances=[Appliance(type='fridge', instance=1)])\n", " ElecMeter(instance=9, building=2, dataset='REDD', appliances=[Appliance(type='fridge', instance=1)])\n", " ElecMeter(instance=7, building=3, dataset='REDD', appliances=[Appliance(type='fridge', instance=1)])\n", " ElecMeter(instance=8, building=6, dataset='REDD', appliances=[Appliance(type='fridge', instance=1)])\n", " ElecMeter(instance=11, building=1, dataset='REDD', appliances=[Appliance(type='microwave', instance=1)])\n", " ElecMeter(instance=6, building=2, dataset='REDD', appliances=[Appliance(type='microwave', instance=1)])\n", " ElecMeter(instance=16, building=3, dataset='REDD', appliances=[Appliance(type='microwave', instance=1)])\n", " ElecMeter(instance=18, building=5, dataset='REDD', appliances=[Appliance(type='fridge', instance=1)])\n", " ElecMeter(instance=3, building=5, dataset='REDD', appliances=[Appliance(type='microwave', instance=1)])\n", ")\n" ] } ], "source": [ "print('The Modified Meter is now..')\n", "print()\n", "print(corr_metergroup)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "As we can see the metergroup was updated successfully" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Training" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We now need to train in the Metergroup we just created. First, let us load the class for the CO" ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Train\n", "co = CombinatorialOptimisation()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Now Let's train" ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Now training...\n", "Loop in all meters begins...\n", "\t Now Clustering in Train on Chunk\n", "\t Now Clustering in Train on Chunk\n", "\t Now Clustering in Train on Chunk\n", "\t Now Clustering in Train on Chunk\n", "\t Now Clustering in Train on Chunk\n", "\t Now Clustering in Train on Chunk\n", "\t Now Clustering in Train on Chunk\n", "\t Now Clustering in Train on Chunk\n", "\t Now Clustering in Train on Chunk\n", "Done training!\n" ] } ], "source": [ "co.train(corr_metergroup)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Preparing the Testing Data" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Now that the training is done, the only thing that we have to do is to prepare the Data for Building 5 that we want to test on and call the Disaggregation. The data set is now the remaining part of building 5 that is not seen. After that, we only keep the Main meter which contains ifrormation about the aggregated data consumption and we disaggregate." ] }, { "cell_type": "code", "execution_count": 14, "metadata": { "collapsed": false }, "outputs": [], "source": [ "Test_Data = DataSet(join(data_dir, 'REDD.h5'))\n", "Test_Data.set_window(start=break_point)" ] }, { "cell_type": "code", "execution_count": 15, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# The building number on which we test\n", "building_for_testing = 5\n", "\n", "test = Test_Data.buildings[building_for_testing].elec" ] }, { "cell_type": "code", "execution_count": 16, "metadata": { "collapsed": false }, "outputs": [], "source": [ "mains = test.mains()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Disaggregating the test data" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The disaggregation Begins Now" ] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Disaggregation now begins...\n", "Loading data for meter ElecMeterID(instance=2, building=5, dataset='REDD') \n", "Done loading data all meters for this chunk.\n", "Loading data for meter ElecMeterID(instance=2, building=5, dataset='REDD') \n", "Done loading data all meters for this chunk.\n", "\t Now processing chunk 2...\n", "\n", "\n", "Loading data for meter ElecMeterID(instance=2, building=5, dataset='REDD') \n", "Done loading data all meters for this chunk.\n", "Loading data for meter ElecMeterID(instance=2, building=5, dataset='REDD') \n", "Done loading data all meters for this chunk.\n", "Loading data for meter ElecMeterID(instance=2, building=5, dataset='REDD') \n", "Done loading data all meters for this chunk.\n", "Loading data for meter ElecMeterID(instance=2, building=5, dataset='REDD') \n", "Done loading data all meters for this chunk.\n", "Loading data for meter ElecMeterID(instance=2, building=5, dataset='REDD') \n", "Done loading data all meters for this chunk.\n", "\t Now processing chunk 7...\n", "\n", "Loading data for meter ElecMeterID(instance=2, building=5, dataset='REDD') \n", "Done loading data all meters for this chunk.\n", "Loading data for meter ElecMeterID(instance=2, building=5, dataset='REDD') \n", "Done loading data all meters for this chunk.\n", "Loading data for meter ElecMeterID(instance=2, building=5, dataset='REDD') \n", "Done loading data all meters for this chunk.\n", "Loading data for meter ElecMeterID(instance=2, building=5, dataset='REDD') \n", "Done loading data all meters for this chunk.\n", "Loading data for meter ElecMeterID(instance=2, building=5, dataset='REDD') \n", "Done loading data all meters for this chunk.\n", "Loading data for meter ElecMeterID(instance=2, building=5, dataset='REDD') \n", "Done loading data all meters for this chunk.\n", "\t Now processing chunk 13...\n", "\n", "Loading data for meter ElecMeterID(instance=2, building=5, dataset='REDD') \n", "Done loading data all meters for this chunk.\n", "\t Now processing chunk 14...\n", "\n", "Loading data for meter ElecMeterID(instance=2, building=5, dataset='REDD') \n", "Done loading data all meters for this chunk.\n", "\t Now processing chunk 15...\n", "\n", "Loading data for meter ElecMeterID(instance=2, building=5, dataset='REDD') \n", "Done loading data all meters for this chunk.\n", "Loading data for meter ElecMeterID(instance=2, building=5, dataset='REDD') \n", "Done loading data all meters for this chunk.\n", "\t Now processing chunk 17...\n", "\n", "Disaggregation Completed Successfully...!!!\n" ] } ], "source": [ "# Disaggregate\n", "disag_filename = join(data_dir, 'COMBINATORIAL_OPTIMIZATION.h5')\n", "\n", "mains = test.mains()\n", "\n", "try:\n", " output = HDFDataStore(disag_filename, 'w')\n", " co.disaggregate(mains, output)\n", "except ValueError:\n", " output.close()\n", " output = HDFDataStore(disag_filename, 'w')\n", " co.disaggregate(mains, output)\n", "\n", "for meter in range(1, 2):\n", " df1 = output.store.get('/building5/elec/meter{}'.format(meter))\n", " df2 = we.store.store.get('/building5/elec/meter{}'.format(meter))\n", "\n", " \n", "output.close()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "OK.. Now we are all done. All that remains is to interpret the results and plot the scores.." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Post Processing & Results" ] }, { "cell_type": "code", "execution_count": 18, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "MeterGroup(meters=\n", " ElecMeter(instance=18, building=5, dataset='REDD', appliances=[Appliance(type='fridge', instance=1)])\n", " ElecMeter(instance=3, building=5, dataset='REDD', appliances=[Appliance(type='microwave', instance=1)])\n", ")\n" ] } ], "source": [ "# Opening the Dataset with the Disaggregated data\n", "disag = DataSet(disag_filename)\n", "\n", "# Getting electric appliances and meters\n", "disag_elec = disag.buildings[building_for_testing].elec\n", "\n", "# We also get the electric appliances and meters for the ground truth data to compare\n", "elec = Test_Data.buildings[building_for_testing].elec\n", "\n", "e = [test[a] for a in applianceName]\n", "me = MeterGroup(e)\n", "print(me)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Resampling to align meters" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Before we are able to calculate and plot the metrics we need to align the ground truth meter with the disaggregated meters. Why so? If you notice in the dissagregation method of the CO class above, you may see that by default the time sampling is changed from 3s which is the raw data to 60s. This has to happen in order to make the disaggregation more efficient computationally but also because it is impossible to disaggregate using the actual time step. So in order to compare now we have to resample the meter for the ground truth and align it" ] }, { "cell_type": "code", "execution_count": 19, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def align_two_meters(master, slave, func='when_on'):\n", " \"\"\"Returns a generator of 2-column pd.DataFrames. The first column is from\n", " `master`, the second from `slave`.\n", "\n", " Takes the sample rate and good_periods of `master` and applies to `slave`.\n", "\n", " Parameters\n", " ----------\n", " master, slave : ElecMeter or MeterGroup instances\n", " \"\"\"\n", " sample_period = master.sample_period()\n", " period_alias = '{:d}S'.format(sample_period)\n", " sections = master.good_sections()\n", " master_generator = getattr(master, func)(sections=sections)\n", " for master_chunk in master_generator:\n", " if len(master_chunk) < 2:\n", " return\n", " chunk_timeframe = TimeFrame(master_chunk.index[0],\n", " master_chunk.index[-1])\n", " slave_generator = getattr(slave, func)(sections=[chunk_timeframe])\n", " slave_chunk = next(slave_generator)\n", "\n", " # TODO: do this resampling in the pipeline?\n", " slave_chunk = slave_chunk.resample(period_alias)\n", " if slave_chunk.empty:\n", " continue\n", " master_chunk = master_chunk.resample(period_alias)\n", "\n", " return master_chunk,slave_chunk\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Here we just plot the disaggregated data alongside the ground truth for the Fridge" ] }, { "cell_type": "code", "execution_count": 20, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.axes._subplots.AxesSubplot at 0x1727aba8>" ] }, "execution_count": 20, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAfMAAAFUCAYAAAAwFtUdAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n+gAAAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0xb95d1d0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "disag_elec.select(instance=18).plot()\n", "me.select(instance=18).plot()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Aligning meters, Converting to Numpy and Computing Metrics" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "In this part of the Notebook, we call the function we previously defined to align the meters and then we convert the meters to pandas and ultimately to numpy arrays. We check if any NaN's exist (which is something possible after resmplilng.. Resampling errors may occur) and replace them with 0's if they do. We also compute the following metrics for each appliance:\n", "\n", "1) True Positive, False Positive, False Negative, True Negative\n", "\n", "2) Precision and Recall\n", "\n", "3) Accuracy and F1-Score\n", "\n", "For more information about these metrics please refer to the report." ] }, { "cell_type": "code", "execution_count": 21, "metadata": { "collapsed": true }, "outputs": [], "source": [ "appliances_scores = {}" ] }, { "cell_type": "code", "execution_count": 22, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Processing Fridge...\n", "\t Predictions array seems to be fine...\n", "\t No Nans detected\n", "\n", "Processing Microwave...\n", "\t Predictions array seems to be fine...\n", "\t No Nans detected\n", "\n" ] } ], "source": [ "for m in me.meters:\n", " print('Processing {}...'.format(m.label()))\n", " ground_truth = m\n", " inst = m.instance()\n", " prediction = disag_elec.select(instance=inst)\n", " \n", " a = prediction.meters[0]\n", " \n", " b = a.power_series_all_data()\n", " \n", " pr_a,gt_a = align_two_meters(prediction.meters[0],ground_truth)\n", " \n", " gt = gt_a.as_matrix()\n", " pr = pr_a.as_matrix()\n", " \n", " if np.all(np.isnan(pr)==False):\n", " print('\\t Predictions array seems to be fine...')\n", " print('\\t No Nans detected')\n", " print()\n", " else:\n", " print('\\t Serious error in Predictions...')\n", " print('\\t The resampled array contains Nans')\n", " print()\n", " \n", " gt_states_on = gt > 0.1\n", " pr_states_on = pr > 0.1\n", " \n", " TP = np.sum(np.logical_and(gt_states_on==True,pr_states_on[1:]==True))\n", " FP = np.sum(np.logical_and(gt_states_on==True,pr_states_on[1:]==False))\n", " FN = np.sum(np.logical_and(gt_states_on==False,pr_states_on[1:]==True))\n", " TN = np.sum(np.logical_and(gt_states_on==False,pr_states_on[1:]==False))\n", " P = np.sum(gt_states_on==True)\n", " N = np.sum(gt_states_on==False)\n", " \n", " recall = TP/float(TP+FN)\n", " precision = TP/float(TP+FP)\n", " f1 = 2*precision*recall/(precision+recall)\n", " accuracy = (TP+TN)/float(P+N)\n", " \n", " result = {'F1-Score':f1,\n", " 'Precision':precision,\n", " 'Recall':recall,\n", " 'Accuracy':accuracy}\n", " appliances_scores[m.label()] = result" ] }, { "cell_type": "code", "execution_count": 23, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "{'Fridge': {'Recall': 0.51383399209486169, 'F1-Score': 0.2810810810810811, 'Precision': 0.19345238095238096, 'Accuracy': 0.52363896848137537}, 'Microwave': {'Recall': 0.11328976034858387, 'F1-Score': 0.18118466898954702, 'Precision': 0.45217391304347826, 'Accuracy': 0.66332378223495703}}\n" ] } ], "source": [ "print(appliances_scores)" ] }, { "cell_type": "code", "execution_count": 24, "metadata": { "collapsed": false }, "outputs": [], "source": [ "Names = ['Fridge','Microwave']" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Results" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Now we just plot the scores for both the Fridge and the Microwave in order to be able to visualize what is going on. We do not comment on the results in this notebook since we do this in the report. There is a separate notebook where all these results are combined along with the corresponding results from the Neural Network and the FHMM method and the total results are reported side by side to ease comparison. We plot them here as well for housekeeping although it is redundant." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### F1-Score" ] }, { "cell_type": "code", "execution_count": 25, "metadata": { "collapsed": false }, "outputs": [], "source": [ "x = np.arange(2)\n", "y = np.array([appliances_scores[i]['F1-Score'] for i in Names])\n", "y[np.isnan(y)] = 0.001" ] }, { "cell_type": "code", "execution_count": 26, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAABLQAAAIeCAYAAACiDFYRAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\noKUQ0AIAAAAAAEBL+S/TUBL/xrqwHwAAAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0xbc1bf98>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "f = plt.figure(figsize=(18,8))\n", "plt.rc('font', size=20, **{'family': 'serif', 'serif': ['Computer Modern']})\n", "plt.rc('text', usetex=True)\n", "ax = f.add_axes([0.2,0.2,0.8,0.8])\n", "ax.bar(x,y,align='center')\n", "ax.set_xticks(x)\n", "ax.set_yticks(y)\n", "ax.set_yticklabels(y,fontsize=20)\n", "ax.set_xticklabels(Names,fontsize=20)\n", "ax.set_xlim([min(x)-0.5,max(x)+0.5])\n", "plt.xlabel('Appliances',fontsize=20)\n", "plt.ylabel('F1-Score',fontsize=20)\n", "plt.title('Combinatorial Optimization',fontsize=22)\n", "plt.show()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Precision" ] }, { "cell_type": "code", "execution_count": 27, "metadata": { "collapsed": false }, "outputs": [], "source": [ "x = np.arange(2)\n", "y = np.array([appliances_scores[i]['Precision'] for i in Names])\n", "y[np.isnan(y)] = 0.001" ] }, { "cell_type": "code", "execution_count": 28, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAABLQAAAIeCAYAAACiDFYRAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAIABJREFUeJzs3V9s2+d5L/CHa9AVnSg5B0tvIrrD2c4ay3IvtjmL7N60\na2zFvarT2OoBtix2nPZmVdAoF2dr5dR1MGCW0DjbRZPI+bMWmKXAbXeA2qabrrmYxSYB2otYVNHj\nFquoXKwpEokUCqxYwXNhiDBNUiItyfLrfD5AUPP3733eV1RDf/O+LzPVarUaAAAAAJCI39nsAgAA\nAACgEwItAAAAAJIi0AIAAAAgKQItAAAAAJIi0AIAAAAgKQItAIA1KBaLMTw8HPv374/Z2dnNLicZ\npVIphoeH49ChQ1EoFDa7HAAgMQItACApExMTcejQoTh8+HAcPXo0jh49WgtEKpVKTExM3NB6+vr6\nYmRkJIrFYiwsLKx6fbFYjLvvvvuWCb+utz+5XC5GRkZieno6KpXKdbVdqVRibGwsxsfH49SpU7U/\n5/P563pepzbqZ7nZ75HNbh8A2nHbZhcAANCOmZmZePTRR2NwcDCef/75unOFQiHy+XxcvHgxenp6\nbnhtuVwucrlcW9dms9nI5XKRzWY3uKors6Dm5+djYGBgw9pYS39yuVz09fVdV7vT09MxPj4ex48f\nj23bttWdm5iYiMOHD8dTTz215nFeaQw36md5I94jm9EvAFhPAi0A4KY3MzMT999/f7z44otxzz33\nNJwfGBiIYrEYU1NTceTIkU2osH25XC7OnDlzQ9oqFovR3d29oW3cyP4sWw43/+3f/i26uroazj/8\n8MPR3d0dDz74YHzrW99aU1srjeFG9f1GjOlm9AsA1pMlhwDATe/RRx+NoaGhpmHWsr6+vjh48OAN\nrOrmd/bs2c0uYUM89NBD8fnPf75pmLXswIEDERExNja2prZu1TG8VfsFwHuHQAsAuKlNTk7G/Px8\nWzOvBgcHb0BFN79KpRLPPffcDdtL6kaanJyMSqVSC6xWMjQ0FKdOnYqlpaWO27lVx/BW7RcA7z2W\nHAIAN7V8Ph+5XC7uvPPOVa8dGBho2GC8UqnE5ORk9PT0RLVarYUhy/sDLX/b3vz8fJw8eTIiIsrl\nciwuLsbFixfj8ccfj97e3piamootW7bE3NxcLCwsxMjISMt6M5lMVKvVuHTpUhw4cKC2v1alUokH\nH3ww5ufn4/jx47Fnz55V23/yyScbZiIVi8W4dOlSRFxZfjc4OFi3F9JyCJjJZGJiYiLOnz8fEVcC\nnqv3m1ptbIrFYnzpS1+K+fn5eOmll2Jubi4WFxfj/Pnz8fzzzzftT7s1Xq/l98NKs7OWDQwMRLVa\njenp6dizZ09df06ePBnlcjkirrwHSqVSPPzww7Wf1WpjeD0/y3beS82eW6lUYufOnTE4OBi7d++u\n/bxOnz4db731Vly4cKHtcb+efl1d21p+l5q9lwHgulUBAG5iO3furB46dOi67p2bm6s+9NBD1Uql\nUjtWLpcbji23MzExUS2VSrVjk5OT1Yceeqg6NTVVd22zY5/85Cerw8PDDTV88pOfrHvmclv5fL7t\n9q/t0/T0dEMbxWKxoe2dO3dWC4VCw/Hl57Q7Nh/5yEdqtZXL5erdd99dd821/emkxk9/+tMNY7GS\nTt4P5XK5+pGPfKQ6NjbW0J/h4eG6PszNzVV37tzZUONKY7h8vpOfZTvvpWufOzc319CHubm56l13\n3VWdnZ2tO7Ye741m/Vqv3yUAWC+WHAIAN7VyuXzd37Z29OjR+OxnP1s3KySbzcbg4GD83d/9Xd21\nvb29MTc3F729vbVj/f39MT09XXcs4sp+XRcvXmxob/fu3Q3Hjhw5EqOjow1tXatV+4VCoe66fD4f\nR48erTt28ODBlntFVavVpsc7GZtcLhcLCwvR29sb2Ww2Xnvttbr7ru1PpzV2opP3w/J1yzOxluVy\nudi9e3ddH3K5XBw4cKCh7xGtxzCis59lJ++lq6+rVCqxb9++uvPDw8Nx5MiRuOuuu2rH1uu90axf\n6/G7dO17GQDWQqAFANzUuru7G5YRtqNUKsX09HTTZW4DAwORz+cb9lbq7++ve53NZiOTycSOHTvq\njm/ZsqVpTT09PQ3Hlv8i384+Ts3av9bevXsbNr9fDpzadT1js3Xr1rafvx41ttLJ+2H5umbf5tfs\nZ7Vv376YnZ2N+fn5tRUZa38vXa27u7u2FDIi4rnnnoulpaX44he/WHfdRo37ev0uAcB6EmgBADe1\n/v7+KJVKbV+/fG2xWIxMJtN0z57lcODNN9+sO94s5IiINe37k8vlolqtNrTVTKv2r33e4cOHo1Kp\nxNTUVFy4cCHefPPNjkK/6xmbZjORNrLGVvr7+2t7RK1m+bprQ6RWln9WnbzfWlnP91Jvb2/tvlKp\nFOPj4/H00083XLdR476ev0sAsF4EWgDATW1wcDBKpVJbs2YqlUrMzs7egKrat94zUyqVShw6dCie\nffbZ2LdvX+zZs6ftwGY9gpp2rKXG1QwODka5XG7r/fDv//7vkclkYteuXevSdsSNG8NWhoeH45FH\nHqlbargshfcGAKwXgRYAcFNb/pbAZ599dtVrp6eno6+vLyKi9r/NlvqVSqWmy782wnq39YUvfCFy\nuVw89thjTWfMrDQbZ3kPo40em7XUuJrlb9VbbT+uSqUSp06dioMHD7Y9K2pubm7Vvm/mPlDNlhpO\nTU3V/rwe741mbpbfJQC4mkALALjpPfXUU/Hyyy+v+JfuSqUS5XK5tjQul8tFX19fnDt3ruHa8+fP\nx65du9a0lLCZxcXFDW+rUCjE4OBg3bGrZ9dc3d+enp66EGN5c/SNHptOarweJ0+ejHw+v+Isra9/\n/evx4Q9/OJ544omm55v9rCYnJxv63moMb7RWSw2vrmc93hvNbMbvEgCsRqAFANz0+vr64lvf+laM\njo7G+Ph4w/lSqRRTU1PxwAMP1B1/6qmn4vTp03UzS0qlUpw/fz6+8pWv1F1bqVSahhzVarVhZkqr\nb4e7NhQol8vx8ssvx7Fjxxraula77ff09DQNcprdu2vXrtreRpVKpW5fo07GptmzV+pPJzVez2yt\ngYGBeP755+PQoUNNQ86xsbH44Q9/GGfOnGn5jIsXL9b1fWZmJn74wx82/KxWGsNW9a/He+na5zb7\nVsOIiLNnz9b+vF7vjWbtb9TvEgBcr/c90eo/WwEA3ETuuOOO+Ku/+qsoFovxT//0T/GDH/wgZmZm\nYnZ2Nn7zm980hFkRV/6C/6lPfSq+/vWvx+zsbFy+fDkKhUIcP348fv/3fz8irvylfHR0NH784x/H\n5cuX4ze/+U38yZ/8SeTz+Th+/Hj86le/ipmZmfjQhz4UuVwuxsbG4pvf/GZcvnw5Ll++HB/72Mfi\n/e9/f/zsZz+LL37xi5HP5+PHP/5xzMzMxA9+8IM4ceJE07ZmZmbiAx/4QHR3d3fU/sc+9rH49re/\nHW+99Vb813/9V8zOzsYDDzwQ8/Pz8eqrr8af/umf1jbq/vjHPx75fD5+9atfxeXLl+vGqJ2xKRaL\ncfz48ZidnY0f/ehHcfny5fj4xz9ee0az/mzfvr2tGiOi7t7lfrcrl8vFpz/96fj2t78d+Xw+fv7z\nn8ePfvSj+Nd//dfYuXNn/O3f/m28//3vb3rvN77xjfjrv/7rKJVKcfny5Xj11VejUCjE008/Xev7\nslZjuB4/y2bvpf/8z/9seO7MzEy8/PLL8YlPfCIuX74cMzMzce7cuTh69Gj893//d/zlX/5lRMS6\nvDda/Uw34ncJANYiU231n4UAAOAWdO+998bjjz8ee/bs2exSAIDrZMkhAAAAAEkRaAEA8J6y2p5g\nAMDNT6AFAMB7QrFYjOHh4ahUKjE2NhanTp3a7JIAgOtkDy0AAAAAknLbZhcAb7/d+dd1A7ee22//\nYLz77q83uwwA4CbgcwEQEXHHHdmW5yw5BOCmcNtt79vsEgCAm4TPBcBqBFoAAAAAJEWgBQAAAEBS\nBFoAAAAAJEWgBQAAAEBSBFoAAAAAJEWgBQAAAEBSBFoAAAAAJEWgBQAAAEBSBFoAAAAAJEWgBQAA\nAEBSBFoAAAAAJEWgBQAAAEBSBFoAAAAAJEWgBQAAAEBSBFoAAAAAJEWgBQAAAEBSBFoAAAAAJEWg\nBQAAAEBSBFoAAAAAJEWgBQAAAEBSBFoAAAAAJEWgBQAAAEBSBFoAAAAAJEWgBQAAAEBSBFoAAAAA\nJEWgBQAAAEBSBFoAAAAAJEWgBQAAAEBSBFoAAAAAJEWgBQAAAEBSBFoAAAAAJEWgBQAAAEBSBFoA\nAAAAJOV9TzzxxBObWUCxWIxXX301yuVyFAqFKJfLkcvlOnpGpVKJJ598Mj7+8Y/XHfvGN74Rd9xx\nR/zO7/xO/PKXv4znnnsuMplM3fPz+Xy8+uqr8Z3vfCe++c1vxgc+8IH4oz/6o6bt5PP5ePvttxvq\nGxsbi0wmE1u2bInf/d3fbVlnoVCIycnJKBaL8Z3vfCfefvvt2L59e8N4/OM//mP8/Oc/jx/84Aex\nZcuWuOOOOzqqp5O2Ohn7ZuPcSXut/PrXv2nrOuDW9nu/97v+/wAAiAifC4Arfu/3Wmcst93AOhqU\nSqUYHx+PU6dORUTEwMBADA8PRy6Xi97e3rafc+LEiSiXy3XHFhYWYmxsLMbHx6NarUZPT0989atf\njYGBgdo1+Xw+tm7dGnv37o2IK4HN/v37o1wuxwMPPFD3vOnp6fjyl78cJ0+ebGi/WCzGxMRE09q2\nbt0aFy5ciEKhEBERIyMjtXP79++PSqUShw8frrVx6tSp2ngsX/P00083jMdK9bTT1vWMfbNxbrc9\nAAAAgPWyqUsOJycn4+DBg3XHhoaG4sSJE20/o1QqxeLiYtNzL7zwQrzxxhvxyiuvxGuvvRZ79uxp\nuHfbtm2119lsNo4cORKjo6N114yOjsb8/Hxs2bKlaTu5XC5efPHF+Pa3vx2vvPJK7Z+vfvWr8fTT\nT0dExOnTpxvu27VrV0xOTtZeHz16NIaGhuqu+fznP193bzv1tNNWp2O/0ji30x4AAADAetnUQCuf\nz0dfX1/dsf7+/sjn820/o1AoxO7du1ue7+rqajrjqFKpxNmzZ2Npaanu+PIMrvn5+Yi4ElYdO3Ys\nDhw4ENVqtWkb/f39cc8998S2bduit7e39k9ExF133RUREZlMJqanpxvuzWazERFRLpcbAraIiG3b\nttXN/mqnntXaiuh87Fca53baAwAAAFgvm7bksFKpRKlUaphltByCzM/Pr7rssFAoxL59++Ls2bMd\nt5/NZuOtt95qGiK1CopauXZ5YkTE1NRUHDhwoPb6qaeeargmn8/HZz/72Yi40t/lfbiutmXLlshk\nMrG0tBRdXV1t1bNaW52O/WrjvFp7AAAAAOtp0wKthYWFyGQyLUOaUqm0aqBVqVRWDHlKpVJUKpWo\nVquxuLgYPT09tf2yIiJee+21hnump6ejp6enoz28rlUoFGLHjh0rXjM1NRX9/f1x6NChiIjaZuwL\nCwt1fVpYWGh6vBPXttXp2K82zqu1BwAAALCeNnWG1lrk8/m6cOpayzObrt43a3h4OCJixfsmJyfj\nc5/73Jpqm5mZiYcffrjpuXw+HxcvXoxMJhNf+9rXasez2Wzs2rUrisViXZi0vPSxnYCv3bY6GfvV\nxrmd9gAAAADW06buoXW9KpVKdHd3r3hNNpttWAo4NDQUY2NjLe+ZnJyM22+/fU0zi5a/ObGVvXv3\nxrFjx2JkZCT2798fs7OztXNPPPFE3UbqVwdPrTaAX8lKbbWjnXFez/YAAAAA2rFpM7SWdbI31LLz\n58833bdqNb29vTE/P9+0zVKpFFNTU3HmzJmOn3u1Z555Jv75n/951euy2WwMDQ3Fgw8+GK+//npE\nXFl2ePLkycjn85HJZCKbzdZmZS0vSbwezdqKWH3sz507V7cP2FrbAwB4L/ntb38b//EfP9/sMiBJ\n777bFe+8s7T6hUCDP/iD/xnve9/7NruMDbdpgVarPaOWZyW1CnCKxWL09/ev+vyJiYmGZX9btmyJ\narXadCP4sbGxeOmllzrqw7UqlUrMzs62HdANDAxEuVyOQqFQ+3bFrq6uuiV+hUKhdnwtrm6rv78/\nqtVq07HPZDKRy+XaHud22lvuWyu33/7BuO22W/+XDVjdHXf4dlTg1vHTn/40hk/83/hgz4c2uxQA\n3iN+vfjL+Mbf/+/44z/+480uZcNtWqCVzWYjl8s17Oe0sLCw4qbsly5dilKpVPvGvWq1GsViMebn\n52N8fDx27NgR27Zti/Hx8RgcHKx7zvJm6NeGZaOjo/H444+vOTS6ePFi0yV6pVIp7r///njppZca\ngrSIlfe0mpmZaXsPq3bbWmnsu7u7o7e3N6ampqJUKsW5c+ciovk479mzZ019W/buu79uu3/AreuO\nO7Lx9ttr218R4GbyzjtL8cGeD0XX7XdudikAvIe8887SLfO5eqX/4L2pSw4HBgbi0qVLdUFIsVhc\ncUZPsyVwExMTcenSpXjsscdqx44dO9YQik1PT0dfX19dcDU1NRWPPPJI3bWFQiFyuVzHm7BfunSp\n6T2VSiVyuVxDkFYqlSKTycT27dsjIuLQoUNx33331S2nnJycjBdffLHtGtpta9euXSuOfbvjvFp7\nfX19bdcOAAAA0I5N3RR+ZGQkzp8/X3dscnIyRkZGaq8rlUqMjo7G0lLr9dPvvvtuw7Genp4olUq1\n1+VyOaampuLJJ5+sHVtuu1wuR7FYjGKxGNPT03Hu3LmmwdTi4uKKM45KpVLTzdv7+vpi3759Dccn\nJibiyJEjceedV/6rXXd3d12YNzExEUNDQ7Xz7dTTblvtjP21mo3zau11GgoCAAAArCZTrVarm1nA\n7OxsfPe7342PfvSjMTc3F9u3b68LdUqlUnzmM5+JM2fONIQjpVIpnnvuucjn81Eul+PAgQMxNDRU\nm3WUz+ejVCrFwsJCVCqVuoClUqnEzp07I5PJNNS0devWyOfzteueeeaZKJVKceHChcjlcjEwMBC7\nd++OPXv21N03OjoamUwmvvKVrzTt6/Iyvp6enpibm4sdO3bUzcaan5+P8+fPx+LiYq2Oaze/b7ee\n1dpqZ+zbHed222vlVpkKCayNJYfAreZnP/t/8X+e/aElhwDcMEvvvhV//8g98Yd/+L82u5R1sdKS\nw00PtMBfYIEIgRZw6xFoAXCjvZcCrU1dcggAAAAAnRJoAQAAAJAUgRYAAAAASRFoAQAAAJAUgRYA\nAAAASRFoAQAAAJAUgRYAAAAASRFoAQAAAJAUgRYAAAAASRFoAQAAAJAUgRYAAAAASRFoAQAAAJAU\ngRYAAAAASRFoAQAAAJAUgRYAAAAASRFoAQAAAJAUgRYAAAAASRFoAQAAAJAUgRYAAAAASRFoAQAA\nAJAUgRYAAAAASRFoAQAAAJAUgRYAAAAASRFoAQAAAJAUgRYAAAAASRFoAQAAAJAUgRYAAAAASRFo\nAQAAAJAUgRYAAAAASRFoAQAAAJAUgRYAAAAASRFoAQAAAJAUgRYAAAAASRFoAQAAAJAUgRYAAAAA\nSRFoAQAAAJAUgRYAAAAASRFoAQAAAJAUgRYAAAAASRFoAQAAAJAUgRYAAAAASRFoAQAAAJAUgRYA\nAAAASRFoAQAAAJAUgRYAAAAASRFoAQAAAJAUgRYAAAAASRFoAQAAAJAUgRYAAAAASRFoAQAAAJAU\ngRYAAAAASRFoAQAAAJAUgRYAAAAASRFoAQAAAJAUgRYAAAAASRFoAQAAAJAUgRYAAAAASRFoAQAA\nAJAUgRYAAAAASRFoAQAAAJAUgRYAAAAASRFoAQAAAJAUgRYAAAAASRFoAQAAAJAUgRYAAAAASRFo\nAQAAAJAUgRYAAAAASRFoAQAAAJAUgRYAAAAASRFoAQAAAJAUgRYAAAAASRFoAQAAAJAUgRYAAAAA\nSRFoAQAAAJAUgRYAAAAASRFoAQAAAJAUgRYAAAAASRFoAQAAAJAUgRYAAAAASRFoAQAAAJAUgRYA\nAAAASRFoAQAAAJAUgRYAAAAASRFoAQAAAJAUgRYAAAAASRFoAQAAAJAUgRYAAAAASRFoAQAAAJAU\ngRYAAAAASRFoAQAAAJAUgRYAAAAASRFoAQAAAJAUgRYAAAAASRFoAQAAAJAUgRYAAAAASRFoAQAA\nAJAUgRYAAAAASRFoAQAAAJAUgRYAAAAASRFoAQAAAJAUgRYAAAAASRFoAQAAAJAUgRYAAAAASRFo\nAQAAAJAUgRYAAAAASRFoAQAAAJAUgRYAAAAASRFoAQAAAJAUgRYAAAAASRFoAQAAAJAUgRYAAAAA\nSRFoAQAAAJAUgRYAAAAASRFoAQAAAJAUgRYAAAAASRFoAQAAAJAUgRYAAAAASRFoAQAAAJAUgRYA\nAAAASRFoAQAAAJAUgRYAAAAASRFoAQAAAJAUgRYAAAAASRFoAQAAAJAUgRYAAAAASRFoAQAAAJAU\ngRYAAAAASRFoAQAAAJAUgRYAAAAASRFoAQAAAJAUgRYAAAAASRFoAQAAAJAUgRYAAAAASRFoAQAA\nAJAUgRYAAAAASRFoAQAAAJAUgRYAAAAASRFoAQAAAJAUgRYAAAAASRFoAQAAAJAUgRYAAAAASRFo\nAQAAAJAUgRYAAAAASRFoAQAAAJAUgRYAAAAASRFoAQAAAJCUdQ20CoXCej4OAAAAABrcdj03zc7O\nNhxbWFiIsbGxOHPmzJqLAgAAAIBWOgq08vl8DA8Px9atW6NarTacn5+fX7fCAAAAAKCZjgKtN998\nM37yk5+0PD86OrrmggAAAABgJR3tobVjx44Vzz/++ONrKgYAAAAAVrOum8JbcggAAADARuso0Nq9\ne3cUCoWYnZ2NpaWlunNLS0vxzDPPrGtxAAAAAHCtjvbQ+rM/+7O615lMpvbnarVa9xoAAAAANkJH\ngVZfX1+89NJLkc1mm54fHh5el6IAAAAAoJWOlhweP368ZZgVETEyMrLmggAAAABgJR3P0Iq4sl/W\n9PR0vPnmm7F169a47777oqurK3K53IYUCQAAAADLOv6Ww6mpqfjEJz4RX//612NmZib+5V/+JXbu\n3BnPP//8RtQHAAAAAHU6mqGVz+ejXC7H66+/3nBubGwsLly4EHv27Fm34gAAAADgWh3N0FpcXIyH\nH3646bmRkZGYm5tbl6IAAAAAoJWOAq0tW7aseL6np2dNxQAAAADAajqeobWW8wAAAACwVh0FWr29\nvTE+Ph5LS0t1x5eWluLo0aOxffv2dS0OAAAAAK7V0abwAwMDsbi4GJ/4xCfqlh+WSqU4duxYDAwM\nrHuBAAAAAHC1jgKtiIjBwcEYHByMQqEQpVIpcrlc9Pf3Rzab3Yj6AAAAAKBOx4HWsoGBgYYZWfPz\n89Hb27vmogAAAACglY720FrN2NjYej4OAAAAABq0nKF17733xuDgYDz22GMREVEoFOLQoUMtH1St\nViOTyax/hQAAAABwlZaB1sjISORyudrrnp6e2LNnT5w8ebLlw4aHh9e3OgAAAAC4RstAa+/evXWv\n+/r64vjx4ys+bGRkZH2qAgAAAIAWOtoUPpPJxPz8fGzZsiW6uroiIuLll1+Oubm52LFjR+zZs2dD\nigQAAACAZR1tCv8P//AP8dxzz8X09HRERDz66KNx/vz5uO+++yKbzcaFCxc2pEgAAAAAWNbRDK3d\nu3fXliKWSqXI5/Pxxhtv1GZr5fP59a8QAAAAAK7S0Qyt7u7u2p8LhUJs27atFmZFhG85BAAAAGDD\ndRRoXS2fz8enPvWpumPVanXNBQEAAADASjpaclgul6NQKMTMzEy8+eabcfLkyYi4svxwYmIihoaG\nNqRIAAAAAFjW0Qyt5f2zuru74/vf/350dXVFsViMycnJyGazcenSpQ0pEgAAAACWdTRDKyJiYGAg\nBgYGaq/7+vqir68vIiLm5+fXrzIAAAAAaOK699BqZmxsbD0fBwAAAAANWs7Quvfee2NwcDAee+yx\niLjyrYaHDh1q+aBqtepbDgEAAADYcC0DrZGRkcjlcrXXPT09sWfPntpG8M0MDw+vb3UAAAAAcI2W\ngdbyBvDL+vr64vjx4ys+bGRkZH2qAgAAAIAWOtpDK5vNRqlUigsXLtQdL5VKMT8/XzejCwAAAAA2\nQkeB1uzsbBSLxfjSl74Us7OzteO5XC7K5XLdMQAAAADYCB0FWpcuXYq9e/fG66+/Htu2bas719fX\nF6VSaV2LAwAAAIBrdRRorWZxcXE9HwcAAAAADToKtH7xi1+s6TwAAAAArFVHgda+ffvi8OHD8ZOf\n/KTueKFQiPvvvz927969rsUBAAAAwLVu6+Tivr6+OHz4cPzN3/xNzM/P1453d3fHsWPHYmBgYN0L\nBAAAAICrdRRoRUTs2rUrvve970WpVIr5+fno7e2NXC63EbUBAAAAQIOOA635+fmYnJyMrVu3xgMP\nPBARV5Yc7tixI7q6uta9QAAAAAC4Wkd7aBWLxXjooYeiWq1GuVyuHR8YGIg333yzbhkiAAAAAGyE\njmZonTt3Lr73ve9FREQ+n687NzAwEBcuXIje3t71qw4AAAAArtHRDK3+/v7anzOZTMP5xcXFtVcE\nAAAAACvoKNC6OsSqVqsN53/xi1+svSIAAAAAWEFHgVa1Wo2XX345IurDrdnZ2Th8+HDs3r17fasD\nAAAAgGt0tIfW3r17Y2JiIu6+++7IZDIxNjZWW2Y4MjISAwMDG1IkAAAAACzrKNCKiHj44Yfj4MGD\ncenSpSiVSpHL5aK/vz+y2exG1AcAAAAAdToKtAqFQlQqldizZ08MDAyYkQUAAADADdfRHlqnT5+O\nUqm0UbUAAAAAwKo6CrR2794dhw8fbnn+woULay4IAAAAAFbSUaC1b9++uHDhQszOzjY9f/bs2XUp\nCgAAAABa6WgPrb/4i7+IarUa5XI5MplM3blqtdpwDAAAAADWW0eB1p133hknT56MXC7X9Pzw8PC6\nFAUAAACCFcahAAAftUlEQVQArXS05PD48eMtw6yIiM997nNrLggAAAAAVtJRoNXX17em8wAAAACw\nVqsuOZyfn49CoRAREffdd190dXVteFEAAAAA0MqKgdbExESMjY3VXo+OjsYLL7wQ99xzz4YXBgAA\nAADNtFxyWCgU4uzZs/Gtb30rfvKTn8Qbb7wRp06dihMnTsTS0tKNrBEAAAAAalrO0JqcnIyXXnop\nstlsRERks9nYtWtX5HK5mJycjMOHD9+wIgEAAABgWcsZWt3d3bUw62q5XC6q1eqGFgUAAAAArawY\naLWSyWQ2pBgAAAAAWE3LQGul0KrVDK3lb0MEAAAAgI3SMtAql8stb2oVdk1OTq69IgAAAABYwYqb\nwp8/f77puXK5HM8++2zDMQAAAADYaC0DrVwuF0eOHGn7QdVqNU6dOrUuRQEAAABAKy0Drb1798aB\nAwc6elipVFpzQQAAAACwkpZ7aI2MjHT8sOu5BwAAAAA60TLQAgAAAICbkUALAAAAgKQItAAAAABI\nikALAAAAgKQItAAAAABIikALAAAAgKTcttkFFIvFmJmZid7e3iiVSpHL5WJgYKDt+/P5fHR3dze9\np1gsxunTp+PDH/5wLCwsxH333Rd9fX111xQKhbh48WJs2bIl5ubmor+/Pw4cONCyvUqlEidOnIhj\nx47VHZucnIy9e/fGli1bYmFhISYnJ2P37t11deXz+SiVSjE3NxdvvfVWHDhwIPbu3dtxPYVCIWZm\nZiIiYmFhIT784Q/HAw880ND31cZ1tfFpt1/t9g0AAABgPWxqoFUqlWJ8fDxOnToVEREDAwMxPDwc\nuVwuent7V71/eno6vvzlL8fJkyebnjt16lTt2RER+/fvj6effrr27EKhEBERIyMjdddUKpU4fPhw\n0zZPnDgR5XK57tjCwkKMjY3F+Ph4VKvV6Onpia9+9asNYdbWrVtrIU+lUon9+/dHuVyuhVHt1FMs\nFiMi4uGHH6579tTUVC34amdc2xmfdvrVbt8AAAAA1sumLjmcnJyMgwcP1h0bGhqKEydOrHhfqVSK\n0dHRmJ+fjy1btjS95ujRozE0NFR37POf/3ycPn269vrqPy/btWtXTE5Otmx3cXGx6bkXXngh3njj\njXjllVfitddeiz179jTcu23bttrrbDYbR44ciS9/+csd1XP69OmGQGnv3r1x8eLF2ut2xrWd8Wmn\nXyv1bXR0tOFaAAAAgLXa1EArn883LAHs7++PfD6/4n25XC6OHTsWBw4ciGq12nC+Uqk0hCwREdu2\nbYuJiYna60wmE9PT0w33Z7PZpu0WCoXYvXt3y7q6urqaziyrVCpx9uzZWFpaqjs+MDAQmUwm5ufn\n267n0qVLteuvdvWzVxvXcrnc1vis1q/V+hYRTWsFAAAAWItNC7SWQ6drZ1gthzdrCUJKpVJkMpmG\nZ2/ZsiUymUwtfHnqqafiscceq7smn8/Hpz71qYZnFgqF2Ldv33XVk81m46233opSqdRw7upArp16\ndu3aFQ899FBt6eHyNYODgxHR3rjOz8+3NT7r2TcAAACA9bJpe2gtLCxEJpOJrq6upudLpVJb+2g1\nk8vlam1c/fyFhYWmx5dNTU1Ff39/HDp0qOFcpVJpWetyvZVKJarVaiwuLkZPT0/dpuivvfZawz3T\n09PR09PTsp/N6hkZGYlCoRD79++PkZGRyOVykclkantVtTOu/f39Tceh2fis1q/r7RsAAADA9dq0\nQKtSqWzYs7PZbOzatSuKxWJdoLI86+vasCyfz8fFixcjk8nE1772tYbn5fP5Fb+xb3lm09X7Sw0P\nD0dErHjf5ORkfO5zn2va3kr1nDlzJg4dOhTj4+PR19cXL730Uu1cO+Pa7vhcb79W6hsAAADAWm3q\ntxxupCeeeCKeeOKJWhhzddBz7VK7vXv3xt69e2vfzvfkk0/W9peqVCrR3d29YlvZbLbh2/yGhoZi\ndHS0ZfAzOTkZt99+e9PZYCvVE3Fl5tbjjz8eCwsL8eijj8b+/fvj1KlTtZlp7WhnfK6nX6v17Vb3\n29/+Nv7jP36+2WVAkt59tyveeaf9Jc/AFX/wB/8z3ve+9212GQAAN9SmB1pLS0srLuW7XrlcLk6e\nPBn5fD4ymUxks9nabKRWwU82m42hoaF48MEH4/XXX4+IiPPnzzeEOu3o7e2N+fn5pv0rlUoxNTUV\nZ86cWfEZzeoZHR2NRx55pNaX73//+/GFL3whPvOZz9Qt/VttXK9nfFbrVyd9u9rtt38wbrvt1vgg\n/tOf/jSGT/zf+GDPhza7FADeA369+Mv4xt//7/jjP/7jzS6FJt59d/0/4wLAav7H/+iKO+5o/mV3\nt5JNC7Ra7XO1PFOok9lGrXR1ddXNJCoUCrXjrQwMDESlUolCoRA9PT2xffv2VduZmJiIhx9+uO7Y\nli1bolqtNv02wbGxsbplgisZGBiIcrkchUIh+vv7I5PJ1C0T7Orqiueffz7uv//+2jXVarWtcV1t\nfDrtV6d9W/buu7/u6Pqb2TvvLMUHez4UXbffudmlAPAe8c47S/H22xu3lQPXz6xTADbDrfTZYKVg\nbtO+5TCbzUYul2vY82lhYWHDNhOfmZmpBTilUinuvvvumJ2dbbiuWq1GpVKJS5cuxblz52J8fDzG\nx8djbGwszp8/H8ViMcbHx+PChQtRKpVifHy84VsZlzdnvzaYGx0djccff7zprK1W9URErZ6tW7c2\nPX/w4MGoVCprGtdrx6eTfq3UNwAAAID1tKlLDgcGBuLSpUt1M32KxWIMDAys+dmHDh2K++67r265\n4OTkZLz44osRcSUgyuVyDcFMqVSKTCYTfX19TcOfiYmJuHTpUjz22GO1Y8eOHWu4dnp6Ovr6+urC\nnampqbrlghFXZkUtB1Cr1VOtVuPcuXNN+1sul2PXrl0REbFr165Vx7XV+LzwwgsRcWUmV7v9Wq1v\nvukQAAAAWE+bNkMrImJkZCTOnz9fd2xycjJGRkZqryuVSoyOjsbSUvMp24uLi02/2a+7u7suwJmY\nmIihoaG4884rS8H6+vpi3759DfdNTEzEkSNHWoYw7777bsOxnp6eKJVKtdflcjmmpqbiySefrB1b\n7me5XI5isRjFYjGmp6fj3Llz0dvb21Y9uVwuMplMbWngslKpFIuLi7Wa2xnXVuNzdb/b6Vc7fQMA\nAABYT5lqtVrdzAJmZ2fju9/9bnz0ox+Nubm52L59e13QUiqV4jOf+UycOXOmFo5UKpV45plnolQq\nxYULFyKXy8XAwEDs3r279q198/Pzcf78+VhcXIyIiK1btzbd3H1qaipKpVL09PTE3Nxc7Nixo+l1\npVIpnnvuucjn81Eul+PAgQMxNDRUmwWVz+ejVCrFwsJCVCqVulCsUqnEzp07I5PJNDx369atkc/n\nO6pn+Zrl/ax6enoarlltXNsdn5X61WnfWrlV1vZGRPzsZ/8v/s+zP7SHFgA3xNK7b8XfP3JP/OEf\n/q/NLoUmfC4A4Ea71T4brLSH1qYHWiDQAoDrc6t9aL3V+FwAwI12q302uCk3hQcAAACA6yHQAgAA\nACApAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQ\nAgAAACApAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQAgAAACApAi0AAAAA\nkiLQAgAAACApAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQAgAAACApAi0A\nAAAAkiLQAgAAACApAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQAgAAACAp\nAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQAgAA\nACApAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQ\nAgAAACApAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQAgAAACApAi0AAAAA\nkiLQAgAAACApAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQAgAAACApAi0A\nAAAAkiLQAgAAACApAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQAgAAACAp\nAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQAgAA\nACApAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQ\nAgAAACApAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQAgAAACApAi0AAAAA\nkiLQAgAAACApAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQAgAAACApAi0A\nAAAAkiLQAgAAACApAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQAgAAACAp\nAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQAgAA\nACApAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQ\nAgAAACApAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQAgAAACApAi0AAAAA\nkiLQAgAAACApAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQAgAAACApAi0A\nAAAAkiLQAgAAACApAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQAgAAACAp\nAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQAgAA\nACApAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQ\nAgAAACApAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQAgAAACApAi0AAAAAkiLQAgAAACApAi0AAAAA\nkiLQAgAAACApt212AXCr+fXiLze7BADeI/w75+bnZwTAjfRe+vdOplqtVje7CAAAAABolyWHAAAA\nACRFoAUAAABAUgRaAAAAACRFoAUAAABAUgRaAAAAACRFoAUAAABAUgRaANxUKpVKFIvFzS4DAG5J\nY2Njce+998Zdd90Vs7OzK15bKBTirrvuivvvvz9efvnl2vF8Ph/33nvvRpcKsKLbNrsAAG5+o6Oj\nUSgUolQqxcGDB6O7uzuq1WqUy+WYn5+P6enp2Lp1a1y4cKHlM/L5fIyNjcX3vve9ltdUKpX40pe+\nFPl8Pl555ZXo7e3diO4AwHvWyMhIfPSjH42zZ8/G6dOn4ytf+UrLa8vlcmQymXjyySfjrrvuqh3P\n5XIxODh4I8oFaEmgBcCqjh07FlNTUzE+Pt70g+/8/HwcOnRoxWe08+E3m83GyZMn48///M/XVC8A\n0Fq1Wo2DBw/G8PBwy0CrUqlEd3d37fqr9fX9//buJyaK+//j+Gv9/mJtAruQWHsouxykBnahB001\nK4cmNeyCl7ZaFnqs4J9Dk20CeqpgqD11SbTxgoBGeyh/kiYeXNxNatMDO03atAeWoUnVg7NcvMgy\nHNpe+B747YRhQRe0IF+ej4vwmc/MfIYe+s57Pu/3BBUMBv/1dQLAs5DQAgC8sKqqKkWj0WfOWU/w\nWwigAQDAy+fxeBQOhyUtlRUWfl7OMAxFIpGiZBYAvCrooQUAeCmOHj2qXC631csAAAAlam5u1ujo\n6LrPM01ThmH8CysCgNKR0AIAbJht287PVVVVmp+fX3MuwS8AAK+W9vZ2pVKponHLsuT3+1c9x7Zt\nDQwMrNpqwDRNJRIJjY+Pa3x83Gk6b5qmTpw4oSNHjmhhYUFjY2OKx+OuuMAwDI2PjyudTmtsbMy1\nrqGhIR0+fFhHjhxxzonH46qtrVVHR4cWFhYkLTW8L4xJS/070+m0UqmU+vv7XXFL4Zq1tbWuHqCW\nZenw4cOKRCLO+ldeh4/XAK8GSg4BABuWyWTU2NiosrIyp6H77OysfvjhByWTSU1OTqq9vV319fUa\nGBhQOp0u+qKSaZpOU3lJazaCHxoaks/nc5rRFwLtiYkJXblyRdJSwOnxeLS4uKhsNquWlhZ6fAAA\nsEKhjDAYDMrv9yudTisSiTjHTdNcs5VAod9lXV2dazyTyWh4eFjDw8POWCqVUllZmYLBoK5evaqT\nJ08qk8koFovJtm0nwXTv3j1NT0+rq6vLOXdoaEhjY2OKxWLq7OyUx+PR1NSUUx559epVdXR0qLOz\nU2VlZZKWGt57PB51dXUplUo5zyct9fKMx+O6ceOGJKmzs1OhUEi9vb2uZ/f7/Wpra3PWUog/Wltb\nJUnRaFRNTU26efMmH68Bthg7tAAAG5ZMJp2fC8Hq4uKiE6y+8847sm3bCX5XymQy6u/vV2dnpyKR\niCKRiPL5fFHp4tDQkPL5vFpbWxWLxTQ3N6dcLqdoNKru7m5nzvz8vCKRiKLRqLq6uhSPxymDBADg\nGSKRSFHZocfjWfd1ent7df78edfYxYsXnRdZfr/f9UKqo6PDSST19PTo7NmzrnM7OzuVSCSc3Vex\nWEz37t1zzSkrK3ON2bato0ePSpImJyfV39/vHAsGg8pkMs71JCkcDiufzxe9bCu8ZLNtW9evX3eS\nWQXRaHRDpZoAXi4SWgCAkuXzefX39yuRSOjEiROuLfrSs4PV1fT29ur06dOusXA4rPLyctfY6Oio\nGhoanN8bGxs1MjIiaWlHFwEnAAClW56wamtrcyV6TNNc9+5my7KUy+VUW1vrGr9165YrDvB4PEU7\nuwzDUEVFhbPLarmqqiplMhlJSzvDQqGQE3tYlqX29nZXQiuTyTg7uC5cuKCuri6Zpqnx8XFnF/fc\n3JzrHmfOnNF3333nWk9LS4skKZvNyuPxOOWGhX8rKyudpBeArUPJIQCgZD6fz1UO0NvbWzRntWB1\nNYXgt76+/rlzA4GA8vm883s+n3cF48sDzsXFRafssLKyki8mAgDwDH6/X8FgUBMTE2ptbZVlWc/9\ncvFKa+2GLjUeWEtFRYWmpqacpFhbW5vu3r2rSCQiwzAUi8VUX1/vfKlxeWzw9OlTxeNxnTt3znnh\ntfKFmbS08+vYsWPq6+tz1lNIilmWJZ/P98yXcwC2DgktAMCGNTc3b/jcQvC72hvZlWKxmMbGxpyA\ndGJiwlXWQMAJAMDGtbW1aWRkRK2trRt6EfQivaTWaj4vSXNzc66dUC0tLc7LtELyKhaLaWRkRA0N\nDa6E1alTp3TmzJlVY4NCOwRpKcnV0NCgdDqtcDjsWo/f73e9UAPwaqHkEACwYeFwuKSE1GoKwe/y\nXhZr8Xq96uzs1NjYmMbHx3Xu3Dk1NTU5xwk4AQDYuJaWFk1PTyudTrtK/Evl9/vl9XpX/Zrxs3Zg\nSVJ9fb0sy1o1HpiZmXHK/6Sl5NPRo0c1NDTk7PCORqMyDEMTExPOzirbtmVZlutcSc7XmLPZrOt+\nhaRYYafX8rUV1rESX24Gth4JLQDAligEv6sFuoWAs2B6elrhcFixWEytra1FJQwEnAAAlO7x48eu\n//8WEkXJZLLkF1WFLyUW9PX1KZFIuMZM03SVAa48p3Dvvr4+ff31167xRCKh8+fPF60nGo1qcHDQ\nFQuEw2Fls1nXNVf2yzIMQ6FQSPPz85qfn3ddNxqNKpvNFr0cKy8vV3d3d9FzGYaxocQfgJfrP5cu\nXbq01YsAALz6stmsDMMoauK+0rVr1/TZZ5+VdKy6ulojIyOu0kXDMHTnzh199NFHeuONNyRJP/74\nozwez5plCa+99poqKip0+/ZtffDBB65rNTQ0aPfu3SU/JwAA/8sSiYS+/fZbZTIZVVZWav/+/ZKk\n119/XW+//bbzu2EYSiQSevTokaamprRr1y6FQiFZlqWenh49evRIDx48UH19vbxer2pqahQIBDQ6\nOqrZ2VnNzs7K5/Np//79Mk1Tly9fds7ZtWuXcx9JCoVC2rNnj1KplB4+fCjDMHTgwAF9/PHHResP\nBALas2ePDh486IxVVFSopqbGFSccOnRIg4OD2rVrl548eaKKigodPHhQt2/f1oEDB1z3l5ZepjU3\nNxeVXIZCIe3bt8/1XDU1Ndq7d++L/8cA8EI8i6ulyQEAWCaRSCidTjuNYhsaGtTR0eGaY5qmBgYG\nlE6nFY1Gdfz4cadvhWVZzjWi0ai6u7udkkPDMJwvKtm2raqqKn366afy+Xzq7u5WJBKRbds6duyY\n85bX5/MpGAyqra3NVRpgGIYmJydVXV3tzHmRvh4AAAAAXk0ktAAArzTLsjQ4OKizZ8/qrbfekrTU\nUL6QJDt79izN4AEAAIAdhh5aAIBX2ujoqFpaWpxklrTUUD4cDuvLL79UMpncwtUBAAAA2AoktAAA\nr7TGxkbdu3dv1WPJZFLHjx/f5BUBAAAA2GqUHAIAXnkzMzOanJxUIBCQtPSVpFwup2Aw6OqhBQAA\nAGBnIKEFAAAAAACAbYWSQwAAAAAAAGwrJLQAAAAAAACwrZDQAgAAwKaxbVumaW71MgAAwDZHQgsA\nAGCHGhoa0szMzKbdz7IsxeNxnTx50jWeSqXU1NS0aesAAADbHwktAACAHWpqakojIyObdj+/368b\nN26sOt7c3Lxp6wAAANsfXzkEAADYgQplfydOnNAff/yxqfeuq6vb1J1hAADgfw87tAAAAHYgj8ej\nYDCoQCCgdDq91csBAABYFxJaAAAAO1gsFtPdu3e3dA2macowjC1dAwAA2F7+b6sXAAAAgM1lmqaC\nwaAkKRqNqr+/f815X3zxhXK5nO7fv6/JyUlJS723GhoaFI1G1zVvNbZta2BgQOl0uqgMMZVKyePx\naHFxUdlsVmfOnFF5ebnrnqZp6tdff33uPU3TVDKZVHV1tSSpvr5edXV1a96rpaXF+RulUil5vV5J\n0vz8vCYnJ3XhwgWVlZU9708NAAD+JfTQAgAA2GFmZmZcyZyTJ0+qvb1dra2tRXMty1JTU5O++eYb\nRSIRZ/zUqVNqbm5WLBZb1zxp9R5aK8dSqZSCwaD8fr+kpYRUIpFwNZW3bVvvvvuubt68qXA47IzX\n1ta6+oJlMhkNDw9reHjYdf1QKKSqqioNDQ3J5/O5nr+pqUk3b96UZVmS5Lp+b2+vTp8+raqqquI/\nLgAA2BSUHAIAAOwwK99ntrW1aWJiYtW5fr9fHo/HlaSSpO7ubvX09GhhYWFd80o1OTnp2jkWDAaV\nyWRc1yns1qqoqHCdGwgEXMmx3t5enT9/3jXn4sWLMk1Ttm3r+vXrRcm8aDSqkZER5XI5TU9Pu441\nNjYW3RMAAGwuSg4BAAB2kFQqpWw260pg5fN5GYahhYWFksvoCuV4U1NTrt1LG5230oULF/T06VOZ\npqnp6Wl5vV55PB7Nzc0VrbGwi6tgecLOsizlcjnV1ta65ty6dUt1dXUyDEMej0fpdFqLi4tO2WFl\nZaW8Xq+am5t17NgxGYahaDSqcDhclLQDAACbj4QWAADADuL1etXV1VU0ns1mNTo6qo6OjpKv5ff7\nlcvlXtq85Z4+fap4PK5z5845u6cKO7KW83g8z0zCrXXfQsmlZVny+XzPTFLdv39fo6OjGhkZUW9v\nr6LRqK5cubKexwEAAC8ZJYcAAAA7hG3ba5bKHT9+XMlkcl3XsyyrpD5Spc5b7tSpU/rkk09WTTTZ\ntl3ydZ53X7/fr3w+v+Zx0zRVVlamjo4Off/99/rll19k27bGx8dLXgMAAHj5SGgBAADsEJlMxtUM\nfrloNCrTNEveSTU9PS2fz6eGhoaXMm8527ZlWZZaWlpc4/Pz85KWdpOV2pPL7/fL6/XKMIyiY7lc\nTvX19ZJU1KRekgzDUDKZdBrDS1JZWZm6urr0+PHjkp8HAAC8fCS0AAAAdojJyck1j/n9flVVVWlk\nZKTo2OLiotLptGusv79fly9fdpX7rWfeavcoKC8vd/plFRiGoVAopPn5ec3PzzvXW1xcXDW5tfzc\nvr4+JRIJ13HTNJ17dXV1FR03DMNJwg0NDbmO5fP5dSXoAADAy/efS5cuXdrqRQAAAODfYxiG4vG4\nfvrpJ7355psKhUJFcxKJhH766Sf9/vvv+vvvvxUIBOT1eiVJ165dU1dXlwzD0MOHD3Xnzh19+OGH\neu+991zXeN48y7LU09OjR48e6cGDB6qurtZff/3lGquvr5fX69WhQ4c0ODioXbt26cmTJ6qoqNDB\ngwd1+/ZtHThwQLt373bOe/z4saqrq7Vnzx599dVX+vnnn/Xnn38qEAjI7/erpqZGgUBAo6Ojmp2d\n1ezsrHw+n/bv3y9JCoVC2rdvn+t4TU2N9u7dq9nZWb3//vv67bffnDX+888/NIYHAGCLeRZXe0UG\nAAAA/L+6urpVS/I2Og8AAOBFUXIIAAAAAACAbYWEFgAAAJ6p1A39bPwHAACbhYQWAAAAVmWapuLx\nuDwejz7//PM1v4BY6jwAAICXhR5aAAAAAAAA2FbYoQUAAAAAAIBthYQWAAAAAAAAthUSWgAAAAAA\nANhWSGgBAAAAAABgWyGhBQAAAAAAgG2FhBYAAAAAAAC2lf8CJjfjzak1vUgAAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x17264898>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "f = plt.figure(figsize=(18,8))\n", "plt.rc('font', size=20, **{'family': 'serif', 'serif': ['Computer Modern']})\n", "plt.rc('text', usetex=True)\n", "ax = f.add_axes([0.2,0.2,0.8,0.8])\n", "ax.bar(x,y,align='center')\n", "ax.set_xticks(x)\n", "ax.set_yticks(y)\n", "ax.set_yticklabels(y,fontsize=20)\n", "ax.set_xticklabels(Names,fontsize=20)\n", "ax.set_xlim([min(x)-0.5,max(x)+0.5])\n", "plt.xlabel('Appliances',fontsize=20)\n", "plt.ylabel('Precision',fontsize=20)\n", "plt.title('Combinatorial Optimization',fontsize=22)\n", "plt.show()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Recall" ] }, { "cell_type": "code", "execution_count": 29, "metadata": { "collapsed": true }, "outputs": [], "source": [ "x = np.arange(2)\n", "y = np.array([appliances_scores[i]['Recall'] for i in Names])\n", "y[np.isnan(y)] = 0.001" ] }, { "cell_type": "code", "execution_count": 30, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAABLQAAAIeCAYAAACiDFYRAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x123a1240>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "f = plt.figure(figsize=(18,8))\n", "plt.rc('font', size=20, **{'family': 'serif', 'serif': ['Computer Modern']})\n", "plt.rc('text', usetex=True)\n", "ax = f.add_axes([0.2,0.2,0.8,0.8])\n", "ax.bar(x,y,align='center')\n", "ax.set_xticks(x)\n", "ax.set_yticks(y)\n", "ax.set_yticklabels(y,fontsize=20)\n", "ax.set_xticklabels(['Fridge','Sockets','Lights'],fontsize=20)\n", "ax.set_xlim([min(x)-0.5,max(x)+0.5])\n", "plt.xlabel('Appliances',fontsize=20)\n", "plt.ylabel('Recall',fontsize=20)\n", "plt.title('Combinatorial Optimization',fontsize=22)\n", "plt.show()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Accuracy" ] }, { "cell_type": "code", "execution_count": 31, "metadata": { "collapsed": true }, "outputs": [], "source": [ "x = np.arange(2)\n", "y = np.array([appliances_scores[i]['Accuracy'] for i in Names])\n", "y[np.isnan(y)] = 0.001" ] }, { "cell_type": "code", "execution_count": 32, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAABLQAAAIeCAYAAACiDFYRAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x11d75b38>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "f = plt.figure(figsize=(18,8))\n", "plt.rc('font', size=20, **{'family': 'serif', 'serif': ['Computer Modern']})\n", "plt.rc('text', usetex=True)\n", "ax = f.add_axes([0.2,0.2,0.8,0.8])\n", "ax.bar(x,y,align='center')\n", "ax.set_xticks(x)\n", "ax.set_yticks(y)\n", "ax.set_yticklabels(y,fontsize=20)\n", "ax.set_xticklabels(['Fridge','Sockets','Lights'],fontsize=20)\n", "ax.set_xlim([min(x)-0.5,max(x)+0.5])\n", "plt.xlabel('Appliances',fontsize=20)\n", "plt.ylabel('Accuracy',fontsize=20)\n", "plt.title('Combinatorial Optimization',fontsize=22)\n", "plt.show()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.11" } }, "nbformat": 4, "nbformat_minor": 0 }
cc0-1.0
amandersillinois/landlab
notebooks/tutorials/flexure/flexure_1d.ipynb
2
9234
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "<a href=\"http://landlab.github.io\"><img style=\"float: left\" src=\"../../landlab_header.png\"></a>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Using the Landlab 1D flexure component\n", "\n", "<hr>\n", "<small>For more Landlab tutorials, click here: <a href=\"https://landlab.readthedocs.io/en/latest/user_guide/tutorials.html\">https://landlab.readthedocs.io/en/latest/user_guide/tutorials.html</a></small>\n", "<hr>\n", "\n", "In this example we will:\n", "* create a Landlab component that solves the (1D) flexure equation\n", "* apply a point load\n", "* run the component\n", "* plot some output\n", "* apply a distributed load\n", "\n", "(Note that this tutorial uses the one-dimensional flexure component, `Flexure1D`. A separate tutorial notebook, \"lots_of_loads\", explores the two-dimensional elastic flexure component `Flexure`.)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "A bit of magic so that we can plot within this notebook." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "# %matplotlib inline\n", "import numpy as np\n", "import matplotlib.pyplot as plt" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Create the grid\n", "\n", "We are going to build a uniform rectilinear grid with a node spacing of 100 km in the *y*-direction and 10 km in the *x*-direction on which we will solve the flexure equation.\n", "\n", "First we need to import *RasterModelGrid*." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "from landlab import RasterModelGrid" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Create a rectilinear grid with a spacing of 100 km between rows and 10 km between columns. The numbers of rows and columms are provided as a `tuple` of `(n_rows, n_cols)`, in the same manner as similar numpy functions. The spacing is also a `tuple`, `(dy, dx)`." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "grid = RasterModelGrid((3, 800), xy_spacing=(100e3, 10e3))" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "grid.dy, grid.dx" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Create the component" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Now we create the flexure component and tell it to use our newly created grid. First, though, we'll examine the Flexure component a bit." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "from landlab.components import Flexure1D" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The `Flexure1D` component, as with most landlab components, will require our grid to have some data that it will use. We can get the names of these data fields with the `input_var_names` attribute of the component *class*." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "Flexure1D.input_var_names" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We see that flexure uses just one data field: the change in lithospheric loading. Landlab component classes can provide additional information about each of these fields. For instance, to see the units for a field, use the `var_units` method." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "Flexure1D.var_units('lithosphere__increment_of_overlying_pressure')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "To print a more detailed description of a field, use `var_help`." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "Flexure1D.var_help('lithosphere__increment_of_overlying_pressure')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "What about the data that `Flexure1D` provides? Use the `output_var_names` attribute." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "Flexure1D.output_var_names" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "Flexure1D.var_help('lithosphere_surface__increment_of_elevation')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Now that we understand the component a little more, create it using our grid." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "grid.add_zeros(\"lithosphere__increment_of_overlying_pressure\", at=\"node\")\n", "\n", "flex = Flexure1D(grid, method='flexure')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Add a point load" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "First we'll add just a single point load to the grid. We need to call the `update` method of the component to calculate the resulting deflection (if we don't run `update` the deflections would still be all zeros).\n", "\n", "Use the `load_at_node` attribute of `Flexure1D` to set the loads. Notice that `load_at_node` has the same shape as the grid. Likewise, `x_at_node` and `dz_at_node` also reshaped." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "flex.load_at_node[1, 200] = 1e6\n", "flex.update()\n", "plt.plot(flex.x_at_node[1, :400] / 1000., flex.dz_at_node[1, :400])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Before we make any changes, reset the deflections to zero." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "flex.dz_at_node[:] = 0." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Now we will double the effective elastic thickness but keep the same point load. Notice that, as expected, the deflections are more spread out." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "flex.eet *= 2.\n", "flex.update()\n", "plt.plot(flex.x_at_node[1, :400] / 1000., flex.dz_at_node[1, :400])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Add some loading\n", "We will now add a distributed load. As we saw above, for this component, the name of the attribute that holds the applied loads is `load_at_node`. For this example we create a loading that increases linearly of the center portion of the grid until some maximum. This could by thought of as the water load following a sea-level rise over a (linear) continental shelf." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "flex.load_at_node[1, :100] = 0.\n", "flex.load_at_node[1, 100:300] = np.arange(200) * 1e6 / 200.\n", "flex.load_at_node[1, 300:] = 1e6" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "plt.plot(flex.load_at_node[1, :400])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Update the component to solve for deflection\n", "Clear the current deflections, and run `update` to get the new deflections." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "flex.dz_at_node[:] = 0.\n", "flex.update()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "scrolled": false }, "outputs": [], "source": [ "plt.plot(flex.x_at_node[1, :400] / 1000., flex.dz_at_node[1, :400])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Exercise: try maintaining the same loading distribution but double the effective elastic thickness." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Click here for more <a href=\"https://landlab.readthedocs.io/en/latest/user_guide/tutorials.html\">Landlab tutorials</a>" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.7.3" } }, "nbformat": 4, "nbformat_minor": 1 }
mit
kinverarity1/gpgLabs
Seismic/NMO/SeismicNMOapp.ipynb
1
1238835
null
mit
nick-youngblut/SIPSim
ipynb/bac_genome/fullCyc/trimDataset/dataset_info.ipynb
1
650346
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# General info on the fullCyc dataset (as it pertains to SIPSim validation)\n", "\n", "* Simulating 12C gradients\n", "* Determining if simulated taxon abundance distributions resemble the true distributions\n", "* Simulation parameters to infer from dataset:\n", " * Infer total richness of bulk soil community \n", " * richness of starting community\n", " * Infer abundance distribution of bulk soil community\n", " * NO: distribution fit\n", " * INSTEAD: using relative abundances of bulk soil community\n", " * Get distribution of total OTU abundances per fraction\n", " * Number of sequences per sample" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# User variables" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false, "init_cell": true }, "outputs": [], "source": [ "%load_ext rpy2.ipython" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false, "init_cell": true }, "outputs": [], "source": [ "%%R\n", "workDir = '/home/nick/notebook/SIPSim/dev/fullCyc/'\n", "physeqDir = '/home/nick/notebook/SIPSim/dev/fullCyc_trim/'\n", "\n", "physeqBulkCore = 'bulk-core_trm'\n", "physeqSIP = 'SIP-core_unk_trm'" ] }, { "cell_type": "code", "execution_count": 286, "metadata": { "collapsed": true }, "outputs": [], "source": [ "ampFragFile = '/home/nick/notebook/SIPSim/dev/bac_genome1147/validation/ampFrags_kde.pkl'" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Init" ] }, { "cell_type": "code", "execution_count": 174, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import os" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false, "init_cell": true }, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "/opt/anaconda/lib/python2.7/site-packages/rpy2/robjects/functions.py:106: UserWarning: Need help? Try the ggplot2 mailing list:\n", "http://groups.google.com/group/ggplot2.\n", "\n", " res = super(Function, self).__call__(*new_args, **new_kwargs)\n", "/opt/anaconda/lib/python2.7/site-packages/rpy2/robjects/functions.py:106: UserWarning: \n", "Attaching package: ‘dplyr’\n", "\n", "\n", " res = super(Function, self).__call__(*new_args, **new_kwargs)\n", "/opt/anaconda/lib/python2.7/site-packages/rpy2/robjects/functions.py:106: UserWarning: The following objects are masked from ‘package:stats’:\n", "\n", " filter, lag\n", "\n", "\n", " res = super(Function, self).__call__(*new_args, **new_kwargs)\n", "/opt/anaconda/lib/python2.7/site-packages/rpy2/robjects/functions.py:106: UserWarning: The following objects are masked from ‘package:base’:\n", "\n", " intersect, setdiff, setequal, union\n", "\n", "\n", " res = super(Function, self).__call__(*new_args, **new_kwargs)\n", "/opt/anaconda/lib/python2.7/site-packages/rpy2/robjects/functions.py:106: UserWarning: Loading required package: MASS\n", "\n", " res = super(Function, self).__call__(*new_args, **new_kwargs)\n", "/opt/anaconda/lib/python2.7/site-packages/rpy2/robjects/functions.py:106: UserWarning: \n", "Attaching package: ‘MASS’\n", "\n", "\n", " res = super(Function, self).__call__(*new_args, **new_kwargs)\n", "/opt/anaconda/lib/python2.7/site-packages/rpy2/robjects/functions.py:106: UserWarning: The following object is masked from ‘package:dplyr’:\n", "\n", " select\n", "\n", "\n", " res = super(Function, self).__call__(*new_args, **new_kwargs)\n", "/opt/anaconda/lib/python2.7/site-packages/rpy2/robjects/functions.py:106: UserWarning: Loading required package: bbmle\n", "\n", " res = super(Function, self).__call__(*new_args, **new_kwargs)\n", "/opt/anaconda/lib/python2.7/site-packages/rpy2/robjects/functions.py:106: UserWarning: Loading required package: stats4\n", "\n", " res = super(Function, self).__call__(*new_args, **new_kwargs)\n", "/opt/anaconda/lib/python2.7/site-packages/rpy2/robjects/functions.py:106: UserWarning: \n", "Attaching package: ‘bbmle’\n", "\n", "\n", " res = super(Function, self).__call__(*new_args, **new_kwargs)\n", "/opt/anaconda/lib/python2.7/site-packages/rpy2/robjects/functions.py:106: UserWarning: The following object is masked from ‘package:dplyr’:\n", "\n", " slice\n", "\n", "\n", " res = super(Function, self).__call__(*new_args, **new_kwargs)\n" ] } ], "source": [ "%%R\n", "library(ggplot2)\n", "library(dplyr)\n", "library(tidyr)\n", "library(phyloseq)\n", "library(fitdistrplus)\n", "library(sads)" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false, "init_cell": true }, "outputs": [], "source": [ "%%R\n", "dir.create(workDir, showWarnings=FALSE)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Loading phyloseq list datasets" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false, "init_cell": true, "scrolled": true }, "outputs": [ { "data": { "text/plain": [ "[1] \"12C-Con.D3.R3_bulk\" \"12C-Con.D6.R2_bulk\" \"12C-Con.D30.R1_bulk\"\n", "[4] \"12C-Con.D1.R2_bulk\" \"12C-Con.D48.R3_bulk\" \"12C-Con.D14.R1_bulk\"\n" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "%%R\n", "# bulk core samples\n", "F = file.path(physeqDir, physeqBulkCore)\n", "physeq.bulk = readRDS(F)\n", "#physeq.bulk.m = physeq.bulk %>% sample_data\n", "physeq.bulk %>% names" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false, "init_cell": true }, "outputs": [ { "data": { "text/plain": [ "[1] \"12C-Con.D3.R3_bulk\" \"12C-Con.D6.R2_bulk\" \"12C-Con.D30.R1_bulk\"\n", "[4] \"12C-Con.D1.R2_bulk\" \"12C-Con.D48.R3_bulk\" \"12C-Con.D14.R1_bulk\"\n" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "%%R\n", "# SIP core samples\n", "F = file.path(physeqDir, physeqSIP)\n", "physeq.SIP = readRDS(F)\n", "#physeq.SIP.m = physeq.SIP %>% sample_data\n", "physeq.SIP %>% names" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Infer abundance distribution of each bulk soil community\n", "\n", "* distribution fit" ] }, { "cell_type": "code", "execution_count": 29, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "[1] \"12C-Con.D3.R3_bulk\" \"12C-Con.D6.R2_bulk\" \"12C-Con.D30.R1_bulk\"\n", "[4] \"12C-Con.D1.R2_bulk\" \"12C-Con.D48.R3_bulk\" \"12C-Con.D14.R1_bulk\"\n" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "%%R\n", "\n", "physeq2otu.long = function(physeq){\n", " df.OTU = physeq %>%\n", " transform_sample_counts(function(x) x/sum(x)) %>%\n", " otu_table %>%\n", " as.matrix %>% \n", " as.data.frame \n", "\n", " df.OTU$OTU = rownames(df.OTU)\n", " df.OTU = df.OTU %>% \n", " gather('sample', 'abundance', 1:(ncol(df.OTU)-1))\n", " return(df.OTU)\n", "}\n", "\n", "df.OTU.l = lapply(physeq.bulk, physeq2otu.long) \n", "df.OTU.l %>% names\n", " \n", "#df.OTU = do.call(rbind, lapply(physeq.bulk, physeq2otu.long))\n", "#df.OTU$Day = gsub('.+\\\\.D([0-9]+)\\\\.R.+', '\\\\1', df.OTU$sample) \n", "#df.OTU %>% head(n=3)" ] }, { "cell_type": "code", "execution_count": 31, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "$`12C-Con.D3.R3_bulk`\n", "summary statistics\n", "------\n", "min: 9.923588e-05 max: 0.09685422 \n", "median: 0.0002977077 \n", "mean: 0.000907441 \n", "estimated sd: 0.004143241 \n", "estimated skewness: 16.62939 \n", "estimated kurtosis: 334.6113 \n", "\n", "$`12C-Con.D6.R2_bulk`\n", "summary statistics\n", "------\n", "min: 0.0001907851 max: 0.07431079 \n", "median: 0.0003815702 \n", "mean: 0.000907441 \n", "estimated sd: 0.003159572 \n", "estimated skewness: 15.7123 \n", "estimated kurtosis: 317.8194 \n", "\n", "$`12C-Con.D30.R1_bulk`\n", "summary statistics\n", "------\n", "min: 0.000147167 max: 0.03443709 \n", "median: 0.0004415011 \n", "mean: 0.000907441 \n", "estimated sd: 0.001786584 \n", "estimated skewness: 9.911855 \n", "estimated kurtosis: 146.0765 \n", "\n", "$`12C-Con.D1.R2_bulk`\n", "summary statistics\n", "------\n", "min: 0.0001331026 max: 0.06382271 \n", "median: 0.0003327566 \n", "mean: 0.000907441 \n", "estimated sd: 0.003638309 \n", "estimated skewness: 12.9856 \n", "estimated kurtosis: 197.8508 \n", "\n", "$`12C-Con.D48.R3_bulk`\n", "summary statistics\n", "------\n", "min: 0.0002283974 max: 0.03410735 \n", "median: 0.0004567948 \n", "mean: 0.000907441 \n", "estimated sd: 0.001893302 \n", "estimated skewness: 9.886894 \n", "estimated kurtosis: 135.7204 \n", "\n", "$`12C-Con.D14.R1_bulk`\n", "summary statistics\n", "------\n", "min: 0.0001737318 max: 0.04018995 \n", "median: 0.0004053741 \n", "mean: 0.000907441 \n", "estimated sd: 0.002216699 \n", "estimated skewness: 10.92066 \n", "estimated kurtosis: 157.6884 \n", "\n" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAcIAAAGQCAIAAACyPPfuAAAgAElEQVR4nOydd3hURdfAz9y7Pbvp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\nDkhRhQadB9JcAAAAAElFTkSuQmCC\n" }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAcIAAAGQCAIAAACyPPfuAAAgAElEQVR4nOydd3xUxfbAz9x7t2Y3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\ngWkUgiAoJP8HBib76vNvOOcAAAAASUVORK5CYII=\n" }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAcIAAAGQCAIAAACyPPfuAAAgAElEQVR4nOydd3gUxfvA39nrPcld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\n" }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAcIAAAGQCAIAAACyPPfuAAAgAElEQVR4nOydd3gUxfvA39nrl1zq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\n" }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAcIAAAGQCAIAAACyPPfuAAAgAElEQVR4nOydd3gUVdfAz53ZXtI2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\nshIFAAAAAElFTkSuQmCC\n" }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAcIAAAGQCAIAAACyPPfuAAAgAElEQVR4nOydd3wUxfvHn9m9nksu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\nAElFTkSuQmCC\n" }, "metadata": {}, "output_type": "display_data" } ], "source": [ "%%R -w 450 -h 400\n", "\n", "lapply(df.OTU.l, function(x) descdist(x$abundance, boot=1000))" ] }, { "cell_type": "code", "execution_count": 48, "metadata": { "collapsed": false, "scrolled": false }, "outputs": [ { "data": { "text/plain": [ "Goodness-of-fit statistics\n", " exponential lognormal gamma beta\n", "Kolmogorov-Smirnov statistic 0.3253659 0.1584394 0.2459352 0.2465466\n", "Cramer-von Mises statistic 36.2500825 6.0882212 22.1176264 22.3710024\n", "Anderson-Darling statistic Inf 33.9839705 Inf Inf\n", "\n", "Goodness-of-fit criteria\n", " exponential lognormal gamma beta\n", "Aikake's Information Criterion -13232.76 -14306.01 -13366.44 -13352.9\n", "Bayesian Information Criterion -13227.76 -14296.00 -13356.43 -13342.9\n", "Goodness-of-fit statistics\n", " exponential lognormal gamma beta\n", "Kolmogorov-Smirnov statistic 0.2617918 0.1774821 0.232915 0.2334714\n", "Cramer-von Mises statistic 25.0569153 7.6992102 20.533419 20.6905360\n", "Anderson-Darling statistic Inf 47.3460141 Inf Inf\n", "\n", "Goodness-of-fit criteria\n", " exponential lognormal gamma beta\n", "Aikake's Information Criterion -13232.76 -14098.38 -13260.07 -13250.81\n", "Bayesian Information Criterion -13227.76 -14088.37 -13250.06 -13240.80\n", "Goodness-of-fit statistics\n", " exponential lognormal gamma beta\n", "Kolmogorov-Smirnov statistic 0.1860578 0.1573013 0.2010213 0.2013475\n", "Cramer-von Mises statistic 11.5710970 5.0813272 12.4005454 12.4558965\n", "Anderson-Darling statistic Inf 28.2313121 Inf Inf\n", "\n", "Goodness-of-fit criteria\n", " exponential lognormal gamma beta\n", "Aikake's Information Criterion -13232.76 -13749.99 -13237.66 -13234.34\n", "Bayesian Information Criterion -13227.76 -13739.98 -13227.65 -13224.33\n", "Goodness-of-fit statistics\n", " exponential lognormal gamma beta\n", "Kolmogorov-Smirnov statistic 0.2783137 0.1543628 0.2209124 0.2219545\n", "Cramer-von Mises statistic 30.6847064 6.0436304 21.1560782 21.3841329\n", "Anderson-Darling statistic Inf 35.0830314 Inf Inf\n", "\n", "Goodness-of-fit criteria\n", " exponential lognormal gamma beta\n", "Aikake's Information Criterion -13232.76 -14253.39 -13313.79 -13302.80\n", "Bayesian Information Criterion -13227.76 -14243.38 -13303.78 -13292.79\n", "Goodness-of-fit statistics\n", " exponential lognormal gamma beta\n", "Kolmogorov-Smirnov statistic 0.2225173 0.1515719 0.2003828 0.2005322\n", "Cramer-von Mises statistic 13.0222486 5.8084086 13.9722252 14.0317004\n", "Anderson-Darling statistic Inf 35.7555720 Inf Inf\n", "\n", "Goodness-of-fit criteria\n", " exponential lognormal gamma beta\n", "Aikake's Information Criterion -13232.76 -13827.14 -13237.91 -13234.04\n", "Bayesian Information Criterion -13227.76 -13817.13 -13227.90 -13224.03\n", "Goodness-of-fit statistics\n", " exponential lognormal gamma beta\n", "Kolmogorov-Smirnov statistic 0.1927305 0.1281835 0.1888559 0.1891711\n", "Cramer-von Mises statistic 14.8184182 4.5641258 14.4718820 14.5460271\n", "Anderson-Darling statistic Inf 27.7269589 Inf Inf\n", "\n", "Goodness-of-fit criteria\n", " exponential lognormal gamma beta\n", "Aikake's Information Criterion -13232.76 -13878.22 -13231.18 -13226.28\n", "Bayesian Information Criterion -13227.76 -13868.21 -13221.17 -13216.27\n", "[1] \"12C-Con.D3.R3_bulk\" \"12C-Con.D6.R2_bulk\" \"12C-Con.D30.R1_bulk\"\n", "[4] \"12C-Con.D1.R2_bulk\" \"12C-Con.D48.R3_bulk\" \"12C-Con.D14.R1_bulk\"\n" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAeAAAAHgCAIAAADytinCAAAgAElEQVR4nOzdd1wT9/8H8HdAICEJ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\nAElFTkSuQmCC\n" }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAeAAAAHgCAIAAADytinCAAAgAElEQVR4nOzdeVxU1fsH8GcGGJhh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\nhBCNooAmhBCN+n+pD+I5p2eJpAAAAABJRU5ErkJggg==\n" }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAeAAAAHgCAIAAADytinCAAAgAElEQVR4nOzdd1hT1xsH8DcEEiAJ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\nTkSuQmCC\n" }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAeAAAAHgCAIAAADytinCAAAgAElEQVR4nOzdd1wT9/8H8HeIECAJ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\nRU5ErkJggg==\n" }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAeAAAAHgCAIAAADytinCAAAgAElEQVR4nOzdd1gUV9cA8LO0BbaA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\nEEJ8FAU0IYT4KApoQgjxURTQhBDio/4fRWovyj6m7GAAAAAASUVORK5CYII=\n" }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAeAAAAHgCAIAAADytinCAAAgAElEQVR4nOzdeVxU1fsH8GdYhm0G\nEFAGFRRE0RRE3AUXNAlTwRVNRcpMUbM0U8MFTc3UcsvcInM3MJfKLdNUFPfcNxRxhwGSfVhmvb8/\n7u87EcyMMMDMBT7vV69el3vPnPPc4/Bw58y55/IYhiEAAOAeE2MHAAAAmiFBAwBwFBI0AABHIUED\nAHAUEjQAAEchQQMAcBQSNAAARyFBAwBwFBI0AABHIUEDAHAUEjQAAEchQQMAcBQSNAAARyFBAwBw\nFBI0AABHIUEDAHAUEjQAAEchQQMAcBQSNAAARyFBAwBwFBI0AABHIUEDAHAUEjQAAEchQXOFQqHg\n8Xg8Hq/kTnaPQqHQeLQOKmc/cKS7KhOGcc9UR7Uc6ds6Agm6VlEndGMHYgQcOXeOhFG1auVJ1Qhm\nxg4AysXU1FQulxs7CqiYmvuvpiPymntSNRGuoGsGpVJpbm5ubm7O/vj8+fOQkBB7e/sGDRqMHDky\nNTWViNSfOs3NzR8/fkxEDMPs2rWrbdu2AoHAx8dn586dDMOwZU6cONGxY0c7O7vAwMDbt2+rP7Sq\nP8BeuXKlU6dOmzdvzszM/Pjjj5s2bWphYeHs7DxkyBC2cnXJtWvXOjk5+fn53bhxY9OmTW3atLGx\nsencufPNmzdLncUbqzp9+rSfn59AIOjZs+ejR4/YV/3111+dO3cWCoV+fn5//vmnxv4pe+6shISE\njh07CgSCHj16qCt88eLF4MGD69ev36RJk8mTJxcWFrL7tXVX2T7RVkmpMEr9qx08eLBDhw4CgcDD\nw2PhwoVsmtPWJzro6BCNUenuXo3vpZKR6z4pbZ2psVqoMAa4QX1V4lUCu0cul6uPsoV79uxJRL17\n9/bz8yOioKAghmHU5Vu0aPHs2TOGYfbu3UtE1tbWAwcOtLa2JqK9e/cyDHPhwgX2t65Dhw7e3t62\ntrbqytUNNWrUyMHBYevWraGhoUTUoEGD0NDQNm3aEFHHjh1LlhQIBG5ubkTE5/P5fH7Xrl3ZCtu3\nb1/qHN9Yla2tbZcuXSwsLIgoMDCQYZjz58+zobZr187Pz8/ExETj+7bUuZessHPnzpaWlmx3MQxT\nUFDQuHFjU1PTgQMHdu/enYiCg4PZSrR1V9k+0VaJtjAYhjl8+DBb+YABAxo0aEBEc+bMKU+flDpT\nHR2iLSod3avtvVSydR0npaMzNVYLFYUEzRU6PjaWStAqlYrNOJcvX87MzIyIiJgwYYJKpWL+d3Us\nl8vZOjt27EhEcXFxDMPExsYSUZcuXRiGCQ4OJqLo6Gi2thkzZpRN0Bs3bmQrGTVqVGho6JUrVxiG\nefXqFRGZmJgoFAp1yUuXLqWlpbHbp06dYhjm4cOHRGRqalrqHN9YFfvy8+fPE5GVlRXDMEFBQUQ0\nf/58tob58+drTFulzl1d4YkTJxiGuXXrFpscGYbZsGEDEU2aNIk99y5durA9qaO7yvaJjko0hsEw\nTEBAABH98ssvDMPcu3ePiEQikUqlemOflDpNHR2iLSod3avtvVSqdW0npa1FHW9RqBAkaK7Q+Aup\nMUEz//ttJ6L27duvWrVKKpWWKs8wjEqlEgqFRJSbm8swTE5ODhHZ2dmpVCr2Ci41NZV91cuXL8sm\n6MLCQvaoSqU6cuTIp59+GhgY6ODgUDYkhUKhbpqNRFtyeWNVSqWSYRiZTKZ+uZOTExGJxWK2BvUn\n5bIdqDGJlK0wMjKSyli3bp2O7irbJ9oq0RYGwzCOjo5ElJ2dzdZQVFRUVFSkUqne2CelTlNHh2iL\nSkdvaHsvlTNB6+gHbW9RqBB8SVgjHT9+fMWKFT/99NO1a9euXbsWHx//66+/li3G/O9XS02pVBJR\ncXExEamHEdUbJVlZWbEbkydP3rRpk4eHR//+/SMiIt5///1SJU1NTdXb6k/cGr2xKvblJadwsQGr\n9+iuv6yyFebl5RGRi4uLemCH/tch2rpLTd0nOirRhp0CoW6CvcAkokmTJunuE40haeyQN0ZVtjeo\n3O8ljXS0WJlq4V9G/gMB/1P+K2iVSjVt2rTFixcrlcoDBw4QkaWlZckhDvXVStnP7J06dWIYhv0o\numzZMra2BQsWqCsvG4ZAICCix48fMwxz+vRpjSEx2i+ySipnVSV/DAwMJKKFCxeyh7788ktt79uS\n566jwoULFxLR4sWL2UPPnz+/ceNGRkaGju4qezo6KtEWRqdOnYhoz549DMM8efLE19e3d+/eKpWq\nnH2ipqNDtEWloze0vZc0/uOWPSltLep4i0KFIEFzRYWGOLp27UpEoaGhffr0ISI/Pz92P3tdNmvW\nrKysLIZh4uLiiMjGxiYkJMTGxoaI9u3bxzDM/v372do6d+7s6+tL/6MxjObNmxNR69atBw8ezA4C\nsL+reiToclZV8se//vqL3W7fvn379u1LhlpKyXPXUeGrV68EAoGFhcXgwYPHjh1rYWFhZWXF5kdt\n3VX2dHRUoi2MX375hc1TISEhIpGIiKKiosrfJ2o6OkRbVDp6Q9t7qVQZbSelox+0vUWhQpCguaJC\nCTopKendd9+1t7cXCAS9e/e+d+8eu3/BggXsFVlSUhLDMCqVavv27d7e3tbW1t7e3rt27VJfxWzf\nvr1169bW1tZ+fn6///67jgR99uzZt956y8bGxt/f/+zZs02aNCGiw4cP65Ggy1lVqR8PHz7coUMH\na2vr1q1bb9myRVuCLnnuuiu8du1a79697ezs7O3t+/Xrd+3aNXa/tu7SeDraKtEWhkql2r17d9u2\nba2trd3d3RcvXsz2VTn7pCQdHaIxKt29ofG9VKqMjr7V1g/a3qJQITymzLgb1HrfffedTCbz8/Nj\nP2X/8ccf/fv3d3Z2Vk/GAAAuwJeEddG5c+f27dtHRA0aNCgqKsrPzyeiN349BQAGhivouqiwsHD5\n8uV79+598eIFn8/38PAYN25cZGRkyfkYAGB0SNAAAByFtTgAADgKCRoAgKOQoAEAOAoJGgCAo5Cg\nAQA4CgkaAICjkKABADgKCRoAgKOQoAEAOAoJGgCAo5CgAQA4CgkaAICjkKABADgKCRoAgKOQoAEA\nOAoJGgCAo5CgAQA4CgkaAICjkKABADgKCRoAgKOQoAEAOAoJGgCAo5CgAQA4qjYn6DtFd4wdAgCA\n/mpzgv745cfGDgEAQH+1OUFbmlgWq4qNHQUAgJ5qc4J2NnPOUGQYOwoAAD3xGIYxdgzV5U7RneYW\nzS1NLI0dCACAPriboOVyuUQiKbWTYRiBQMDn840SEgCAIZkZOwCtjhw5smfPnlI7U1JSunfvvmzZ\nsvLU8I/in1xlrqeFZzVEBwBQ7bh7Ba3R3r17X79+PXny5PIU/iv/r/OS89Eu0dUdFQDUBTyeoRNm\nbf6SUGQuSlOkGTsKAOA6Ho9HRGlpaewGd9TmBO1s5pwuTzd2FABQMzg4OJw+fdrYUfxHbU7QjmaO\nUaIoY0cBAKVt3ry5WbNmDRo06Nev3507d4goLi7O399fqVTm5OQ0b96c3cnj8ebMmdOzZ09/f/8H\nDx4QUVZW1vvvv+/i4iISiYYPH56amspWyOPxYmJiRo4c2bRp0+XLl2trRWPJoUOHEpGvr6+JiUlg\nYCBbbOfOnS1atLC1tXVxcVm1apVBe6ckpkaJi4tbv369saMAqL0SEpj27Sv139ixuls4d+6cl5fX\ns2fPpFLp1q1b27Rpw+4fM2bM8uXLx44du3btWnYPEbG/7zExMV27dmXLDB8+PD8/v6ioaOLEiUFB\nQeqSO3bsYBjm/v37fD5fRytlS7I7S25IpVJXV9elS5fK5fKrV69aWFiUKmYwtTxBX5BcqL5gAEAP\nS5cuLXmNaGJiUlRUxDBMTk5Os2bNgoKCVCoVW5KIXrx4wR7i8XjFxcWOjo537txhj6alpZmamkql\nUrZkQUGB+lU6WilbUuOGUqm8e/fuzz//HBkZWfaowdTmIQ4iWpq2NF+Zb+woAOBf9vb2kydPVufB\nzMxMS0tLIiooKFAqlampqVKpVF1YIBAQkUwmYxhGpVKVrMfU1LTkTmtr6/K0UrakRqGhobNnz5bL\n5VOnTq3c6VZKLU/QLuYuYoXY2FEAwL+Cg4MPHjz48OFDmUy2cOHCsLAwIlKpVBEREcuXLw8ICIiO\n/ndq7Pr16xUKxZo1azp37mxlZdWvX7/FixcXFBRIpdL58+f36dNHnXbL04oOMplMvV1YWHj48OGV\nK1eOHDny6tWrpY4aUi1P0JjIAcA17u7u69atCwkJEYlEly9f3rJlCxGtWbPGyckpLCxsxYoVBw4c\nSEhIYAtLJBKRSHTixImffvqJiNauXWthYeHp6enm5paRkbF169YKtaJN//79PT09FQoF+6O1tfX8\n+fP9/f1bt279/PnzQYMGffDBB1V2/hVRm29UIaIUeYrQRGhralutUQFAdTD8jSFcw91bvatEI/NG\nxg4BAEBPtXyII1+Zf6ngkrGjAADQRy1P0BmKjB9e/2DsKABAH3V8fINqfYIWmYvSFfiSEABqJO6O\nQT98+DA+Pr7Uzr///tvd3b38ldiY2BQoC6o0LgCoLC5/+8ep2Lh7Ba1x4iGPx1MqlRWqZ5PbpiqK\nCADAoDj0t6I8KjrNDgA4iFNXqaVwKjbuXkFXlbOSs3i2NwAHaVyaLi8vb/z48c7Ozi1atNi2bZt6\ngeayq9DpWNlu9erVPj4+7PZnn33m4+MzadKkadOmDR061NXVVX2nIleWrNOu9ifoX7J/SZImGTsK\ngJrhdtHtiS8mTnwxMfJFZLI0We895fHpp58WFhYmJSU9e/bM0dGRvVtv5syZOTk5jx8/vnPnzqVL\n/5kja2lpGRsbe+zYMTbDanw5y8rK6vbt2+x2SEjIsWPHNm3a1Ldv3/379//5559sfpfJZHPnzv3g\ngw+ysrIOHTo0Z86cyvdelePQxXx56DHEsSRtSWfrzn1t+1ZfVAC1Rr4y/5H0Ebv9luVbViZW+u3R\n3Qo7jODk5HTmzJk2bdoQUXp6eqNGjQoLC11dXU+ePOnt7c3uFIlEbI7i8XgFBQXsOkc6Xs7n83k8\nnkQisbGxYUsqFApTU1P26ysTExMqMYihUqkePHhw586d+Pj4TZs2qRviTlbk7iyOquJs5pyhyDB2\nFAA1g9BU2N66feX3VJR6abqSswBMTU1LltGxCl2ple3Y7FyqEjY7lxQaGsowzIgRI6ZOnbppExdn\nExhoiCM3N3fmzJleXl5CodDGxsbLy2v27Nl5eXnV2ujgA4VENLLeyP52/au1IQDQg8al6QYOHLho\n0SKJRCKTyRYtWlTRl5e/de4sWaeDgRJ0eHi4WCyOiYm5ffv23bt3t2zZkp2dHRERUa2NinOeE5HQ\nVGhval+tDQGAHjQuTbd69WpLS8umTZv6+fl16dLF3Ny8Qi8vP+4sWaeD5tGWVatWjRw5smHDhlXV\nTL169dLS0iwsLNR7GIZxdXV99epVheqp2Bh0WBh9953C2SleEt9H2KdCDQGAUcTHx7u7u7u5uRHR\n3bt3+/fv//z5c2MHZTSar6Dv3bvn4+PTt2/f7du3V8lAhJ+f35w5c5KSkoqLi4uLi5OTk6Ojo1u2\nbFn5mnX42nv8wYvZDDHL0pZVa0MAUFWOHDkSGRmZnJyclpYWFRXVu3dvY0dkTJoT9JYtW1JSUj75\n5JM///zT09Nz5MiRhw8frswAzZ49e9LT09u3b29lZWVlZdWuXbunT5/u3r1b7wrLQ9qi/kUTpTnP\nXM7Iq7UhAKgq0dHRTk5OHTp0aNWqlampaalHC9Y1WmdxWFhYdOrUKS0tLSUl5ejRo0+ePPnoo482\nbtw4aNAgPZpxdnbetWsXwzASiYSIBAKBev559enXgvZLsonIAG0BQJUQCAQ7duwwdhRcofkKevXq\n1T169GjdunVCQsKMGTMyMjKuXLnCfvSoTGM8Hk8oFAqFQsNkzKbODY/nNCGiHU3w7w0ANY/mBH37\n9u05c+akpqZu37594MCBZmZmROTt7b1hwwb9mjHKNDvnhs7h044QkSvftVobAgCoDpoT9I0bN4KD\ng/l8PhHJZDIPDw8iMjc3HzJkiH7NGGWaHRG1HfSYiBIkCXh0LADUOKXHoEsuTaLe2b9/ZW/0OHfu\nXMlpdu7u7v7+/q6uui5sf//99127dpXa+fLlS39///K3O6vv1IOPMi/aXcxR5gywG1DRsAEAjKh0\ngmanRQ8dOnT//v1V2Aw7zS4yMpJNyikpKdu2bdM9zS44OLh79+6ldv76668FBRVYgH/Wxkvm4zxF\n3UVp8jQ9wgYAMCLNQxxVm51Jr2l2fD6/Xhk2NjZlb6jXodsoXj6liMxEWI4DoDyKi+n+/UptPHny\nhia0LSiqcfFP3euF1vTVRN+M+S8iOn369BuL6UelUuXl5eXl5alUKv1qiIuLW79+ffnLb/0zsf/O\nh8Wq4gx5hn4tAtQpf//NTJ7MyGT6b8yb94YmJkyYMHTo0Ly8vOLi4okTJ7LpRSqVurq6Ll26VC6X\nX7161cLCgi3MZiT2luPDhw8zDHP//n0+n//Go9oqrFlK3+qdlpbm4ODAfj3IQRVdbvTGo4xpTzLj\ng1tVa1QAUH7Ozs4aFxTVtvinjvVC9VhNtGYpPVwgEonY7CyVStk9RUVFlW/m3LlzTZo0adu2bWJi\n4jvvvCMUCnv06PHo0aPK16ybT/P6/PqFRLQ/p4oHbQBAP9oWFA0NDZ09e7ZcLp86dWrJ8jrWC9V9\nVFuFNYjm8dzFixcHBAQolcru3bvb2NiwDyCojE8++eSLL74YNWqUj49P06ZNz50717Fjx48++qiS\n1b6RKY/nGplORN9lfFfdbQFAeWhcULTKF/+sEauJvpHWOwl37dp1/PhxBweH+/fvr1y5spLNJCUl\njR8//qOPPpLL5V9++aWvr++iRYtu3LhRyWrLo3mDHFVmpqWJpZSRGqA5ANBN44KiVb74Z41YTfSN\nNK/FYW5uLpVKf/rpp7Fjx1pbW5f8SKKfJk2a/Prrrzk5OUR09uzZsLCw8+fPsysKVrfUuU0TX71q\nZN8oXZ7uxjdEiwCgw61bt7766qudO3cS0d27d11cXNj9ixYtKrtCv3rguOQIctmdGo9qrLBm0Zyg\no6KifH1927dvHxoa2rhx4+nTp1eymdWrV48cOdLe3v7UqVPvvffe2rVrExMTK7rAtn667pemWBat\nWrTK1tTWAM0BgG5Hjhy5e/fuunXrbGxssKCoblofj1hUVGRpacnj8YqLiyv0IBltVCoVj8fj8XhZ\nWVmXL19u3bq1HlfQejw09sbuv1/efxzy1ciKtgUA1UEikUyePPnQoUNE1LNnz40bN6ovoqEUrcuN\nWln9/3N5qyQ7U4nvWB0cHPr161cldZYH/23X4845vrIXydLkQGGgwdoFAI2woGj5af6ScPny5RYW\nFrwSDBxWFWrWoMFvpm2zlFm/5/5u7FgAACpAc4LeuHHjzZs3S97QYuCwqpAljyewLW5s3viVrGLP\nPwSAalKjr/kMSXOC7ty5c6tWtefuux8PbHLM4+Uoc4wdCABUTB1P5ZoTdJ8+fXbt2lVYWGjgaKrJ\n0UtvPzv3Ks49ztiBAABUgOYEPXHixPDwcBsbGyOOQf/yyy99y1iyZMnjx48rWlXmDNF1Xp6DmUN1\nxAkAeliwYEH9+vU7dux4584dds/mzZubNWvWoEGDfv36sTuHDh1KRL6+vgqFojYsTacHAy7MVAUq\nupod61lCQvqKFfuz9+cqcqsjKoBaI4FhejGMjGESGKY9w7RnmA4Mc4dhchimW4kN9tB2hmEYZmyJ\njfYMM6ocrRDRvHnz5HL53LlzO3TowDDMuXPnvLy8nj17JpVKt27d2qZNG3VJprYsTacHrfOgiaiq\nZkBXIT3mQRPRy3t5S4efE56IH2Y/rJNNp2qKDQDKicfjJScne3h4ZGVlOTo6FhQUrF27ds6cOeoC\nJiYmBQUF7K0YTG1Zmk4PWh8a6+3tbWNjk5OTExQU9PLlSwOHVbUatrK9+JNXY7NGr+SYyAHAISqV\nysTExMzMzN7efvLkyexlo1KpzMzMLHV1WAuWptOD5gQ9a9asGTNmqFQqW1vbLl26GGDZuWplakL1\nhbIGr83x6FgAjti6datCoVi1alXPnj35fH5wcPDBgwcfPnwok8kWLlwYFhamLimTyWrH0nR60Jyg\nr1+/Pnr0aCIyMTGZN2/elStXDBtV1VM+tE876zfeabyxAwEAIiI+ny8Sic6ePRsTE0NE7u7u69at\nCwkJEYlEly9f3rJlC1usf//+np6efD6/FixNpwfNY9Du7u5nzpxp2rQpwzAPHjwYNGjQw4cPDR9c\nWfqNQRPRiYRnZgf2B66aUR1RAQBUB81X0LNnzw4ODiaiKVOm9OnT5+uvv65kM7m5uTNnzvTy8hIK\nhTY2Nl5eXrNnz87Ly6tkteXXt5tbp4vHvv/ne4O1CABQSVpncSQkJCQkJNjb2wcHBzdt2rSSzYSE\nhNja2k6YMMHV1ZWIUlJSduzY8c8//xw8eLBC9eh9BU1EDY7ktnYfePqts3q8FgDA8LSuZhcQEBAQ\nEFBVzZw7dy4tLc3CwoL90d3d3d/fn03WBvPlg3N76xvumh0AoJJKD3Fcv359+PDhHh4elpaWzZo1\nCwsLu3nzZuWb8fPzmzNnTlJSUnFxcXFxcXJycnR0dMuWLStfc/mNb2Fi/cIxT4kcDQA1w38SdHx8\nfJ8+fby9vWNjYxMTE3/++ee33nqrV69e586dq2Qze/bsSU9Pb9++vZWVlZWVVbt27Z4+fbp79+5K\nVlshfzTp/kKyBc9VAYCa4j9j0F27dp06deqoUaNKlti5c+emTZvOnz9f+cYYhpFIJEQkEAj0W9+j\nMmPQCoa63XhwpV1LqtvrYwFATfGfK+hbt24NHDiwVInQ0NCqevw2j8cTCoVCodAoqy+Z8UhpqTr0\n4BfDNw0AoIf/JGiZTCYUCkuVsLW1rfxNO0afZsdy/L14z8lHBm4UAEA//5nFwTBMWlpa2UKVX5ck\nPDzc1tY2Jiam5DS7iIgIHdPsMjIybt++XWrnnTt36tWrp3cY3/j/s/LJfqJ5etcAAGAw/0nQKpWq\nmh6vq8c0u8TExJMnT5ba+fDhwzZt2ugdRpMu3f90WKn3ywEADKn0FXQ1NcNOs4uMjFRfQW/btk33\nNLsePXr06NGj1E72S0K9w7A3t3H+uin9UEjW1npXAgBgGJpv9a5yXJhmx7rccr/yr78M3y4AQEVp\nvZOwajk7O+/atavy0+wq7/MxDbIT7IzwlwEAoIIMdAXNUk+zq5JZ1frhmzw43NqXkUiMFQAAQDkZ\nNEGrde/e3SjtEtHbdj1a2565fPGisQIAACgnAyVoc3NzXglEZKyHhfsL/F2lF0/G6P9NIwCAYRgo\nQZ85c8bLyys2NlYsFovFYiJSbxiYnaldbKuvxXcFlJVl+NYBAMrPQAna39//zJkzmzdv3rVrl7Oz\nMxGJRCKRSGSY1kvh8aj+zoZnLl82SusAAOVkuDFokUh0/PjxV69eDR061GCNavSg+EGx1amji4uM\nGwYAgG4GmmbHMjc3X7Nmzd69ex0cHAzZbikeFh6XzY/2thmvzMgwbdDAiJEAAOhghFkcYWFhP/74\no+HbVbPgWVjwLOTfNP+tilbpAwCoDsaZZmd079V7r6OD4FOVn7EDAQDQqo4m6AjHiIFub7nXK7h9\n6pSxYwEA0KyOJmgiUjCKY63qKaN2kEJh7FgAADQw6JeEFXL27NmjR4+W2pmYmNi6des3vjYrK+v6\n9eu6yywTLJtUOGmPywSfJb/5B9jpH6hObdq0MdZsQgCo6biboL28vGxsbErtPHHihLm5+Rtfu3v3\n7qtXr+pO5TZuNgdkB3wCOv5jxb95OVFpVvVd8fz58/j4+MWLF1d5zQBQF3A3QTs7O7O3tJSUnJxc\nnvWgVSrV4MGDBw8erKPMnaI7G/7ZsMBt2v0vf3mR6hK8WVdh/Zw/f/7YsWNVXi0A1BF1dwy6jVWb\nAEEAEblNGzKnX2upwR+QCACgW91N0DzijXYYTUQCO9PpjXJnXvzb2BEBAPxH3U3QrPOS83eK7oR3\n7Hghv+W0jdeMHQ4AwL/qeoJ247tNeTlFRaoLg1wmX99Fv/1m7IgAAP5fXU/QrnzXQGHgzsydfDNe\ni9WLA++6/XnmibGDAgAgQoImos8bfP5C9oKISCBYEtggM2YLJSYaOygAAA5PszMYoalwvst8dtu/\nWyN/t0mTll4TdSpe8L6vcQMDgDoOV9D/mvxycpo8jRo3/npx8COBNOLSpSK53NhBAUDdhQT9r88a\nfPbes/f+Lvzb3tFi97DO3mJZ21kZOfv/MnZcAFBHIUH/y9PC8+emP69KXyVjZET0+eAetz41M/37\npO+V5G3r7xg7OgCoc5Cg/0NkLtrjvofP4xPR3NS5CQ63zb9acMjezvbU33+//37Q0aR1fzwpVqmM\nHSYA1AkGStC5ubkzZ8708vISCoU2NjZeXl6zZ8/O4/bd1eEO4ackp4IfB++z3zlk/wftf4wZoUi9\nnpPR/8aNCd2O74z8df+1a6/vp2CxUgCoJgaaxREeHm5raxsTE+Pq6kpEKSkpO3bsiIiIOHjwoGEC\n0ENLy5ZfN/xa/aOEV3zJd9cL6ROGmE4/RfR44vlLTvo30U8av5X8YGLvTHEz78K0lfeP773nJhnh\nPJr3PC+x+ZP6EsbM7PwtcSuRlYOtRbrSqp418fGhBQDKh8cwjAGaqVevXlpamoWFhXoPwzCurq6v\nXr3S9pL9+/dv2rSp1M7MzMwBAwYsWrRId3Pbt2///vvv7e3tKxPzGzEmTI57jtxKrrBWOL1yEOWK\nbAtfxjdroghwq593yGLne8zQFqr6Bdcduthl/tawaP5zi0fB5w5P+O3zdzYmm7bNNrN5bXas6azJ\nM55Pd/uxw4wGV6fKw1wLiha6yMX2qpTH0UBSmB8AACAASURBVFLBsPOSYYGNesrfbRj/28bwZPNm\n5oJ/ml8Jk838Wr7T9Yv54bOKvpLudjOxLVKmeg5Zt33Cwc/6bnkilP/mLP9UTM+FXjIrs9ynDx0a\nXJ2qHOaiuPn5nM/mvZjq8v2Quab1xGYCcdMA6dtuVy5E93oULbEYeaFgWDDvkXdDRfq0byZ/UThD\n9oOb6OlE60/mq9Y3sbbIum3RWvj8Z2fljAzpo3cu/PHB0S8GrL7Baysxs31teajJ3E/nvJjqstH3\n4/o3ZiiGNZIUf9lQkW5Haf9f85A+bFvxX/a5Z9nG3E7sfv8jZvY81YYmn6z6eK5khmxzE1PbLNUT\n74G79n1w9IuBq27Ukx1xK5zxmP/Qya1AYPb6/svGbpc+lw1vmJ84Y+60OS+muqwfMtfcTmxuLW7c\nU/p2kysXons9nisRDruYM+Id1ZP/Pwu25qaJE9m2rC2y7li0dkyKbSyZ/sQyiT2LgatumPpIzASv\nzY82YWve5PMx29Zr+v+zYGvOHd6bbevcorfvWLSu/Fk8m+K8cdh8czsx31rcuJesb9O/z8/vkTRX\nIhx6MXdEkPKJdyNF+merPvkib5psk5v7w0hm9jzlBjcby5zb5q0ck2KbFH3+2DzxnUt/jv9jTr9v\nrpp6S8wFr82PNZn/2fznH4s2eE9pcvFzWVjDf5iFjRTpdrx0tua84YFsW2cX9blt3srcTuz+YIJq\n1hxmQ1Oj/FuwNZf8t9DvLDweTGD7Z/qaaWyPmdlmKZ94h+w5wPZPPekRtsec3AoE/Kx7LxqxNecn\nfsa2tW5QFPtv0bBncd8mV58HmLws91KU7dq1i4mJqY4kY6AE3adPH19f38jISPUV9LZt2y5evHjy\n5EkDtA4AUBMZ6PP2nj170tPT27dvb2VlZWVl1a5du6dPn+7evdswrQMA1EQGuoJmMQwjkUiISCAQ\n8Hg8g7ULAFATGTRBG8zSpUt37txZ9olZdRPDMGKxuGHDhsYOhCvS09MdHR3NquEhZzWRVCrNz893\ncnIydiBcIZVK79zhyn0PtfM9amNjs3TpUt2PvKo7pFJpaGjoH3/8YexAuOLDDz+cO3euh4eHsQPh\nhCtXruzfv3/58uXGDoQrAgMDjR3CvzDnCwCAo5CgAQA4CgkaAICjkKABADgKCRoAgKNqZ4I2NTU1\nNTU1dhRcwePx0BslmZiYoEPUTE1NTUxqZx7Qj7m5ubFD+FftnActl8vxS1iSVCotuRBKHYfeKIlh\nGLlczufzjR0IV3Dq7VE7EzQAQC2AjzYAAByFBA0AwFFI0AAAHIUEDQDAUUjQAAAchQQNAMBRSNAA\nAByFBA0AwFE1NUGnp6e//fbbDg4OoaGhubm55Tmq+yU1mh69QURKpdLHxyctLc2wwRqCHh0SGxvr\n6elZr149f3//GzduGDzkaqRHb8TFxXl6etra2vr4+Jw6dcrgIVcv/X5fiOjChQsGvhG8piboGTNm\n+Pj4iMVigUCwZMmS8hzV/ZIaTY/eWLt2rb+/P3ce7VO1KtohKSkpkZGR+/btS01NHTx48ODBg2vT\nHbYV7Y38/PyIiIiNGzdmZWVNnDgxIiLCGFFXIz1+X4goLS1t3LhxCoXCoLEyNZBKpRIIBI8ePWIY\n5syZM15eXm88qvslNZoevcEwzKlTpw4dOkREYrHY8DFXKz065Ny5cxMnTmQLZGRkmJubFxYWGjzw\naqFHb+Tl5R09elSlUqWnp2/durVNmzZGibya6Pf7IpPJAgMDY2NjDZwza2SCzsvLI6KioiKGYZ48\neSIUCt94VPdLajQ9ekOtViboynSIQqGIjIwcNWqUIQOuVnr3RlJSEhHx+fybN28aOOZqpV+HTJs2\n7ZtvvhGLxQZO0DV1iKMkpVJZ0aO6X1Kj6dEbtVv5O+TkyZMdOnSwtLTctm1btYdlJOXvDU9Pz5yc\nnClTpkRGRlZ/XEZTng7Zu3fv8+fPZ8yYYaig/lUjE7RAIBAIBCkpKUSUmprauHHjNx7V/ZIaTY/e\nqN306BCGYaKiohYtWhQbG7t69WpOrQhcSXr0xrVr17788ksisrOzi4iIuHv3rjECry56dMiff/55\n8OBBExMTFxcXIuLxeAkJCYaJtkYmaB6PFxISsn37doZhduzYERoayu4/c+aMTCbTeFTbS2oBPXqj\ndtOjQy5cuHDgwIFDhw41atRIIpFIJBKVSmXUk6gyevSGSCRau3YtO7IRFxfXsWNHo55BFdOjQ378\n8Ud2wEE9xBEQEGCgcA05nlKFxGJxYGBgo0aN2Kkw7E7634iqxqMad9YOevRGqTK1TEU7pOxX+bWp\nW/R4e+zZs8fd3d3e3r5Xr15JSUlGC7166P37YvgxaCzYDwDAUTVyiAMAoC5AggYA4CgkaAAAjkKC\nBgDgKCRoAACOQoIGAOAoJGgAAI5CggYA4CgkaAAAjkKCBgDgKCRoAACOQoIGAOAoJGgAAI5CggYA\n4CgkaAAAjkKCBgDgKCRoAACOQoIGAOAoJGgAAI5Cgobaj8fjGTsEAH0gQUNdh/QNnIUEDQDAUUjQ\nUAtlZWWNGTPGycmpWbNmq1evVu/fuXNnixYtbG1tXVxcVq1aRURDhw4lIl9fX4VCUfYogHHxGIYx\ndgwAVSw8PDwnJ2fHjh0WFhYff/zx1q1bGYaRyWSenp6TJk2aOXPmzZs3AwICiouLiYjH4+k4CmBE\nSNBQCzk6Op46dapt27ZElJaW5uLiwr7PVSrVgwcP7ty5Ex8fv2nTJnYnm6C1HQUwIgxxQC1kYvLv\nG9vU1FS9HRoaOnv2bLlcPnXq1LKv0n0UwPDMjB0AQNXr37///Pnzt2/fbmlpOW/ePHZnYWHh4cOH\nExMTPTw89uzZQ0QymYzP57MbCoVC21EAY8EVNNRCq1atsrOza968eZs2bbp06cLutLa2nj9/vr+/\nf+vWrZ8/fz5o0KAPPviAiPr37+/p6cnn8zUeBTAijEEDAHAUrqABADgKCRoAgKOQoAEAOAoJGgCA\no5CgAQA4CgkaAICjkKABADgKCRoAgKOQoAEAOAoJGgCAo5CgAQA4CgkaAICjkKABADgKCRoAgKOQ\noAEAOAoJGgCAo5CgAQA4CgkaAICjkKABADgKCRoAgKOQoAEAOAoJGgCAo5CgAQA4CgkaAICjkKAB\nADgKCRoAgKOQoAEAOAoJGgCAo5CgAQA4CgkaAICjkKABADgKCRoAgKOQoAEAOAoJGgCAo5CgAQA4\nCgkaAICjkKABADgKCRoAgKOQoAEAOAoJGgCAo5CgAQA4CgkaAICjkKChxjh27NiAAQNcXFwaNmwY\nEhJy4sSJqi1fikKh4PF4PB6vEiEDVA4DUBPMnz+ffcfa2toKhUJ2e/HixVVVviy5XF7O3xG2mFwu\nL3/lAOWBBA01wKVLl4hIIBDs27dPqVQqFIrY2FgrKysej3f9+vXKl9cICRqMDgkaaoDBgwcT0bJl\ny0ruXLhwIRGNGDGiMuXVWTg2NtbV1bVZs2Zr1qxRqVRMmQStUql27tzp4+NjY2Pj7e29Y8cOtljJ\nz6NJSUlVe+JQxyFBQw3QrFkzInr8+HHJnffu3SOili1bVqa8Ogvb2dn16NGDz+cT0a5du5gyCXrv\n3r1EZG1tPXDgQGtrayLau3cvwzBeXl5ssRYtWjx79qxqTxzqOCRo4DqVSmVubk5EhYWFJffn5+cT\nkZWVVWXKq7Pwzp07GYbZsWMHEXXq1Ikpk6A7duxIRHFxcQzDxMbGElGXLl3YQxjigGqCWRzAdTwe\nz83NjYhSU1PZPSqV6tGjR69fvyaixo0bR0VFtfyf06dPv7G8xlYGDhyo/v/9+/eZ/45dMAyTmJhI\nRMHBwer/P3jwoFQxgKqFBA01gI+PDxHt37+f/fGLL77w8/OLjo4mIm9vb7FY/PB/CgoK3lheYxPs\ndDr2/yYmGn4vyuZipVJZBecGoINxL+AByuPy5ctEJBAIDhw4oFQqr1y5wo4CE9GZM2cqU77UEMf2\n7duJqFu3bkw5hjjYkRDmf4lbKpVWaydAHYQEDTXDggUL2DxYcl4zj8c7ceJEZcqrs7C1tbX6S8L9\n+/czZRJ0XFwcEdnY2ISEhNjY2BDRvn372EOWlpZENGvWrKysrOrsA6hzkKChxjh27Ni7774rEomE\nQmGHDh1Wr17t4eHRp0+fypRXZ+HNmzc7Ozt7eHhs2rSp1CH2R5VKtX37dm9vb2tra29v7127drHT\n7BiGWbBggUAgIEyzg6rGY/AtB9RYL168sLa2dnJy0ru8QqFgp3zgFwE4CAka6jQkaOAyzOIAAOAo\nXEEDAHAUrqABADgKCRoAgKOQoAEAOAoJGgCAo5CgAQA4CgkaAICjkKABADgKCRoAgKOQoAEAOAoJ\nGgCAo5CgAQA4CgkaAICjjJOgExISjNIuAEANYpzV7Hg8rKIHAPAGBkqU5ubmCoWi7H6kaQAAbQw0\nxHHmzBkvL6/Y2FixWCwWi4lIvQEAABoZbqghLS1t1KhR77777owZM0xMTHDtDACgm+G+JBSJRMeP\nH3/16tXQoUMN1igAQM1lZsjGzM3N16xZs3fvXgcHB0O2CwBQE9Ww2RSZmZkHDhyoWTEDQC1mYWEx\natQo9tnwVc5AQxy5ubkzZ8708vISCoU2NjZeXl6zZ8/Oy8uraD1//fXXmTNnqiFAAAB9xMTEvHjx\nopoqN9AQR3h4uK2tbUxMjKurKxGlpKTs2LEjIiLi4MGDFa3K399/woQJ1RAjQB2QnU2RkZSeTkTk\n7Fy4efMSe/tTRKZEEUQfEfGMHaDRPZU9XZexLkOR0V3Q/UPHD814b0iSV65cqb5gtLYtlUotLCyI\nqKioyMrKqpLNnDt3Li0tja2QiNzd3f39/dlkDQCG8+mn9P771K8fEdGxY6PvJTtJ249NpD79aKs7\nbSCaYuwAjete8b3JLyYvabjEw8LjQM6BUc9GxbnH8Yz3Z0vzEMfixYsDAgKUSmX37t1tbGyWL19e\nyWb8/PzmzJmTlJRUXFxcXFycnJwcHR3dsmXLSlYLABWgVJJY/P/ZmeiUU7+zBS26v1A1bkyff0Kd\nf6P9xg2PA1amr9zgtqG7oHsj80ZT6091MHW4VXTLiPFovoJevXr1xYsXjx8/7uDgcP/+/R49esye\nPbsyzezZs2fGjBnt27fPz88nIqFQGBISsnv3bh0v2bdv37Jly0rtFIvFHTt2nDx5cmWCAaijGIZK\nfME+fxX1ff/62NHdyNwkKIh69iTrUCMGxwmv5K9aWLRQ/9jSsuVz2XNfK19jxaM5QZubm0ul0p9+\n+mns2LHW1tZKpbKSzTg7O+/atYthGIlEQkQCgYDHe8OnhmHDhg0bNqzUzunTp+P+QwA9mZmRkxOd\nOUO9ehERPzEntYlztrl5PSJLS7IPJLsiosoOZ9Zsba3axkvi3xa+zf4YL4kfbD/YiPFoTtBRUVG+\nvr7t27cPDQ1t3Ljx9OnTq6QxHo8nFArZbZlMlpWVJRKJqqRmACiXDRto8mT66isi4qWuWerkNoCo\nI1Eh0ZUwemBh7PCMLco5amDywBv2NxqZNzqce7iDdYcm/CZGjEdzgp42bdrEiRMtLS15PN6zZ88s\nLS2rvOELFy4EBgZiRjOAQTk4UGwsyWRENPl3/vIP6JvF9NSW9u6geXYk8jN2eMbmYOZwpsWZE3kn\nMhQZ0S7RLS2N/D2Z1lkcVlZWxcXFlpaW1ZGdiahXr17IzgDGwecT0bBh5O5Ov8SSQkHT+lNgoLGj\n4gZznvm7du8aO4r/p3kWx+3bt729vW1sbHJycoKCgl6+fGngsADAANq3p2XL6NtvkZ05SnOCnjVr\n1owZM1Qqla2tbZcuXT766KNKNlNVdxICANQdmhP09evXR48eTUQmJibz5s2r/K0y4eHhYrE4Jibm\n9u3bd+/e3bJlS3Z2dkRERCWrBQCoxTSPQdvY2KSmprLbycnJ9evXr2QzuJMQAKCiNF9Bz549Ozg4\nmIimTJnSp0+fr7/+upLN4E5CAICK0nwFHRkZ2aZNm4SEBHt7+5kzZzZt2rSSzehxJyEAQB2ndZpd\nQEBAQEBAVTWjx52EAAB13H8StI6kWSVzlkveSQgA/+/ZMyouJk9PMjPoE46A+/7zhsCdIwAGlZVF\n4eFkY0NWVpScTBs3kre3sWMCDsFfbADj+ewzioqigAAiOro7+5v3nqdOJbN6FNWJxjQ1aCAZiozL\nBZftTO38bfxNeaYGbRu0Kz2Lg8fjnTlzhleGUYIDqOWeP2ez8/nz9M31ekUHhWuGvv6qF31+l74o\nNFwU+3P2j3w6MkmadCj3UNDjoExFpuHaBp1KX0GLxWIHBwe5XG5WYjhMoVAYNiqAuoHHI4YhHi8u\njmgJ/Tp9qejbb8mJUl/Qpnyaa00G+MYmX5m/Kn3VmRZnzHnmRHROcm5O6pzNbpurv2V4s9JX0CKR\niM/nd+jQQb1HJpN5eHgYNiqAuiE4mJYtI5WqsJCKCnNEBQVUrx4RCQTU8DUlGSSEe8X3egh7sNmZ\niLoLuj+SPjJIy/BmGoY4eDzerVu31IMbFhYWPj4+RgkOoJb7/HPi8ahr16D4uZkP5S9jYohIJqOf\nYymnGTU3SAgu5i4pshT1j3nKPBsTG4O0DG9WOkEzDMMwzJAhQ5gSDh8+bJTgAGo5ExP64gu6fDks\n6atet+r7PBUGr6VO00j2PQ23NMT4BhE14TcpUhX9lPmTjJGJ5eLwZ+Ef1//YIC3Dm2mexbF/P54e\nCWBQMZ9QtJx+cCNTO3qHR10N2PT2ptuXpy8PTQ61NLGcXH/yO7bvGLBx0EVzgl6+fHl0dLRMJlPv\nwRRpgOrmak6L7Y3QrrWJ9ZcuXxqhYXgTzYslbdy48ebNmyVHOQwcFgAAaE7QnTt3btWqlYFDAQCA\nkjQn6D59+uzatauw0IBz5QEA4L80j0FPnDiRiMLDw9V7DD/KcfDgwQ0bNpTa+ejRoxYtWhg4EgAA\no9CcoLkw6Dx48ODBgweX2jl9+nSxWGyUeAAADEzzEEdJMpmMfT4hAAAYkuYEvXLlSktLS/WdhEVF\nRQYOCwAANCfoFStWJCQkTJw48d69e1u3bvX19TVwWAAAoDlBFxYW+vr69urV69q1a6NHj8bDAwEA\nDE9zgnZ2dv7222/btGmzd+/eJ0+eZGZifVgAAEPTnKCjo6M3bNjw1ltvEVHLli2nTJli2KgAAEDL\nNLuxY8eOHDnSxMTk0KFDhYWF1tbWBg4LAAC0TrPj8/nsBrIzAIBRaL6CLvsQQi7cugLAdQkJ9OjR\nUzvf6c/9rviRwJkim9KnVqTfQ1jFcvHp/NN8E/47wneEpoZZHRq4RfMVtHoRu9zc3K+++mrbtm2G\njQqgplGpaOhQ+v33Z4UNBv7aMK/jvT+7MPNe0oZtNEmvJ3oeyzs2+tloiUryUvayX3K/+8X3qzpi\nqAE0X0Gr2draRkVF+fj4REREGCYggBpp3z7q0IGiorbMJ+u1dPiHbdb/PGwzZEjGtxT3mnJEVKF1\nnhliFooXnm5+2trEmoiG2g+NfBF51PNoNcUOnPXmW71Pnz6dmppa+ZYYhil5R6JKpUpLS6t8tQCc\ncOMGBQYSUWoqmViRdffudOMGEbm7kyCDXlSwslR5anOL5mx2JiI3vlsRg7t56yLNCZpXQv/+/efN\nm1fJZq5fv+7u7m5nZzd69Gi5XE5EhYWFLi4ulawWgCvc3OjhQyLq1IlkqfRALCY3NyI6fJxee5BX\nBStrYNYgRf7vg1xljIxHpb8WgrrgDWPQ7GXv9OnTK9nMxx9/PHXq1JSUFKFQOGvWrErWBsA5771H\nGzbQn39+OCiz3ozMt916hb71QfttdOZzmi8giwpWZs4z72bTbVbKrFR56hPpk9FPR09wmlAtYQO3\nvWEMuqrcv3//r7/+srKyWr9+fYcOHR4+fNioUSPDNA1gCPb2dPgwrV9vtm3byXYtT2ROP2lv1qUP\njXElV73qW9JwyS/Zv8xLncfn8ac2mNpD0KOKA4aK4/F4Bp7PVt5pdmr6xefo6Pjo0aO2bduampqu\nWLHio48+OnTokB71AHBX/fq0cCER8YiCiIIqVxmPeGH1wsLqhVVFZIaVk0P2xnj2bSWwmTctLc3F\nxYVTU4q1PjR23LhxYrE4IyPjo48+Wrt2bSWfHrtkyZKePXtGRkYSUd++fbt169a5c2f9owYADoqL\now4daPx46tmTNm0ydjQV5uDgcPr0aWNH8V+MJh4eHoWFhex2YWGhh4eHxmIV8vLly3PnzrHbKpUq\nISFhyZIlFa1k2rRpI0aMqHwwAFDFHjxg+vdniooYhmHkciY8nImP11Z206ZNHh4e9evXDw4Ovn37\nNsMwsbGx3bp1UygU2dnZnp6e7E4iioqK6tGjR7du3e7fv88wTGZmZkREhEgkcnZ2HjZsWEpKClsh\nEf3www8jRoxo0qTJsmXLtLWiseSQIUOIqG3btuz8BbbYjh07mjdvLhQKRSLRypUr1a8tey4ffvjh\n48ePK99/GmlO0I6OjllZWex2Zmamg4NDNTVfUUjQABz13XfMgQP//nj1KjNzpsaC586d8/Lyevbs\nmVQq3bp1a5s2bdj9Y8aMWb58+dixY9Uf2Ylo/fr1DMPExMR07dqVLTN8+PD8/PyioqKJEycGBQWp\nS+7YsYNhmPv37/P5fB2tlC3JlMi87IZUKnV1dV26dKlcLr969aqFhUWpYiUZIUFHREQMGDDg5s2b\nN2/eHDBgQFhYWCWbycnJ+fzzz1u0aCEQCKytrVu0aDFr1qzc3NyK1oMEDcBR69czcXH//njhAvPF\nFxoLLl26tOSHeBMTk6KiIoZhcnJymjVrFhQUpFKp2JJE9OLFC/YQj8crLi52dHS8c+cOezQtLc3U\n1FQqlbIlCwoK1K/S0UrZkho3lErl3bt3f/75Z3ZgttTRkqo1QWseg16zZo2zs3NQUFCvXr1sbGy+\n//77Sg6khIeHi8XimJiY27dv3717d8uWLdnZ2bg7EaD2CA6mzZspO5uIqKCAVqygMg99Ztnb20+e\nPFmdBzMzMy0tLYmooKBAqVSmpqZKpVJ1YYFAQEQymYxhGJVKVbIeU1PTkjtLLeumrZWyJTUKDQ2d\nPXu2XC6fOnVqubugGlRT4i/F3t6+uLi45B6VStWoUaOK1oMraADuio9nevRgAgMZf3/mt9+0lXry\n5ImLi0tiYqJUKp0/f37fvn0ZhlEqlW+//XZcXFxkZOTM/42NENHixYvlcvmcOXM6d+7MMMyYMWPC\nwsIkEklxcXFkZCT7Wua/17bstsZWNJZkN9RX4gzDFBQUEFFiYqJMJmNXIip5tJRqvYI20DxoPz+/\nOXPmREZGurq6ElFKSsq2bdtatmyp4yUFBQXp6emldubm5pb6KwoAXNGjB8XHv7GUu7v7unXrQkJC\n/vnnn44dO27ZsoWI1qxZ4+TkFBYW1q9fv3bt2oWEhAQEBBCRRCIRiUQeHh5soly7du20adM8PT1V\nKlVAQMDWrVsr1Io2/fv39/T0fPLkCfujtbX1/Pnz/f39HRwcxowZM2jQoA8++MAoT/4z0Lzr9PT0\nGTNm/P777/n5+UQkFApDQkJWrlzp7Oys7SVHjx797bffSu28ePGis7PziRMnqjdcAOAAw98Yoofx\n48dHRUU1a9asOio30BW0s7Pzrl27GIaRSCREJBAIdNwLw3r33XfffffdUjunT58uFourK0oAAC75\nT4Ku8hsIS+HxeELh/687LpPJsrKyRCJR5asFMIRXryg+/oZYNPNCz8fdzKgBTfKl2T5aix/PO74n\na4+SlL2Fvd93fN+kHCtHApTynzeNjrHqKm/4woULWM0OuCAzk+Lj6fFjIiKSyej332nHDkpOLiig\nf7/viIujcePu56hGH22esuzJyhEvfxhEMYk0PEdznbHZsTGvYxa4LFjRaMXD4odzU+ca5FRqG+6P\nb1S3N/9Vl8lko0ePrvKGe/Xqhd4HY8rOpvXrt4UeHBaYeeA2Td2vevfDjDvhPZRPk88nizpHKlue\nldS/Tu2u0hOlklau3LNz9Ii8TNl3Ki/zPQdOdwsUyre6UOJLeqCp7pjXMbvdd3tYeDQ0b7i80fIr\nBVekjFRTQQBdNCfolStXWlpasutBW1hYlFxoH6A2ePmSgoKekEdcdqDTnrQXXU7e/fCHy9Mkg0au\n6t79+MQHgaZHW1zYOi7TI6vjFQoSq+739t6at+tD608Fzk33uS98+55gVcYqW1tyfEyJmqpXkcqC\n9+8io6581zQ5Hk8BFaY5Qa9YsSIhIWHixIn37t3bunWrr69vJZvJzc2dOXOml5eXUCi0sbHx8vKa\nPXt2Xl5eJasF0NOKFbR69WWHfrbL7Pu2aZ2bOuZSulfi5BlFjbu9m7f5n/FJy8zJtWVLunv323AS\nHDT9sVX9AXYD3u7Dk9ygm4VFw283OCc5t3078TuTxrmi1ibWz2XP2e0iVdGD4gdufDdDnh/HvXGO\ngBFxKjbNCbqwsNDX17dXr17Xrl0bPXp05ScA4k5C4JZHj6hTJycnul+PeiqyHK1cGiVmvbZ09boj\nK3JuUmxtUp+IkpOpSROGIcsCE0Xjt16f+KWNo3jCo8zAR7zgbnsufr3+2lBq0pBaaar+20bfjn42\nenXG6h9e/zAgecAC0YK68EiUHGXO0rSlo56Omp86H58YqoTmaXbOzs7ffvvtgAEDoqKiOnXqlJmZ\nWclmzp07l5aWZmHx/x/63N3d/f392ZtWAIygRQu6ebN7906Zf9B5qSCv6J/0+m2m5fVpKfujo6mv\n/A/rHxXXV/J4Ktcmi2eRyRR6r8nIqAvL4tePm5XZNMg7bNjg18Fu3cbYUj8t1beybHWs2bHj+cdl\nKtnOpjsbmjc06NkZQ6GqcEDygFnOsyY6TbxVdGvQk0GHmh2qb1bf2HHVbJqvoKOjozds2PDWW28R\nUcuWLadMmVLJZtg7CZOSkoqLi4uLR/iGYAAAIABJREFUi5OTk6Ojo3XfSQhQjWbNoilTLA/v2+KY\nuEBedHvNgYGrLAb/YHc7vPffF8eMU87+JcfLZdyuFlvp2CR61526mvDjOp/+NbJl0IzkJa7rY5o4\n7bZtpC07s4SmwmH2w0Y5jKoL2ZmIfsv97b1674XYhTiaOfYW9p4jmrM1U+ttfqysrKz333/fxcVF\nJBINHz6cfTh1Xl7e+PHjnZ2dW7RosW3bNvWAA4/Hi4mJGTlyZNOmTZcvX67t5WzJ1atX+/j4sNuf\nffaZj4/PpEmTpk2bNnToUFdX1+joaLbkzp07W7RoYWtr6+LismrVqmrqmUrRNq+OvfecYRj1yk+V\nkZaWNnr0aPUkaKFQOHr06LS0tIrWg7U4oMpkZTHff8/Mn3/24sUhKoVH8eNG2Qe6Phm6LmOdklEy\nDPOMYU4zTIXfo3XVsrRlR3OPqn+8W3R3yosp2gqzmUfj2qETJkwYOnRoXl5ecXHxxIkTqcRyGaWW\nCdWx9OjGjRvV26dPn3716hURHT58uOTLK7SmqA5GWG6UYRixWFxcXCyRSJKTk6uqMZVKlZeXl5eX\np15OsKKQoKEyXspeHn99dvHTnPk5zB8Mo+e7EDQ5nnt8+svp6h9Xpa/a8nqLtsJsEtS4dmiDBg3U\ni+unpaWVTNCllgnVsfSoRCJRl1QoFOyGUqks+XKmImuK6mCE5UZ//PFHT0/P3Nzc3Nzc9u3b//jj\nj1Vytc7eSSgUCjn1PSnUCS9ezNnSbvCXX4Qk+a669Gz3uqfT9lKokrDyVlUJsg2SMtJPXn4Slx03\nJ3XO5YLLEQ4VmAWgXjtUqVSW3FmyjI5lQkstPWpjY1O2EhOT0umOK2uKaqc5QS9YsODSpUsNGjRo\n2LDh1atXFy1aZOCwAKpSTs6Rxe/ke/pkCnZ9VT83a21k32ELworuvY6nI8YOrTZZ77o+3DG8QFUQ\nbBsc6x5ryjPVXb5fv36LFy8uKChgVwTt06ePpaXlwIEDFy1aJJFIZDKZ7syj8eXlj7awsPDw4cMr\nV64cOXLk1atXiUgmk5X/5YahOUHL5XIvLy92u0mTJsXFxQYMCaBSXshejHk2pk9Sn9BHA84mxeW9\nyju7/OKvnQLbqmY69qC+zRpTVFTYBRuTtw8UHqM7xo62lulo3XGc47gegh7lKbx27VoLCwtPT083\nN7eMjAx27dDVq1dbWlo2bdrUz8+vS5cu5ubmFXp5+anXFG3duvXz58/ZNUUrVIMBaJ5mFxgYOGnS\npOnTp5uYmKxatapHj3J1N4DR5SnzRj8b/b3r92333c7Z/n3fjieK/mgtGNf0kefio48sXevTAyKf\nxo1fP3tVT+UnbUnVskYkvAnDMETk4OCwY8eOUodu3br11Vdf7dy5k4ju3r2rXrGHKbEyhI6Xayyp\nbeeiRYvKXqQzXFqCQvMV9IYNG2QyWa9evbp165aXl7dhwwYDhwWgnzOSM4PsBrVNsaH9+1WxF6WJ\n3/1zSfhN9i+nYz8ycz160435Mp/Wv7z5bWde3IkI3kAaZOyAoZQjR45ERkYmJyenpaVFRUX17t3b\n2BEZk+YraEdHR41/mgA4LleZ62DmQGfOUFjYzdsmwk9N6+f83H3kSAo7cND0p+6e3VJOJ33B6yU0\nCQ9qbb6xAVm8uUowqOjo6MmTJ3fo0IGIevbsWerZr3VN6QTN4/FOnz4dGBhYaj+nLvsBtPG38f/k\n1SdjrUeaSiROTpSclz/NohlJJNS7d9ORk30OWr33actPsAo5hwkEAlwdqpVO0GKx2MHBAekYaigP\nC48h9kP6tt3U/tizx/Sr7NpPGfYh9GVY3qfzP/nO0+Fr8kNyhpqjdIJmH3HStm3bW7duGSMegMoa\n5zhuZL2RSapjjRdtlmZ+0rnd+rigvXYJFk2WUFNXCjB2eADlp3kM+v3339+8eXN4eLiOmeEAnGVt\nYt3Weyj9MpSIXhCd7kHPiHyJ/IwdWK2XlkaJieThQW5YXbUqaE7Qn332GRGxtz+yMOgBNYNcTkVF\nKlvbJ0RE5EFkQlSn5wEYUHQ03blDnTrR5s1ka0ubNhFuGa4kzdPsyt4SbuCwAMojQ5Ex5MmQ3km9\n33n8zsQXE/NnT6XAwA0fxTqcL/DfIw86Rl2L6LGxg6wjLlwgsZgOHqSoKPr5Z3JxoX37NJfUtl6d\nxrXldC9HV+MXq3ujalrjo/JevXp1oowhQ4YMHjzY2KEBVwx/MvxU3il2e9/eiRN/7/LXX4zoJnPj\nnwKmZ8+kG/ntRjPvyI0bY13xzTfMkSP//nj7NjN1quaSGter07G2nLbl6HQf1VZhlavWxZK4O83u\n+fPn165dK7UzIyNDIBAYOBLgjhxlzpHcIzJG1tmmswffQ6KUBMp8KDqaHj+ud8XyeNSE+0+o2Vzy\ntbamoCDPfy6Obtd3VzbJ65PW+4Whijg5kVj874+pqVRfy2L9v/7668mTJ9nFh7/88svNmzcTEZ/P\nf/bs2YMHD/bt2xcfHy+V/vuM3e7du7MLHvXr14+IWrVqVXLRDG1HdVRYHkVFRdnZ2dnZ2Tk5ORo3\nJBIJWzIxMfGTTz6pUOXlx91pdt26devWrVupnWlpaeKS7wKoS5KlySOfjvzA8QNbU9vPXn022H4w\nyWRXoqJuR07eeqzlq6k5+arEHAsq5NFNIl+5nMzMBAKSavumBapUSAiFhlLnztSmDT17Rl9/TdrW\nxtC2Xl1oaCjDMCNGjJg6deqmTZvKlim7HJ3uo6UqTE1NzdauoKBAXZWlpWW9Mtzd3dXbVlZW6lbG\njx9fcvG8qqV5mh2ruLiYYZiSoQAYkkQl+fjlx0+lT015pnamdipGtcd9T3OL5lRcPHLFre4tvr7j\ncLJ/LweLuHpZ03htKDfw91GB1/fNrtetf09lyokT8mmfr31E/lZ14GmAHODgQD//TF9+SS9eUP36\ntHkzubtrLsmuV7d161Y+n69eCoNdWy4xMdHDw2PPnj1EJJPJ+Hy+tuYYhmGv1RISErKzs4lo7dq1\nbKolorFjx75+/frYsWPdunXbvn07+wSWWbNmOTo6qpOsh4dHyfzLzUSn+dri/v3748ePv3TpEsMw\nXbp0+emnn1q10vhsTICqd6HgQmJxopeF157sPcPshw2wG0BE8ZL44U+GN38so1Pr7sZeXz9qxi2H\nBW+Jkj2yBl+9PZtX+PaLmzResGTssfd+ORJ74mTHhpvOFCWa9etO32NIzFAaN6aYmDcXW7169dSp\nU5s2bSoSiebMmcNeLFtbW8+dO7dr1662trZvv/12ly5devXqNWLECCL69NNP2bTbo0cP9XNNQ0JC\n6tWrR0QnT55kNzw8PKysrCwtLb/77rtly5bVq1fv66+/3rBhg4ODw5gxY27cuMEwzNq1a6vt7KsF\nT+Nohr+//7hx44YPHy6VSlevXn3q1KlLly4ZPriypk+fLhaLY2NjjR0IVAsVqUY+HenGd+to3fHv\nwr+3Z25P80kz+d9cowZXhDc3dN9mt3rNsAZ9/7y619ff3t5klG1S/VGX4i6Ot4gy6f7BhdX5+ese\nvbN5CB1UkKszVWR9YKh6Uqk0MzOz1GDCzZs3eTyeQqHIzs5OT0+/c+dOQEAAaRlY4P5F7vjx46Oi\nopo1q5aFETVfQZubm3/44Yfs9qJFi3744YfqaBtALUuR9VL+8l7xvZaWLRe5LCKiEfVGHMg5sC97\nX1i9MCKi4mKPZxah/b1emrl/fXFBYgjP8XeXEWGtTz6pt77ZmR9+GpM/4P/au/foJsq8D+C/ZyZJ\nkyZpE3oNbdcWaIvQIlhg9YCrVWRRFArIVW5FV0qP7qosL4tsFwUWBXdBFxG0IjdFXFFWfdU9oMK+\nQMVFpUAt5Q6FNuktadLcM5nn/WNKDG16gabptPw+h+OZzP2Xxm+ePPNkQh69WP0vVc56G9wXB6nY\n8dwJhI4FIWedTqfvSpo/u93u6w5unrl9+vT5/vvvr169unr1ap1O98wzzwwcOPBGb+V86wj8Kr77\n7rs3btz4yCOPqFSqN95448knnwzxaaFbQb23/qLrYiQb+W7duyWOklR56semj3M0v9wBNEOe8dGF\nt6eUur7/v2Mb4wYUDyoN0ymsfdin+y7KUx0etM194E9Mw+n4M/cPnuYteX3o0H+4cpRymPFbeAnT\nud28Xm9VVVUrV8/q6+t9v1QiBK7Qk3DTnbnZ2dn5+fkPP/ww4P3q2hL4hfzKK68AQH5+vm+O0MsO\n+JVC1DEU6E/2nyxeS5mr7EPjh0OVQ4tsRfVc/YnbT0iIJDUsdWPtxhJHSYZdB8eO3f/V5Q3pd4ar\nRvKTR3MGjSLV20/t8RzQPyZXbYvJ2hL39NLhL5ft6PNC3z/GVjEnIiBd3dXliYPb7a6trW0lcxsa\nGny/VBKwkdupHQt4v7r2CxzQmMIoiCjQ9dXrd5p2cjxX7a0epR4VK4ktrCtcnbD6yagn86/kx0hi\n1tesfy72uWnw279V/+l/3v7bqdSX9CPucf35p7CrHI1kNB5JJKnc8N7Hz9sfc9wOWyYquE88u9eu\nqTyacncKs1dFZEO6ushO5nQ6jUZjK5nbZseC+DtzUXP4URB1VKWn8ozzjJ23n3adJkBsvM1BHRXu\nCoPHoGAUVq/1vPt8rCT2i75fbKrd5KXeo7X/uf9YXEblmwvTBiyX6e38Qkm4wiwnKy6ZqVtji9af\n+7M03FAddqn2qQuwKap37vH3zd/N/NeyuDg+rc86xpVzZsTCslVzH/gsNmbBdFio7ZYvYp7nDQZD\n6x0LlFLh50ADXj3DzL0VBH5tFxQUrF692uPx+OZgmxoBgJW3mr3m4/bjR2xHfnT8WOYsAwo23pYU\nllTjqdFJdUbOKGWkQEEr0WYoMk7YT3CU681HzSmd/cA3iw3hOQDDqocs/eK3DHh5kBLvObs9I545\n4eQHhyk2ORqeksO7rvAZXNrG6JJV8NxrL79fWvDVy9mfc8Wf/jDwqcy7ZYk0lcDl20cl3QlFcRDd\n1U+IP4/HU1NT00rmWiwW38DeW7yRS0jg8WOoicABvWXLluPHj+PY51uKh3p+dvx8hbvi8XrOus9+\nWv+ph3qqPFWEkDAmzOA2eKhHySh5wrt5d5o8Te/Rz+41e7tx++fJX405O3X7lX/Mkh5Mv/hohSWy\nxhuhjow8fHsYkxLGh7E0Eg6lESAATmBqeYblWImXqwOlo8YRGztsd8XRe2Nluyqtd6qk+xwRMWHe\n//L976ktvZT0rkbT/6LjJ03MZ6X/vk2lqvpQdfnVuOKrv+k3F3aFJJ3b7Fho/etnt1TmAsAxgAIA\nJ0AEwHMA9wRjn7d4lAcO6OHDh2M692xXPFfWVa370vzlVc9VHniOcjzlpYyUBx4AeMpHsVEO6ohg\nIyhQG2dLlCVGSaPMHjMQuE9573/Pfhmz8eW1Eydz2a8MVxAYfOaRwQAw7ggPAAAMOL0AALyeMmHU\ny1KmkjAXPUwm7wmXSV6tli6Npp8R17xyb2ls+a/KWEncw6e9//6NMvlbPQyTXEpSRF4+x3ye8NHM\naezXV277WLIyYw69n73tOHzHkF9n3nzVrXcsCOPGHA6H7/5qmLntZwB4BuA9gGSAaoDpAG8AYIh0\nUOCATkpK+vLLL8eMGRPwy++oGyl1lJY6S61e68+uny+5L11yXdJ79HXeOg/1MMDIiEzJKDng1Ixa\nK9GWu8tVjIoBJl2efs51LpXtZ2hwOX5+THkx8yLjrlVkWuLV7oERJTFh9N71cD8AD+CmxMFTKSFe\noE5KKIVaAlYq03jdkTwTKYUSXmb18JnU+ysJc8ZD1FTyEOegTNglmxoGmPUQGTPIbKaHBkeortDy\n8TEv7P9oecO0D4cP4yP5szSK7IhWGsgoFezoBb2a3sILAIDjuOrq6lYauWaz2ff1s5YC13/cGGbu\nzfkCYAFAMgAAxAIsA/gQ4MWW11+2bNmbb76ZnJz87rvvZmZmAsBbb721Zs2ahoaGrKysNWvWZGZm\nTpo0CQAGDx78ww8/fPDBBytWrDAYDEqlctGiRcI963u8wAHtcrnGjh3rP6eDnzLMZvPKlSs/++yz\nyspKnucTExNzcnKWLl0aERHRkd0iHxtvq+fqS12lxx3H95j2nHOdM3EmDjgAAAJw7a/HEpYHXgpS\nJaOklCoZpZRIlUSpYlVul4Ocf9jgniCNzLzaO4FLVJzXEGAAhoKwE6ewEwpAAThK6gHCgNZRcALx\nckRKQMaDkgEtDwxxM5RhGd7NQzUNC3O5ZHKJBaThDPMdq3lAXV3HenOVtW4J8yA9Q2LCzztrx2qk\neo7rFbZs6uO9aoyOg05d4pXnSkwpfGPO/sMvc61Wq0TS+NLFRq5ImAFi/R5GANS3uj7P83q9/sUX\nX5w3b97Ro0cPHTokfGlZp9Pt3LlzxowZJ0+e/PjjjwkhxcXFbrd76dKlCxYsWLRoUXFx8ciRI2/p\ngC4qKrp69WpCQkKwDjNr1qyIiIjCwsKkpCQAqKio2L59+5w5c/bs2ROsQ9xSeOD3mfd9ZP7osPVw\nuafcwTuav4OywDLASImUApWwEgpURmU84VlgZYxMzYU3nEmqYzZwA1JpbxmoCbAAdwAAuP0OIyR8\n4ywWgFCwX1tKKLUBkVAIB6AMlfAgYwmlYGUYt5ew4JWxxAKy3m5nrJrdV8noah1OK+My6r80g9vp\n9TrYSmNYRQ1bb6Lmeq3VJmMZCUNYiUSqVAxO0PaP0xq1WoqZ203cC7AG4BFovDXVNoAHWl0/NzdX\nIpE8//zzf/3rX+12+8GDB0+fPp2cnCwsZRjG6XT6viDTwXuHdl+BA3rUqFHnzp2Lj4/3vxlgRxw8\neNBgMPg+aaakpIwYMUIIa9S6Wq72nZp3iuxFFZ6Kaq7ayBmdvFPoKfYhhBAgDGEIEAKEAYYHPowJ\nY4DxuAhb+pBHM8Ubk+XQxUCvMCojICVVEoAmY4eFXXoBeAACwAvtbto4hwVwNzbGiQfAyYGlijGb\nqK0e6k1Qb4JaE7WZaHU9WE28xQT1ZpCEAQtOBkAh5yO1oNKCXMFAWKJCM7Jv1IT43roRQzFze4ws\ngPsBxgDcAXAKYDjAo+3Yiud5hmEkEolGo8nPz9+wYYMw02KxyK+/kUpLNyPt2QIH9Lp169atW+c/\np4NdHHfeeecLL7yQl5fna0Fv3bq1f//+Hdlnz1DlqfqP9T+HrYdPuk6esp2q4+s4ylFo69nmGVKb\nAraxLBvH2+6j0ekQr+KjJdCLoWEECACBX8ZIDm9hJ8JB+Gv/5dxQXStELViMUFsPZhPUG8FYDyYT\nmE3Q0ABSKRCgPIBcDhot1WqhlxaitaDVQmZfiNBCpJaoNEyERkJk4VZvrI0dYoaH1dL0dIiWQwJA\nWBCeMyRS8wFmAFwA+BWAtq2Vt2zZsmzZsrVr1957770ymWzMmDErVqz4/e9/n5KSsnLlyiNHjuzd\nu1dY0+12cxx3Qzcj7TFC9E3CnTt3Lly4MCsrq6GhAQDUavW4cePef//94B5FtH60/7isatl31u8s\nHosXvG3nLwDw4VC1CpSTISEaoqWgBmCJ/42NhV1wre/EZAKHA0wmMJnAaGqc8P9ntwPDAAUgjZkL\nGi300kKkFjRa6NsHemVBhBaitaDRQpgCOIAwAArAgLCVtIG/u9w+lTDZbHhqKkj8X1Cx+DMmtxx1\nYz9Z22QyWXx8fP/+/bdt2wYAKSkp69evHzduXE1NzbBhwzZv3iysNnbs2H79+l24cKGgoGDEiBHC\nvUNzcnJyc3NvhQBp1xjDsrKyoLR2KaXC78SoVCpyU7/3K8Lbje4z7bvAXfjK8tU31m/sXjtt7Be4\n/ln1SqF2Hrj6gtsB8sdgQCrEsyAn0NiBRNp7S3mvF6qqAuSs7199feMdNh0OAACttvGfRtt0Wghi\nuQKgMWqFE7luGvwm+GsTLmBNfPxlblKk7KXbQXNzzxpCPUXobjcaFRVVV1cnTI8cOfLQoUPCdEZG\nBse10VZrD0KI8ENkomXympZcXdLgbdhv219dn0Wtf6I2DyhNwP2K3jUYNNdCiwFgrk1rRgEAxMy/\nyUN6PFBT01rmWizg+ygnl/+Ss8K/Pn2uS95wRWPCtvK267+oeS7DtXEaTpAZvGnF3pd7yx75NTgY\nkAGwACAH0DGg6/mfLhHqctcFtNls9k0fPnw4iIcJ8TA7r5uTMuy19ilQAGhng53Vwm0dvv7gdILR\n2Frm2mzgu/raeuZqtXCjV8/827+02QRAgNY6D8RBIy575rika4cQlgAQAAVACgspjeeJl/AQCr0Q\n3WcmlMPsGiyuiIigXovieTAYWgtcp/O69YOeuTeteShTAB6AB9ZO+9bw70Wxw7QADICSwABsFCMk\nLiEK6FAOs9N423FtiuOgujoIHQsKReNS8YwSo0B4CjZgbVRm5uKqXM/1UcxOkkQKSwkAC8ACRBKI\nDM4YSoRQJ7kuoCmlBoPB99A33fFBHTcxzK6kpKSoqKjJzJMnT7Y0YNb362e80QT1gTLXav1lkIF4\nGrk3hAKhFHgAFygMnucZdpqS1cRAgn+vBQFgCUQARBDQyaA/tosR6q6uC2ie53U6ne+h/3QH3cQw\nO4lEotU2HUzZr1+/06dPL1myxHfPXK/X61saERGh1WpBpYEYLWg0EB0Nqamg0Vy7hqYR9W+IUgAA\nQilpoOozToXN+4iXrr8/Qt4kfAkBBkAC0BeTF6EermkLupMOExcX9957793QMLv+/fs3b2JTSuVy\n+aRJkzQajfANtOa3c5r9Y9V9WXFBPPkbQqgwzO5ary8PxE6JmaYX17zeP7peKolUwUgNKJr3LjRe\n3CMQSWBYeAhPGSEkUiH9MQr/YXZut9toNMbHx9/oTtLS0oYOHdrKCvdmxb39vxfnj02mTd4Drr39\ntPLOQKjfCGYO4r6pzaw1Rv9sr4sIf0BWu+iPI9oeDdJkBRZATUANkHjDlSKEbnFddjPsAwcOZGdn\n3+jR9+7d+/TTT7dzcN6pU6du6tS6E4/H4/v1z54Ka+wZKKUDBgzo6rMIPovFcuDAgd69e3fGznvy\nrxVkZ2fv37+/q8+ic2GNPQPWiALC+/EjhJBIhSigzWbzokWL0tPT1Wq1UqlMT09fvHixxWIJzdER\nQqg7ClFAz5o1S6/XFxYWnjhxoqSkZPPmzSaTac6cOaE5OkIIdUc98JuECCHUM4SoBS18k/Ds2bNO\np9PpdJ4/f/4vf/kL3rAfIYRaEaKA3rlzZ1VVVVZWlkKhUCgUQ4YMuXjxYmffb7vHj1sCrLGnwBpR\nQCEdZtfxG/bfEJfL5etU6amwxp4Ba0QB9eRx0Agh1K3hOGiEEBIpDGiEEBIpDGiEEBIpDGiEEBIp\nDGiEEBIpDGiEEBIpDGiEEBIpDGiEEBKp7hfQVVVVo0aN6tWr1/jx481mc3uWtn+mSASrxl27dvXr\n10+r1Y4YMeLYsWMhraEtwapRUFRUJMJvEgerRqfTOXfu3Ojo6AEDBhw+fDikNbQlWDXu3bs3MzNT\nrVZnZWUdOnQopDWIWPcL6IULFw4aNEiv16tUqpUrV7ZnaftnikRQaqyoqMjLy9u9e3dlZeWECRMm\nTJggqm+NBuvvCAAGg2HevHkcx4Xu7NsnWDWuXLmS47iKiorly5fv3r07pDW0JSg18jw/ffr0goKC\nurq6J5544vHHHw91GaJFuxWe51Uq1ZkzZyilBw4cSE9Pb3Np+2eKRLBqPHjw4Pz584WtqqurpVKp\n3W4PcS0tCVaNlFK3252dnb1r1y6xvZiDWONtt91WXFwc6gLaIYj/PyYnJ7/99ttGo3H16tWDBg3q\ngmJESVyv6TYJP8LicDgopRcuXFCr1W0ubf9MkQhWjT4cx+Xl5c2YMSN0NbQliDU+++yzr776ql6v\nF1tAB6tGt9sNAIsXL9ZoNJmZmYcPH+6CYloQxL/jt99+KzQZpVLpqVOnQl2JWHW/Lg5/Xq/3Rpe2\nf6ZIdLDGr7/+eujQoXK5fOvWrUE/t2C56Rr/+c9/Xr58eeHChZ11ZsFz0zUKiaZSqUpLS3NycqZP\nn07F1FXl76ZrNJlM06dP37VrV2VlZW5u7h/+8IfOOsXuppsFtEqlUqlUFRUVAFBZWZmYmNjm0vbP\nFIlg1UgpXbJkyfLly3ft2rVu3TpRXUMLVo179+7ds2cPwzA6nQ4ACCHiub4UrBq1Wi3Lss8++6xO\np8vPzy8vL7fZbF1QTyDBqvHIkSN9+/adOnWqTqdbsGBBUVFRFxQjSt0soAkh48aN27ZtG6V0+/bt\n48ePF+YfOHDA7XYHXNr+mSIRrBqLioo++eSTzz//PCEhwWq1Wq1Wnue7sjA/warxnXfeET4J+ro4\nRo4c2YV1+QtWjQzDjB49esOGDQ0NDYWFhQMHDlSpVF1ZmJ9g1Thw4MCysrJ9+/bZbLYdO3YMGTKk\nK6sSlRB2pwSHXq/Pzs5OSEgQxugIMwFAr9e3tLT9M0UiKDU2v6QubC4Swfo7+haJ8MUcrBrPnz8/\nYsQItVp91113nThxoktqaUmwavz000+F95577rmnrKysS2oRIbxhP0IIiVQ36+JACKFbBwY0QgiJ\nFAY0QgiJFAY0QgiJFAY0QgiJFAY0QgiJFAY0QgiJFAY0QgiJFAY0QgiJFAY0QgiJFAY0QgiJFAY0\nQgiJFAY0QgiJFAY0QgiJFAY0QgiJFAY0QgiJFAY0QgiJFAY0QgiJFAY0QgiJFAY0CqY77rhDIpFI\nJBJCCMuyEokkISEBAAghXX1qgXXViYn2CUGigj8aizoFIUSv18fHx/seduorTdi/wWDQ6XQ3dKDO\nPjH/o/ifXmiOi7o7bEGjnqNXr1779+/v6rNokchPD4kQBjQKkQ0bNgwcODAqKuq1114T5rz11lt9\n+/aNjY196KGHTp48CQBGo3Hu3Lk6nS4+Pn7y5MmVlZXCmoSQdevWDRo0KOBWkyZNAoDBgwczDJOd\nnS3sZ/r06bGxsYmJiZs3bxY/iZZHAAADs0lEQVR2smPHjrS0tIiICJ1Ot3bt2oAnaTab582bFxMT\n069fv02bNvk6Ivx7JHzTAXdICCksLJw2bVpycvLq1asDnp6/5uUAwOuvvx4fHx8VFbVixYqbfb5R\nj0AR6gQAoNfr/R+uXLmS5/l9+/YpFApK6cGDB9PT0y9duuRyubZs2ZKRkUEpnTlz5uTJkxsaGhwO\nx/z580ePHu3bfOPGjS1tJazgPzF79uzc3Fy3233ixAmlUqnX610uV1JS0qpVqzwez9GjR8PCwpps\nKHjiiSfGjh1bV1dns9lyc3Ob7NZ/upUdbt++nVJaWloqk8kCnp5vImA5drudEHL8+PGysrKhQ4c6\nnc6O/SlQN4YBjTpF84C2WCy+aUrpqlWr/BsKDMM4HI6oqKiTJ08KqxkMBpZlXS6XsInVam1pK9os\n+KKjo0tKSoQ5VVVVQsZ5vd6SkpIPPvggLy8vYPJSSmNjY4uLi4VpvV7fSkC3skObzdZkzZYmApbD\n83xWVtakSZO++OILjuNu8IlHPQp2caAQUavV/g81Gk1+fr7wKvR6vXV1dXK53H8FlmUppTzPCw+V\nSmV7thJwHMcwjG+a4zgAGD9+/OLFiz0ezzPPPNPSSbrdbv8TaL6CxWLxTbe0w/Dw8Jb230TAcggh\nR44cmTZt2qZNm5p3iaBbS5e8LaAeD5q1oJtMX7hwQafTlZWVuVyugoKCBx98kFI6c+bMKVOmWK1W\np9OZl5cnzPTfPOBWwgq+tjaldMqUKb/73e/cbve5c+c0Gs358+dtNhsAlJWVud3urVu3NlnfZ+rU\nqY8++qjRaLTb7U899ZRvqVwu/+abb3ieF9q8lNL27BD82stNlrbyJDgcjri4uPLy8lOnTgGA3W7v\n8F8DdVcY0KhTtBnQlNLdu3enpaVptdrRo0eXl5dTSuvq6mbNmhUfHx8bGztx4sSrV68237z5VpTS\nsWPHJiUleTweYc2qqqqJEydGR0cnJiYWFhYK6xQUFERFRaWmpr700ks5OTkzZsygzQK6urp68uTJ\nGo0mJSVFuJgpzP/73/+u1WozMjKELG7nDn3TTU6v9SeBUrp8+XKNRhMdHb1q1aobfeZRT4KDMRFq\nEY5WRl0L+6ARQkikMKARQkik8BMcQgiJFLagEUJIpDCgEUJIpDCgEUJIpDCgEUJIpDCgEUJIpDCg\nEUJIpDCgEUJIpDCgEUJIpDCgEUJIpDCgEUJIpDCgEUJIpDCgEUJIpDCgEUJIpDCgEUJIpDCgEUJI\npP4f4NJS+i2OlCwAAAAASUVORK5CYII=\n" }, "metadata": {}, "output_type": "display_data" } ], "source": [ "%%R\n", "fitdists = function(x){\n", " fit.l = list()\n", " #fit.l[['norm']] = fitdist(x$abundance, 'norm')\n", " fit.l[['exp']] = fitdist(x$abundance, 'exp')\n", " fit.l[['logn']] = fitdist(x$abundance, 'lnorm')\n", " fit.l[['gamma']] = fitdist(x$abundance, 'gamma')\n", " fit.l[['beta']] = fitdist(x$abundance, 'beta')\n", " \n", " # plotting\n", " plot.legend = c('exponential', 'lognormal', 'gamma', 'beta')\n", " par(mfrow = c(2,1))\n", " denscomp(fit.l, legendtext=plot.legend)\n", " qqcomp(fit.l, legendtext=plot.legend)\n", " \n", " # fit summary\n", " gofstat(fit.l, fitnames=plot.legend) %>% print\n", " \n", " return(fit.l)\n", "}\n", "\n", "fits.l = lapply(df.OTU.l, fitdists)\n", "fits.l %>% names" ] }, { "cell_type": "code", "execution_count": 50, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "[1] \"12C-Con.D3.R3_bulk\" \"12C-Con.D6.R2_bulk\" \"12C-Con.D30.R1_bulk\"\n", "[4] \"12C-Con.D1.R2_bulk\" \"12C-Con.D48.R3_bulk\" \"12C-Con.D14.R1_bulk\"\n" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "%%R\n", "# getting summaries for lognormal fits\n", "get.summary = function(x, id='logn'){\n", " summary(x[[id]])\n", "}\n", "\n", "fits.s = lapply(fits.l, get.summary)\n", "fits.s %>% names" ] }, { "cell_type": "code", "execution_count": 74, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ " meanlog sdlog Sample Day\n", "12C-Con.D3.R3_bulk -7.909888 0.9982086 12C-Con.D3.R3_bulk 3\n", "12C-Con.D6.R2_bulk -7.722864 0.9097258 12C-Con.D6.R2_bulk 6\n", "12C-Con.D30.R1_bulk -7.520797 0.8705681 12C-Con.D30.R1_bulk 30\n", "12C-Con.D1.R2_bulk -7.830521 0.9443204 12C-Con.D1.R2_bulk 1\n", "12C-Con.D48.R3_bulk -7.519738 0.8397330 12C-Con.D48.R3_bulk 48\n", "12C-Con.D14.R1_bulk -7.597843 0.8871500 12C-Con.D14.R1_bulk 14\n" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "%%R\n", "# listing estimates for fits\n", "df.fits = do.call(rbind, lapply(fits.s, function(x) x$estimate)) %>% as.data.frame\n", "df.fits$Sample = rownames(df.fits)\n", "df.fits$Day = gsub('.+D([0-9]+)\\\\.R.+', '\\\\1', df.fits$Sample) %>% as.numeric\n", "df.fits" ] }, { "cell_type": "code", "execution_count": 78, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAooAAAEsCAMAAABzB2LQAAAC7lBMVEUAAAABAQECAgIDAwMEBAQF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\nAElFTkSuQmCC\n" }, "metadata": {}, "output_type": "display_data" } ], "source": [ "%%R -w 650 -h 300\n", "ggplot(df.fits, aes(Day, meanlog,\n", " ymin=meanlog-sdlog,\n", " ymax=meanlog+sdlog)) +\n", " geom_pointrange() +\n", " geom_line() +\n", " theme_bw() +\n", " theme(\n", " text = element_text(size=16)\n", " )" ] }, { "cell_type": "code", "execution_count": 60, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ " meanlog sdlog \n", "-7.6836085 0.9082843 \n" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "%%R\n", "# mean of estimaates\n", "apply(df.fits, 2, mean)" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "# Relative abundance of most abundant taxa" ] }, { "cell_type": "code", "execution_count": 102, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAyAAAAHgCAIAAADSZZ6iAAAgAElEQVR4nOzdeXgT1f4/8HNmJknT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\nEEIIIVRi/x/4Qix98yRCEgAAAABJRU5ErkJggg==\n" }, "metadata": {}, "output_type": "display_data" } ], "source": [ "%%R -w 800\n", "df.OTU = do.call(rbind, df.OTU.l) %>%\n", " mutate(abundance = abundance * 100) %>%\n", " group_by(sample) %>%\n", " mutate(rank = row_number(desc(abundance))) %>%\n", " ungroup() %>%\n", " filter(rank < 10)\n", "\n", "ggplot(df.OTU, aes(rank, abundance, color=sample, group=sample)) +\n", " geom_point() +\n", " geom_line() +\n", " labs(y = '% rel abund')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Making a community file for the simulations" ] }, { "cell_type": "code", "execution_count": 272, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "[1] 1102\n" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAyAAAAEsCAMAAAAM8ycIAAAC91BMVEUAAAABAQECAgIDAwMEBAQF\nBQUGBgYHBwcICAgJCQkKCgoLCwsMDAwNDQ0ODg4PDw8QEBARERESEhITExMUFBQVFRUWFhYXFxcY\nGBgZGRkaGhobGxscHBwdHR0eHh4fHx8gICAhISEiIiIjIyMkJCQlJSUmJiYnJycoKCgpKSkqKior\nKyssLCwtLS0uLi4vLy8wMDAxMTEyMjIzMzM0NDQ1NTU2NjY3Nzc4ODg5OTk6Ojo7Ozs8PDw9PT0+\nPj4/Pz9AQEBBQUFCQkJDQ0NERERFRUVGRkZHR0dISEhJSUlKSkpLS0tMTExNTU1OTk5PT09QUFBR\nUVFSUlJTU1NUVFRVVVVWVlZXV1dYWFhZWVlaWlpbW1tcXFxdXV1eXl5fX19gYGBhYWFiYmJjY2Nk\nZGRlZWVmZmZnZ2doaGhpaWlqampra2tsbGxtbW1ubm5vb29wcHBxcXFycnJzc3N1dXV2dnZ3d3d4\neHh5eXl6enp7e3t8fHx9fX1+fn5/f3+AgICBgYGCgoKDg4OEhISFhYWGhoaHh4eIiIiJiYmKioqL\ni4uMjIyNjY2Ojo6Pj4+QkJCRkZGSkpKTk5OUlJSVlZWWlpaXl5eYmJiZmZmampqbm5ucnJydnZ2e\nnp6fn5+goKChoaGioqKjo6OkpKSlpaWmpqanp6eoqKipqamqqqqrq6usrKytra2urq6vr6+wsLCx\nsbGysrKzs7O0tLS2tra3t7e4uLi5ubm6urq7u7u8vLy9vb2/v7/AwMDBwcHCwsLDw8PExMTFxcXG\nxsbHx8fIyMjJycnKysrLy8vMzMzNzc3Ozs7Pz8/Q0NDR0dHS0tLT09PU1NTV1dXW1tbX19fY2NjZ\n2dna2trb29vc3Nzd3d3e3t7f39/g4ODh4eHi4uLj4+Pk5OTl5eXm5ubn5+fo6Ojp6enq6urr6+vs\n7Ozt7e3u7u7v7+/w8PDx8fHy8vLz8/P09PT19fX29vb39/f4+Pj5+fn6+vr7+/v8/Pz9/f3+/v7/\n//9w0mGaAAAX0ElEQVR4nO3deXxV9Z3G8QNkAWUXAdkRN3RwKVIChdGoBFTWKAQtskkcqRLAwqCA\nYpGgUQKKVnCGTajWpWoCSIVSsCjqgI4MCWFREZDlKhEI2Uhy/phzsrDlPvfm3vv9ke/V5wOGFNLn\n9UX6bm4CCZbNGINZ1X0AY5ojEMZ85BVIdvLMp7de6EsYU5hXIKnr7cNfXOhLGFOYVyAPLBr68Bbb\n/nDpsgJ/FZ7y+yKhZHa9oMjouul/N4Vm542uFxr+Vy/w7yYPA4lPP75quG0vn5Wc56/CIr8vEkqn\njK7n2UbX881eX1RodN7s8QXFRucLC0LfOIaBTNxl70ksfc7jr5x8vy8SSjk/mlz/0f/PL5R+yjE6\nn3/C6Hyu0fVjp4zOH88WGMFAdk2aPiWTQEKNQHDhDeRMfkcIBEcgOAKRiUBwBIIjEJEIxEcEQiAE\n4iMCIRAC8RGBEAiB+IhAJIAcmTEg/lOBW1AEgiMQnBogr1mWFStwC4pAcASCUwNkggPEOiRwDIhA\ncASCUwPkLcfHXQK3oAgERyA4NUA8CxreliFwC4pAcASC0wMkp9sKgVPwPIHACASnCEiPZQKn4HkC\ngREIThGQW5YInILnCQRGIDhFQGIXCZyC5wkERiA4RUDueE3gFDxPIDACwSkC0vtVgVPwPIHACASn\nCMhdLwucgucJBEYgOEVA+s4XOAXPEwiMQHCKgAyYJ3AKnicQGIHgFAGJnyNwCp4nEBiB4BQBufd5\ngVPwPIHACASnCEjCswKn4HkCgREIThGQ+5IFTsHzBAIjEJwiIL9/RuAUPE8gMALBKQIy/GmBU/A8\ngcAIBKcIyKgnBU7B8wQCIxCcIiAPThM4Bc8TCIxAcIqAPPSEwCl4nkBgBIJTBGTsfwqcgucJBEYg\nOEVAHpkkcAqeJxAYgeAUAUl6TOAUPE8gMALBKQIycYLAKXieQGAEglME5I9JAqfgeQKBEQhOEZDJ\njwicgucJBEYgOEVAHh8rcAqeJxAYgeAUAZn6kMApeJ5AYASCUwRk+hiBU/A8gcAIBHfBgPzsr9wZ\nY/y+TAjlHTO5fsz/zy+k+Tyj84W5Rufzja7nFBmdP3ki9I2jMkBmjgr9FByB4AgEd8GA+H01lDNr\nmMArMzzPh1gwPsTCKXobZPb9AqfgeQKBEQhOEZCUoQKn4HkCgREIThGQOYMFTsHzBAIjEJwiIHPv\nETgFzxMIjEBwioC8NFDgFDxPIDACwSkC8ko/gVPwPIHACASnCMiCuwVOwfMEAiMQnCIgr90pcAqe\nJxAYgeAUAVkUJ3AKnicQGIHgFAFZcrvAKXieQGAEglMEZFmswCl4nkBgBIJTBGTFLQKn4HkCgREI\nThGQN3sInILnCQRGIDhFQN7qLnAKnicQGIHgFAF5t6vAKXieQGAEglME5P0uAqfgeQKBEQhOEZC0\nzgKn4HkCgREIThGQVTcKnILnCQRGIDhFQD7sJHAKnicQGIHgFAH5+3UCp+B5AoERCE4RkHUdBU7B\n8wQCIxCcIiDrrxI4Bc8TCIxAcIqAbLhC4BQ8TyAwAsEpAvJxe4FT8DyBwAgEpwjIJ20ETsHzBAIj\nEJweID8k1J51ROAYEIHgCASnB8gwy7LmCxwDIhAcgeD0AHF8WAY/tyKB4AgEpwfIAAfIMwLHgAgE\nRyA4PUCy+kYm/SBwDIhAcASC0wMkJ7OBwCl4nkBgBIJTBCSrnsApeJ5AYASCUwRk90UCp+B5AoER\nCE4RkG+jBU7B8wQCIxCcIiDfRwqcgucJBEYgOEVADtQUOAXPEwiMQHCKgBy0DP5JEwLxEYHgjAPZ\nN7iqQI5YhwRugfMEAiMQnGkgBXP6VRXIT9YBgVvgPIHACARnGshre10gy2cl5/mrMMc66veFgu+U\nwW0n2+h6vtnriwqNzps9vqDY6HxhQegbxzCQjattF8iHS5fl+Ksg1zrs94WCr+CkwfGck7bJ9ZyT\nBUbnT+UbnS80up5XbHQ+Pzf0jZ8xkLn9+vW7v4oPsXKtPQKvzeA8H2LB+BALZ/69WFV+GyTf2ilw\nC5wnEBiB4BS9mze/ZpbALXCeQGAEgjMAxCovYCCRGQK3wHkCgREIzshrkKRn92TPnR4wkOhtArfA\neQKBEQjOCJDL3ScdAgZy0VcCt8B5AoERCM4IkO7PZ2en9AgYSL2tArfAeQKBEQjOCJBv7q7fsP+3\nAQNp+IXALXCeQGAEgtP0XqzGmwVugfMEAiMQnBEg0yKCei/WpZsEboHzBAIjEJwRILEHg3oN0nyj\nwC1wnkBgBIIzAiR53fFggLT8p8AtcJ5AYASCMwIkyN8obP0PgVvgPIHACASn6Y30tmsFboHzBAIj\nEJwmIJevEbgFzhMIjEBwmh5iXbla4BY4TyAwAsGZeg1y9L2pAQO5ZqXALXCeQGAEgjP2EGt3m4CB\nXPuBwC1wnkBgBIIz9hArenrAQDr9TeAWOE8gMALBaXoj/ca3BW6B8wQCIxCcESBZfeo3GbwvYCCd\n/ypwC5wnEBiB4IwA6fLc0R9nxQYMpMtfBG6B8wQCIxCcESAdTj8JCEjX1wVugfMEAiMQnBEgk5/9\n5pvZjwcMpPtSgVvgPIHACASn6ZM29FwscAucJxAYgeA0vRfr1v8SuAXOEwiMQHDGgBSNDxjIbQsE\nboHzBAIjEJwRIKm1nUdYfQIG0usVgVvgPIHACARnBEiLrMTt700OGEif+QK3wHkCgREIzgiQ1vZb\nS0o6Bwzk7nkCt8B5AoERCM4IkCtfzYzb0zZgIP3mCNwC5wkERiA4I0De7lqSWP/5gIEMTBG4Bc4T\nCIxAcJrezXvPbIFb4DyBwAgEpwnI4FkCt8B5AoERCE4TkKEzBW6B8wQCIxCcpj9qcv8MgVvgPIHA\nCASn6TXIA9MFboHzBAIjEJwRIAfuaJQffyBgICOnCtwC5wkERiA4I0B6f2CVzO8VMJAHpwjcAucJ\nBEYgODN/w1SJZZe0DxhI4iSBW+A8gcAIBGcESKcsy94Ucy6QE/7Kf9my5vl9qaAryDG3feJEjv+f\nX0jz+UbnT5mdLzC6nltkdD7vZOgb2ecDWd/Ouun6r88FctRf29z3fG31+2LBlpttbNop2//PL6T5\nXKPzBSeNzucZXT9xyuh8zvHQN348H4id+/Xe4nN9+H8IstoFYu5Tx/EhFo4PsXBGHmLdaVfO78gh\nF8i3Atd4j0BwBIIzAiRl8cnAgeRk/baTwb/mlkBwBILT9Nndpw4XuAXOEwiMQHCafif96fsEboHz\nBAIjEJwmIMmDBW6B8wQCIxCcJiApAwVugfMEAiMQnCYgc/sK3ALnCQRGIDhDQD66vGlawEDmxwnc\nAucJBEYgOENAWr2TeW3AQBbGCtwC5wkERiA4A0CezLHt1u8GAWRxT4Fb4DyBwAgEZwDIrsQ19tr2\nTT8IGMjrMQK3wHkCgREIzsRDrJKlSYeDeSP9zZsFboHzBAIjEJyZt0EOJy0pCRzIOzcI3ALnCQRG\nIDgDQFa1avWhvWb0zoCBfNBR4BY4TyAwAsEZANJi5apWtp0zLWAgq68UuAXOEwiMQHAGgLRctbJV\npTdBqgLko3YCt8B5AoERCM4AkNUtW64OCsj6VgK3wHkCgREITtMfNfm4mcAtcJ5AYASC0wRkc2OB\nW+A8gcAIBKcJyNZ6ArfAeQKBEQhOE5CvawvcAucJBEYgOCNApkUE9SG3mRECt8B5AoERCM4IkNiD\nQb0G2WUdETgGzRMIjEBwRoAkrzseDJDvrAMCx6B5AoERCE7TZzU5YO0VOAbNEwiMQHCa3kg/bO0W\nOAbNEwiMQHDGgBSNDxiIp9YOgWPQPIHACARnBEhqbecRVp/AgURvEzgGzRMIjEBwRoC0yErc/t7k\nQIHkvRCR+I3ANWCeQGAEgjMCpLX91pKSzoECWeC82hkqcA2YJxAYgeCMALny1cy4PW0DBfJ7911f\nAteAeQKBEQjOCJC3u5Yk1n8+UCDPOj7MfW5FAsERCE7R33J7YowV87XANWCeQGAEgtP0t9x6+s4V\nOAbNEwiMQHCa/pZbz4hpAsegeQKBEQjugv0tt35HHCATHhE4Bs0TCIxAcEaAePtbbv2O5OR/3/e6\nNQLXgHkCgREIzswfNTn9t9yWLHhi4sdVBTLQsixjQggERyA4w39Y8fsZ9v77qwjkpPv7II8JnON9\nnkBgBIIzAmTS6Y8oLMy1186w7dSxjxb6q6jY/S8t9PtyQVZkargs2+y82euLDc8bXT9VYnS+6FTo\nGyfOB9LzzEcUnpizMM+2/2ftuuP+yi9cY1nDjvp9uSDLNzVc2gnb7LzZ60/lGZ0vMLqeW2R2Pif0\njaPnA7nvk+Ly5wqe2hfAe7EyI819zC0fYuH4EAtn5CHWiNMfUfjlyMmTJ1cZyA81vhO4BswTCIxA\ncEaAxAT3SRs8nou/ErgGzBMIjEBwRoBM+LQ4OCAtNwhcA+YJBEYgOE2ftMHj6fg3gWvAPIHACASn\n6pM2DLOsZwTO8T5PIDACwWkC8pb7emenwD1e5wkERiA4TUAWukC2CNzjdZ5AYASC0wQky/1cKKZ+\nJ4RAcASC0wTEk3HHv+8XOMf7PIHACASnCogneYjANWCeQGAEgtMF5OXeAteAeQKBEQhOF5DlXQWu\nAfMEAiMQnC4g6R0FrgHzBAIjEJwuIBuaC1wD5gkERiA4VUC2xlg9PxO4x+s8gcAIBKcKyFDLsgYJ\n3ON1nkBgBIJTBaSPA6SXwD1e5wkERiA4VUBedIDMFrjH6zyBwAgEpwqI5/1GswTO8T5PIDACwekC\n4rn5DYFzvM8TCIxAcMqA3PGKwDne5wkERiA4ZUAGJwuc432eQGAEglMGZMxEgXO8zxMIjEBwuoD8\n2bIeOCxwkLd5AoERCE4VkP3uhxSuEDjI2zyBwAgEpwpIhgvkBYGDvM0TCIxAcKqAeHo7QAx97jgC\nwREITheQfX9ovlXgHq/zBAIjEJwuIJ517QTO8T5PIDACwSkD8tklAud4nycQGIHglAHJiBI4x/s8\ngcAIBKcMyD7rgMA9XucJBEYgOGVAPBE7BO7xOk8gMALBaQPS6AuBe7zOEwiMQHDKgOxuMl/gHq/z\nBAIjEJwuIP9rWdYYgYO8zRMIjEBwuoDMdP+siZlPz0sgOALB6QLyggvkoMBFXuYJBEYgOF1Avou1\nrOcEDvI2TyAwAsFdMCC5/ioscp4c/4/uB/y+ZFAV5pnZLc//zy+U8gqNzheZnT9ldL2g2Ox8fugb\nx8Reg3hWOA+xNgqQ9TLP1yAwvgbB6XqI5enlALlP4CIv8wQCIxCcMiC3OUASBC7yMk8gMALBKQPy\nmgPkI4GLvMwTCIxAcMqAeLY0T/xe4CIv8wQCIxCcMiCH+ljWrUZ+p5BAcASCUwZkg/s7hcsETqo8\nTyAwAsEpA/K5C+R2gZMqzxMIjEBwyoB4OrlCBE6qPE8gMALBaQMy2wXyL4GbKs0TCIxAcNqA/OAC\neVTgpkrzBAIjEJw2IB4XyGiBmyrNEwiMQHDqgKS4QvYKHHX+PIHACASnDshSF0iiwFHnzxMIjEBw\n6oBsdoFY6wWuOm+eQGAEglMHxNPFBdLqiMBZ584TCIxAcPqArC99FXKLwFnnzhMIjEBw+oB4Hi4V\ncu0hgcPOnicQGIHgFAI5eFmpkEsyBC47a55AYASCUwik7A9kWdZNApedNU8gMALBaQTi+SiqVMjt\nkp8AiEBwBIJTCcTzcb1SIbXfEDiuYp5AYASC0wnEc6jsUZYVL/a3IRAIjkBwSoF4Xi8XYsVmChzo\nIRBfEQhOKxDPitoVRNpsEDiRQHxEIDi1QDyePhVCrE4Cf6sOgeAIBKcYiGfxaSFWVI+1Id5IIDgC\nwWkG4tn/h6gzRqwGY0N5i51AcASCUw3EaXHzs4hYke1Gfx7kjQSCIxCcdiAez98bWucW2XrC1sBv\nJBAcgeD0A/F4lt0YYZ1fdLshL/0rkIdcBIIjEFw4AHHaMKhRjUpILKtmVP1m1w1evmmn/xsJBEcg\nuDAB4nRkfttIL0ZOW6kVWf+qYVNfXpb2yWGv8wQCIxBc+ABxO/z+3ZdWfrjlrVpRUdF1GrS4edBj\ni9LS/7lt5x4CwREILryAlHZwUY+Gtaqk5PxXMhF16tZr1PLazl17xN454rn30zZs27Fd5nPJE4iP\nCOTCAilr3fjYNhcF5aRSNayaNWvWqhURVa/FNTd1je07sH//gf0GDhr5+MvvrkxfmZ6elrYyfaPv\nPxJGID4ikOoAUtGOtaN7/luLBlGWtzfiZatRo2Zptdwio5xqX1TPrW79S9r/5rZecf0Gxj80c15q\naurcOanu07kL3klLW+UQc5CtDuWPyxAIjkCq3N5Ff4y/Jebmq5s3qlOrpnEuIVSOrExaxTeRUa65\nOhfXO1Pduu7TBo2btmjfoUOHyy93nlxxRcebY7p1i+n2u0Fjk6Y+7yh0vrgi55SKdJ93v8991v1P\n7lfnyby5pT/20hubvH6kP4HgfklAKrfj3Zkjbu/apVP7yy5pWCdSNZrwqWbNs16Rni7C+VIrMjo6\nOsr9x31S/nzFc6XfH13n4jL19erWO/1N3bOeb9jkUqcmpf80adKk/PlLm13Wqk2b1q2dr86TNs5X\n5x/3qfttu4rnS3+w7LkzP1z2X3Keadum/dXX/ybG+f+WmO633j2w/4D+Awb0Hzh0bFLSuHHu16Tx\nzpfTz5d+4z6ZON55PmnceOeL+x3Oj4wr/Q73+aRxj45PmlaFT7WOgeyf9qdp+6sPyNnzFe/F2r0p\nPW35i8+Nvav7bztd1aFti8YXRUVGuP9HTj8sqKb5/R8fBjJ9s/3ZU7qA+GrHl2vSl76Y6rwZMS81\nZcrIO3t27RYT0+WG66/vdGWz+tEREeWPidyq+1eF6cnvZ6jCQBLy7Lyhtv14/0FF/iou8fsioWR2\nvejgRwsXLFj46itPDB+cMCRhyOAE58uQhL63dnfr9rtu3W5o16xZ06aXNm5Qt3ZUubFzM/9OBmao\nfD//48jxDSTBtvdmZGb7K7fA74uEUu7PJtd/tk2uZ/+0L2vnzqzdzpcdn//jzb8sX7Hi9SXJE8aN\nuid+UHz8oHsG3eM+iR/oPN83Lq53XO9ecU694nr1dr91/nNc6XfExXbr2KqZU/NmFTVt0ri0Rk6N\nG51+3n3ifm3c8OLaZ71NEeV8W/pwtPQNjnLl7rsP3Sc1al6AdySqbILfXz4MZNoWe8uT4fMQK+j4\n+yA+kngv1rcZGTu278jIyHSeZDpPyp7P2p6ZufOg843zg87zGc6T8ud3lD+fWfH8ju2Z29amr0xL\nd39n6/UXy97ZNy91euJItxEjR44aeaYRo0aMGlX2/Q+OGuX84EjnO0aUvYj7ZHT5y7nPj6/CJ1rH\nQPY9lfKUsjfSjUQgPuK7eTGQM/kdIRAcgeAIRCYCwREIjkBEIhAfEQiBEIiPCIRACMRHBEIgBOIj\nAiEQAvERgRAIgfiIQKoCxG9vTg9xoDo72bmwuk8IoSnvVvcFIbRpRHVfUMUIJGwjkAtRqEDWLxE5\no3rKH1tU3SeE0H9vrO4LQmj7c9V9QRULFQhjv+gIhDEfhQjkzAfnhleLp8/8a9nxYfgzyE6e+fTW\ncD3e/mryU49/Gz7XhwjkzAfnhlXF7xRtH152fBj+DFLX24e/CNfj7eHf2Lsmh8/1IQIp/+Dc8Gtp\nv41lx4fhz+CBRUMf3hKux9tjVh9Puzd8rhcAkiBzyYWtZPPosuPD8GcQn3581fBwPd7eNjZh0bDw\nuT5EIGc+ODesyp5i/zCi7Pgw/BlM3GXvSQzX4+1PDtrrXwif60MEcuaDc8OrFZMmbi47Pgx/Brsm\nTZ+SGa7H21/OmJl8NHyu57t5GfMRgTDmIwJhzEcEwpiPCER9/CWqzvhvX338JarO+G9fXVZKn3eu\nbt1qrvPcrNumu79EO6/5orqP+tVGIOqyFttd/1ySVdt5bu3BCOfpd9d+Ut03/XojEHVZJ+2SzLcT\nnV8Z67j762PdcHVBdd/0641A1OX8kiT2Wvx/Vulz7tdPh4TLh9/9AiMQdTm/JPX3lLxvFVUAsfc1\n21fdR/1qIxB1Ob8kKW07T+4z/jQQO+Xe6j7qVxuBMOYjAmHMRwTCmI8IhDEfEQhjPiIQxnxEIIz5\niEAY89H/A/ZIBKJD1a+gAAAAAElFTkSuQmCC\n" }, "metadata": {}, "output_type": "display_data" } ], "source": [ "%%R -w 800 -h 300\n", "df.OTU = do.call(rbind, df.OTU.l) %>%\n", " mutate(abundance = abundance * 100) %>%\n", " group_by(sample) %>%\n", " mutate(rank = row_number(desc(abundance))) %>%\n", " group_by(rank) %>%\n", " summarize(mean_abundance = mean(abundance)) %>%\n", " ungroup() %>%\n", " mutate(library = 1,\n", " mean_abundance = mean_abundance / sum(mean_abundance) * 100) %>%\n", " rename('rel_abund_perc' = mean_abundance) %>%\n", " dplyr::select(library, rel_abund_perc, rank) %>%\n", " as.data.frame\n", "\n", "df.OTU %>% nrow %>% print\n", "\n", "ggplot(df.OTU, aes(rank, rel_abund_perc)) +\n", " geom_point() +\n", " geom_line() +\n", " labs(y = 'mean % rel abund')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Adding reference genome taxon names" ] }, { "cell_type": "code", "execution_count": 273, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "['Tropheryma_whipplei_str_Twist',\n", " 'Caldisericum_exile_AZM16c01',\n", " 'Sorangium_cellulosum_So0157-2',\n", " 'Bacillus_subtilis_QB928',\n", " 'Anabaena_cylindrica_PCC_7122']" ] }, "execution_count": 273, "metadata": {}, "output_type": "execute_result" } ], "source": [ "ret = !SIPSim KDE_info -t /home/nick/notebook/SIPSim/dev/bac_genome1147/validation/ampFrags_kde.pkl\n", "ret = ret[1:]\n", "ret[:5]" ] }, { "cell_type": "code", "execution_count": 274, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "[1] 1102\n", "[1] Tropheryma_whipplei_str_Twist Sorangium_cellulosum_So0157-2 \n", "[3] Bacillus_subtilis_QB928 Anabaena_cylindrica_PCC_7122 \n", "[5] Haemophilus_parainfluenzae_T3T1 Delftia_acidovorans_SPH-1 \n", "6 Levels: Anabaena_cylindrica_PCC_7122 ... Tropheryma_whipplei_str_Twist\n" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "%%R\n", "\n", "F = '/home/nick/notebook/SIPSim/dev/fullCyc_trim//ampFrags_kde_amplified.txt'\n", "ret = read.delim(F, sep='\\t')\n", "ret = ret$genomeID\n", "ret %>% length %>% print\n", "ret %>% head" ] }, { "cell_type": "code", "execution_count": 275, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "[1] 1102\n", "[1] 1102\n" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "%%R\n", "ret %>% length %>% print\n", "df.OTU %>% nrow" ] }, { "cell_type": "code", "execution_count": 276, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ " library taxon_name rel_abund_perc rank\n", "1 1 Lactobacillus_reuteri_I5007 5.728702 1\n", "2 1 Thermoanaerobacter_italicus_Ab9 4.295700 2\n", "3 1 Intrasporangium_calvum_DSM_43043 2.965853 3\n", "4 1 Nitrosomonas_eutropha_C91 2.220702 4\n", "5 1 Arthrobacter_phenanthrenivorans_Sphe3 2.026430 5\n", "6 1 Bifidobacterium_animalis_subsp_lactis_DSM_10140 1.800652 6\n" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "%%R -i ret\n", "\n", "# randomize\n", "ret = ret %>% sample %>% sample %>% sample\n", "\n", "# adding to table\n", "df.OTU$taxon_name = ret[1:nrow(df.OTU)]\n", "df.OTU = df.OTU %>% \n", " dplyr::select(library, taxon_name, rel_abund_perc, rank)\n", "df.OTU %>% head" ] }, { "cell_type": "code", "execution_count": 245, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "[1] \"Bacteroides_salanitronis_DSM_18170\" \n", "[2] \"Geobacter_uraniireducens_Rf4\" \n", "[3] \"Shewanella_amazonensis_SB2B\" \n", "[4] \"Mesotoga_prima_MesG1_Ag_4_2\" \n", "[5] \"Selenomonas_ruminantium_subsp_lactilytica_TAM6421\"\n", "[6] \"Escherichia_fergusonii_ATCC_35469\" \n" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "%%R\n", "#-- debug -- #\n", "df.gc = read.delim('~/notebook/SIPSim/dev/bac_genome1147/validation/ampFrags_parsed_kde_info.txt', \n", " sep='\\t', row.names=)\n", "top.taxa = df.gc %>% \n", " filter(KDE_ID == 1, median > 1.709, median < 1.711) %>% \n", " dplyr::select(taxon_ID) %>% \n", " mutate(taxon_ID = taxon_ID %>% sample) %>%\n", " head\n", "\n", "top.taxa = top.taxa$taxon_ID %>% as.vector\n", "top.taxa" ] }, { "cell_type": "code", "execution_count": 246, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "[1] 1097\n", "[1] 6\n", "[1] 6\n", "[1] 1086\n", "[1] 1093\n", " library taxon_name rel_abund_perc\n", "1 1 Bacteroides_salanitronis_DSM_18170 5.72870163814788\n", "2 1 Geobacter_uraniireducens_Rf4 4.29570006895946\n", "3 1 Shewanella_amazonensis_SB2B 2.96585281873549\n", "4 1 Mesotoga_prima_MesG1_Ag_4_2 2.22070236873107\n", "5 1 Selenomonas_ruminantium_subsp_lactilytica_TAM6421 2.02642996420269\n", "6 1 Escherichia_fergusonii_ATCC_35469 1.80065201833062\n", " rank\n", "1 1\n", "2 2\n", "3 3\n", "4 4\n", "5 5\n", "6 6\n" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "%%R\n", "#-- debug -- #\n", "p1 = df.OTU %>%\n", " filter(taxon_name %in% top.taxa)\n", "p2 = df.OTU %>%\n", " head(n=length(top.taxa))\n", "p3 = anti_join(df.OTU, rbind(p1, p2), c('taxon_name' = 'taxon_name'))\n", "\n", "df.OTU %>% nrow %>% print\n", "p1 %>% nrow %>% print\n", "p2 %>% nrow %>% print\n", "p3 %>% nrow %>% print\n", "\n", "p1 = p2$taxon_name\n", "p2$taxon_name = top.taxa\n", "\n", "df.OTU = rbind(p2, p1, p3)\n", "df.OTU %>% nrow %>% print\n", "df.OTU %>% head" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Writing file" ] }, { "cell_type": "code", "execution_count": 278, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "File written: /home/nick/notebook/SIPSim/dev/fullCyc//fullCyc_12C-Con_trm_comm.txt \n" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "%%R \n", "\n", "F = file.path(workDir, 'fullCyc_12C-Con_trm_comm.txt')\n", "write.table(df.OTU, F, sep='\\t', quote=FALSE, row.names=FALSE)\n", "cat('File written:', F, '\\n')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### parsing amp-Frag file to match comm file" ] }, { "cell_type": "code", "execution_count": 285, "metadata": { "collapsed": false }, "outputs": [], "source": [ "!tail -n +2 /home/nick/notebook/SIPSim/dev/fullCyc/fullCyc_12C-Con_trm_comm.txt | \\\n", " cut -f 2 > /home/nick/notebook/SIPSim/dev/fullCyc/fullCyc_12C-Con_trm_comm_taxa.txt" ] }, { "cell_type": "code", "execution_count": 289, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "File written /home/nick/notebook/SIPSim/dev/bac_genome1147/validation/ampFrags_kde_parsed.pkl\n", "Loading KDEs...\n", "1102\n" ] } ], "source": [ "outFile = os.path.splitext(ampFragFile)[0] + '_parsed.pkl'\n", "!SIPSim KDE_parse \\\n", " $ampFragFile \\\n", " /home/nick/notebook/SIPSim/dev/fullCyc/fullCyc_12C-Con_trm_comm_taxa.txt \\\n", " > $outFile\n", " \n", "print 'File written {}'.format(outFile)\n", "!SIPSim KDE_info -n $outFile" ] } ], "metadata": { "hide_input": true, "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.11" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
sdpython/pyquickhelper
_unittests/ut_helpgen/notebooks_utf8/simple_example.ipynb
1
3460
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Simple example" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import pyquickhelper" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "datetime.datetime(2015, 3, 2, 0, 0)" ] }, "execution_count": 2, "metadata": {}, "output_type": "execute_result" } ], "source": [ "pyquickhelper.str_to_datetime(\"2015-03-02\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Equation: $x^2$" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import pyquickhelper.ipythonhelper" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [ { "data": { "application/javascript": [ "var kernel = IPython.notebook.kernel;\n", "var body = document.body, attribs = body.attributes;\n", "var command = \"theNotebook = \" + \"'\"+attribs['data-notebook-name'].value+\"'\";\n", "kernel.execute(command);" ], "text/plain": [ "<IPython.core.display.Javascript object>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "pyquickhelper.ipythonhelper.set_notebook_name_theNotebook()" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "'simple_example.ipynb'" ] }, "execution_count": 5, "metadata": {}, "output_type": "execute_result" } ], "source": [ "theNotebook" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "Some chinese: 汉字/漢字 (from [wikipedia Chinese language](https://en.wikipedia.org/wiki/Chinese_language))" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "汉字/漢字\n" ] } ], "source": [ "print(\"汉字/漢字\")" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "Some utf-8: " ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ " 57344\n" ] } ], "source": [ "print(\"\", ord(\"\"))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.4.3" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
cbuntain/TwitterFergusonTeachIn
session_05.ipynb
1
1429458
null
mit
davidparks21/qso_lya_detection_pipeline
docs/nb/Garnett16_analysis.ipynb
1
148311
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Some Analysis on Garnett 16" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": true }, "outputs": [], "source": [ "%matplotlib notebook" ] }, { "cell_type": "code", "execution_count": 8, "metadata": {}, "outputs": [], "source": [ "# imports\n", "import numpy as np\n", "\n", "from matplotlib import pyplot as plt\n", "\n", "from dla_cnn import io as dla_io" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Load" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": true }, "outputs": [], "source": [ "g16_abs = dla_io.load_garnett16()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Cutting on pDLA" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Histogram pDLAD" ] }, { "cell_type": "code", "execution_count": 4, "metadata": {}, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "/home/xavier/.pyenv/versions/anaconda3-5.0.1/lib/python3.6/site-packages/astropy/table/column.py:929: RuntimeWarning: invalid value encountered in greater\n", " return getattr(self.data, oper)(other)\n" ] } ], "source": [ "gdp = g16_abs['pDLAD'] > 0." ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": true }, "outputs": [], "source": [ "pDLAD = g16_abs['pDLAD'][gdp]" ] }, { "cell_type": "code", "execution_count": 10, "metadata": {}, "outputs": [ { "data": { "application/javascript": [ "/* Put everything inside the global mpl namespace */\n", "window.mpl = {};\n", "\n", "\n", "mpl.get_websocket_type = function() {\n", " if (typeof(WebSocket) !== 'undefined') {\n", " return WebSocket;\n", " } else if (typeof(MozWebSocket) !== 'undefined') {\n", " return MozWebSocket;\n", " } else {\n", " alert('Your browser does not have WebSocket support.' +\n", " 'Please try Chrome, Safari or Firefox ≥ 6. ' +\n", " 'Firefox 4 and 5 are also supported but you ' +\n", " 'have to enable WebSockets in about:config.');\n", " };\n", "}\n", "\n", "mpl.figure = function(figure_id, websocket, ondownload, parent_element) {\n", " this.id = figure_id;\n", "\n", " this.ws = websocket;\n", "\n", " this.supports_binary = (this.ws.binaryType != undefined);\n", "\n", " if (!this.supports_binary) {\n", " var warnings = document.getElementById(\"mpl-warnings\");\n", " if (warnings) {\n", " warnings.style.display = 'block';\n", " warnings.textContent = (\n", " \"This browser does not support binary websocket messages. \" +\n", " \"Performance may be slow.\");\n", " }\n", " }\n", "\n", " this.imageObj = new Image();\n", "\n", " this.context = undefined;\n", " this.message = undefined;\n", " this.canvas = undefined;\n", " this.rubberband_canvas = undefined;\n", " this.rubberband_context = undefined;\n", " this.format_dropdown = undefined;\n", "\n", " this.image_mode = 'full';\n", "\n", " this.root = $('<div/>');\n", " this._root_extra_style(this.root)\n", " this.root.attr('style', 'display: inline-block');\n", "\n", " $(parent_element).append(this.root);\n", "\n", " this._init_header(this);\n", " this._init_canvas(this);\n", " this._init_toolbar(this);\n", "\n", " var fig = this;\n", "\n", " this.waiting = false;\n", "\n", " this.ws.onopen = function () {\n", " fig.send_message(\"supports_binary\", {value: fig.supports_binary});\n", " fig.send_message(\"send_image_mode\", {});\n", " if (mpl.ratio != 1) {\n", " fig.send_message(\"set_dpi_ratio\", {'dpi_ratio': mpl.ratio});\n", " }\n", " fig.send_message(\"refresh\", {});\n", " }\n", "\n", " this.imageObj.onload = function() {\n", " if (fig.image_mode == 'full') {\n", " // Full images could contain transparency (where diff images\n", " // almost always do), so we need to clear the canvas so that\n", " // there is no ghosting.\n", " fig.context.clearRect(0, 0, fig.canvas.width, fig.canvas.height);\n", " }\n", " fig.context.drawImage(fig.imageObj, 0, 0);\n", " };\n", "\n", " this.imageObj.onunload = function() {\n", " fig.ws.close();\n", " }\n", "\n", " this.ws.onmessage = this._make_on_message_function(this);\n", "\n", " this.ondownload = ondownload;\n", "}\n", "\n", "mpl.figure.prototype._init_header = function() {\n", " var titlebar = $(\n", " '<div class=\"ui-dialog-titlebar ui-widget-header ui-corner-all ' +\n", " 'ui-helper-clearfix\"/>');\n", " var titletext = $(\n", " '<div class=\"ui-dialog-title\" style=\"width: 100%; ' +\n", " 'text-align: center; padding: 3px;\"/>');\n", " titlebar.append(titletext)\n", " this.root.append(titlebar);\n", " this.header = titletext[0];\n", "}\n", "\n", "\n", "\n", "mpl.figure.prototype._canvas_extra_style = function(canvas_div) {\n", "\n", "}\n", "\n", "\n", "mpl.figure.prototype._root_extra_style = function(canvas_div) {\n", "\n", "}\n", "\n", "mpl.figure.prototype._init_canvas = function() {\n", " var fig = this;\n", "\n", " var canvas_div = $('<div/>');\n", "\n", " canvas_div.attr('style', 'position: relative; clear: both; outline: 0');\n", "\n", " function canvas_keyboard_event(event) {\n", " return fig.key_event(event, event['data']);\n", " }\n", "\n", " canvas_div.keydown('key_press', canvas_keyboard_event);\n", " canvas_div.keyup('key_release', canvas_keyboard_event);\n", " this.canvas_div = canvas_div\n", " this._canvas_extra_style(canvas_div)\n", " this.root.append(canvas_div);\n", "\n", " var canvas = $('<canvas/>');\n", " canvas.addClass('mpl-canvas');\n", " canvas.attr('style', \"left: 0; top: 0; z-index: 0; outline: 0\")\n", "\n", " this.canvas = canvas[0];\n", " this.context = canvas[0].getContext(\"2d\");\n", "\n", " var backingStore = this.context.backingStorePixelRatio ||\n", "\tthis.context.webkitBackingStorePixelRatio ||\n", "\tthis.context.mozBackingStorePixelRatio ||\n", "\tthis.context.msBackingStorePixelRatio ||\n", "\tthis.context.oBackingStorePixelRatio ||\n", "\tthis.context.backingStorePixelRatio || 1;\n", "\n", " mpl.ratio = (window.devicePixelRatio || 1) / backingStore;\n", "\n", " var rubberband = $('<canvas/>');\n", " rubberband.attr('style', \"position: absolute; left: 0; top: 0; z-index: 1;\")\n", "\n", " var pass_mouse_events = true;\n", "\n", " canvas_div.resizable({\n", " start: function(event, ui) {\n", " pass_mouse_events = false;\n", " },\n", " resize: function(event, ui) {\n", " fig.request_resize(ui.size.width, ui.size.height);\n", " },\n", " stop: function(event, ui) {\n", " pass_mouse_events = true;\n", " fig.request_resize(ui.size.width, ui.size.height);\n", " },\n", " });\n", "\n", " function mouse_event_fn(event) {\n", " if (pass_mouse_events)\n", " return fig.mouse_event(event, event['data']);\n", " }\n", "\n", " rubberband.mousedown('button_press', mouse_event_fn);\n", " rubberband.mouseup('button_release', mouse_event_fn);\n", " // Throttle sequential mouse events to 1 every 20ms.\n", " rubberband.mousemove('motion_notify', mouse_event_fn);\n", "\n", " rubberband.mouseenter('figure_enter', mouse_event_fn);\n", " rubberband.mouseleave('figure_leave', mouse_event_fn);\n", "\n", " canvas_div.on(\"wheel\", function (event) {\n", " event = event.originalEvent;\n", " event['data'] = 'scroll'\n", " if (event.deltaY < 0) {\n", " event.step = 1;\n", " } else {\n", " event.step = -1;\n", " }\n", " mouse_event_fn(event);\n", " });\n", "\n", " canvas_div.append(canvas);\n", " canvas_div.append(rubberband);\n", "\n", " this.rubberband = rubberband;\n", " this.rubberband_canvas = rubberband[0];\n", " this.rubberband_context = rubberband[0].getContext(\"2d\");\n", " this.rubberband_context.strokeStyle = \"#000000\";\n", "\n", " this._resize_canvas = function(width, height) {\n", " // Keep the size of the canvas, canvas container, and rubber band\n", " // canvas in synch.\n", " canvas_div.css('width', width)\n", " canvas_div.css('height', height)\n", "\n", " canvas.attr('width', width * mpl.ratio);\n", " canvas.attr('height', height * mpl.ratio);\n", " canvas.attr('style', 'width: ' + width + 'px; height: ' + height + 'px;');\n", "\n", " rubberband.attr('width', width);\n", " rubberband.attr('height', height);\n", " }\n", "\n", " // Set the figure to an initial 600x600px, this will subsequently be updated\n", " // upon first draw.\n", " this._resize_canvas(600, 600);\n", "\n", " // Disable right mouse context menu.\n", " $(this.rubberband_canvas).bind(\"contextmenu\",function(e){\n", " return false;\n", " });\n", "\n", " function set_focus () {\n", " canvas.focus();\n", " canvas_div.focus();\n", " }\n", "\n", " window.setTimeout(set_focus, 100);\n", "}\n", "\n", "mpl.figure.prototype._init_toolbar = function() {\n", " var fig = this;\n", "\n", " var nav_element = $('<div/>')\n", " nav_element.attr('style', 'width: 100%');\n", " this.root.append(nav_element);\n", "\n", " // Define a callback function for later on.\n", " function toolbar_event(event) {\n", " return fig.toolbar_button_onclick(event['data']);\n", " }\n", " function toolbar_mouse_event(event) {\n", " return fig.toolbar_button_onmouseover(event['data']);\n", " }\n", "\n", " for(var toolbar_ind in mpl.toolbar_items) {\n", " var name = mpl.toolbar_items[toolbar_ind][0];\n", " var tooltip = mpl.toolbar_items[toolbar_ind][1];\n", " var image = mpl.toolbar_items[toolbar_ind][2];\n", " var method_name = mpl.toolbar_items[toolbar_ind][3];\n", "\n", " if (!name) {\n", " // put a spacer in here.\n", " continue;\n", " }\n", " var button = $('<button/>');\n", " button.addClass('ui-button ui-widget ui-state-default ui-corner-all ' +\n", " 'ui-button-icon-only');\n", " button.attr('role', 'button');\n", " button.attr('aria-disabled', 'false');\n", " button.click(method_name, toolbar_event);\n", " button.mouseover(tooltip, toolbar_mouse_event);\n", "\n", " var icon_img = $('<span/>');\n", " icon_img.addClass('ui-button-icon-primary ui-icon');\n", " icon_img.addClass(image);\n", " icon_img.addClass('ui-corner-all');\n", "\n", " var tooltip_span = $('<span/>');\n", " tooltip_span.addClass('ui-button-text');\n", " tooltip_span.html(tooltip);\n", "\n", " button.append(icon_img);\n", " button.append(tooltip_span);\n", "\n", " nav_element.append(button);\n", " }\n", "\n", " var fmt_picker_span = $('<span/>');\n", "\n", " var fmt_picker = $('<select/>');\n", " fmt_picker.addClass('mpl-toolbar-option ui-widget ui-widget-content');\n", " fmt_picker_span.append(fmt_picker);\n", " nav_element.append(fmt_picker_span);\n", " this.format_dropdown = fmt_picker[0];\n", "\n", " for (var ind in mpl.extensions) {\n", " var fmt = mpl.extensions[ind];\n", " var option = $(\n", " '<option/>', {selected: fmt === mpl.default_extension}).html(fmt);\n", " fmt_picker.append(option)\n", " }\n", "\n", " // Add hover states to the ui-buttons\n", " $( \".ui-button\" ).hover(\n", " function() { $(this).addClass(\"ui-state-hover\");},\n", " function() { $(this).removeClass(\"ui-state-hover\");}\n", " );\n", "\n", " var status_bar = $('<span class=\"mpl-message\"/>');\n", " nav_element.append(status_bar);\n", " this.message = status_bar[0];\n", "}\n", "\n", "mpl.figure.prototype.request_resize = function(x_pixels, y_pixels) {\n", " // Request matplotlib to resize the figure. Matplotlib will then trigger a resize in the client,\n", " // which will in turn request a refresh of the image.\n", " this.send_message('resize', {'width': x_pixels, 'height': y_pixels});\n", "}\n", "\n", "mpl.figure.prototype.send_message = function(type, properties) {\n", " properties['type'] = type;\n", " properties['figure_id'] = this.id;\n", " this.ws.send(JSON.stringify(properties));\n", "}\n", "\n", "mpl.figure.prototype.send_draw_message = function() {\n", " if (!this.waiting) {\n", " this.waiting = true;\n", " this.ws.send(JSON.stringify({type: \"draw\", figure_id: this.id}));\n", " }\n", "}\n", "\n", "\n", "mpl.figure.prototype.handle_save = function(fig, msg) {\n", " var format_dropdown = fig.format_dropdown;\n", " var format = format_dropdown.options[format_dropdown.selectedIndex].value;\n", " fig.ondownload(fig, format);\n", "}\n", "\n", "\n", "mpl.figure.prototype.handle_resize = function(fig, msg) {\n", " var size = msg['size'];\n", " if (size[0] != fig.canvas.width || size[1] != fig.canvas.height) {\n", " fig._resize_canvas(size[0], size[1]);\n", " fig.send_message(\"refresh\", {});\n", " };\n", "}\n", "\n", "mpl.figure.prototype.handle_rubberband = function(fig, msg) {\n", " var x0 = msg['x0'] / mpl.ratio;\n", " var y0 = (fig.canvas.height - msg['y0']) / mpl.ratio;\n", " var x1 = msg['x1'] / mpl.ratio;\n", " var y1 = (fig.canvas.height - msg['y1']) / mpl.ratio;\n", " x0 = Math.floor(x0) + 0.5;\n", " y0 = Math.floor(y0) + 0.5;\n", " x1 = Math.floor(x1) + 0.5;\n", " y1 = Math.floor(y1) + 0.5;\n", " var min_x = Math.min(x0, x1);\n", " var min_y = Math.min(y0, y1);\n", " var width = Math.abs(x1 - x0);\n", " var height = Math.abs(y1 - y0);\n", "\n", " fig.rubberband_context.clearRect(\n", " 0, 0, fig.canvas.width, fig.canvas.height);\n", "\n", " fig.rubberband_context.strokeRect(min_x, min_y, width, height);\n", "}\n", "\n", "mpl.figure.prototype.handle_figure_label = function(fig, msg) {\n", " // Updates the figure title.\n", " fig.header.textContent = msg['label'];\n", "}\n", "\n", "mpl.figure.prototype.handle_cursor = function(fig, msg) {\n", " var cursor = msg['cursor'];\n", " switch(cursor)\n", " {\n", " case 0:\n", " cursor = 'pointer';\n", " break;\n", " case 1:\n", " cursor = 'default';\n", " break;\n", " case 2:\n", " cursor = 'crosshair';\n", " break;\n", " case 3:\n", " cursor = 'move';\n", " break;\n", " }\n", " fig.rubberband_canvas.style.cursor = cursor;\n", "}\n", "\n", "mpl.figure.prototype.handle_message = function(fig, msg) {\n", " fig.message.textContent = msg['message'];\n", "}\n", "\n", "mpl.figure.prototype.handle_draw = function(fig, msg) {\n", " // Request the server to send over a new figure.\n", " fig.send_draw_message();\n", "}\n", "\n", "mpl.figure.prototype.handle_image_mode = function(fig, msg) {\n", " fig.image_mode = msg['mode'];\n", "}\n", "\n", "mpl.figure.prototype.updated_canvas_event = function() {\n", " // Called whenever the canvas gets updated.\n", " this.send_message(\"ack\", {});\n", "}\n", "\n", "// A function to construct a web socket function for onmessage handling.\n", "// Called in the figure constructor.\n", "mpl.figure.prototype._make_on_message_function = function(fig) {\n", " return function socket_on_message(evt) {\n", " if (evt.data instanceof Blob) {\n", " /* FIXME: We get \"Resource interpreted as Image but\n", " * transferred with MIME type text/plain:\" errors on\n", " * Chrome. But how to set the MIME type? It doesn't seem\n", " * to be part of the websocket stream */\n", " evt.data.type = \"image/png\";\n", "\n", " /* Free the memory for the previous frames */\n", " if (fig.imageObj.src) {\n", " (window.URL || window.webkitURL).revokeObjectURL(\n", " fig.imageObj.src);\n", " }\n", "\n", " fig.imageObj.src = (window.URL || window.webkitURL).createObjectURL(\n", " evt.data);\n", " fig.updated_canvas_event();\n", " fig.waiting = false;\n", " return;\n", " }\n", " else if (typeof evt.data === 'string' && evt.data.slice(0, 21) == \"data:image/png;base64\") {\n", " fig.imageObj.src = evt.data;\n", " fig.updated_canvas_event();\n", " fig.waiting = false;\n", " return;\n", " }\n", "\n", " var msg = JSON.parse(evt.data);\n", " var msg_type = msg['type'];\n", "\n", " // Call the \"handle_{type}\" callback, which takes\n", " // the figure and JSON message as its only arguments.\n", " try {\n", " var callback = fig[\"handle_\" + msg_type];\n", " } catch (e) {\n", " console.log(\"No handler for the '\" + msg_type + \"' message type: \", msg);\n", " return;\n", " }\n", "\n", " if (callback) {\n", " try {\n", " // console.log(\"Handling '\" + msg_type + \"' message: \", msg);\n", " callback(fig, msg);\n", " } catch (e) {\n", " console.log(\"Exception inside the 'handler_\" + msg_type + \"' callback:\", e, e.stack, msg);\n", " }\n", " }\n", " };\n", "}\n", "\n", "// from http://stackoverflow.com/questions/1114465/getting-mouse-location-in-canvas\n", "mpl.findpos = function(e) {\n", " //this section is from http://www.quirksmode.org/js/events_properties.html\n", " var targ;\n", " if (!e)\n", " e = window.event;\n", " if (e.target)\n", " targ = e.target;\n", " else if (e.srcElement)\n", " targ = e.srcElement;\n", " if (targ.nodeType == 3) // defeat Safari bug\n", " targ = targ.parentNode;\n", "\n", " // jQuery normalizes the pageX and pageY\n", " // pageX,Y are the mouse positions relative to the document\n", " // offset() returns the position of the element relative to the document\n", " var x = e.pageX - $(targ).offset().left;\n", " var y = e.pageY - $(targ).offset().top;\n", "\n", " return {\"x\": x, \"y\": y};\n", "};\n", "\n", "/*\n", " * return a copy of an object with only non-object keys\n", " * we need this to avoid circular references\n", " * http://stackoverflow.com/a/24161582/3208463\n", " */\n", "function simpleKeys (original) {\n", " return Object.keys(original).reduce(function (obj, key) {\n", " if (typeof original[key] !== 'object')\n", " obj[key] = original[key]\n", " return obj;\n", " }, {});\n", "}\n", "\n", "mpl.figure.prototype.mouse_event = function(event, name) {\n", " var canvas_pos = mpl.findpos(event)\n", "\n", " if (name === 'button_press')\n", " {\n", " this.canvas.focus();\n", " this.canvas_div.focus();\n", " }\n", "\n", " var x = canvas_pos.x * mpl.ratio;\n", " var y = canvas_pos.y * mpl.ratio;\n", "\n", " this.send_message(name, {x: x, y: y, button: event.button,\n", " step: event.step,\n", " guiEvent: simpleKeys(event)});\n", "\n", " /* This prevents the web browser from automatically changing to\n", " * the text insertion cursor when the button is pressed. We want\n", " * to control all of the cursor setting manually through the\n", " * 'cursor' event from matplotlib */\n", " event.preventDefault();\n", " return false;\n", "}\n", "\n", "mpl.figure.prototype._key_event_extra = function(event, name) {\n", " // Handle any extra behaviour associated with a key event\n", "}\n", "\n", "mpl.figure.prototype.key_event = function(event, name) {\n", "\n", " // Prevent repeat events\n", " if (name == 'key_press')\n", " {\n", " if (event.which === this._key)\n", " return;\n", " else\n", " this._key = event.which;\n", " }\n", " if (name == 'key_release')\n", " this._key = null;\n", "\n", " var value = '';\n", " if (event.ctrlKey && event.which != 17)\n", " value += \"ctrl+\";\n", " if (event.altKey && event.which != 18)\n", " value += \"alt+\";\n", " if (event.shiftKey && event.which != 16)\n", " value += \"shift+\";\n", "\n", " value += 'k';\n", " value += event.which.toString();\n", "\n", " this._key_event_extra(event, name);\n", "\n", " this.send_message(name, {key: value,\n", " guiEvent: simpleKeys(event)});\n", " return false;\n", "}\n", "\n", "mpl.figure.prototype.toolbar_button_onclick = function(name) {\n", " if (name == 'download') {\n", " this.handle_save(this, null);\n", " } else {\n", " this.send_message(\"toolbar_button\", {name: name});\n", " }\n", "};\n", "\n", "mpl.figure.prototype.toolbar_button_onmouseover = function(tooltip) {\n", " this.message.textContent = tooltip;\n", "};\n", "mpl.toolbar_items = [[\"Home\", \"Reset original view\", \"fa fa-home icon-home\", \"home\"], [\"Back\", \"Back to previous view\", \"fa fa-arrow-left icon-arrow-left\", \"back\"], [\"Forward\", \"Forward to next view\", \"fa fa-arrow-right icon-arrow-right\", \"forward\"], [\"\", \"\", \"\", \"\"], [\"Pan\", \"Pan axes with left mouse, zoom with right\", \"fa fa-arrows icon-move\", \"pan\"], [\"Zoom\", \"Zoom to rectangle\", \"fa fa-square-o icon-check-empty\", \"zoom\"], [\"\", \"\", \"\", \"\"], [\"Download\", \"Download plot\", \"fa fa-floppy-o icon-save\", \"download\"]];\n", "\n", "mpl.extensions = [\"eps\", \"jpeg\", \"pdf\", \"png\", \"ps\", \"raw\", \"svg\", \"tif\"];\n", "\n", "mpl.default_extension = \"png\";var comm_websocket_adapter = function(comm) {\n", " // Create a \"websocket\"-like object which calls the given IPython comm\n", " // object with the appropriate methods. Currently this is a non binary\n", " // socket, so there is still some room for performance tuning.\n", " var ws = {};\n", "\n", " ws.close = function() {\n", " comm.close()\n", " };\n", " ws.send = function(m) {\n", " //console.log('sending', m);\n", " comm.send(m);\n", " };\n", " // Register the callback with on_msg.\n", " comm.on_msg(function(msg) {\n", " //console.log('receiving', msg['content']['data'], msg);\n", " // Pass the mpl event to the overriden (by mpl) onmessage function.\n", " ws.onmessage(msg['content']['data'])\n", " });\n", " return ws;\n", "}\n", "\n", "mpl.mpl_figure_comm = function(comm, msg) {\n", " // This is the function which gets called when the mpl process\n", " // starts-up an IPython Comm through the \"matplotlib\" channel.\n", "\n", " var id = msg.content.data.id;\n", " // Get hold of the div created by the display call when the Comm\n", " // socket was opened in Python.\n", " var element = $(\"#\" + id);\n", " var ws_proxy = comm_websocket_adapter(comm)\n", "\n", " function ondownload(figure, format) {\n", " window.open(figure.imageObj.src);\n", " }\n", "\n", " var fig = new mpl.figure(id, ws_proxy,\n", " ondownload,\n", " element.get(0));\n", "\n", " // Call onopen now - mpl needs it, as it is assuming we've passed it a real\n", " // web socket which is closed, not our websocket->open comm proxy.\n", " ws_proxy.onopen();\n", "\n", " fig.parent_element = element.get(0);\n", " fig.cell_info = mpl.find_output_cell(\"<div id='\" + id + \"'></div>\");\n", " if (!fig.cell_info) {\n", " console.error(\"Failed to find cell for figure\", id, fig);\n", " return;\n", " }\n", "\n", " var output_index = fig.cell_info[2]\n", " var cell = fig.cell_info[0];\n", "\n", "};\n", "\n", "mpl.figure.prototype.handle_close = function(fig, msg) {\n", " var width = fig.canvas.width/mpl.ratio\n", " fig.root.unbind('remove')\n", "\n", " // Update the output cell to use the data from the current canvas.\n", " fig.push_to_output();\n", " var dataURL = fig.canvas.toDataURL();\n", " // Re-enable the keyboard manager in IPython - without this line, in FF,\n", " // the notebook keyboard shortcuts fail.\n", " IPython.keyboard_manager.enable()\n", " $(fig.parent_element).html('<img src=\"' + dataURL + '\" width=\"' + width + '\">');\n", " fig.close_ws(fig, msg);\n", "}\n", "\n", "mpl.figure.prototype.close_ws = function(fig, msg){\n", " fig.send_message('closing', msg);\n", " // fig.ws.close()\n", "}\n", "\n", "mpl.figure.prototype.push_to_output = function(remove_interactive) {\n", " // Turn the data on the canvas into data in the output cell.\n", " var width = this.canvas.width/mpl.ratio\n", " var dataURL = this.canvas.toDataURL();\n", " this.cell_info[1]['text/html'] = '<img src=\"' + dataURL + '\" width=\"' + width + '\">';\n", "}\n", "\n", "mpl.figure.prototype.updated_canvas_event = function() {\n", " // Tell IPython that the notebook contents must change.\n", " IPython.notebook.set_dirty(true);\n", " this.send_message(\"ack\", {});\n", " var fig = this;\n", " // Wait a second, then push the new image to the DOM so\n", " // that it is saved nicely (might be nice to debounce this).\n", " setTimeout(function () { fig.push_to_output() }, 1000);\n", "}\n", "\n", "mpl.figure.prototype._init_toolbar = function() {\n", " var fig = this;\n", "\n", " var nav_element = $('<div/>')\n", " nav_element.attr('style', 'width: 100%');\n", " this.root.append(nav_element);\n", "\n", " // Define a callback function for later on.\n", " function toolbar_event(event) {\n", " return fig.toolbar_button_onclick(event['data']);\n", " }\n", " function toolbar_mouse_event(event) {\n", " return fig.toolbar_button_onmouseover(event['data']);\n", " }\n", "\n", " for(var toolbar_ind in mpl.toolbar_items){\n", " var name = mpl.toolbar_items[toolbar_ind][0];\n", " var tooltip = mpl.toolbar_items[toolbar_ind][1];\n", " var image = mpl.toolbar_items[toolbar_ind][2];\n", " var method_name = mpl.toolbar_items[toolbar_ind][3];\n", "\n", " if (!name) { continue; };\n", "\n", " var button = $('<button class=\"btn btn-default\" href=\"#\" title=\"' + name + '\"><i class=\"fa ' + image + ' fa-lg\"></i></button>');\n", " button.click(method_name, toolbar_event);\n", " button.mouseover(tooltip, toolbar_mouse_event);\n", " nav_element.append(button);\n", " }\n", "\n", " // Add the status bar.\n", " var status_bar = $('<span class=\"mpl-message\" style=\"text-align:right; float: right;\"/>');\n", " nav_element.append(status_bar);\n", " this.message = status_bar[0];\n", "\n", " // Add the close button to the window.\n", " var buttongrp = $('<div class=\"btn-group inline pull-right\"></div>');\n", " var button = $('<button class=\"btn btn-mini btn-primary\" href=\"#\" title=\"Stop Interaction\"><i class=\"fa fa-power-off icon-remove icon-large\"></i></button>');\n", " button.click(function (evt) { fig.handle_close(fig, {}); } );\n", " button.mouseover('Stop Interaction', toolbar_mouse_event);\n", " buttongrp.append(button);\n", " var titlebar = this.root.find($('.ui-dialog-titlebar'));\n", " titlebar.prepend(buttongrp);\n", "}\n", "\n", "mpl.figure.prototype._root_extra_style = function(el){\n", " var fig = this\n", " el.on(\"remove\", function(){\n", "\tfig.close_ws(fig, {});\n", " });\n", "}\n", "\n", "mpl.figure.prototype._canvas_extra_style = function(el){\n", " // this is important to make the div 'focusable\n", " el.attr('tabindex', 0)\n", " // reach out to IPython and tell the keyboard manager to turn it's self\n", " // off when our div gets focus\n", "\n", " // location in version 3\n", " if (IPython.notebook.keyboard_manager) {\n", " IPython.notebook.keyboard_manager.register_events(el);\n", " }\n", " else {\n", " // location in version 2\n", " IPython.keyboard_manager.register_events(el);\n", " }\n", "\n", "}\n", "\n", "mpl.figure.prototype._key_event_extra = function(event, name) {\n", " var manager = IPython.notebook.keyboard_manager;\n", " if (!manager)\n", " manager = IPython.keyboard_manager;\n", "\n", " // Check for shift+enter\n", " if (event.shiftKey && event.which == 13) {\n", " this.canvas_div.blur();\n", " event.shiftKey = false;\n", " // Send a \"J\" for go to next cell\n", " event.which = 74;\n", " event.keyCode = 74;\n", " manager.command_mode();\n", " manager.handle_keydown(event);\n", " }\n", "}\n", "\n", "mpl.figure.prototype.handle_save = function(fig, msg) {\n", " fig.ondownload(fig, null);\n", "}\n", "\n", "\n", "mpl.find_output_cell = function(html_output) {\n", " // Return the cell and output element which can be found *uniquely* in the notebook.\n", " // Note - this is a bit hacky, but it is done because the \"notebook_saving.Notebook\"\n", " // IPython event is triggered only after the cells have been serialised, which for\n", " // our purposes (turning an active figure into a static one), is too late.\n", " var cells = IPython.notebook.get_cells();\n", " var ncells = cells.length;\n", " for (var i=0; i<ncells; i++) {\n", " var cell = cells[i];\n", " if (cell.cell_type === 'code'){\n", " for (var j=0; j<cell.output_area.outputs.length; j++) {\n", " var data = cell.output_area.outputs[j];\n", " if (data.data) {\n", " // IPython >= 3 moved mimebundle to data attribute of output\n", " data = data.data;\n", " }\n", " if (data['text/html'] == html_output) {\n", " return [cell, data, j];\n", " }\n", " }\n", " }\n", " }\n", "}\n", "\n", "// Register the function which deals with the matplotlib target/channel.\n", "// The kernel may be null if the page has been refreshed.\n", "if (IPython.notebook.kernel != null) {\n", " IPython.notebook.kernel.comm_manager.register_target('matplotlib', mpl.mpl_figure_comm);\n", "}\n" ], "text/plain": [ "<IPython.core.display.Javascript object>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/html": [ "<img src=\"data:image/png;base64,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\" width=\"640\">" ], "text/plain": [ "<IPython.core.display.HTML object>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "plt.clf()\n", "ax = plt.gca()\n", "ax.hist(pDLAD)\n", "# Label\n", "ax.set_xlabel('pDLAD')\n", "plt.show()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Cumulative" ] }, { "cell_type": "code", "execution_count": 12, "metadata": {}, "outputs": [], "source": [ "srt = np.argsort(pDLAD)" ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "collapsed": true }, "outputs": [], "source": [ "ydum = np.arange(len(pDLAD)) / len(pDLAD)" ] }, { "cell_type": "code", "execution_count": 14, "metadata": {}, "outputs": [ { "data": { "application/javascript": [ "/* Put everything inside the global mpl namespace */\n", "window.mpl = {};\n", "\n", "\n", "mpl.get_websocket_type = function() {\n", " if (typeof(WebSocket) !== 'undefined') {\n", " return WebSocket;\n", " } else if (typeof(MozWebSocket) !== 'undefined') {\n", " return MozWebSocket;\n", " } else {\n", " alert('Your browser does not have WebSocket support.' +\n", " 'Please try Chrome, Safari or Firefox ≥ 6. ' +\n", " 'Firefox 4 and 5 are also supported but you ' +\n", " 'have to enable WebSockets in about:config.');\n", " };\n", "}\n", "\n", "mpl.figure = function(figure_id, websocket, ondownload, parent_element) {\n", " this.id = figure_id;\n", "\n", " this.ws = websocket;\n", "\n", " this.supports_binary = (this.ws.binaryType != undefined);\n", "\n", " if (!this.supports_binary) {\n", " var warnings = document.getElementById(\"mpl-warnings\");\n", " if (warnings) {\n", " warnings.style.display = 'block';\n", " warnings.textContent = (\n", " \"This browser does not support binary websocket messages. \" +\n", " \"Performance may be slow.\");\n", " }\n", " }\n", "\n", " this.imageObj = new Image();\n", "\n", " this.context = undefined;\n", " this.message = undefined;\n", " this.canvas = undefined;\n", " this.rubberband_canvas = undefined;\n", " this.rubberband_context = undefined;\n", " this.format_dropdown = undefined;\n", "\n", " this.image_mode = 'full';\n", "\n", " this.root = $('<div/>');\n", " this._root_extra_style(this.root)\n", " this.root.attr('style', 'display: inline-block');\n", "\n", " $(parent_element).append(this.root);\n", "\n", " this._init_header(this);\n", " this._init_canvas(this);\n", " this._init_toolbar(this);\n", "\n", " var fig = this;\n", "\n", " this.waiting = false;\n", "\n", " this.ws.onopen = function () {\n", " fig.send_message(\"supports_binary\", {value: fig.supports_binary});\n", " fig.send_message(\"send_image_mode\", {});\n", " if (mpl.ratio != 1) {\n", " fig.send_message(\"set_dpi_ratio\", {'dpi_ratio': mpl.ratio});\n", " }\n", " fig.send_message(\"refresh\", {});\n", " }\n", "\n", " this.imageObj.onload = function() {\n", " if (fig.image_mode == 'full') {\n", " // Full images could contain transparency (where diff images\n", " // almost always do), so we need to clear the canvas so that\n", " // there is no ghosting.\n", " fig.context.clearRect(0, 0, fig.canvas.width, fig.canvas.height);\n", " }\n", " fig.context.drawImage(fig.imageObj, 0, 0);\n", " };\n", "\n", " this.imageObj.onunload = function() {\n", " fig.ws.close();\n", " }\n", "\n", " this.ws.onmessage = this._make_on_message_function(this);\n", "\n", " this.ondownload = ondownload;\n", "}\n", "\n", "mpl.figure.prototype._init_header = function() {\n", " var titlebar = $(\n", " '<div class=\"ui-dialog-titlebar ui-widget-header ui-corner-all ' +\n", " 'ui-helper-clearfix\"/>');\n", " var titletext = $(\n", " '<div class=\"ui-dialog-title\" style=\"width: 100%; ' +\n", " 'text-align: center; padding: 3px;\"/>');\n", " titlebar.append(titletext)\n", " this.root.append(titlebar);\n", " this.header = titletext[0];\n", "}\n", "\n", "\n", "\n", "mpl.figure.prototype._canvas_extra_style = function(canvas_div) {\n", "\n", "}\n", "\n", "\n", "mpl.figure.prototype._root_extra_style = function(canvas_div) {\n", "\n", "}\n", "\n", "mpl.figure.prototype._init_canvas = function() {\n", " var fig = this;\n", "\n", " var canvas_div = $('<div/>');\n", "\n", " canvas_div.attr('style', 'position: relative; clear: both; outline: 0');\n", "\n", " function canvas_keyboard_event(event) {\n", " return fig.key_event(event, event['data']);\n", " }\n", "\n", " canvas_div.keydown('key_press', canvas_keyboard_event);\n", " canvas_div.keyup('key_release', canvas_keyboard_event);\n", " this.canvas_div = canvas_div\n", " this._canvas_extra_style(canvas_div)\n", " this.root.append(canvas_div);\n", "\n", " var canvas = $('<canvas/>');\n", " canvas.addClass('mpl-canvas');\n", " canvas.attr('style', \"left: 0; top: 0; z-index: 0; outline: 0\")\n", "\n", " this.canvas = canvas[0];\n", " this.context = canvas[0].getContext(\"2d\");\n", "\n", " var backingStore = this.context.backingStorePixelRatio ||\n", "\tthis.context.webkitBackingStorePixelRatio ||\n", "\tthis.context.mozBackingStorePixelRatio ||\n", "\tthis.context.msBackingStorePixelRatio ||\n", "\tthis.context.oBackingStorePixelRatio ||\n", "\tthis.context.backingStorePixelRatio || 1;\n", "\n", " mpl.ratio = (window.devicePixelRatio || 1) / backingStore;\n", "\n", " var rubberband = $('<canvas/>');\n", " rubberband.attr('style', \"position: absolute; left: 0; top: 0; z-index: 1;\")\n", "\n", " var pass_mouse_events = true;\n", "\n", " canvas_div.resizable({\n", " start: function(event, ui) {\n", " pass_mouse_events = false;\n", " },\n", " resize: function(event, ui) {\n", " fig.request_resize(ui.size.width, ui.size.height);\n", " },\n", " stop: function(event, ui) {\n", " pass_mouse_events = true;\n", " fig.request_resize(ui.size.width, ui.size.height);\n", " },\n", " });\n", "\n", " function mouse_event_fn(event) {\n", " if (pass_mouse_events)\n", " return fig.mouse_event(event, event['data']);\n", " }\n", "\n", " rubberband.mousedown('button_press', mouse_event_fn);\n", " rubberband.mouseup('button_release', mouse_event_fn);\n", " // Throttle sequential mouse events to 1 every 20ms.\n", " rubberband.mousemove('motion_notify', mouse_event_fn);\n", "\n", " rubberband.mouseenter('figure_enter', mouse_event_fn);\n", " rubberband.mouseleave('figure_leave', mouse_event_fn);\n", "\n", " canvas_div.on(\"wheel\", function (event) {\n", " event = event.originalEvent;\n", " event['data'] = 'scroll'\n", " if (event.deltaY < 0) {\n", " event.step = 1;\n", " } else {\n", " event.step = -1;\n", " }\n", " mouse_event_fn(event);\n", " });\n", "\n", " canvas_div.append(canvas);\n", " canvas_div.append(rubberband);\n", "\n", " this.rubberband = rubberband;\n", " this.rubberband_canvas = rubberband[0];\n", " this.rubberband_context = rubberband[0].getContext(\"2d\");\n", " this.rubberband_context.strokeStyle = \"#000000\";\n", "\n", " this._resize_canvas = function(width, height) {\n", " // Keep the size of the canvas, canvas container, and rubber band\n", " // canvas in synch.\n", " canvas_div.css('width', width)\n", " canvas_div.css('height', height)\n", "\n", " canvas.attr('width', width * mpl.ratio);\n", " canvas.attr('height', height * mpl.ratio);\n", " canvas.attr('style', 'width: ' + width + 'px; height: ' + height + 'px;');\n", "\n", " rubberband.attr('width', width);\n", " rubberband.attr('height', height);\n", " }\n", "\n", " // Set the figure to an initial 600x600px, this will subsequently be updated\n", " // upon first draw.\n", " this._resize_canvas(600, 600);\n", "\n", " // Disable right mouse context menu.\n", " $(this.rubberband_canvas).bind(\"contextmenu\",function(e){\n", " return false;\n", " });\n", "\n", " function set_focus () {\n", " canvas.focus();\n", " canvas_div.focus();\n", " }\n", "\n", " window.setTimeout(set_focus, 100);\n", "}\n", "\n", "mpl.figure.prototype._init_toolbar = function() {\n", " var fig = this;\n", "\n", " var nav_element = $('<div/>')\n", " nav_element.attr('style', 'width: 100%');\n", " this.root.append(nav_element);\n", "\n", " // Define a callback function for later on.\n", " function toolbar_event(event) {\n", " return fig.toolbar_button_onclick(event['data']);\n", " }\n", " function toolbar_mouse_event(event) {\n", " return fig.toolbar_button_onmouseover(event['data']);\n", " }\n", "\n", " for(var toolbar_ind in mpl.toolbar_items) {\n", " var name = mpl.toolbar_items[toolbar_ind][0];\n", " var tooltip = mpl.toolbar_items[toolbar_ind][1];\n", " var image = mpl.toolbar_items[toolbar_ind][2];\n", " var method_name = mpl.toolbar_items[toolbar_ind][3];\n", "\n", " if (!name) {\n", " // put a spacer in here.\n", " continue;\n", " }\n", " var button = $('<button/>');\n", " button.addClass('ui-button ui-widget ui-state-default ui-corner-all ' +\n", " 'ui-button-icon-only');\n", " button.attr('role', 'button');\n", " button.attr('aria-disabled', 'false');\n", " button.click(method_name, toolbar_event);\n", " button.mouseover(tooltip, toolbar_mouse_event);\n", "\n", " var icon_img = $('<span/>');\n", " icon_img.addClass('ui-button-icon-primary ui-icon');\n", " icon_img.addClass(image);\n", " icon_img.addClass('ui-corner-all');\n", "\n", " var tooltip_span = $('<span/>');\n", " tooltip_span.addClass('ui-button-text');\n", " tooltip_span.html(tooltip);\n", "\n", " button.append(icon_img);\n", " button.append(tooltip_span);\n", "\n", " nav_element.append(button);\n", " }\n", "\n", " var fmt_picker_span = $('<span/>');\n", "\n", " var fmt_picker = $('<select/>');\n", " fmt_picker.addClass('mpl-toolbar-option ui-widget ui-widget-content');\n", " fmt_picker_span.append(fmt_picker);\n", " nav_element.append(fmt_picker_span);\n", " this.format_dropdown = fmt_picker[0];\n", "\n", " for (var ind in mpl.extensions) {\n", " var fmt = mpl.extensions[ind];\n", " var option = $(\n", " '<option/>', {selected: fmt === mpl.default_extension}).html(fmt);\n", " fmt_picker.append(option)\n", " }\n", "\n", " // Add hover states to the ui-buttons\n", " $( \".ui-button\" ).hover(\n", " function() { $(this).addClass(\"ui-state-hover\");},\n", " function() { $(this).removeClass(\"ui-state-hover\");}\n", " );\n", "\n", " var status_bar = $('<span class=\"mpl-message\"/>');\n", " nav_element.append(status_bar);\n", " this.message = status_bar[0];\n", "}\n", "\n", "mpl.figure.prototype.request_resize = function(x_pixels, y_pixels) {\n", " // Request matplotlib to resize the figure. Matplotlib will then trigger a resize in the client,\n", " // which will in turn request a refresh of the image.\n", " this.send_message('resize', {'width': x_pixels, 'height': y_pixels});\n", "}\n", "\n", "mpl.figure.prototype.send_message = function(type, properties) {\n", " properties['type'] = type;\n", " properties['figure_id'] = this.id;\n", " this.ws.send(JSON.stringify(properties));\n", "}\n", "\n", "mpl.figure.prototype.send_draw_message = function() {\n", " if (!this.waiting) {\n", " this.waiting = true;\n", " this.ws.send(JSON.stringify({type: \"draw\", figure_id: this.id}));\n", " }\n", "}\n", "\n", "\n", "mpl.figure.prototype.handle_save = function(fig, msg) {\n", " var format_dropdown = fig.format_dropdown;\n", " var format = format_dropdown.options[format_dropdown.selectedIndex].value;\n", " fig.ondownload(fig, format);\n", "}\n", "\n", "\n", "mpl.figure.prototype.handle_resize = function(fig, msg) {\n", " var size = msg['size'];\n", " if (size[0] != fig.canvas.width || size[1] != fig.canvas.height) {\n", " fig._resize_canvas(size[0], size[1]);\n", " fig.send_message(\"refresh\", {});\n", " };\n", "}\n", "\n", "mpl.figure.prototype.handle_rubberband = function(fig, msg) {\n", " var x0 = msg['x0'] / mpl.ratio;\n", " var y0 = (fig.canvas.height - msg['y0']) / mpl.ratio;\n", " var x1 = msg['x1'] / mpl.ratio;\n", " var y1 = (fig.canvas.height - msg['y1']) / mpl.ratio;\n", " x0 = Math.floor(x0) + 0.5;\n", " y0 = Math.floor(y0) + 0.5;\n", " x1 = Math.floor(x1) + 0.5;\n", " y1 = Math.floor(y1) + 0.5;\n", " var min_x = Math.min(x0, x1);\n", " var min_y = Math.min(y0, y1);\n", " var width = Math.abs(x1 - x0);\n", " var height = Math.abs(y1 - y0);\n", "\n", " fig.rubberband_context.clearRect(\n", " 0, 0, fig.canvas.width, fig.canvas.height);\n", "\n", " fig.rubberband_context.strokeRect(min_x, min_y, width, height);\n", "}\n", "\n", "mpl.figure.prototype.handle_figure_label = function(fig, msg) {\n", " // Updates the figure title.\n", " fig.header.textContent = msg['label'];\n", "}\n", "\n", "mpl.figure.prototype.handle_cursor = function(fig, msg) {\n", " var cursor = msg['cursor'];\n", " switch(cursor)\n", " {\n", " case 0:\n", " cursor = 'pointer';\n", " break;\n", " case 1:\n", " cursor = 'default';\n", " break;\n", " case 2:\n", " cursor = 'crosshair';\n", " break;\n", " case 3:\n", " cursor = 'move';\n", " break;\n", " }\n", " fig.rubberband_canvas.style.cursor = cursor;\n", "}\n", "\n", "mpl.figure.prototype.handle_message = function(fig, msg) {\n", " fig.message.textContent = msg['message'];\n", "}\n", "\n", "mpl.figure.prototype.handle_draw = function(fig, msg) {\n", " // Request the server to send over a new figure.\n", " fig.send_draw_message();\n", "}\n", "\n", "mpl.figure.prototype.handle_image_mode = function(fig, msg) {\n", " fig.image_mode = msg['mode'];\n", "}\n", "\n", "mpl.figure.prototype.updated_canvas_event = function() {\n", " // Called whenever the canvas gets updated.\n", " this.send_message(\"ack\", {});\n", "}\n", "\n", "// A function to construct a web socket function for onmessage handling.\n", "// Called in the figure constructor.\n", "mpl.figure.prototype._make_on_message_function = function(fig) {\n", " return function socket_on_message(evt) {\n", " if (evt.data instanceof Blob) {\n", " /* FIXME: We get \"Resource interpreted as Image but\n", " * transferred with MIME type text/plain:\" errors on\n", " * Chrome. But how to set the MIME type? It doesn't seem\n", " * to be part of the websocket stream */\n", " evt.data.type = \"image/png\";\n", "\n", " /* Free the memory for the previous frames */\n", " if (fig.imageObj.src) {\n", " (window.URL || window.webkitURL).revokeObjectURL(\n", " fig.imageObj.src);\n", " }\n", "\n", " fig.imageObj.src = (window.URL || window.webkitURL).createObjectURL(\n", " evt.data);\n", " fig.updated_canvas_event();\n", " fig.waiting = false;\n", " return;\n", " }\n", " else if (typeof evt.data === 'string' && evt.data.slice(0, 21) == \"data:image/png;base64\") {\n", " fig.imageObj.src = evt.data;\n", " fig.updated_canvas_event();\n", " fig.waiting = false;\n", " return;\n", " }\n", "\n", " var msg = JSON.parse(evt.data);\n", " var msg_type = msg['type'];\n", "\n", " // Call the \"handle_{type}\" callback, which takes\n", " // the figure and JSON message as its only arguments.\n", " try {\n", " var callback = fig[\"handle_\" + msg_type];\n", " } catch (e) {\n", " console.log(\"No handler for the '\" + msg_type + \"' message type: \", msg);\n", " return;\n", " }\n", "\n", " if (callback) {\n", " try {\n", " // console.log(\"Handling '\" + msg_type + \"' message: \", msg);\n", " callback(fig, msg);\n", " } catch (e) {\n", " console.log(\"Exception inside the 'handler_\" + msg_type + \"' callback:\", e, e.stack, msg);\n", " }\n", " }\n", " };\n", "}\n", "\n", "// from http://stackoverflow.com/questions/1114465/getting-mouse-location-in-canvas\n", "mpl.findpos = function(e) {\n", " //this section is from http://www.quirksmode.org/js/events_properties.html\n", " var targ;\n", " if (!e)\n", " e = window.event;\n", " if (e.target)\n", " targ = e.target;\n", " else if (e.srcElement)\n", " targ = e.srcElement;\n", " if (targ.nodeType == 3) // defeat Safari bug\n", " targ = targ.parentNode;\n", "\n", " // jQuery normalizes the pageX and pageY\n", " // pageX,Y are the mouse positions relative to the document\n", " // offset() returns the position of the element relative to the document\n", " var x = e.pageX - $(targ).offset().left;\n", " var y = e.pageY - $(targ).offset().top;\n", "\n", " return {\"x\": x, \"y\": y};\n", "};\n", "\n", "/*\n", " * return a copy of an object with only non-object keys\n", " * we need this to avoid circular references\n", " * http://stackoverflow.com/a/24161582/3208463\n", " */\n", "function simpleKeys (original) {\n", " return Object.keys(original).reduce(function (obj, key) {\n", " if (typeof original[key] !== 'object')\n", " obj[key] = original[key]\n", " return obj;\n", " }, {});\n", "}\n", "\n", "mpl.figure.prototype.mouse_event = function(event, name) {\n", " var canvas_pos = mpl.findpos(event)\n", "\n", " if (name === 'button_press')\n", " {\n", " this.canvas.focus();\n", " this.canvas_div.focus();\n", " }\n", "\n", " var x = canvas_pos.x * mpl.ratio;\n", " var y = canvas_pos.y * mpl.ratio;\n", "\n", " this.send_message(name, {x: x, y: y, button: event.button,\n", " step: event.step,\n", " guiEvent: simpleKeys(event)});\n", "\n", " /* This prevents the web browser from automatically changing to\n", " * the text insertion cursor when the button is pressed. We want\n", " * to control all of the cursor setting manually through the\n", " * 'cursor' event from matplotlib */\n", " event.preventDefault();\n", " return false;\n", "}\n", "\n", "mpl.figure.prototype._key_event_extra = function(event, name) {\n", " // Handle any extra behaviour associated with a key event\n", "}\n", "\n", "mpl.figure.prototype.key_event = function(event, name) {\n", "\n", " // Prevent repeat events\n", " if (name == 'key_press')\n", " {\n", " if (event.which === this._key)\n", " return;\n", " else\n", " this._key = event.which;\n", " }\n", " if (name == 'key_release')\n", " this._key = null;\n", "\n", " var value = '';\n", " if (event.ctrlKey && event.which != 17)\n", " value += \"ctrl+\";\n", " if (event.altKey && event.which != 18)\n", " value += \"alt+\";\n", " if (event.shiftKey && event.which != 16)\n", " value += \"shift+\";\n", "\n", " value += 'k';\n", " value += event.which.toString();\n", "\n", " this._key_event_extra(event, name);\n", "\n", " this.send_message(name, {key: value,\n", " guiEvent: simpleKeys(event)});\n", " return false;\n", "}\n", "\n", "mpl.figure.prototype.toolbar_button_onclick = function(name) {\n", " if (name == 'download') {\n", " this.handle_save(this, null);\n", " } else {\n", " this.send_message(\"toolbar_button\", {name: name});\n", " }\n", "};\n", "\n", "mpl.figure.prototype.toolbar_button_onmouseover = function(tooltip) {\n", " this.message.textContent = tooltip;\n", "};\n", "mpl.toolbar_items = [[\"Home\", \"Reset original view\", \"fa fa-home icon-home\", \"home\"], [\"Back\", \"Back to previous view\", \"fa fa-arrow-left icon-arrow-left\", \"back\"], [\"Forward\", \"Forward to next view\", \"fa fa-arrow-right icon-arrow-right\", \"forward\"], [\"\", \"\", \"\", \"\"], [\"Pan\", \"Pan axes with left mouse, zoom with right\", \"fa fa-arrows icon-move\", \"pan\"], [\"Zoom\", \"Zoom to rectangle\", \"fa fa-square-o icon-check-empty\", \"zoom\"], [\"\", \"\", \"\", \"\"], [\"Download\", \"Download plot\", \"fa fa-floppy-o icon-save\", \"download\"]];\n", "\n", "mpl.extensions = [\"eps\", \"jpeg\", \"pdf\", \"png\", \"ps\", \"raw\", \"svg\", \"tif\"];\n", "\n", "mpl.default_extension = \"png\";var comm_websocket_adapter = function(comm) {\n", " // Create a \"websocket\"-like object which calls the given IPython comm\n", " // object with the appropriate methods. Currently this is a non binary\n", " // socket, so there is still some room for performance tuning.\n", " var ws = {};\n", "\n", " ws.close = function() {\n", " comm.close()\n", " };\n", " ws.send = function(m) {\n", " //console.log('sending', m);\n", " comm.send(m);\n", " };\n", " // Register the callback with on_msg.\n", " comm.on_msg(function(msg) {\n", " //console.log('receiving', msg['content']['data'], msg);\n", " // Pass the mpl event to the overriden (by mpl) onmessage function.\n", " ws.onmessage(msg['content']['data'])\n", " });\n", " return ws;\n", "}\n", "\n", "mpl.mpl_figure_comm = function(comm, msg) {\n", " // This is the function which gets called when the mpl process\n", " // starts-up an IPython Comm through the \"matplotlib\" channel.\n", "\n", " var id = msg.content.data.id;\n", " // Get hold of the div created by the display call when the Comm\n", " // socket was opened in Python.\n", " var element = $(\"#\" + id);\n", " var ws_proxy = comm_websocket_adapter(comm)\n", "\n", " function ondownload(figure, format) {\n", " window.open(figure.imageObj.src);\n", " }\n", "\n", " var fig = new mpl.figure(id, ws_proxy,\n", " ondownload,\n", " element.get(0));\n", "\n", " // Call onopen now - mpl needs it, as it is assuming we've passed it a real\n", " // web socket which is closed, not our websocket->open comm proxy.\n", " ws_proxy.onopen();\n", "\n", " fig.parent_element = element.get(0);\n", " fig.cell_info = mpl.find_output_cell(\"<div id='\" + id + \"'></div>\");\n", " if (!fig.cell_info) {\n", " console.error(\"Failed to find cell for figure\", id, fig);\n", " return;\n", " }\n", "\n", " var output_index = fig.cell_info[2]\n", " var cell = fig.cell_info[0];\n", "\n", "};\n", "\n", "mpl.figure.prototype.handle_close = function(fig, msg) {\n", " var width = fig.canvas.width/mpl.ratio\n", " fig.root.unbind('remove')\n", "\n", " // Update the output cell to use the data from the current canvas.\n", " fig.push_to_output();\n", " var dataURL = fig.canvas.toDataURL();\n", " // Re-enable the keyboard manager in IPython - without this line, in FF,\n", " // the notebook keyboard shortcuts fail.\n", " IPython.keyboard_manager.enable()\n", " $(fig.parent_element).html('<img src=\"' + dataURL + '\" width=\"' + width + '\">');\n", " fig.close_ws(fig, msg);\n", "}\n", "\n", "mpl.figure.prototype.close_ws = function(fig, msg){\n", " fig.send_message('closing', msg);\n", " // fig.ws.close()\n", "}\n", "\n", "mpl.figure.prototype.push_to_output = function(remove_interactive) {\n", " // Turn the data on the canvas into data in the output cell.\n", " var width = this.canvas.width/mpl.ratio\n", " var dataURL = this.canvas.toDataURL();\n", " this.cell_info[1]['text/html'] = '<img src=\"' + dataURL + '\" width=\"' + width + '\">';\n", "}\n", "\n", "mpl.figure.prototype.updated_canvas_event = function() {\n", " // Tell IPython that the notebook contents must change.\n", " IPython.notebook.set_dirty(true);\n", " this.send_message(\"ack\", {});\n", " var fig = this;\n", " // Wait a second, then push the new image to the DOM so\n", " // that it is saved nicely (might be nice to debounce this).\n", " setTimeout(function () { fig.push_to_output() }, 1000);\n", "}\n", "\n", "mpl.figure.prototype._init_toolbar = function() {\n", " var fig = this;\n", "\n", " var nav_element = $('<div/>')\n", " nav_element.attr('style', 'width: 100%');\n", " this.root.append(nav_element);\n", "\n", " // Define a callback function for later on.\n", " function toolbar_event(event) {\n", " return fig.toolbar_button_onclick(event['data']);\n", " }\n", " function toolbar_mouse_event(event) {\n", " return fig.toolbar_button_onmouseover(event['data']);\n", " }\n", "\n", " for(var toolbar_ind in mpl.toolbar_items){\n", " var name = mpl.toolbar_items[toolbar_ind][0];\n", " var tooltip = mpl.toolbar_items[toolbar_ind][1];\n", " var image = mpl.toolbar_items[toolbar_ind][2];\n", " var method_name = mpl.toolbar_items[toolbar_ind][3];\n", "\n", " if (!name) { continue; };\n", "\n", " var button = $('<button class=\"btn btn-default\" href=\"#\" title=\"' + name + '\"><i class=\"fa ' + image + ' fa-lg\"></i></button>');\n", " button.click(method_name, toolbar_event);\n", " button.mouseover(tooltip, toolbar_mouse_event);\n", " nav_element.append(button);\n", " }\n", "\n", " // Add the status bar.\n", " var status_bar = $('<span class=\"mpl-message\" style=\"text-align:right; float: right;\"/>');\n", " nav_element.append(status_bar);\n", " this.message = status_bar[0];\n", "\n", " // Add the close button to the window.\n", " var buttongrp = $('<div class=\"btn-group inline pull-right\"></div>');\n", " var button = $('<button class=\"btn btn-mini btn-primary\" href=\"#\" title=\"Stop Interaction\"><i class=\"fa fa-power-off icon-remove icon-large\"></i></button>');\n", " button.click(function (evt) { fig.handle_close(fig, {}); } );\n", " button.mouseover('Stop Interaction', toolbar_mouse_event);\n", " buttongrp.append(button);\n", " var titlebar = this.root.find($('.ui-dialog-titlebar'));\n", " titlebar.prepend(buttongrp);\n", "}\n", "\n", "mpl.figure.prototype._root_extra_style = function(el){\n", " var fig = this\n", " el.on(\"remove\", function(){\n", "\tfig.close_ws(fig, {});\n", " });\n", "}\n", "\n", "mpl.figure.prototype._canvas_extra_style = function(el){\n", " // this is important to make the div 'focusable\n", " el.attr('tabindex', 0)\n", " // reach out to IPython and tell the keyboard manager to turn it's self\n", " // off when our div gets focus\n", "\n", " // location in version 3\n", " if (IPython.notebook.keyboard_manager) {\n", " IPython.notebook.keyboard_manager.register_events(el);\n", " }\n", " else {\n", " // location in version 2\n", " IPython.keyboard_manager.register_events(el);\n", " }\n", "\n", "}\n", "\n", "mpl.figure.prototype._key_event_extra = function(event, name) {\n", " var manager = IPython.notebook.keyboard_manager;\n", " if (!manager)\n", " manager = IPython.keyboard_manager;\n", "\n", " // Check for shift+enter\n", " if (event.shiftKey && event.which == 13) {\n", " this.canvas_div.blur();\n", " event.shiftKey = false;\n", " // Send a \"J\" for go to next cell\n", " event.which = 74;\n", " event.keyCode = 74;\n", " manager.command_mode();\n", " manager.handle_keydown(event);\n", " }\n", "}\n", "\n", "mpl.figure.prototype.handle_save = function(fig, msg) {\n", " fig.ondownload(fig, null);\n", "}\n", "\n", "\n", "mpl.find_output_cell = function(html_output) {\n", " // Return the cell and output element which can be found *uniquely* in the notebook.\n", " // Note - this is a bit hacky, but it is done because the \"notebook_saving.Notebook\"\n", " // IPython event is triggered only after the cells have been serialised, which for\n", " // our purposes (turning an active figure into a static one), is too late.\n", " var cells = IPython.notebook.get_cells();\n", " var ncells = cells.length;\n", " for (var i=0; i<ncells; i++) {\n", " var cell = cells[i];\n", " if (cell.cell_type === 'code'){\n", " for (var j=0; j<cell.output_area.outputs.length; j++) {\n", " var data = cell.output_area.outputs[j];\n", " if (data.data) {\n", " // IPython >= 3 moved mimebundle to data attribute of output\n", " data = data.data;\n", " }\n", " if (data['text/html'] == html_output) {\n", " return [cell, data, j];\n", " }\n", " }\n", " }\n", " }\n", "}\n", "\n", "// Register the function which deals with the matplotlib target/channel.\n", "// The kernel may be null if the page has been refreshed.\n", "if (IPython.notebook.kernel != null) {\n", " IPython.notebook.kernel.comm_manager.register_target('matplotlib', mpl.mpl_figure_comm);\n", "}\n" ], "text/plain": [ "<IPython.core.display.Javascript object>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/html": [ "<img src=\"data:image/png;base64,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\" width=\"640\">" ], "text/plain": [ "<IPython.core.display.HTML object>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "plt.clf()\n", "ax = plt.gca()\n", "ax.plot(pDLAD[srt], ydum)\n", "#\n", "ax.set_ylabel('Cumulative')\n", "ax.set_xlabel('pDLAD')\n", "plt.show()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Cut at 0.95?" ] }, { "cell_type": "code", "execution_count": 15, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "0.9 41248\n", "0.95 39593\n", "0.99 36662\n", "0.999 33188\n" ] } ], "source": [ "for pcut in [0.9, 0.95, 0.99, 0.999]:\n", " print(pcut, np.sum(pDLAD > pcut))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.3" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
dianafprieto/SS_2017
02_NB_IntroductionNumpy.ipynb
1
6070
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "<!-- <img src=\"files/images/python-screenshot.jpg\" width=\"600\"> -->\n", "<img src=\"imgs/header.png\">" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Basics of Numerical Python Arrays (numpy)\n", "\n", "### 1. In-place Arithmetics" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import numpy as np" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Case 1: a = a+b \n", "The sum is first computed and resulting in a new array and the a is bound to the new array" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "a = np.array(range(10000000))\n", "b = np.array(range(9999999,-1,-1))" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "%%time\n", "a = a + b " ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Case 2: a += b \n", "The elements of b are directly added into the elements of a (in memory) - no intermediate array. These operators implement the so-called \"in-place arithmetics\" (e.g., +=, *=, /=, -= ) " ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "a = np.array(range(10000000))\n", "b = np.array(range(9999999,-1,-1))" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "%%time\n", "a +=b " ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### 2. Vectorization" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "#Apply function to a complete array instead of writing loop to iterate over all elements of the array. \n", "#This is called vectorization. The opposite of vectorization (for loops) is known as the scalar implementation\n", "\n", "def f(x):\n", " return x*np.exp(4)\n", "\n", "print(f(a))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### 3. Slicing and reshape" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Array slicing\n", " x[i:j:s] \n", "picks out the elements starting with index i and stepping s indices at the time up to, but not including, j." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "x = np.array(range(100))\n", "\n", "x[1:-1] # picks out all elements except the first and the last, but contrary to lists, a[1:-1] is not a copy of the data in a.\n", "x[0:-1:2] # picks out every two elements up to, but not including, the last element, while \n", "x[::4] # picks out every four elements in the whole array." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Array shape manipulation\n", "\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "a = np.linspace(-1, 1, 6)\n", "print (a)\n", "\n", "a.shape\n", "a.size\n", "\n", "# rows, columns\n", "a.shape = (2, 3) \n", "a = a.reshape(2, 3) # alternative\n", "\n", "a.shape\n", "print (a)\n", "\n", "# len(a) always returns the length of the first dimension of an array. -> no. of rows" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "## Exercise\n", "### 1. Create a 10x10 2d array with 1 on the border and 0 inside" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "Z = np.ones((10,10))\n", "Z[1:-1,1:-1] = 0\n", "print(Z)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### 2. Create a structured array representing a position (x,y) and a color (r,g,b)" ] }, { "cell_type": "code", "execution_count": 3, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[(( 0., 0.), ( 0., 0., 0.)) (( 0., 0.), ( 0., 0., 0.))\n", " (( 0., 0.), ( 0., 0., 0.)) (( 0., 0.), ( 0., 0., 0.))\n", " (( 0., 0.), ( 0., 0., 0.)) (( 0., 0.), ( 0., 0., 0.))\n", " (( 0., 0.), ( 0., 0., 0.)) (( 0., 0.), ( 0., 0., 0.))\n", " (( 0., 0.), ( 0., 0., 0.)) (( 0., 0.), ( 0., 0., 0.))]\n" ] } ], "source": [ "Z = np.zeros(10, [ ('position', [ ('x', float, 1),\n", " ('y', float, 1)]),\n", " ('color', [ ('r', float, 1),\n", " ('g', float, 1),\n", " ('b', float, 1)])])\n", "print(Z)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### 3. Consider a large vector Z, compute Z to the power of 3 using 2 different methods" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "x = np.random.rand(5e7)\n", "\n", "%timeit np.power(x,3)\n", "%timeit x*x*x" ] } ], "metadata": { "anaconda-cloud": {}, "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.1" } }, "nbformat": 4, "nbformat_minor": 1 }
mit
jaduimstra/nilmtk
notebooks/experimental/testing_nilmtk_V0.2.ipynb
7
113919
{ "metadata": { "name": "", "signature": "sha256:ae9eeecb4861554fc335f71cafefc5e1af05964d717c6b335b83ab4f4d975449" }, "nbformat": 3, "nbformat_minor": 0, "worksheets": [ { "cells": [ { "cell_type": "code", "collapsed": false, "input": [ "from nilmtk import HDFDataStore, ElecMeter\n", "from nilmtk.stats import TotalEnergy, DropoutRate\n", "\n", "ds = HDFDataStore('redd.h5')\n", "\n", "ElecMeter.meter_devices.update({\n", " 'test model': {\n", " 'sample_period': 10,\n", " 'max_sample_period': 30,\n", " 'measurements': [{'physical_quantity': 'power',\n", " 'type': 'apparent',\n", " 'lower_limit': 0,\n", " 'upper_limit': 50000}]\n", " }})\n", "\n", "meter = ElecMeter(ds, {'data_location': '/building5/elec/meter1',\n", " 'device_model': 'test model',\n", " 'preprocessing_applied': {'clip': True}})\n", "\n", "source = meter.get_source_node()\n", "\n", "total_energy = TotalEnergy(source)\n", "total_energy.run()" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "Couldn't import dot_parser, loading of dot files will not be possible.\n" ] } ], "prompt_number": 1 }, { "cell_type": "code", "collapsed": false, "input": [ "total_energy.results.to_dict()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 2, "text": [ "{'statistics': {'energy': {'apparent': 30.793646871022119}}}" ] } ], "prompt_number": 2 }, { "cell_type": "code", "collapsed": false, "input": [ "from nilmtk.preprocessing import Clip\n", "\n", "source = meter.get_source_node()\n", "clip = Clip(source)\n", "total_energy = TotalEnergy(clip)\n", "dropout_rate = DropoutRate(total_energy)\n", "dropout_rate.run()\n", "\n", "print(total_energy.results.to_dict())\n", "print(dropout_rate.results.combined())" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "{'statistics': {'energy': {'apparent': 30.793646871022119}}}\n", "0.202210726725\n" ] } ], "prompt_number": 3 }, { "cell_type": "code", "collapsed": false, "input": [ "for chunk in meter.power_series():\n", " print(chunk.head())" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "2011-04-18 00:24:03-04:00 115.830002\n", "2011-04-18 00:24:04-04:00 115.940002\n", "2011-04-18 00:24:05-04:00 115.800003\n", "2011-04-18 00:24:06-04:00 115.989998\n", "2011-04-18 00:24:07-04:00 115.739998\n", "Name: (power, apparent), dtype: float32\n" ] } ], "prompt_number": 4 }, { "cell_type": "code", "collapsed": false, "input": [ "clip = Clip()\n", "clip.upper = 10\n", "clip.lower = 0\n", "for chunk in meter.power_series(preprocessing=[clip]):\n", " print(chunk.head())" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "2011-04-18 00:24:03-04:00 10\n", "2011-04-18 00:24:04-04:00 10\n", "2011-04-18 00:24:05-04:00 10\n", "2011-04-18 00:24:06-04:00 10\n", "2011-04-18 00:24:07-04:00 10\n", "Name: (power, apparent), dtype: float32\n" ] } ], "prompt_number": 5 }, { "cell_type": "code", "collapsed": false, "input": [ "from nilmtk import DataSet\n", "\n", "dataset = DataSet()\n", "dataset.load(ds)\n" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 6, "text": [ "<nilmtk.dataset.DataSet at 0x7ff0cfcfa350>" ] } ], "prompt_number": 6 }, { "cell_type": "code", "collapsed": false, "input": [], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 6 }, { "cell_type": "code", "collapsed": false, "input": [ "import nilmtk\n", "nilmtk.global_meter_group.select(site_meter=True)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 7, "text": [ "MeterGroup(meters=\n", " ElecMeter(instance=1, building=1, dataset='REDD', site_meter, appliances=[])\n", " ElecMeter(instance=2, building=1, dataset='REDD', site_meter, appliances=[])\n", " ElecMeter(instance=1, building=3, dataset='REDD', site_meter, appliances=[])\n", " ElecMeter(instance=2, building=3, dataset='REDD', site_meter, appliances=[])\n", " ElecMeter(instance=1, building=2, dataset='REDD', site_meter, appliances=[])\n", " ElecMeter(instance=2, building=2, dataset='REDD', site_meter, appliances=[])\n", " ElecMeter(instance=1, building=5, dataset='REDD', site_meter, appliances=[])\n", " ElecMeter(instance=2, building=5, dataset='REDD', site_meter, appliances=[])\n", " ElecMeter(instance=1, building=4, dataset='REDD', site_meter, appliances=[])\n", " ElecMeter(instance=2, building=4, dataset='REDD', site_meter, appliances=[])\n", " ElecMeter(instance=1, building=6, dataset='REDD', site_meter, appliances=[])\n", " ElecMeter(instance=2, building=6, dataset='REDD', site_meter, appliances=[])\n", ")" ] } ], "prompt_number": 7 }, { "cell_type": "code", "collapsed": false, "input": [ "nilmtk.global_meter_group.select_using_appliances(type='fridge')" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 8, "text": [ "MeterGroup(meters=\n", " ElecMeter(instance=5, building=1, dataset='REDD', appliances=[Appliance(type='fridge', instance=1)])\n", " ElecMeter(instance=7, building=3, dataset='REDD', appliances=[Appliance(type='fridge', instance=1)])\n", " ElecMeter(instance=9, building=2, dataset='REDD', appliances=[Appliance(type='fridge', instance=1)])\n", " ElecMeter(instance=18, building=5, dataset='REDD', appliances=[Appliance(type='fridge', instance=1)])\n", " ElecMeter(instance=8, building=6, dataset='REDD', appliances=[Appliance(type='fridge', instance=1)])\n", ")" ] } ], "prompt_number": 8 }, { "cell_type": "code", "collapsed": false, "input": [ "dataset.buildings[1].elec['fridge']" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 9, "text": [ "ElecMeter(instance=5, building=1, dataset='REDD', appliances=[Appliance(type='fridge', instance=1)])" ] } ], "prompt_number": 9 }, { "cell_type": "code", "collapsed": false, "input": [ "dataset.buildings[1].elec" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 10, "text": [ "MeterGroup(meters=\n", " ElecMeter(instance=1, building=1, dataset='REDD', site_meter, appliances=[])\n", " ElecMeter(instance=2, building=1, dataset='REDD', site_meter, appliances=[])\n", " ElecMeter(instance=5, building=1, dataset='REDD', appliances=[Appliance(type='fridge', instance=1)])\n", " ElecMeter(instance=6, building=1, dataset='REDD', appliances=[Appliance(type='dish washer', instance=1)])\n", " ElecMeter(instance=7, building=1, dataset='REDD', appliances=[Appliance(type='sockets', instance=1)])\n", " ElecMeter(instance=8, building=1, dataset='REDD', appliances=[Appliance(type='sockets', instance=2)])\n", " ElecMeter(instance=9, building=1, dataset='REDD', appliances=[Appliance(type='light', instance=1)])\n", " ElecMeter(instance=11, building=1, dataset='REDD', appliances=[Appliance(type='microwave', instance=1)])\n", " ElecMeter(instance=12, building=1, dataset='REDD', appliances=[Appliance(type='unknown', instance=1)])\n", " ElecMeter(instance=13, building=1, dataset='REDD', appliances=[Appliance(type='electric space heater', instance=1)])\n", " ElecMeter(instance=14, building=1, dataset='REDD', appliances=[Appliance(type='electric stove', instance=1)])\n", " ElecMeter(instance=15, building=1, dataset='REDD', appliances=[Appliance(type='sockets', instance=3)])\n", " ElecMeter(instance=16, building=1, dataset='REDD', appliances=[Appliance(type='sockets', instance=4)])\n", " ElecMeter(instance=17, building=1, dataset='REDD', appliances=[Appliance(type='light', instance=2)])\n", " ElecMeter(instance=18, building=1, dataset='REDD', appliances=[Appliance(type='light', instance=3)])\n", " ElecMeter(instance=19, building=1, dataset='REDD', appliances=[Appliance(type='unknown', instance=2)])\n", " MeterGroup(meters=\n", " ElecMeter(instance=3, building=1, dataset='REDD', appliances=[Appliance(type='electric oven', instance=1)])\n", " ElecMeter(instance=4, building=1, dataset='REDD', appliances=[Appliance(type='electric oven', instance=1)])\n", " )\n", " MeterGroup(meters=\n", " ElecMeter(instance=10, building=1, dataset='REDD', appliances=[Appliance(type='washer dryer', instance=1)])\n", " ElecMeter(instance=20, building=1, dataset='REDD', appliances=[Appliance(type='washer dryer', instance=1)])\n", " )\n", ")" ] } ], "prompt_number": 10 }, { "cell_type": "code", "collapsed": false, "input": [ "elec = dataset.buildings[1].elec" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 12 }, { "cell_type": "code", "collapsed": false, "input": [ "elec['fridge'].plot()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAX0AAAENCAYAAADjW7WQAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nsVgsFoulJ/n/+IrVSXRvt3AAAAAASUVORK5CYII=\n", "text": [ "<matplotlib.figure.Figure at 0x7ff0cfcaab10>" ] } ], "prompt_number": 13 }, { "cell_type": "code", "collapsed": false, "input": [ "from nilmtk.elecmeter import ElecMeterID\n", "\n", "meter = elec[ElecMeterID(1,1,'REDD')]\n", "\n", "print(meter.metadata)\n", "#print(meter.device)\n", "\n", "source = meter.get_source_node()\n", "clip = Clip(source)\n", "total_energy = TotalEnergy(clip)\n", "dropout_rate = DropoutRate(total_energy)\n", "dropout_rate.run()\n", "\n", "print(total_energy.results.to_dict())\n", "print(dropout_rate.results.combined())" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "{'device_model': 'REDD_whole_house', 'site_meter': True, 'data_location': '/building1/elec/meter1'}\n", "{'statistics': {'energy': {'apparent': 99.271402796506891}}}" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "0.351143915972\n" ] } ], "prompt_number": 13 }, { "cell_type": "code", "collapsed": false, "input": [ "# dropout rate ignoring gaps...\n", "\n", "sections = meter.good_sections()\n", "\n", "print(sections)\n" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "[TimeFrame(start='2011-04-18 09:22:09-04:00', end='2011-04-18 13:58:47-04:00', empty=False), TimeFrame(start='2011-04-18 14:03:07-04:00', end='2011-04-19 12:13:38-04:00', empty=False), TimeFrame(start='2011-04-19 12:14:17-04:00', end='2011-04-19 18:45:19-04:00', empty=False), TimeFrame(start='2011-04-19 20:20:08-04:00', end='2011-04-20 01:54:32-04:00', empty=False), TimeFrame(start='2011-04-20 01:55:36-04:00', end='2011-04-20 02:28:14-04:00', empty=False), TimeFrame(start='2011-04-20 02:28:52-04:00', end='2011-04-21 03:17:09-04:00', empty=False), TimeFrame(start='2011-04-21 06:17:17-04:00', end='2011-04-21 17:45:06-04:00', empty=False), TimeFrame(start='2011-04-21 19:41:29-04:00', end='2011-04-22 22:46:57-04:00', empty=False), TimeFrame(start='2011-04-22 22:48:33-04:00', end='2011-04-24 03:48:50-04:00', empty=False), TimeFrame(start='2011-04-24 03:52:24-04:00', end='2011-04-24 20:46:36-04:00', empty=False), TimeFrame(start='2011-04-24 20:47:17-04:00', end='2011-04-27 02:50:19-04:00', empty=False), TimeFrame(start='2011-04-27 02:51:21-04:00', end='2011-04-27 03:17:34-04:00', empty=False), TimeFrame(start='2011-04-27 03:21:15-04:00', end='2011-04-28 05:57:47-04:00', empty=False), TimeFrame(start='2011-04-29 23:10:34-04:00', end='2011-05-01 09:44:42-04:00', empty=False), TimeFrame(start='2011-05-01 09:47:18-04:00', end='2011-05-01 23:14:16-04:00', empty=False), TimeFrame(start='2011-05-01 23:14:17-04:00', end='2011-05-02 17:04:59-04:00', empty=False), TimeFrame(start='2011-05-02 17:07:27-04:00', end='2011-05-03 17:30:17-04:00', empty=False), TimeFrame(start='2011-05-03 17:32:49-04:00', end='2011-05-03 17:33:44-04:00', empty=False), TimeFrame(start='2011-05-06 10:51:46-04:00', end='2011-05-07 01:53:10-04:00', empty=False), TimeFrame(start='2011-05-07 01:53:55-04:00', end='2011-05-07 02:38:15-04:00', empty=False), TimeFrame(start='2011-05-07 02:40:43-04:00', end='2011-05-07 11:59:16-04:00', empty=False), TimeFrame(start='2011-05-11 03:19:43-04:00', end='2011-05-12 17:48:38-04:00', empty=False), TimeFrame(start='2011-05-12 20:14:30-04:00', end='2011-05-13 05:16:24-04:00', empty=False), TimeFrame(start='2011-05-22 16:04:46-04:00', end='2011-05-22 23:39:01-04:00', empty=False), TimeFrame(start='2011-05-22 23:41:35-04:00', end='2011-05-23 09:22:08-04:00', empty=False), TimeFrame(start='2011-05-23 10:31:34-04:00', end='2011-05-24 14:32:05-04:00', empty=False), TimeFrame(start='2011-05-24 15:55:33-04:00', end='2011-05-24 15:57:02-04:00', empty=False)]\n" ] } ], "prompt_number": 14 }, { "cell_type": "code", "collapsed": false, "input": [ "full_sections_results = meter.good_sections(full_results=True)\n" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 15 }, { "cell_type": "code", "collapsed": false, "input": [ "full_sections_results.plot()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAXMAAAEECAYAAADandTrAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nQmCC\n", "text": [ "<matplotlib.figure.Figure at 0x7f796b0130d0>" ] } ], "prompt_number": 16 }, { "cell_type": "code", "collapsed": false, "input": [ "source = meter.get_source_node(sections=sections)\n", "dropout_rate = DropoutRate(source)\n", "dropout_rate.run()\n", "\n", "print(dropout_rate.results.combined())" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "0.0085459790444\n" ] } ], "prompt_number": 17 }, { "cell_type": "code", "collapsed": false, "input": [ "dropout_rate.results" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 18, "text": [ " dropout_rate end n_samples\n", "2011-04-18 09:22:09-04:00 0.000120 2011-04-18 13:58:46-04:00 16596\n", "2011-04-18 14:03:07-04:00 0.000113 2011-04-19 12:13:37-04:00 79822\n", "2011-04-19 12:14:17-04:00 0.000000 2011-04-19 18:45:18-04:00 23462\n", "2011-04-19 20:20:08-04:00 0.000000 2011-04-20 01:54:31-04:00 20064\n", "2011-04-20 01:55:36-04:00 0.000000 2011-04-20 02:28:13-04:00 1958\n", "2011-04-20 02:28:52-04:00 0.000336 2011-04-21 03:17:08-04:00 89267\n", "2011-04-21 06:17:17-04:00 0.000000 2011-04-21 17:45:05-04:00 41269\n", "2011-04-21 19:41:29-04:00 0.000010 2011-04-22 22:46:56-04:00 97527\n", "2011-04-22 22:48:33-04:00 0.000201 2011-04-24 03:48:49-04:00 104396\n", "2011-04-24 03:52:24-04:00 0.000115 2011-04-24 20:46:35-04:00 60845\n", "2011-04-24 20:47:17-04:00 0.000072 2011-04-27 02:50:18-04:00 194568\n", "2011-04-27 02:51:21-04:00 0.000000 2011-04-27 03:17:33-04:00 1573\n", "2011-04-27 03:21:15-04:00 0.000010 2011-04-28 05:57:46-04:00 95791\n", "2011-04-29 23:10:34-04:00 0.000153 2011-05-01 09:44:41-04:00 124429\n", "2011-05-01 09:47:18-04:00 0.000000 2011-05-01 23:14:15-04:00 48418\n", "2011-05-01 23:14:17-04:00 0.000000 2011-05-02 17:04:58-04:00 64242\n", "2011-05-02 17:07:27-04:00 0.101595 2011-05-03 17:30:16-04:00 78853\n", "2011-05-03 17:32:49-04:00 0.000000 2011-05-03 17:33:43-04:00 55\n", "2011-05-06 10:51:46-04:00 0.100899 2011-05-07 01:53:09-04:00 48627\n", "2011-05-07 01:53:55-04:00 0.114286 2011-05-07 02:38:14-04:00 2356\n", "2011-05-07 02:40:43-04:00 0.000000 2011-05-07 11:59:15-04:00 33513\n", "2011-05-11 03:19:43-04:00 0.000346 2011-05-12 17:48:37-04:00 138487\n", "2011-05-12 20:14:30-04:00 0.000000 2011-05-13 05:16:23-04:00 32514\n", "2011-05-22 16:04:46-04:00 0.000257 2011-05-22 23:39:00-04:00 27248\n", "2011-05-22 23:41:35-04:00 0.000000 2011-05-23 09:22:07-04:00 34833\n", "2011-05-23 10:31:34-04:00 0.000000 2011-05-24 14:32:04-04:00 100831\n", "2011-05-24 15:55:33-04:00 0.000000 2011-05-24 15:57:02-04:00 90" ] } ], "prompt_number": 18 }, { "cell_type": "code", "collapsed": false, "input": [ "dropout_rate.results.plot()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAY8AAAEECAYAAADQ7bj8AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAEvtJREFUeJzt3XGQnOVBx/Hvepe0MMw1VhkYkmvDlCAJLRBGz2hFrgNo\niJVQ0QkRmmnQEmdMi8jUSO00N2O1Wm2hmGkmpaETLG06QKemGsmoJVYpJkTggJBgrvRsEgZkEGhC\nS02a9Y/nudn3lt193yd3u+/e3fczs3Pvvu/zvPvsk3ff3z7v++4bkCRJkiRJkiRJkiRJkiRJklSi\npcB+4ACwrsHy84CHgdeBWzLz+4EHgb3AU8CH29tMSVK36AFGgPnALOBxYGFdmdOBnwU+wfjwOBO4\nKE6fBjzToO5MMFh2A7rMYNkN6CKDZTegywyW3YAuM1h2A5r5iQJlBgjhMQocA7YCy+vKvAjsicuz\nnieEDcBRYB9w1km2dSobLLsBXWaw7AZ0kcGyG9BlBstuQJcZLLsBzRQJj7nAwczzQ3FeqvnAYmDX\nSdSVJHWRIuFRnYTXOQ24D7iJMAKRJE1hvQXKHCac+B7TTxh9FDULuB/4EvD1BssHyQzNLrzwwvXD\nw8MJq58y1pfdgC5jf9TYF+PZH+MV7Y9hxu9jd8ZHW1QKlOklnOi+DHgO2A2sJJy/qDcEHAE+nVn/\nFuAl4OaCbapWq5Mx2JGkmaNSqUCxffrkvF7BclcCtxOuvNoMfBJYE5dtIlxV9QjQB5wgBMgiwpVW\n3wKeoHb461bggRavZXhIUqJuDY9OMjwkKVGnw6PICXNJksYxPCRJyQwPSVIyw0OSlMzwkCQlMzwk\nSckMD6nNhobKboE0+fydh9RmlQq4Savd/J2HJKnrGR6SpGSGhyQpmeEhSUpmeEiSkhkekqRkhock\nKZnhIUlKZnhIkpIZHpKkZIaHJCmZ4SFJSmZ4SJKSGR6SpGSGhyQpmeEhSUpmeEiSkhkekqRkhock\nKZnhIUlKViQ8lgL7gQPAugbLzwMeBl4HbkmsK0magio5y3uAZ4DLgcPAI8BKYF+mzOnA24GrgZeB\nTyfUbaRarVaLvwOpy1Uq4CatdqtUKpC/T580eSOPAWAEGAWOAVuB5XVlXgT2xOWpdaWT1tcXdsx5\nj76+slsqTT954TEXOJh5fijOK2IidaVcR45MbrmJahZm0nSUFx4TGWw7UNeM0qmQkrpBb87yw0B/\n5nk/YQRRRNG6g/EhSZqYocz0zvhoi7xBdS/hpPdlwHPAbpqf9B4CjlA7YZ5SN8sT5iok5ZBQJzap\nVu1xk1a7dfqEed7I4ziwFthBuHpqM2HnvyYu3wScSbiSqg84AdwELAKONqkrSZriuvF0niMPFeLI\nQ6rptkt1JUl6A8NDkpTM8JAkJTM8JEnJDA9JUjLDQ5KUzPCQJCUzPCRJyQwPSVIyw0OSlMzwkCQl\nMzwkSckMD0lSMsNDkpTM8JAkJTM8JEnJDA9JUjLDQ5KUzPCQJCUzPCRJyQwPSVIyw0OSlMzwkCQl\nMzwkSckMD0lSMsNDkpTM8JAkJTM8JEnJDA9JUrIi4bEU2A8cANY1KXNHXD4MLM7MvxXYCzwJfBl4\n00m3VJLUNfLCowfYQAiQRcBKYGFdmWXAOcAC4EZgY5w/H/ggcDHwrriuayej0ZKkcuWFxwAwAowC\nx4CtwPK6MlcBW+L0LmAOcAbw/VjnVKA3/j08GY2WJJUrLzzmAgczzw/FeUXK/C/waeB7wHPAK8A/\nT6SxkqTu0JuzvFpwPZUG894B/AHh8NWrwL3AdcA9deUG40OSNDFDmemd8dEWeeFxGOjPPO8njCxa\nlZkX5w0C3wZeivO/BvwibwyPnYx/g+tz2iRJamyoUy+Ud9hqD+FE+HxgNrAC2FZXZhuwKk4vIRye\negF4Jj4/hTAyuRx4ejIaLUkqV97I4ziwFthBuFpqM7APWBOXbwK2E664GgFeA1bHZY8DdxMC6ATw\nKPD5SWy7JKkkjc5VlK1arRY91aKZrJKw9XZik2rVHjdptVslbIAd26f7C3NJUjLDQ5KUzPCQJCUz\nPCRJyQwPSVIyw0OSlMzwkCQlMzwkSckMD0lSMsNDkpTM8JAkJTM8JEnJDA9JUjLDQ5KUzPCQJCUz\nPCRJyQwPSVIyw0OSlMzwkCQlMzwkSckMD0lSMsNDkpTM8JAkJTM8JEnJDA9JUjLDQ5KUzPCQJCUz\nPCRJyYqEx1JgP3AAWNekzB1x+TCwODN/DnAfsA94Glhy0i2VJHWNvPDoATYQAmQRsBJYWFdmGXAO\nsAC4EdiYWfZZYHuscwEhRCRJU1xeeAwAI8AocAzYCiyvK3MVsCVO7yKMNs4A3gJcAtwVlx0HXp1w\niyVJpcsLj7nAwczzQ3FeXpl5wNnAi8AXgUeBO4FTJ9JYSVJ36M1ZXi24nkqDer3AxcBa4BHgduCP\ngY/XlR2MD0nSxAxlpnfGR1vkhcdhoD/zvJ8wsmhVZl6cV4llH4nz7yOER72djH+D63PaJElqbKhT\nL5R32GoP4UT4fGA2sALYVldmG7AqTi8BXgFeAJ4nHM46Ny67HNg74RZLkkqXN/I4TjjstINw5dVm\nwhVTa+LyTYSrqZYRTqy/BqzO1P8QcA8heL5Tt0ySNEXVn6voBtVqteipFs1klYSttxObVKv2uEmr\n3SphA+zYPt1fmEuSkhkekqRkhockKZnhIUlKZnhIkpIZHpKkZIaHJCmZ4SFJSmZ4SJKSGR6SpGSG\nhyQpmeEhSUpmeEiSkhkekqRkhockKZnhIUlKZnhIkpIZHpKkZIaHJCmZ4SFJSmZ4SJKSGR6SpGSG\nhyQpmeEhSUpmeEiSkhkekqRkhockKZnhIUlKViQ8lgL7gQPAuiZl7ojLh4HFdct6gMeAb5xkGyVJ\nXSYvPHqADYQAWQSsBBbWlVkGnAMsAG4ENtYtvwl4GqhOtLGSpO6QFx4DwAgwChwDtgLL68pcBWyJ\n07uAOcAZ8fk8Qrh8AahMvLmSpG6QFx5zgYOZ54fivKJlbgM+ApyYQBslSV2mN2d50UNN9aOKCvBe\n4H8I5zsGW9QdzFkuSSpmKDO9Mz7aIi88DgP9mef9hJFFqzLz4rxrCIe0lgFvBvqAu4FVdfV3Mv4N\nrs9vtiSpgaFOvVDeYas9hBPh84HZwApgW12ZbdQCYQnwCvA88FFCqJwNXAt8kzcGhyRpCsobeRwH\n1gI7CFdebQb2AWvi8k3AdsLoYgR4DVjdZF1ebSVJ00Q3XgFVrVbNGeWrJGy9ndikWrXHTVrtVgkb\nYMf26f7CXJKUzPCQJCUzPCRJyQwPSVIyw0OSlMzwkCQlMzwkSckMD0lSMsNDkpTM8JAkJTM8JEnJ\nDA9JUjLDQ5KUzPCQJCUzPCRJyQwPSVIyw0OSlMzwkCQlMzwkSckMD0lSMsNDkpTM8JAkJTM8JEnJ\nDA9JUjLDQ5KUzPCQJCUzPCRJyQwPSVKyouGxFNgPHADWNSlzR1w+DCyO8/qBB4G9wFPAh0+6pZKk\nrlEkPHqADYQAWQSsBBbWlVkGnAMsAG4ENsb5x4CbgfOBJcDvN6grSZpiioTHADACjBLCYCuwvK7M\nVcCWOL0LmAOcATwPPB7nHwX2AWdNqMWSpNIVCY+5wMHM80NxXl6ZeXVl5hMOZ+1Ka6IkqdsUCY9q\nwXVVWtQ7DbgPuIkwApEkTWG9BcocJpz4HtNPGFm0KjMvzgOYBdwPfAn4eoP1D8aHJGlihjLTO+Oj\nLepHC430As8AlwHPAbsJJ833ZcosA9bGv0uA2+PfCuFcyEuEE+dFVKvVooMdzWSVIltv1IlNqlV7\n3KTVbpWwASZ8KiamyMjjOCEYdhCuvNpMCI41cfkmYDshOEaA14DVcdm7geuBJ4DH4rxbgQcmoe2S\npJJ0LKUSOPJQIY48pJpOjzz8hbkkKZnhIUlKZnhIkpIZHpKkZIaHJCmZ4SFJSmZ4SJKSGR6SpGSG\nhyQpmeEhSUpmeEiSkhkekqRkhockKZnhIUlKZnhIkpIZHpKkZIaHJCmZ4SFJSmZ4SJKSGR6SpGSG\nhyQpmeEhSUpmeEiSkhkekqRkhockKZnhIUlKZnhIkpIZHpKkZEXCYymwHzgArGtS5o64fBhYnFhX\nkjTF5IVHD7CBEAKLgJXAwroyy4BzgAXAjcDGhLqSpCkoLzwGgBFgFDgGbAWW15W5CtgSp3cBc4Az\nC9aVJE1BeeExFziYeX4ozitS5qwCdSVJU1BeeFQLrqcy0YZMpr4+qFTyH319nXutyXxNabKkbr9u\nz90l++/Xab05yw8D/Znn/YQRRKsy82KZWQXqAgzGx5jhSqVyYU67JsWRI53v9DJeU+X3edmv3y5u\nz11lGBjKPN8ZH6XoBb4DzAdmA4/T+IT59ji9BPiPhLozxVDZDegyQ2U3oIsMld2ALjNUdgO6zFDZ\nDWgmb+RxHFgL7CBcPbUZ2Aesics3EYJjGeHk+GvA6py6kqQpLi88AP4xPrI21T1fm1BXkjTF9ZTd\ngBlktOwGdJnRshvQRUbLbkCXGS27AV1mtOwGSJIkSZIkSepqVwMngJ+ZhHX9FeEqsmHga8Bb6pa/\nDTgK3NKk/j2Em0c+SbgiLXsRQ7MbTt4FvBDrZP0WsBf4MXBxTrtPAH+bed4LvAh8I6deUbcS2r4f\n+JXM/D8DvgccaVH3OsJ7fgJ4CLggs6zZzTabvfe3Ag/G1/ubJq9XVl+sJvwbDhMuLPmpBnU73RfQ\n3v5o1YadhPfzWHz8dIP6060/rgD2EN7PHuA9cf4pwD8Q9i1PAZ9sUr+M/pjRvgps4+Suoa7/Vf4V\nmXl/ER9Z98XXaxYeV2amvwz8XpzO/n7m56n9fgbgEkKY1IfHecC5hA0gLzyOAI8Cb8604zFCv0zU\nIsLvemYRfuczQu0uBAOEe5+1Co9foBbCS6m99564rvlx3dnfDjV776cC7yZcXt7sA1FGX8wGXiJ8\nYAH+EljfoH6n+wLa2x+t2lBku51u/XER4fMAcD61H1KfAlwap2cB3yK833pl9AcwM/8/j9MIO+O1\nwIrM/EHCP9DfE9J6I7Ud3lHgrwn/AEvq1vdPhG8mEG4MOS+z7GrgWeDpFu3JXsr8CLX7fy2n8Q0n\nAf4NeLnBuvYD/9XiteptB34tTq8EvsL4nfy3CR+ahwgbG8C/Atk7APw78K669S6P6zpGuFJkhNDn\nALuB53Pa9TDwapzO9mmrm202e+8/iO3/Uc5rdrIvBgi/g3qZsD1WgD7C3RrqldEX0L7+yGtD3u/V\np1t/PE7t8/A0ITRmAT+M9Ynv51Ea3xuwrP6YkeGxHHiAcOjkRcYn788RQmUR8A7gN+L8UwmJfhFh\nI2nmBmqjhdOAP6L46GYWcH1sG3TmxpJfBa4F3kTYqHdllu0jjHAuJnwj/vM4fzPwgTh9bqxbPwI6\ni/G3oplI23+HWp8WuVFnM3n3aetkX8wjfOG4iXBI4jDhW+FdOW3sVF9A+/ojrw1bCN/qP1agjdOp\nPwCuAf6TsLPPmgP8OvAvOW3sZH/MyPBYCdwbp++Nz8fsJiT1CcI3i1+K838M3J+z3j8B/o9w6AlC\naNxGSPMid//5HOGbxkOZefX1it6osqgnCcPalYTjq1lzCIfcngQ+QxhSE+e9l3Dc9wbgiwVf62Ta\n/p74GmPHayf7/Wd1ui/6COe0LiQEzJOEcyPNdLIvoLP9MeY64J2EHfElwPtblJ1u/XE+4ZD3mrr5\nvYR90Wdp/XuPTvdHoV+YTydvJXTyOwmd2xP/fiQuz3Z4hdrhqNdp/Y/xAcI5issy8wYI3yQ+Rdi4\nThCGop9rUH894WTpBzPzGt1wstFhjYnaRjgkdylwemb+nxK+6bwPeDu1G6z9gHCo7mrCibdGx6gn\no+0XAHcSjuOOHaIrcqPOiehkXywEvhsfEL7INPvfNsvoC2hPf7TyXPx7lPAlbIDxJ6rHTLf+mEe4\n2Ob91LaHMZ8HniF80WimlP6YaSOP3wTuJnyDOJtwJdR3Cd9yIGys8wn9soJwjDLPUkL4LCeEzJhf\njq9xNnA74SqjRsHxu4QrcH67bv42YFWcXgK8QrjCqqii9zq9izBK2ls3v4/ah3l13bIvEDbm3dSO\nt2ZtIwzxZxPe/4JYtqi3ET5M1xOO247ZE9c1P657BY1PWjZ670X6o5N98SzhxOXYFUVX0PjcWFl9\nAe3pj2Zt6KHWF7MIh2kaHeKZbv0xhzCSWUc4f5H1ibjum1u0qcz+mFG+yfhLJQE+RNipX0o4bDR2\nwjy7o/9+i3UeAP6b2uWFzUYWf9ik/rG4jrH62WO9GwgbxDDjv7V8hbCx/ohwXHNsg31ffP5Dwkm4\nVvcVa/SeLqW2gS0hfON5lPDN6tm6svt4Y19mfTS2fT/wq5n5n4ptPB7/frxB3TsJVyKN9Uk2eK6M\n7Rph/GGeVu99NK7vCOFc13l1r1dWX6yidqnu3wE/2aBup/sC2t8f2TYcjG04lbDDGyacB7qNxjux\n6dYfHyOMtB5j/CXKY+fF9mbm39Cgfhn9oTqDTN51/dPdWYSNUvZFPftjPPtjBsh+k1BzqwjfSK4p\nuyFdwL4Yz/4Yz/6QJEmSJEmSJEmSJEmSJEmSlOr/Ablxqsh2gLDWAAAAAElFTkSuQmCC\n", "text": [ "<matplotlib.figure.Figure at 0x7f797d92bb50>" ] } ], "prompt_number": 19 }, { "cell_type": "code", "collapsed": false, "input": [ "elec.select_using_appliances(category='single-phase induction motor')" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 20, "text": [ "MeterGroup(meters=\n", " ElecMeter(instance=5, building=1, dataset='REDD', appliances=[Appliance(type='fridge', instance=1)])\n", " ElecMeter(instance=6, building=1, dataset='REDD', appliances=[Appliance(type='dish washer', instance=1)])\n", " MeterGroup(meters=\n", " ElecMeter(instance=10, building=1, dataset='REDD', appliances=[Appliance(type='washer dryer', instance=1)])\n", " ElecMeter(instance=20, building=1, dataset='REDD', appliances=[Appliance(type='washer dryer', instance=1)])\n", " )\n", ")" ] } ], "prompt_number": 20 }, { "cell_type": "code", "collapsed": false, "input": [ "elec.select_using_appliances(category='resistive')" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 21, "text": [ "MeterGroup(meters=\n", " ElecMeter(instance=6, building=1, dataset='REDD', appliances=[Appliance(type='dish washer', instance=1)])\n", " ElecMeter(instance=13, building=1, dataset='REDD', appliances=[Appliance(type='electric space heater', instance=1)])\n", " ElecMeter(instance=14, building=1, dataset='REDD', appliances=[Appliance(type='electric stove', instance=1)])\n", " MeterGroup(meters=\n", " ElecMeter(instance=3, building=1, dataset='REDD', appliances=[Appliance(type='electric oven', instance=1)])\n", " ElecMeter(instance=4, building=1, dataset='REDD', appliances=[Appliance(type='electric oven', instance=1)])\n", " )\n", " MeterGroup(meters=\n", " ElecMeter(instance=10, building=1, dataset='REDD', appliances=[Appliance(type='washer dryer', instance=1)])\n", " ElecMeter(instance=20, building=1, dataset='REDD', appliances=[Appliance(type='washer dryer', instance=1)])\n", " )\n", ")" ] } ], "prompt_number": 21 }, { "cell_type": "code", "collapsed": false, "input": [ "from nilmtk.disaggregate import CombinatorialOptimisation\n", "co = CombinatorialOptimisation()\n", "# co.train(elec)\n", "# co.model" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 22 }, { "cell_type": "code", "collapsed": false, "input": [ "fridge = elec['fridge']" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 23 }, { "cell_type": "code", "collapsed": false, "input": [ "fridge.available_power_ac_types()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 24, "text": [ "['active']" ] } ], "prompt_number": 24 }, { "cell_type": "code", "collapsed": false, "input": [ "fridge.dominant_appliance().identifier" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 25, "text": [ "ApplianceID(type='fridge', instance=1)" ] } ], "prompt_number": 25 }, { "cell_type": "code", "collapsed": false, "input": [ "elec.mains()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 26, "text": [ "MeterGroup(meters=\n", " ElecMeter(instance=1, building=1, dataset='REDD', site_meter, appliances=[])\n", " ElecMeter(instance=2, building=1, dataset='REDD', site_meter, appliances=[])\n", ")" ] } ], "prompt_number": 26 }, { "cell_type": "code", "collapsed": false, "input": [ "graph = elec.wiring_graph()" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 27 }, { "cell_type": "code", "collapsed": false, "input": [ "elec" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 28, "text": [ "MeterGroup(meters=\n", " ElecMeter(instance=1, building=1, dataset='REDD', site_meter, appliances=[])\n", " ElecMeter(instance=2, building=1, dataset='REDD', site_meter, appliances=[])\n", " ElecMeter(instance=5, building=1, dataset='REDD', appliances=[Appliance(type='fridge', instance=1)])\n", " ElecMeter(instance=6, building=1, dataset='REDD', appliances=[Appliance(type='dish washer', instance=1)])\n", " ElecMeter(instance=7, building=1, dataset='REDD', appliances=[Appliance(type='sockets', instance=1)])\n", " ElecMeter(instance=8, building=1, dataset='REDD', appliances=[Appliance(type='sockets', instance=2)])\n", " ElecMeter(instance=9, building=1, dataset='REDD', appliances=[Appliance(type='light', instance=1)])\n", " ElecMeter(instance=11, building=1, dataset='REDD', appliances=[Appliance(type='microwave', instance=1)])\n", " ElecMeter(instance=12, building=1, dataset='REDD', appliances=[Appliance(type='unknown', instance=1)])\n", " ElecMeter(instance=13, building=1, dataset='REDD', appliances=[Appliance(type='electric space heater', instance=1)])\n", " ElecMeter(instance=14, building=1, dataset='REDD', appliances=[Appliance(type='electric stove', instance=1)])\n", " ElecMeter(instance=15, building=1, dataset='REDD', appliances=[Appliance(type='sockets', instance=3)])\n", " ElecMeter(instance=16, building=1, dataset='REDD', appliances=[Appliance(type='sockets', instance=4)])\n", " ElecMeter(instance=17, building=1, dataset='REDD', appliances=[Appliance(type='light', instance=2)])\n", " ElecMeter(instance=18, building=1, dataset='REDD', appliances=[Appliance(type='light', instance=3)])\n", " ElecMeter(instance=19, building=1, dataset='REDD', appliances=[Appliance(type='unknown', instance=2)])\n", " MeterGroup(meters=\n", " ElecMeter(instance=3, building=1, dataset='REDD', appliances=[Appliance(type='electric oven', instance=1)])\n", " ElecMeter(instance=4, building=1, dataset='REDD', appliances=[Appliance(type='electric oven', instance=1)])\n", " )\n", " MeterGroup(meters=\n", " ElecMeter(instance=10, building=1, dataset='REDD', appliances=[Appliance(type='washer dryer', instance=1)])\n", " ElecMeter(instance=20, building=1, dataset='REDD', appliances=[Appliance(type='washer dryer', instance=1)])\n", " )\n", ")" ] } ], "prompt_number": 28 }, { "cell_type": "code", "collapsed": false, "input": [ "elec.draw_wiring_graph()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAeMAAAFFCAYAAADfMoXLAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nxiQZCyGEEBqTZCyEEEJo7P8BsjlK+CfL0MwAAAAASUVORK5CYII=\n", "text": [ "<matplotlib.figure.Figure at 0x7f796adfd490>" ] } ], "prompt_number": 29 }, { "cell_type": "code", "collapsed": false, "input": [ "elec['fridge'].upstream_meter() == elec['microwave'].upstream_meter()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 30, "text": [ "True" ] } ], "prompt_number": 30 }, { "cell_type": "code", "collapsed": false, "input": [ "elec['fridge'].upstream_meter()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 31, "text": [ "MeterGroup(meters=\n", " ElecMeter(instance=1, building=1, dataset='REDD', site_meter, appliances=[])\n", " ElecMeter(instance=2, building=1, dataset='REDD', site_meter, appliances=[])\n", ")" ] } ], "prompt_number": 31 }, { "cell_type": "code", "collapsed": false, "input": [ "from nilmtk import MeterGroup\n", "from nilmtk.elecmeter import ElecMeterID" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 32 }, { "cell_type": "code", "collapsed": false, "input": [ "nilmtk.global_meter_group.from_list([ElecMeterID(3,1,'REDD'), \n", " (\n", " ElecMeterID(1,1,'REDD'),\n", " (ElecMeterID(2,1,'REDD'), ElecMeterID(4,1,'REDD'))\n", " )])" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 33, "text": [ "MeterGroup(meters=\n", " ElecMeter(instance=3, building=1, dataset='REDD', appliances=[Appliance(type='electric oven', instance=1)])\n", " MeterGroup(meters=\n", " ElecMeter(instance=1, building=1, dataset='REDD', site_meter, appliances=[])\n", " MeterGroup(meters=\n", " ElecMeter(instance=2, building=1, dataset='REDD', site_meter, appliances=[])\n", " ElecMeter(instance=4, building=1, dataset='REDD', appliances=[Appliance(type='electric oven', instance=1)])\n", " )\n", " )\n", ")" ] } ], "prompt_number": 33 }, { "cell_type": "code", "collapsed": false, "input": [ "from nilmtk import ElecMeter\n", "ElecMeter(meter_id=ElecMeterID(1,1,'x'))" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 34, "text": [ "ElecMeter(instance=1, building=1, dataset='x', appliances=[])" ] } ], "prompt_number": 34 }, { "cell_type": "code", "collapsed": false, "input": [ "elec.identifier" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 35, "text": [ "(ElecMeterID(instance=1, building=1, dataset='REDD'),\n", " ElecMeterID(instance=2, building=1, dataset='REDD'),\n", " ElecMeterID(instance=5, building=1, dataset='REDD'),\n", " ElecMeterID(instance=6, building=1, dataset='REDD'),\n", " ElecMeterID(instance=7, building=1, dataset='REDD'),\n", " ElecMeterID(instance=8, building=1, dataset='REDD'),\n", " ElecMeterID(instance=9, building=1, dataset='REDD'),\n", " ElecMeterID(instance=11, building=1, dataset='REDD'),\n", " ElecMeterID(instance=12, building=1, dataset='REDD'),\n", " ElecMeterID(instance=13, building=1, dataset='REDD'),\n", " ElecMeterID(instance=14, building=1, dataset='REDD'),\n", " ElecMeterID(instance=15, building=1, dataset='REDD'),\n", " ElecMeterID(instance=16, building=1, dataset='REDD'),\n", " ElecMeterID(instance=17, building=1, dataset='REDD'),\n", " ElecMeterID(instance=18, building=1, dataset='REDD'),\n", " ElecMeterID(instance=19, building=1, dataset='REDD'),\n", " (ElecMeterID(instance=3, building=1, dataset='REDD'),\n", " ElecMeterID(instance=4, building=1, dataset='REDD')),\n", " (ElecMeterID(instance=10, building=1, dataset='REDD'),\n", " ElecMeterID(instance=20, building=1, dataset='REDD')))" ] } ], "prompt_number": 35 }, { "cell_type": "code", "collapsed": false, "input": [ "elec.mains().total_energy()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 36, "text": [ "167.76623618641219" ] } ], "prompt_number": 36 }, { "cell_type": "code", "collapsed": false, "input": [ "# energy_per_meter = elec.energy_per_meter()" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 37 }, { "cell_type": "code", "collapsed": false, "input": [ "# energy_per_meter" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 38 }, { "cell_type": "code", "collapsed": false, "input": [ "elec['fridge'].total_energy(full_results=True).combined()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 39, "text": [ "active 44.750925\n", "dtype: float64" ] } ], "prompt_number": 39 }, { "cell_type": "code", "collapsed": false, "input": [ "# fraction = elec.fraction_per_meter()" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 40 }, { "cell_type": "code", "collapsed": false, "input": [ "elec.available_power_ac_types()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 41, "text": [ "{'active', 'apparent'}" ] } ], "prompt_number": 41 }, { "cell_type": "code", "collapsed": false, "input": [ "elec['fridge'].when_on().next().head()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 42, "text": [ "2011-04-18 09:22:13-04:00 False\n", "2011-04-18 09:22:16-04:00 False\n", "2011-04-18 09:22:20-04:00 False\n", "2011-04-18 09:22:23-04:00 False\n", "2011-04-18 09:22:26-04:00 False\n", "Name: (power, active), dtype: bool" ] } ], "prompt_number": 42 }, { "cell_type": "code", "collapsed": false, "input": [ "elec['fridge'].min_on_power_threshold()" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 43, "text": [ "10" ] } ], "prompt_number": 43 }, { "cell_type": "code", "collapsed": false, "input": [ "from nilmtk.electric import align_two_meters\n", "\n", "gne = align_two_meters(elec['fridge'], elec.mains())" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 44 }, { "cell_type": "code", "collapsed": false, "input": [ "head = gne.next().head()" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 45 }, { "cell_type": "code", "collapsed": false, "input": [ "head.icol(0) - head.icol(1)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 46, "text": [ "2011-04-18 09:22:12-04:00 -334.914978\n", "2011-04-18 09:22:15-04:00 -335.973297\n", "2011-04-18 09:22:18-04:00 -335.683350\n", "2011-04-18 09:22:21-04:00 -335.796661\n", "2011-04-18 09:22:24-04:00 -335.329987\n", "Freq: 3S, dtype: float32" ] } ], "prompt_number": 46 }, { "cell_type": "code", "collapsed": false, "input": [ "meter.dropout_rate(sections=sections)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "pyout", "prompt_number": 47, "text": [ "0.0085459790443974705" ] } ], "prompt_number": 47 }, { "cell_type": "code", "collapsed": false, "input": [], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 47 } ], "metadata": {} } ] }
apache-2.0
gibiansky/blog
posts/speech-recognition-neural-networks/post.ipynb
1
494531
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "We've previously talked about using [recurrent neural networks for generating text](http://andrew.gibiansky.com/blog/machine-learning/recurrent-neural-networks/), based on a similarly titled [paper](http://www.cs.utoronto.ca/~ilya/pubs/2011/LANG-RNN.pdf). Recently, recurrent neural networks have been [successfully applied](http://arxiv.org/pdf/1303.5778.pdf) to the difficult problem of speech recognition. In this post, we'll look at the architecture that Graves et. al. propose in that paper for their task." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Neural Network Architecture\n", "\n", "We will begin by discussing the architecture of the neural network used by Graves et. al. However, the architecture of the neural network is only the first of the major aspects of the paper; later, we discuss exactly *how* we use this architecture for speech recognition." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Standard Recurrent Neural Networks\n", "\n", "Recall that a *recurrent* neural network is one in which each layer represents another step in time (or another step in some sequence), and that each time step gets one input and predicts one output. However, the network is constrained to use the same \"transition function\" for each time step, thus learning to predict the output sequence from the input sequence for sequences of any length.\n", "\n", "For a standard recurrent neural network, we iterate the following equations in order to do prediction:\n", "\n", "$$\\begin{aligned}\n", " h_i &= \\sigma(W_{hh} h_{i-1} + W_{hx} x_i + b_h) \\\\\n", " \\hat y_i &= W_{yh} h_i\n", "\\end{aligned}$$\n", "\n", "The hidden layer at step $i$ is given by $h_i$; similarly, $x_i$ is the input layer at timestep $i$, $\\hat y_i$ is output layer at timestep $i$, and the $W_*$ are the weight matrices (with biases $b_*$). \n", "\n", "Note that this formulation of recurrent networks (RNNs) is equivalent to having a one-hidden-layer feed-forward network at each timestep (with layers $x_i$, $h_i$, and $y_i$). One can also consider $h_{i-1}$ to be part of the input layer at each timestep." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Long Short-Term Memory\n", "\n", "Although standard RNNs are powerful in theory, they can be very difficult to train. Techniques such as Hessian-free optimization have been applied to them in order to improve training capacity. However, in addition to modifying the training algorithm, we can modify the network architecture to make it easier to train. \n", "\n", "One of the reasons training networks is difficult is that the errors computed in backpropoagation are multiplied by each other once per timestep. If the errors are small, the error quickly dies out, becoming very small; if the errors are large, they quickly become very large due to repeated multiplication. An alternative architecture built with *Long Short-Term Memory* (LSTM) cells attempts to negate this issue.\n", "\n", "A single LSTM unit is shown below." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<img src=\"data:image/png;base64,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\">" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The inputs $x_t$ dictate the behaviour of our LSTM cell. Note that each of the cells (circles) shown above may actually be vectors, and can store a large set of values.\n", "\n", "The intuition behind this memory unit is that the *cell* $c_t$ stores a value over time. It feeds itself and can either remember or forget its value, depending on the activation of the *forget gate* $f_t$. The cell can optionally output its value, depending on the activation of the *output gate* $o_t$. Finally, the cell acquires new values if the *input gate* $i_t$ allows it to. Note that in the diagram above, the $\\otimes$ symbols indicate these complex activation functions that allow these behaviours.\n", "\n", "Next, we present the equations that implement the LSTM unit. First of all, the cell $c_t$ must forget its value if the forget gate $f_t$ is low and acquire a new value if the input gate $i_t$ is high. The value it acquires is dictated by the previous hidden layer and the current input. Thus, $c_t$ is determined via the following equation:\n", "\n", "$$\n", "c_t = f_t c_{t-1} + i_t \\tanh(W_{hc} h_{t-1} + W_{xc} x_t + b_c)\n", "$$\n", "\n", "Note that when $f_t = 0$, the cell completely forgets its old value, and stores a new one. When $i_t = 1$, the new value is given by the inputs and the previous hidden layer; if the input gate is off, though, the cell is either unchanged (for $f_t = 1$) or simply set to zero (for $f_t = 0$). In this manner, the cell implements the main memory storage function of the unit.\n", "\n", "In order to let $f_t$ and $i_t$ range from zero to one, we let their activation functions be the standard sigmoid, a differentiable approximation to the step function. Both of these are fairly standard, and depend on the input and previous values of the cell and hidden layers:\n", "\n", "$$\\begin{align*}\n", " f_t &= \\sigma(W_{xf} x_t + W_{hf} h_{t-1} + W_{cf} c_{t-1} + b_f) \\\\\n", " i_t &= \\sigma(W_{xi} x_t + W_{hi} h_{t-1} + W_{ci} c_{t-1} + b_i)\n", "\\end{align*}$$\n", "\n", "The only caveat to these is that we enforce a constraint on the weight matrices from the cell to the gate. Recall that the gate is actually a vector of cells. We enforce the constraint that the $m$th gate element depends only on the $m$th cell element, so that each element of the LSTM unit acts independently. We encode this constraint by enforcing that $W_{c*}$ is a diagonal matrix.\n", "\n", "In order to get the data *out* of the cell, we have a custom output gate. The output gate is computed just like the other two gates:\n", "\n", "$$\\begin{align*}\n", " o_t &= \\sigma(W_{xo} x_t + W_{ho} h_{t-1} + W_{co} c_{t-1} + b_o)\n", "\\end{align*}$$\n", "\n", "The output gate controls whether the hidden state comes from the cell:\n", "\n", "$$h_t = o_t \\tanh c_t$$\n", "\n", "When the output gate is high, the hidden state is set directly from the cell; when the output gate is low, the hidden state is effectively set to zero.\n", "\n", "Note that in this diagram, $h$ is the entire hidden state. However, in a full RNN architecture we may want to mix LSTM units with standard RNN units, in which case $h$ may contain other things as well." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Bidirectional RNNs\n", "\n", "In a standard RNN, the output at a given time $t$ depends exclusively on the inputs $x_0$ through $x_t$ (via the hidden layers $h_0$ through $h_{t-1}$). However, while this makes sense in some contexts, many sequences have information relevant to output $y_t$ both before timestep $t$ and after timestep $t$. In speech recognition, specifically, the sound before and after a given point gives information about the sound at a particular point in the sequence. \n", "\n", "In order to utilize this information, we need a modified architecture. There are several approaches possible approaches:\n", "\n", "- **Windowed Feed-Forward Network**: Instead of using an RNN, simply use a window around the output and use a standard feed forward network. This has a benefit of being easier to train; however, it limits applicability because we must have a window of *exactly* that size, and because we do not use information far away from the output (the size of the window is limiting).\n", "- **RNN with delay**: Instead of predicting timestep $t$ after seeing inputs 0 through $t$, predict timestep $t$ after seeing inputs 0 through $t + d$, where $t$ is some fixed delay. This is fairly close to a standard RNN, but also lets you look a few steps in the future for contextual information.\n", "- **Bidirectional RNN**: Add another set of hidden layers to your recurrent network going *backwards* in time. These two hidden layers are entirely separate and do not interact with each other, except for the fact that they are both used to compute the output. Given your weights, you need to run propagation forward in time (from time 0 to the end) to compute the forward hidden layers, and run it backward in time (from the end to time 0) to compute the backward hidden layers; finally, using the values at both of the hidden layers for a given timestep, compute the output at every timestep.\n", "\n", "The [paper that introduced bidirectional RNNs](http://www.cin.ufpe.br/~fnj/RNA/BRNN.pdf) (by Schuster and Paliwal) has two graphics that are very helpful for understanding them and the differences from these other approaches. First of all, we can visualize what part of a sequence each type of network can utilize in order to predict a value at time $t_c$:" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<img src=\"data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAABDwAAAHsCAAAAAAbB+K3AAAMQWlDQ1BJQ0MgUHJvZmlsZQAASA2tV3dYU9cb/u5IAiEJIxABGWEvUfaUvQUFmUIdhCSQMGIIBBX3KK1gHag4cFS0KmLVagWkDkTcFMVtHUUtCkotDlyo/M4Nwz592v9+93nOue99v+989/2+e+55zgHQtBXI5bm4FkCerFARHxHMn5SaxmfcBwK4oA1u4C0QFsiD4uJi4D+vNzcAo4xXHalY/+n27wZtkbhACIDFIXOGqECYh/DPACRHKFcUAtCaEW8xo1BO4U6EdRVIIMIfKZylwnSkHnQzBrClyicxPgSA7gWgxhIIFFkAnFDE84uEWSgOR4Swk0wklSG8BmF/oUSAOM41hEfl5U1HWBNBsM34W5ysv2GBIGM4pkCQNYwHcqGGglqotECeK5ilevh/dnm5SlQv1WWGepZEERmP7rqobptypkdTmIXwAVnGhFiEdRA+KqUyHsCtEmVkEsKUf7uwIATVEngIvxYJQqMRNgLAmcqcpKBBbC1QIKTyx4OlhVGJgzhZMT1+MD6eLcudQM0PFAefIxFHDeFycUFYAuKRBjw7UxoehTD6VvjuYkliCsJIJ15fJE2egDAH4eaCnARKAxXnSrEkhOJVPgplPKXZEvGdmYpwKkfkQ7DyChBSxSfMhQLVu/QR71YoSYxEPBpLxIjEoWEIo/cSk8SypEE9hEReGEzFofyL5bmq+Y10EuXi3AiKN0d4R0FRwtDYM4WKRIpHdSNuZAvGUfMVaSaeygvjqJpQet5BDIRAKPBBiVoGTIdskLZ213WjpwFLOAhAAVkgBsdBZmhEisoiQ30CFMOfIEM+BcPjglVWMRQh/tMwOzDWETJV1iLViBx4jN6QRxqS/qQvGYP6QNRcSC/Se2gcX3NIJz2MHkqPpIfT7YYYECLVuagpQPovXDSyiVF2CtTLhnL4Eo/2mNZGe0i7Tmun3YZk+EMVZTDTadJFiiEFw5HHQzuKNlAVMaqYDLqGfEhrpNqdDCb9kH6kneSRhuBIuqFMgsgAlJs7YoeqR6lWDmv7Usuhug/5Uar5f8txkOfYc9wHVWQMZYW+5FAl/hnli0UKIuQV/U9P4lviEHGWOEmcJ44SdcAnThD1RAtxjMKDmsNV1ckaflu8qqI5KAfpkI9TjVOX08ehp+FcBYihFFDfAM3/QvHMQjT/IGS6fJZCmiUp5AehVVjMj5IJR4/iuzg5uwFQazrlA/CKp1qrMd6FL1x+I4B3KVoDqOWUT3kBCCwAjjwG4L75wlm8RL/USoBjl4VKRdGAH0ndaMBEC6YuGIAJWIAtyskFPMAXAiEMxkEsJEIqTEVVl0AeUj0D5sBCKIEyWAlrYSNshe2wG36Eg1AHR+EknIGLcBmuwx00NzrgGfTAG+jDMIyBsTEuZoCZYlaYA+aCeWH+WBgWg8VjqVg6loXJMCU2B1uMlWHl2EZsG1aN/YQdwU5i57E27Db2AOvCXmIfcAJn4bq4MW6Nj8G98CA8Gk/Ep+BZeD5ejC/Bl+Pr8Sp8L16Ln8Qv4tfxdvwZ3ksAoUHwCDPCkfAiQohYIo3IJBTEPKKUqCCqiH1EA/rWV4l2opt4T9JJLsknHdH8jCSTSCGZT84jl5Ebyd1kLdlMXiUfkD3kZxqbZkRzoPnQomiTaFm0GbQSWgVtJ+0w7TT6dzpob+h0Oo9uQ/dE/2YqPZs+m76Mvpm+n95Ib6M/ovcyGAwDhgPDjxHLEDAKGSWMDYy9jBOMK4wOxjs1DTVTNRe1cLU0NZnaIrUKtT1qx9WuqD1R61PXUrdS91GPVRepz1Jfob5DvUH9knqHeh9Tm2nD9GMmMrOZC5nrmfuYp5l3ma80NDTMNbw1JmpINRZorNc4oHFO44HGe5YOy54VwprMUrKWs3axGlm3Wa/YbLY1O5Cdxi5kL2dXs0+x77Pfcbic0Zwojogzn1PJqeVc4TzXVNe00gzSnKpZrFmheUjzkma3lrqWtVaIlkBrnlal1hGtm1q92lxtZ+1Y7TztZdp7tM9rd+owdKx1wnREOkt0tuuc0nnEJbgW3BCukLuYu4N7mtuhS9e10Y3SzdYt0/1Rt1W3R09Hz00vWW+mXqXeMb12HsGz5kXxcnkreAd5N3gfRhiPCBohHrF0xL4RV0a81R+pH6gv1i/V369/Xf+DAd8gzCDHYJVBncE9Q9LQ3nCi4QzDLYanDbtH6o70HSkcWTry4MjfjHAje6N4o9lG241ajHqNTYwjjOXGG4xPGXeb8EwCTbJN1pgcN+ky5Zr6m0pN15ieMH3K1+MH8XP56/nN/B4zI7NIM6XZNrNWsz5zG/Mk80Xm+83vWTAtvCwyLdZYNFn0WJpajrecY1lj+ZuVupWXlcRqndVZq7fWNtYp1t9Y11l32ujbRNkU29TY3LVl2wbY5ttW2V6zo9t52eXYbba7bI/bu9tL7CvtLzngDh4OUofNDm2jaKO8R8lGVY266chyDHIscqxxfDCaNzpm9KLRdaOfj7EckzZm1ZizYz47uTvlOu1wuuOs4zzOeZFzg/NLF3sXoUulyzVXtmu463zXetcXbg5uYrctbrfcue7j3b9xb3L/5OHpofDY59HlaemZ7rnJ86aXrlec1zKvc94072Dv+d5Hvd/7ePgU+hz0+cvX0TfHd49v51ibseKxO8Y+8jP3E/ht82v35/un+3/v3x5gFiAIqAp4GGgRKArcGfgkyC4oO2hv0PNgp2BF8OHgtyE+IXNDGkOJ0IjQ0tDWMJ2wpLCNYffDzcOzwmvCeyLcI2ZHNEbSIqMjV0XejDKOEkZVR/WM8xw3d1xzNCs6IXpj9MMY+xhFTMN4fPy48avH351gNUE2oS4WYqNiV8fei7OJy4/7ZSJ9YtzEyomP453j58SfTeAmTEvYk/AmMThxReKdJNskZVJTsmby5OTq5LcpoSnlKe2TxkyaO+liqmGqNLU+jZGWnLYzrfersK/WftUx2X1yyeQbU2ymzJxyfqrh1Nypx6ZpThNMO5ROS09J35P+URArqBL0ZkRlbMroEYYI1wmfiQJFa0RdYj9xufhJpl9meWZnll/W6qwuSYCkQtItDZFulL7Ijszemv02JzZnV05/bkru/jy1vPS8IzIdWY6sebrJ9JnT2+QO8hJ5e75P/tr8HkW0YmcBVjCloL5QF22eW5S2yq+VD4r8iyqL3s1InnFopvZM2cyWWfazls56Uhxe/MNscrZwdtMcszkL5zyYGzR32zxsXsa8pvkW85fM71gQsWD3QubCnIW/LnJaVL7o9eKUxQ1LjJcsWPLo64iva0o4JYqSm9/4frP1W/Jb6betS12Xblj6uVRUeqHMqayi7OMy4bIL3zl/t/67/uWZy1tXeKzYspK+UrbyxqqAVbvLtcuLyx+tHr+6dg1/Tema12unrT1f4VaxdR1znXJd+/qY9fUbLDes3PBxo2Tj9crgyv2bjDYt3fR2s2jzlS2BW/ZtNd5atvXD99Lvb22L2FZbZV1VsZ2+vWj74x3JO87+4PVD9U7DnWU7P+2S7WrfHb+7udqzunqP0Z4VNXiNsqZr7+S9l38M/bF+n+O+bft5+8sOwAHlgac/pf9042D0waZDXof2/Wz186bD3MOltVjtrNqeOklde31qfduRcUeaGnwbDv8y+pddR82OVh7TO7biOPP4kuP9J4pP9DbKG7tPZp181DSt6c6pSaeuNU9sbj0dffrcmfAzp84GnT1xzu/c0fM+549c8LpQd9HjYm2Le8vhX91/Pdzq0Vp7yfNS/WXvyw1tY9uOXwm4cvJq6NUz16KuXbw+4XrbjaQbt25Ovtl+S3Sr83bu7Re/Ff3Wd2fBXdrd0nta9yruG92v+t3u9/3tHu3HHoQ+aHmY8PDOI+GjZ38U/PGxY8lj9uOKJ6ZPqjtdOo92hXddfvrV045n8md93SV/av+56bnt85//CvyrpWdST8cLxYv+l8teGbza9drtdVNvXO/9N3lv+t6WvjN4t/u91/uzH1I+POmb8ZHxcf0nu08Nn6M/3+3P6++XCxQC1V6AQD2emQnwchcAOxXtHS4DMDkDZy6VBzZwTkQYG2wU/Q88cC6jDGgPAbsCAZIWAMQ0AmxBzQphFrpT2+/EQMBdXYcbYqirINPVRQUwlgJtTd71978yBmA0AHxS9Pf3be7v/7QD7dVvAzTmD5z1KG/qDPm9AYVabiL4j+t/QntqDorEd2EAAAAJcEhZcwAAFiUAABYlAUlSJPAAAAGeaVRYdFhNTDpjb20uYWRvYmUueG1wAAAAAAA8eDp4bXBtZXRhIHhtbG5zOng9ImFkb2JlOm5zOm1ldGEvIiB4OnhtcHRrPSJYTVAgQ29yZSA1LjQuMCI+CiAgIDxyZGY6UkRGIHhtbG5zOnJkZj0iaHR0cDovL3d3dy53My5vcmcvMTk5OS8wMi8yMi1yZGYtc3ludGF4LW5zIyI+CiAgICAgIDxyZGY6RGVzY3JpcHRpb24gcmRmOmFib3V0PSIiCiAgICAgICAgICAgIHhtbG5zOmV4aWY9Imh0dHA6Ly9ucy5hZG9iZS5jb20vZXhpZi8xLjAvIj4KICAgICAgICAgPGV4aWY6UGl4ZWxYRGltZW5zaW9uPjEwODQ8L2V4aWY6UGl4ZWxYRGltZW5zaW9uPgogICAgICAgICA8ZXhpZjpQaXhlbFlEaW1lbnNpb24+NDkyPC9leGlmOlBpeGVsWURpbWVuc2lvbj4KICAgICAgPC9yZGY6RGVzY3JpcHRpb24+CiAgIDwvcmRmOlJERj4KPC94OnhtcG1ldGE+CqyY+mkAAEM6SURBVHja7Z3ZraswEIZHyiuSO0gNFIDkAijmtJJiKMASBVBDOrDEK5LPgxdsAgbMkoX/f7n3kECA4C+e8SykIAiCEkS4BRAEAR4QBAEeEAQBHhAEAR4QBEGABwRBgAcEQYAHBEGABwRBgAcEQRDgAUEQ4AFBEOABQRDgAUEQ4AFBEAR4QBAEeEAQBHhAEAR4QBAEeEAQBAEeEAQBHhAEAR4QBP0iPEqJuwNBUAI8OCYlEAQlwEPmgAcEQQnwEAR4QBCUBo87bg8EQWvhIYmItbg/0AdLFkSUERE9rXefkdGtVkqpu/m/2+xJSnYz/830hlYpVbttTCml9HsyJkcOwACPaXgQx/MJfazqiog4b8qCiLJGb2xzw44//TfPiW5/ihFRwblmCOf8RkRSqT9NCtaUPCeiXHTeNvMxfzdijZJEdOOc69c4LwAPwAP6VulBrpRS3cMb7FLTo/bM71opRvTolNJj34BHKqVqsuARRERUuMlL/+wz4no81Eop+1oncsAD8IC+c95xIyJ6KqWU6goiyozl4gCh7GtKKUZFF7wmNTyUMz+6wr4mKTTZGWuVkuazerBwwGMKHk/AA/pkVUREwvxRap/FGDx0vBKjcvCaGMDDe23gz2BMKSUNnLxZCQM8prYTEeUIMoU+mB0OHtrUYBF4vLy2Gh5CAR5r4NF/ORD0Wc9t+OPGeifpKDzqeggPKbo18OiEHMKjrgEPwAP6Unj0ZjXzfREj8FCj/pAV8Jj4XMBjRHZdHHYL9ImSo/AYOEWNebIMHtphygAPwAP6bbFReJB4BUTDFsGjexAR5S3gAXhAgMdis+X2p8rC86EAHpvgURLgAX0RPOQWeNCNEVElZasAj+3w4BYeT9wh6AvgoTbBoxyMCcBjF3ggLR/6BnjUfT5K6moL4AF4QFdQvf9SLeCxDzxcWjLgAX3ocwt4fCg8xI/VLJAyLMdQSAlP8A/YLUUX/Dkani78R3g5PHwwAR4r4KHXvH8FHo2oRgq5ZEJ0+Pa/+PdgJLdF+z11qr6Xki+T4OFC35sM8FgBD/9n2hZV+VbZAjA9NHI3/+B/+P6/VX5QlwFG5YPEPrdt/ujm4DF8xoOku4YR4JEIj6++UTVjgakipZSqlbIKStVBX0mPoo9Dqn12hKHrLMjP0vDwavPex55xfQBTH0SEwU54bmbg8fwFeHSSxa7B1q+E72ONreDpQ/werFXyOYjVkJmJcKyC7fLZ/5D4ABqJZyr9uWp/qbJ/P56bCXjQD8BDGL/NjbOJa/jj5NW+hOJzOCFE4DvKhBBCvHcItZwR5SIjoiKI82q4XS70t5e8V2ve5zQ4dGkxwXnjfV6vEs/Ej8KjMYVq6SFqxSevQfi1L6HJe2kKBo8o5+/1GzXCqBuDndjgFO/MAfAAbIHHF9p3btoqTYr2FADFYFoKDXVn2bBfwWDZ+8YY+vsAHm7shY/Ll8HX+jqYhR5/yV0I4QG/x5R/w/oImJZ/m+5ms3lHhbgZwEMp5fXNMVP/r4qHML4Oz9b9m7ZPDTzg93g1B5x/g/HReZthru53gnsIeFh7MZh3fFcwlXaT5wvP2UbSwu8RqPViY8TErXHPhRTCQAb9BQEPsyJXEbFvi+I2XQXl0sdYEFFRwO8R8tcLjllWksHEzezq+5ChmQR9CzzswPqy0HQZ+joWwYaxUgcK4UnQ99D4Odhd269LB/B9kGuyy3eJrwPwOEWdENlIXRelVCPEjFVS+LkQF5a9hzcTByFX+JJ1j9jd/GPMtH6EAI/jVZi4jtdX+GysSvf48hyehnM/mCnVXrGBUXUPk+UwkDpYa58L+rt9m6Me8PheeNyJiJgce97m4aHE90bSSsaYjsXIhsupa9mh7ZWFfq6Rz9I9XvcIvaxve5pAEOAxrSfFgr0WwEOVfmLVl9gYko1HfdJTrl73aCXRuoC5sfAYnS+0w32saDo2BwI8dpyzi/hyawQeUoi6p8cXefcbIR59iHih/RTcxlxQvi6sujNlCtaYPuP3628XeoAdgMdJ44jN5OBEHKbeNX6Tg66Pw3gIIaTqHuY6bMwFEa3IOSmn/EUtn47dmIBtTTsMfKy0AB6nyOVepPgsvGt8hnUePlh9HIbz8XjXIW3a+G1hE3cTGzPmY4ittkzN1J7b6XFHyw/A4wS7vzDFXUqW5KjzB132DU5T65uYCciq+5yThb6O0a9apphym52dLUODdcDjcGm7P08f8v41fsGKi7AlFOdDwd2yayzGxfo6bhO+jiR4bF1mlTnSBb4dHm3+6bEPppjPbEGav+maE73D9PMfWq9GiVhwmjbgixgfv/6G82Fcxz7wUPUW/1Gbo0bo18NDfngtj+VlBPnCh7Flm3NcapO8vv9SgaurMR7LMn6L+lqtrzU3/Dodal94KNrgP5K6tCD07WbLqiSzU30dw5odSk6f51J4bHHUeUWV9+8N0x97Km+nm/4sC1lbyEd6dTqI1UomrmzEuC2z9DyXJ1Zafgceff+KT/N1BPUp2TQgFsNDFYkPbte3cxi0edjh3kmRzfbQifqn/iZKCOr7dwQ89PJ5ktOzRk7LL8Fji0PyGJUjRYp2gYdMW2XsnZNCCO3UfGR73Tsb+CUeqfBQjIgq8QjAUdl6HF1inc64xdhkfU+VVWIo7PYj8GBSmwefFP8gTZSDDG3/CDza6dfqgS8gIbTRleIrrOXAiUg4U2OL/0O6g1cyCohZeFTatKlM+PpCgypWU2NmkMu0ZoNfmCYAeEw+kKZOw4f8GFhfx+3loWZbg8Q0TFbGKIwGZHE7ZbdOzufGa9WAqyOFhmfhkRY3EXOYziXhJdGjK7DS8kPwsKHQH/Bz0OdzjCw97gOPVTEKrs7noG0l91oV6pYF6/ubRK915O3RPiKHwGNObb6+runXl0YAPIYDq8lW5kocIj+fY8wAEc0e8Fhhc9ucmpd6qY3wnMx1leD7mLnWtapj8Go550fAIyVupviJxuqAhz+w5Lv7t0ivyL+Ua5cAyzXT/aWzbWeSjC0RB9uMacMWlUcsdZxI8rUmzS7oEHgovro2LNpg/B48TCPht3yxUvb9QROX//gqO1ouuM61ztAYaAL1sSKvgWbdMdWoo/DYVoR4bdwMVlp+Eh6LQ8H3k+n252IcbpydAo82n7vOxi1iL56L2d6psTobsu8FextLhT8o1yg1zmNJS451tWGTl3ehz4aH/VHc78vlr/L7CPNB4yndc3YaHuW0T2YdPJTMo5Dye5qsGMx1NdI42YNLX8iHHqJeZmIF+0cmQWWsyM8hQWLesZc7QDkhm/ZH4WFs9316c9RsrITedFk923N2+uHaJUhswUN8Nyf5fE7D4z7q33DmVzYwSUrm55lM5q5sivMQUVPpKHioakWSG+qW/jA8lO1DuXHV1vdh3NgUMEyD1DocZKVKgEe5Oj6CxpOzgp4mEc/q9GCtI9er4zmm2XwUPOIep23wWOHH+J5iTFASPGzMx4Z1l7r3Ceac/w3NFx2Sxnl0Gr4SHjHDfXzQ8XxkCVa6FPfW+kbKhMFajHGDcz67FB4zTd4QJLYQCktDRmuUHvxxeFhn4S21R4jnOBx/oJsssZDMrvAweT1Bs2yXY9I4mIiUwSp1Xol1jlZCCLF5EfwN8Fjaw3hhxD9DXPrPw8MuU6bVvfCXLCce6NQHfV94mPKGxJi8K3VnLq29WjhVF/MDUmfH7/Mlxpdx5TsiTNfRAzktl4CHUiVbWZTGPuDa3jcP0kTQ1J2xE4PRIvkiMnvxSewy0uMDkp8eYXlckJi9xwu6MYjPLjwF7QaPWGvHyPPxWoNjrc0jkkLQU/ezJtZo/grgsVzzvVyQ03IheNjiNytAUI7ngawRT8y4TN1P1aLXbmuI8f6vPDWW4xB4xM6Vc7405me2lwsajV8IHq5k/8LwZVeDY1OI2enwiKfBx17bcI1Jy7F1tEfLPRZGf2SQmNVML5c7VlouBY8eCGzO6dfJUdBMJH2l1iJNCxKbyxdJi62Q6QltifDYsNoiGWNHwyPq9+iK3fxJ0JfAw5kiM3Efti5F+fLwTay2TNZMPQAec9eYNmA3NC36S4vlOGipNma2rEtim/Z7dA+0WrgiPFwTotuk/fvHp+pSrF+qjTk+/26RXrXZEfBo8zR4tMt9BW+Hxw5BYoHfY8zpXND6okHQD8DDb384ssTq4iPGpvH7xnlEZyXTptCWmUc1OXqWxXmsngZETKw3wGNtjMpzdLlbL/vDZrkkPEweiN+rRMtLPR23Z/eFR0lbe9VOHDfBKVpGYxbkEWNlg8M0FR5JgB84zXWuUAZ2XBgeRfuSQh/2BlkFj7SWj2nDo2FHxFWUsZiQ+IBsxNlLlrHWC/vC40+3t8x1OH4nxLZUB+gn4CF9/8dAkdyI0ZcitUj/eFqgVsQJ+YbBer0gMQ9Ujz4RsFjgbIcuAg+lWjnQzmXlNsR5lJ9zv5PhcUhcyUycx96FDzvJBi05MQCvDI9Y/45981QSnaJbgsRmnJTrz2X+GpNWVDbUNz0jSGwIQcZuxBLjS6Afgkeq1vcyOSDOY/Yk48ujk+eS3N/3kCCxaOuF0+FhTEmMPMAj/XPHHaaRepsHwGMuX2QmzmPyXJKDxM6PMD2mVy0EeJwPj8iD3rJUeLCD4jz2h0ebFstxVJxHeq9aCPBY8IBFrO1YjsdHFwNa8HokJ+SYILH063hLr1oI8Ng0fY09tF8Bj7Q0+GisyiHw+MBetRDg8S3w2KtX7R6KnssbBuR7etVCgMdR8JhMyZcn9u44qIVj+q95ye7nn89pQWIQ4LEUHmzfDmBvKAZ0xGCN+gr4IbEcyfCAXwM6Eh6RcnTRNodfAQ8Z7y27f2h14lKtFOIIeEQtvh1LM0IXhcd5ikJgv161g3uQUJynTC+WnB7n8Ym9aiHA40xF0sc/L8J0shhQchuB84PEVKI1BHhAXxQklt6rdnI/MTMCTi9DuPu5HHY+gAf0RfCIKVJqMHVAHgSPLtVX8IZetfvVMIUAj7GJdqQmZ8zWPrEM4SZ4TBog0w7Tt0SY1gfAY49etRDgkTR9PS9IrE1Lg5+7xi7JH8Co6M6FR/w8k2NnEOcBfSQ8JnvVljsDYgYeZwdlPS9cSQwCPHaBx2mmSaxlQ8P56fc7FR6HxJUAHhDgccB+cbjEckKik53UXrUHObBP6FULAR7rp+H75qm8AR4Rs0Ye0l/1kF61yfBIn0FBgMeRtvaX96qNDLqvqiR2Sq9aCPDY1/Zf26s21lLy/F61x8Djs3rVAh7Qd8Fj+kGP1cmIwCPiMP04eMSmahGH6UHwiPXVBTygt7Ve2Lvd5FFBYpMXl1jD9JA09y/oVQsBHqt+gc4LEovmvaTDI033GDTf0qs21j8nQgEs1ULfBQ92Yu/SY3rVJv+av6n9JeI8oO+Cx3m9amP1NdCrFvCAvg4e65Ucr8E+qgzhF/WqBTygQ+EhP6NX7THwmOlVu//IOqRXbTQnCEFi0NvgsbMiZksUHpH9Emt9zN2D6dfq9X14N8JjbrUl0js30jYT8IA+Ex4TtT4iDtPP6lV7TCWxd5QhRK9a6MvgkdCrNjb9jsAjst8bKonF9Vm9agEP6EfgER107B1lCCccmPdjKolFGkKhVy30jfCIpZjHbP+vKEMYM2si6fqnlyGc61WbGDuD1RboUHjsHiSWpzyvkfyVLfBIVAyab+lVG7k36FUL/Qo89i81GFNXfFiEKXrVQoDHLDxOM00+rVdtapAYetVCgMePwCOxpmgbLd+HXrXQheCR6jDdGQLH9KpNa7SEXrUQ4HGkP2B96Dp61aJXLfQ18DjSV7Bvr9o2uVft/kFinH6mV62UgAf0C/A4wK/xBnh8U69aGfVnAR6Ax0Z4/Hqv2vVNmOLwQK9aCPBY8At0Yq9adlSvWplwLp/Wq/YIeEDQ5/WqnXakJsY5RJLNDiqwkz4g29PLCiNIDDoEHllzHDwSjP4sS0rR4JQ1n3O/JXrVQjBbzoYHp7QEr4OCxBKbZHfoVQsBHtaWiCSB7d2rtujOhUfMrImk5Ce1gdgCjw3mF4LEoLfBI/kXb6pX7SRwovA4tVetlFI+aWowt+hVCwEenxXn8Xe7TS/9RuFx+0s0BUZeF0IIMspGp/VbgsTQqxYCPA6Ah6qjsQyT59qk9ngdef2PU6ii3BUesalafjo80KsW+hV4RFSeEiQm2Y1e9LK0PBMklpzmnljf9KAgsSfgAf1GkNjxvWplZWHR+wiYgckgQC3qMJ1Z/pTan1JJKXer3zHXqzb1XCHA4z3wmAhdj6aP76651gueyaTJkQ/e/8cLIlpTOjE2IDshMn9Skwkhjr4FkqgCPKB94SHzD4vzSO1V+8fLrR/d8JsezK+X2j00VDbDo+EaRAOl1wZZfD4IEoN2hsfC+IivqCS2kR5SzweewYXWxnzp9JC/b4PHnXlzjqdPj5vxkaBXLfRrZgv7+F61G4LEOimlahkR0Ysfo+r9JXrgV4kf0krrT2HZ4J7XTG+X3cm9altpY1l8Sdm7YrDaAr1ttWXKt5DWqzbWemFbJbFM5ERExdigY/696umxqldtp4+vTR9Bw5iUUr/26I7qVStHrk24cxqXiXWpMHoAj+Ph0XAr9xs4Ycsn9qpNfW0eHnpcvwaDBfCQ2tFZ2mtYYa4VbkDK0VgOM5CL8qAgsZf8lYYPY1mqKZiUGD6Ax4HwuDPGmGfPM636PlHnM7FXbRwebGq/OT+CgcfY58owPF1P8bWZthweNdN2SWGtjrFYjlbqpZczIkxL77uqJOklY2fGSCllGOPC2L6mKXQpeExa2yM28+AHTW570Aez+xTQzcV5VEREGZsa+IO/rVN1ruCw81MYh+hsqIkNTjsUHp37vhgzsSxTDlOpfwG8OFt5brMu6DfgMe44a0TlLzf6yn3bWe4ED3VEo+u6IlpTBqA0sRnZkjKE4mEWUxYtxf7ppeKpU2lYHB4LrsGeD7HetJxZbSk59wCSoywh4LEDPFrvoRLDQCcphHtQX+MjEnvVxvuxTo/kSL6IGbBrjltNQ3EAD5cjUy2c9M+ArIkVClrQq7Y/H/+980u1jfddUnFqgB/02fBgbQo8Sm9CW8mpKXJvNtfhpP7U6e90CrwxFZ7rYDQf8yGJmAtrfzH5Ir1qZTFtQm2QJCJ7PmxwPoviPDrplpqJMsbgRQU8EuHh/ByM1TMmSA+ZZcBIXjVJMWlk4nLCXf8GT8/gn8Pgr4Uws8ForN0fHuMJfuuS+KT3kwH/B+CxaLAWHjxcbgbjXPsA4v6Lluuwb8qX5HCMw6MTvuRO8GgyIirKWA/YiZqi2qfzmDAjGuGm+H/rZkKxZeMN0ubQS87OAnfRay2T3geSCyFgwQAes7PW/iEqi4HdvCBYqq4W53CMwOMlH2Q0x2Q9PBrmArNWF+cxMR9jhYvafnB1a82oWMDaTILfdO/cxsJ7vZ9p1lHOUOMUZsvi3zBml+1WzpvdkqVcCY8gH2TCh6JSlnFZZkyP1F61xv6/j5tpz1i8SvzzdMzHi19hbql2oncuy+bOZy08vO+T6PS2FtAnwWPxUdqFAPAfPvFqLxdyWa9az+FKN6YdkLc+GE1O7bfoGnUuy33uHkThkbEgH8Rbt052KJrPk2N5NClxHsa5m3o+LOpMLRn8H4DHIpn8jGJN+vjggS77+I9Z90ftxSSYNH0zKzGh1dnS3JKxa5R5b/4kw0OoIAbCco5zvrlXrTY1wiXe9fAwS7/x9hGxc51bieGr/FnQJeHBufU7PLrX11b0qpUuQSz2W1W6hzKIwehNmmr+GNFr5LnnA4j1gJ1uwmQGq3OOGmkn6g5p7vVLcNlKeFhH9VwzrJnCRXMQ7P1ZiP8APCZs3z5tfA4Q0ddaZytPTaX95UAWBEl4/pAqHsk9c433YDm0S6oE6AZrn9ZeuZYSe9TIeBJR4MeIp+S/9M51vheVDo+F/izn/8FIAzxGnq9pX8fqXrU1652Kfg1PKaWUxeJAJDYBs7HPC5x6XXH4g/7cpVdtOeLbWTqeQ1/H4cWAXpL/IMBDT92zWH5GSp6KH9qemeiNME9mfgrc5r4Z1SyOORAPSmxpucbXk9irdoQe63vwWlOqX9I+o5KY9WftGfvhx/coqf+tB6+FMUDG9yLDv6fe6x8POgAe5qGYzM9IS3ILk+qGfoNlP68i6+MhFkemlqse8OXFk8PbmtqrdvB5GnTr+uVaJ64ff5Paq7Zd06tWmkZZjPNyN3g4D3tl/WU2tsa8VvXveWQulsj51h6if+9DyJGnDbbWYfAo2VxcR3Kv2pF0flbRmmZKss8xWQqP8jWXZaZX7f4P14p2k37t1EX1Te3yaZhvlNqrdu2sxC1X75b70trr8f4vvNe87UWnl8tvTHrb+vcWnc7xsTEqRaydKLQIHrFBJ6ttjrAlvWolY6yvmxFrpvQKCGkDvRb2qn1xQs4BIrZUW3THw0O52qnSr6c67gKq5HjgWnqv2gST5t4nBO4TYa/tWO//PfyZv50ppVStP1vZ/KPSuz+lTlgMj8kBjy3wmK41oW3n24YluJRetatKFOoQcxGFhxuQ+npe4lSS4NFkp/WqbfSPaBX9lWyyPs5kP6dDleQPsan/D3EQPMhNI4fwUNy9PjBJGPNg2B/z7wZ4HGG2mIe23vTFr+9Vu67IsR40UYepvUZ9PdWqezD52kG9akc/r48lmfuuVtQOWeTXSK2ebgP89uhHMwYPC9/18NAOdu+YDPDYHx53N11W6a0X9m032Y4lv8nZ8OtYyPccPCZjK1p2SK/aic/r22BOLH1nNFqrY6tpktwMszOxHzcm94THc0CP9fAQCvA4Gh7aUeds58/oVTvRH1bM9FPRyWZsTdOmeZXRosAHNFK6+0WLZWuKFfsFeuK9auWJ52qhlpZEGZ15iDt5HTDWwmPsmNDO8DBLhK3aCI9TetVOmSPudc4n09wPUnxANmmxBU0fsk95PsinaebO5x0d41z5hno/eNg2FhXg8ZHw0A4vPzjps3vVNtmcfU0TeTnvgUeyh7/2aon6RYlnYfSudpM2BmOb0z2ER/foLZcXeHg/JIDHCfB4WZPXy11BTsSH96qVMw/DnZaGsr8bHnOxHJ2UUkpmeq1otctuUMSkOfBOtCaWJ325P/R5iL5U4wg8fL8W4HEGPMKbKMdiIeSn96qtb5OWy2ysSnqXtuRrTPq8uV61ETimBont06t2PHAtBR7a4Wm6cxZdAA9dJ6bPiQI8TofHvAlwrDb0qh21rc0yZyyXJQ0eq3rV7gKPDU2fdOuFd8GjD5mvd4GH6+0rAngwzn1fGuBxAjx834b+kh+72SHrHaYbetWO2NY2AS+aXLahGNC3wGODybCTTdOYmq/ra576A70w1+gWmTx4DL9jwOMEeLCBbyA97HqxPyTWq5ZtgQdRJv3pMluS/HQ6PCIp+T8LD9euY3XN06HDtHdyhfBgCvB4HzwiBXZSg4X2jfOYK3Jc9nEQrkANMZbNwKO+pTgw2ZJ2k7vqIHjEzpUxtud13FlC0twYPNyPBeDxGfCIlfaL/QLFbP+dg8Tm2iuUxUu+NW/nnZ5/KSnk58NjpvXCEfDYWy1nqx3Q4/DQbULn4OGZMoDHcfDQsR1TIPiQCNPZXrWuIZVxqIlGHbRiEneYnjkgl0A85jA991zXB8j5EPCem3oJPDxAAB6HwaOO92xNhceSlPzBD+jWPqiulKH7jE6eXhtPzrQsuJ9/PhSZQn106UAfDP6z9lwCDxdyUBLgcQg8TCBPNMFt8gFjHxAktsSkSVNaceSZxDieGstxDDwi5yrfX5JUjpstpkk5f31PuGPlnvP7EB5FBzBshYfJ+4j6sYpfhoeMhHeLbSUJVsMjZmLJ9N4o7w0S22TmZF6rzDoMI7DPin1PWE7RLNNXQgghHn5eky1x8AA9tsJjSeGWSJ3LOhPyJHhE817S4REZsG0++VqZHkiXHucR7VUbyR/5Wni4OiU5t4mBpQ8W7r9nmPjY+P6vbgAVIqKiBBq2w2NL3kfs6ZoIXb9P+zVSg8RKOgIekiJxHrf6dHgkL9Um2h9vh0fXp/C4//tfDx+8Z3DVT9cOtAvuxdQO0Hp4HFYPJWG1JREsa3vVboXHQZXEUsHy+UFi0E/Cg/H2g+Cxvz9kAzzafMpUiMMjuVft2vqmO8Ajdq4F4AGlVk93QyWSk7CqV+0nwkPmCU7KODyOijCtD4BHsa1XLXRleLAF847PCBJrF9WuWA2Pxe0fg32irRcOgUd82Vimtjp4YnYBJcNDHQeP9Q7TREDEtKhZ0r7ap1ftfoqttgAe0LvgUX9GnMfyXrWn3O/USmLymN6pMXhwfpzPCwI8viDClB8SbpxaRCe5V+0xeTjJcR4twAJthUescr/ctTboG+ARMWtSB93cuSbBY4P5dVavWgjw2O8Xb3Vi3AFBYnP5IjNxHvvPdM4PEjuxVy0EeOxl+6/uVRsJQY8OWDbfq/ZT4JEYy/GGILEK8IC+Bx7Jpkk926v2RHgkOyFjMScHweOIXrUQ4NE/mR/Rq/b8CNNpZ0/8XNIHXWJ9U6XkEZXEkP0BvS3OY+capmmFgg6ZXcXP5R2/2B/XqxYCPA6AR3lMUtmEGkZnd0KnI3rVblBstQV+Degj4TFVV3O63mZKr1q9X/lBg5WO6VV7CDzg14A+Eh6n+TUUX13S/0g9j+pVewA84NeADoXHZ/Sq5ZEkvg1Lp5E4kI8KEpvrVdumwSN9BgUBHgd+7oR5Ukd7zvKdX5ur/Zm0VPuBvWrztNYLgAf0kfCYXG1hzXnwEDMWTRo80KsWAjw+K0gslpKfaNIcEiSGXrUQ4LE1MU7FEuN2DxI7Is4jBo/JnJB2ruDwATbgMfCg83rVQleEx2cEiSX1lJ2/xngP2KlzuU2bXm/pVcti54PZBfQ78MhPfF4PadwU75v7hqjN6PnEHKaAB/SR8JhMyT+zxEx3fiAD+6JetShDCL0LHj9dSSz5fqcGib2hkpiEXwM6Eh6RFPNom8PvgMd0+Hon0vrDFtFeOOm9ausD4BG1+NB6AXrXUu2+EEguFDT7mQlLtdFetV2Mp7FEvfSl2t/sVQsBHvvAI/W1Q+CR3qs2FRBX7FULXRYecv1SbaxOxkG9arsirZLYEWUF6huCxCDAQ03X7fiaSmKp8PimXrUxvwbgAR3pMP2BXrUiwWH6hl61cYfpIRGmcJhC74rzuI+/VtJn9apN0Rt61c6gJbV/DmYX0CfCYxIqB/Sqnd7v03rVvsFJHVttYYAH9CZ4fEiv2kjflnfc71R4vKFXLQogQ++CB/tleBzSqzZ9OfYQeMScu2iCDf1Ir9poTgg7uVftnaXWTE2FR9z8ukfLQVYIEoPeBI/kX7wJsOzfq5af3Ku2TZ9xpcZybFmqrdN61QIe0KdVElP796qN7PeGOI+4vqdXLeABfRE8DvCHvAEebXKv2ojD9CB4cPSqhY6Ex869atVP9Ko9O0jsDWUI0dMF+pletXd1ADzSFHWYPomeZ3/Hqb1qMbuAPhIeE6HrkfTxRohm54tPqlG6STUR0e7XsUGxpdoC8IDeBI/yM+I8UnvcHqCG34iIpgsS/50Ns3cEiZUlhh3gMQOP3y5DmLDEKTMyysbvWWyp9g29atUxfg1G7Py0AOgD4XGeYhBIbQg1o9g9qCZfmzyXJ/WSE+d6dpxHeiWxKh0eWk8JryvgkTQux2fuB/Sq/bsdAQ9Jk69NRrR67BjfV+anwyMxzL7e4Exl/n0QibVgoQvDY2KARRoUxRym0dnFdHj6nMM0CR6TTZ9Kf9DcxvwwsViOVLAcEyTG9oIHERFPzBOCLgqPian9EcWApk2ajUFibOpcJpeh/RGz/hpZxJdyBDwirRfknvAgIkL7yl+Dx4JYyCdVkQdsrV3csrX7SCmllAVRIaX5Y7kqIpb6ekU0/kJBRNXYC+GgKeR+mjwX+7lV0mGJJo/LrM9ivQqaVgU3yK/Ag3IxK2u3jigjokqsUk5r9wkePYJ+QBVG5S/AA4LOVg4H6vfDoyvwIJ/zQ7t0vr/BVPgosak7waQ8t1cxdBA8oLivhYhWBjptdJgek1r/Br3C48YYQ9gp4HEleGwaNOvhUf4oPIqPSR+AAI8PhUftj5gsITnuB+FRof8L4HFBeqxfU6TZ8PS5j/yJceaHp+M5AjygRYM/i0enX0R3YozhaQA8oDVq7I9ufuk1BfhGAQ9oPT1ERURCYLoOAR7XVWLLgi3FP6PlBCEI8PgSVec7Ln5ktQUCPK4tmej17DYsTQIeEOBxYXhsqQsKeECAB+ABeECAx2WV6DB9boAHHKYQ4HHl+41eKBDgAaUIuRwQ4AFBEOABJegNWV0/khgHAR4XZ0dis6Sh1rhAsdoCAR4XhgfnPEiKu60ohAN4QIDHheExWG25r6koBnhAgAfgodUVBHhAgMfFlNjjNYBH91hXy5TzBjceAjwue789eBRENN2bF4IAD8iTH55uSiFfrO1AiRKEgAe0VTIjotvF8lUqwiMHeECbJVb5POpfKF+4V3wMBHh8rVIdphvg8RMd4wrAA/C4vMmxxyCYgkfNGHttT/AL8LgT4AF4AB5pgyAoBjQOD0lEjGVEVHS/BQ/TPJ1JtHsCPACPA+DRZMR4q3u8iJ+CR/cgdL0CPKDD4NEw08eWD5tD1V8PDyEyIiIhhEBNNMDjwvRIm3mzGXjIzL6hZcMf6B9IyZfweQAeUKIYY1F4PHtg3IP3/srzBngAHtAOGoHHr9c4BTwADwjwADwADyhJqb1q4/C4x+DxC60XAA/AA9qjV+0rPFgMHr8QYQp4AB6XV2rHuKD1AuABAR6Ax4rBIwEPCPAAPLbD41Yr2fsyAA8I8Ph5JTpMgyCx+qZjtXkw8/jprwTwADyg9BmLN7P4uxFRwfv2CyURUb+m0vxcxWPAA/CAEtWFvWrrYe9aIiLXy6VhFeABAR7QIj2pL03IMkJ4OgR4/J79cUxJCplpN4iUUg7rm8JhCgEev8COowZBw1zNi2Eryl+BB9LxAQ/A4xh6CMOOqla/CQ+mVMn/8AwBHoDHuv0WpNlLWRAVcmTgfT88nkREjBFr8QwBHoDHKrENWbO/kBinfToZqhACHtdVausF+eMp9/NWGefouQt4QAkqrg4PCPCAEqftaDoAAR4QBAEeUMIUQpy+9PELvWohwAMSROXZ3xUJ3HcI8Pj6iUd2ftczwAMCPH4BHnsUAwI8TvzCnHAvAA/A46etwkFLyk4IIYSshf53sH24zb7PqWtzHfNfEFWiCY5pvlD9vrFteNoBj13VPdISvLbA40oO02qQGCiJiHJZV/rf4HvQyrnNl5Ek+uzCPCcikkrmRPQQnciJmA7w60TeNxPXr3dj2/C0Ax470yMy/62n81eeC+DxC2Ho+9Cjvw+MiFhrZnx+XkxXEBVSSu/9Gh6VlBURa1up7zknKjqlVCuJiO5KKd0PODPfR8vM6yPbIMDjcLVSShmtQ7okMU7Pr6X8gcbWG+wWIs9M6we8GJqL3NaAdQ2znreaUem9t75J7326dqyGAqN+KmiL0o5tgwCPQ1ULkbvZ8qbvxOkhRHNleDiTgbk6INPwcCYhcTPo3Xt5CA/FLZgYEVHWvMJjsA0CPHacYwSJcX+cc34jT/vAg4hYn0h2qYQyXdWkMPf3lj0Ww6PMGlWH7226EB5NZuGRPYiINQE8RrZBgMd+8lLy74wF3NgXHqRT2Fmp7pdaqhX+feQkxazZYuHRD/jgvXzQ4iLT7+0KNwux8BjZBgEe+8KDSSlpQnKDaFKVlO1l4MGktUO6gqlZeFhHZ8vuU/DwnJ8eaBgRPQN4vG6DAI/9VNGblAtxEXjINjeDX5BUwsxCxuCRS7OsKpRSnNQUPFgzBo/SzHB8eAy3QYDHbirpjboKPJTQsR5trv9fTsGDcs5zokzIODy4GoOHqoioDOEx3AYBHvtZLc83geMy8dWCpOoK3cuXqh4Eo/Cg57MwN6a+PdfCw5AigMdgGwR47KyasTFnaR+E9Co2H9V+Hz0gY+x+pXsrSOoJnlB0q5Va4DDt95uFhwydqyURVSE8wm0Q4HGE/nixwrRoOZ91eY44Onh5tduqIcCIHoK4BoFaAI/usQgejChv1SC2I4RHsA0CPI5RJ4Q4cKm2umQRIA2PmohoDTykn4syCY+/22Apth6BRw14AB7nOEGkDPwg+8Cjum4KuTE/yOayjMGjZnIID+bHwkzBwwtPt68/X+Hhb4MAjx1hMQEIxtgu8GAjOTAXCxJ72vtsfR1yAASX8ObBY+D/GIvzkE/nk+J9kl19s6HsI9sgwOMEeCilSh7p6dItqA8xtf+V4NE99P2197l7aBB0D5d3UhPlrZJ5kKI/8H/Y97o4kE6IjCjnbhvv4cKmtkGAx0nwmNsPxYAWqCAi/qdU96BKKVUWRHTjjSpcvg+/EQmli/zkdq5QZk14DGLaQW3exwuy8SBmmwscazI2sQ0CPACPb7q/lfF1dNLebmKyU1IW9NT1O55Sdra8oNvNH+xB2UE//j94Q9f/NbUNAjx2fbhZWgjA8+K9aiHAA0qePaDdJAR4QAlCQV0I8IAgCPCAEtSd70u7dE1TCPD4HfsjrfXCpu8KTZ8gwOP75QrVAB4Q4AGtMiES8x6WtF4APCDAA/AY2y8VHgzwgACPHzFbUmpsbIBHeanWCxDg8btTj7RCxAWCxCDAA0q634AHBHhAEAR4QBAEAR4nqZku+HOU4DCFAI9fkJhur3DYd4WlWgjw+H69Ic4D8IAAD8AD8IAuCo8St20WHi3no42aCsADuio8JGOMQA+lqjgFpOmfMNy+pQwhUvKh74SH39SI474tmZkYPf2au2xDYtyFdNe9HhkR6f4tqvNLF7f6j7Ft0IfBQwpR+Q0QAY818CAiIpQfTDILy4KIRKOUavitj879uxFRMboN+ih4lDwfjATAYzU8iKjgf7gvK+Ghuod73moPDjXpnrRj26DPgEfJbPtEwGMzPIhujKF9wjp4qK5wzxtRH1tjWkeOboPeDA8pJaMpFfKnRQeLJRrnl2r65FazeAAPB43INuiN8BBCCIKOBQjgsQweXu9Y7UDVpklsG/RGeFQY28cqFyLBeCkvCQ/Rm8nEm8w6SGPboHeaLVJKGSVIJa+upzU/Iq9P6CnbpK+KXRAed5aZnrUaDo4pPTxet0Ef4DCVo95SOEztvVnpMGWM1VuKAd3pQs7W/v5l7n4RV4oZUnjweNkGfQA8lFKKc84Bjy0PPxFRzjnnrXHwJQcylRe7f7ecyJ9tEde1Y7MmhMdwG/Qh8DAO1AzwSIeHENK/l1hPXGq2yPwFHkrmRKwJ4DHcBn0QPLQXpAA8UuBRIGQ69f5J1bKh2aLMtgAeg23QZ8HDTpwZYzdCYpzG6RafSNpHdpeCB9NmnrPzgtgOPr0N+kh4KKXUHwc79MN9+nd1sdWWUilVkPZn+KAoRuBRAB7fAA9oCzxa4zgFPJbdXy+3xYFibhsEePwkPFBJbPn9vSulc1tutVJK3R0c+nyXsW0Q4AF4DHSlIDEThNeZ66andp6K/l5wpdToNgjw+HC1PK31AnrVLgWli/EoyS3bupT70i3RDrdBgMcPz1iwdDuvWgghbHyMEELUUgg/RkY0SqmxbRDg8bv0ADsgwAOCIMADWj+DOD/M3A9zhyDA40vV5ucX20XfFgjw+IWJB6FXLQR4QInwSNwPQWIQ4HF1eNRp+wEeEOBxZXUPqs6GBxymEODxE/RIG8gMQWIQ4AElwQO9aiHAA4IgwAP6Cl0oMQ4CPH5XR5QZnP2usNoCAR4/AI/UMoQLEuOmmkIBHtBXwwNpz9vgsWSplnIhxu4z4AF9LzwazomBHofDg4iIvRYbAjygr4SHZIyxLOzgBXgcCA/dn5LdAQ/oa+Hx0vQaD/Cm+70CHg4iUqKEEPRl8JBCVC+NagGPLeILWi+M9RbPhMCNh74FHn+c52OPMZ7hg1XShPiGni8QdA48aqbbSo4qY9ChoogYe0N8CQQthEdJ0OfqGvBoZaC2d7/13h//PZ3/hvCN3v4qjLJ53b/rt4y5/sLXWv995oWxbUZCf32q1j/Kd6WU/r8wfSYypuRA3ntlZva3B8/M80D0tNfHMveQ6Ba/E9eilGLMuydPIrJ/05oiVyMzj5Jz9mEjhn+ciIhuifvNvOU2fSNyfo2ftD8e2mtKKdUIopwXRJWOgfkz95K53i1S36O21eZ2oZRSbe63oWyyrAl/JW96f22LN/q5v+VEw/IHPNfPIbk6Lvrz/WOVo9vsRzMiylul/m723JT6uxFr9Dmyxpy/PndNCu+9bv/geLzljCgX/fkzzkulyoJItnocj5TLbDKvx42+D389PDb6PBohHpNPcCXO1geGllT+z8CamR5RNfOWevy2P7Ir+Zsq4yQWQugHvWGUCak6kZONNdIPeiOIqCiV6Veby7AZlMypBwYPWlJWRFSrRlRukDcZEVW1FBlRHjZ0FxpC4kF0MzlGlQeHynyvY9vcgNWDn3krbixr+mNLIhJCZESPToicmH4WZL+///1zfa36+s1n9tfXPUgqxf2mWOG+fPxZdiDd5DDthku0Tlg1NL9yifBYvVRLhZRSduxqvWql/S/X03T9d8uIMun/Sj7td+H62nLPsc+9G85t31v3GW7GokcfM+NdPoNpQz/AVVe4Y3v7KWk4MbbNgsJckv/oaBu0h8dTubaiLWPh88Je4GEAIB2xPCgIkoN2nN7P0y24Dz7xqFjRFGB2jlK/ePAAD2Nz3tMGxSp4ePU/7ozVl4KHG3VlyGpmXnNTbGFfLO3AvrvntCt6xnsDP/gM4Uwbb7CV4SRx5D3azLDQmN42/OHor40Hn2/e746/FB49LPmw2fc4PAQNJsDc/s0CYG6Gh1KKc84LwGNg2R3Xq9bON3hiP9xfg4ceBL29Lyfh0Q8W95wK734LWgGPwLyYgEd+s6NORrZZFW678ZvIvPCP3WpzyB2/XAyPv9saeHQPKsJx/HfTfzfZGnYsDk/vhBCAxy6DYhE8/B6s14bHXzscBNLMsi082tzBo7aQ6R2mvc3f5g8xBqEJeNTj8LADVSlJoiZn+kxvG35eYadRwgHOH/TBtS6Dh4Vrv43/TcND0qMbjOOaiArVsHUpF2veLWUBeJwAD0SjB1N76Zkjgb+JPJ8FCwfbfWwAMxKBz8n5PDK3VDkcuOwVHtzzeQj1tEupkW1jMyamP3cveBgXkdt2H4FhcB+eA5fdk4iUoOdh8ID8qRgYejQ8mJTyaQeFD4+sh4f+QcuYN9iM01GPOs8xKOlWB+aQJCIpJfMdmL4vIDCdhHZcExEx5xAVOt6Ch/AYbhuct7TLz+71aXiw6eju0Pnr4BEsb4/Cwzmgh+dmHdGAx9HseMwuue6uK7VekIGPLYRH9+jhob3Kje9XILPkqt/jD0quTZx6+BnMhf2P+lZ6fwkFcROShI6tyGUAj+E2/xhZoxgx/Xo1D4/S+r50PMvkzMODR65jhabhwW5/+jMD93vDaHW5DcAj/eEuT/7Mqy3VZkII8RiZeXhmS0XBwDPfC6fsQXT7U6U/KG1MBfPeO9ifjTpU7QDPcwrNHqFs/EYAj8G2/oA5EVcsa3TMho7TWGq2jMR5jMFDH7Oehsff7fYX+IlGjwd4HPxwp8wD5IbWC5eM8+iKKDxUFcJDVURMcZJdQcSDMGxGrHYmj4NDtQIevGWv8DAWVgCPcFs4QEti+nPuXkzG1DncF/o81MDnoabhwYluJoOqBDy+Cx7pDuf71eBhZu4xh+lzLB6DVcSU4kRF0cfidIXOKmQ3soFQ+jOC/YPB9hzAg7Xh+m0Y2yEmt/XSeWMGCv0vf9znsXC1JZOLlmoFEWP1nTFGYUAY4HGa2lyHRJ8Ij/qa8BifEXhLtSygR2N9pX83Cgd/n0/iDXAV0mNoMhRqMMAlkQt3t6DQuTRiclt4HfqY+8LDmjSL4FEsmckAHoc+3WlxGMUGeFzNYRoOgtEgsUqZXKDSHwQ6n4MN4CGHx7LH8aKzh87KIM4ja0z+jHEsOrNE5i/w8LcN4OFC6+u94GEctAMAlMPrKTXUZP9j5CXaAR6fL8TJJMEjsBK98HRpzQsWwMOGefdxCy6mwjvWeG7LdHi6y5+x4ePuvX0Oydi2set4vvhT0uHRx6n4AChpeD1Mhb2Sw2cR8IB+1KcUS4xT9c23319D1PVg9QfNMxjA/f4uzkNWE4lx2l/xHJ4i9Y7S4bbB1EOfu8wGAWju/Fsv1sR30PIQZhZiUjIX59IVRIU+vL4271gV0V2f39O7xeRqnUi3L+ABfbdeSh54Kfk6JV6noutyDZy8VPLSmhVFPy2vvZFX6/3G9m8yXURHuDR/N74fRJRrU9Xsn/mjuXBLtMNtQyiKoRmlj+2VECCiqh78vxN5kM3W6X1ERX2JAL2NKp3WT2T3F0LXIrbxL96spp+9ENGCchGAx5eqNPkKF5AuqnMLrrfJTDEg4yvgfqEgf1CofkDYwes7T/X/ySaL+/trZ2vB8x4U3mzFxXjo2JBGCK8odSd0bY3XbaHcNs9nJh5eLpPURUzqwf87IbIsOHavemSbEEIoKQTleSXcvsH51X6tHLsv4HHGtPr8coAsqMHw02qD8oP2lo+VATTvCcrt9VPxLjhesF9Y4lC/3r2WPhx8eP/HnqWou0W5TEkJT7Jtk/cFPM5x6J0DD1Suhz5JgMe58OjSU+0BDwjwuDI8NsR5AB4Q4HFleDy3wKPocOMhwOOqeiJIDAI8oBShZSQEeEAQBHhAEAQBHifpDXEeCqstEOBxAXh0B7TI/Fx4NKAa4AHtAo+G82KimOwWh+nHwqNhBEcw4AFthUfNGMtGq0gZAPxgGUJhO2MyLEMDHtAsPF4S49pBY/D94fGxvWqFf93VvkljEODx6xK63sIsPIpfDBITg0vPhbhSyUTAA0pVyTnn9CIxZe78Pjw0QXiJZwPwgKbFGKNxTfgnfqwNrWTWxzMixhgDQQAPaAQDuvE3NCMpkdAHeEBOnakTCc2L8wYPDOABeb6Lr5fYSVXkM7K1dTEhwOMK8GBSsulhU8kx6Wl8ktjUMVO1282YokchP8LDo9tM1uZfHYvCGGOuFaUq+2X3mvUqPb+Ot6+nO5t+7Vg/kwkVGH52fzr12Dmy+HJ/yYb3zT8G4LEjPPqbfFvsMKVfrCT2stqSbXWU3n0ADT7rGdqPPsCF6SeQSdsXlkrTY6DUvV9sEWmZUdjHNrjPJFXNiKjSn13fiChjkt1ezsf0zb0z2wOlZtR/x6XuKjm2ze6fEdGNSZnZ8zbbrCe+VP313W76OPp8+vd6hbHt8VhGxMyp2uMpVTIioZj3WeG99O+Dvn93/54AHvvDQ6k/zjm/AR5ExDjfw8fBc6Ib516rBfN8P8LI3u6h38c5IyKhe8Tq1ABme6+0uekv63dmE2Ef2hd4mNFjzsYcU7eEqF/hoT+Xu1FX9SMwbye29feOK9Nj1/V0oVIpVehjM6Kc85zo9vfHC9eH17y3YWGnN2FaVjT85j7Tu76ChP7MsfSJ8D6UQY8XNpJ+AHgkO0yHI7kWgRN1d3h8bq/aHh6VaPY7JlO62ZHfv2WYFlDYBkmqEWQGhmlEzVzvFTtAZd4PGq/pUpsHPWKY35/FwcMMUPGgl/Nhyhybu+/YNZvTPWTHt6lgP9usWp+vPXatFKNc9vfjQTL8IeEv8DAAqF3TOw8KkkRwrr4G9yHo4Zs18HkcPiHpQ9R3h8fnStglWbnrMdnI4GDkmRtK3f0mZxYezP5w8n46rv/f94+VWRUAIOwVG/ayDc6hK0IzgV7PkzxSxLaZIWv78TAPHk/v8xlrld8rdyk8+tHvb5OdmupLO7gPHj1KYnCYnqXyYsFRpTwCSGzEXCOyTZ3NwAkGsgePyp+hlC+9aJ/efk/KaKy59HMMHqoMfhgcPByglNK+iSqEx8i2/vOq8Ielynx43e/B/SiXw6O+6dfEoHXnBDwG98H4eoRSXTHe4AzwgD51NjMCj5qYb+OHg6DljduvIs+n4Y5hetEOBjCxZrQzPY3CQzHXV9aHh+dPIf53G37O2DaPRvbzKgfBoYn24pdZAA/FyTd5lFJ1HYHH4D4o7Vt5dMZUAjy++ef9UsFW7oH/u3k+ApY13oM/dBb2+5WDXrNmUHByzlDuDV6uas+JqeHRcK8tfTDYat/0NPAoee58LUofT8Orh8frtoEp6xyUhbne7fAwfhTvXrJpeAzvg7tW6cES8NjDufGOXrVXKkNoH3g79VbW9m77nr3CN2E8/wt7gQrTS5PcLpMybz/G2sAXxYhI5+sUctQ8GsLDNM1+2o3E9azlHsLjZZt3PGY+N3h9Gh6lXg5njDF2e4EHlYNnRpg8I3ajCDyG98GdG5t67gCPRHik1jBNdypeDh5U6KA6Px5D+UWRXmx55Zy3Q6gQ0VMJIj2taJk/tb/r7/PpwyMIxhgMthd4DIo/EdexFawN4THc5ttJSnEi/TpbBY+MIjMPDx63OXi83Ad9DlkAI8DjnfCQaDe5GB43U+rALf+a2Aznc4jAI1gOVTpmQgkq2pyIKd6PB5lTrfxlWW22FCvgwfwYDTtzaBhRLgN4DLf5x6gVp1L7TZhdLl1ktozEeYzBQx8zYra83odpQAMe21QAHqeYLeLR/7K2ORW+gzEKj9B2V5KI2pykEnqwj0GqdPf50XVBPEccHrVqMv/z+riNvA3gMdjmJlQPc04yJ+J2gMfg4QWJRX0ePTys83kSHq/3AfA4Ss/B9O4EeMhLpba7uAbJ6Mbc0qWJ2mYj9n2/n845YkN4MKr0Pln/3cmMiApdWoH5/pEgniMYbPchPO5+uEfvs9Afyae3BcDL6Kl9Kzd38Gl4cFoGD7Os3G8zRvMrPEbuA+DxaSL0ql0Hj2DpNGN3U2BaKjVwpob7saHfQ9gcEE7h4Lc5Hm5AMS835vk6QFs2jPO4Dz7PvpeRv3z7ui2cLQUO3X3gYWNPFsR5jNwHwOPThBYFG+DRh5b3jr+Bb8O+1uYDv4eLw+CBSVO5QeiWhC0cytE4Dz/E3RvgXm6L8sys6DYn5zPpY0H2gIczvcLl2y7cR/fcGbkPgAf0M/Ao/QGs/RFePogKg8SUzEO/x1gOiVJlGBwmzL96vz63g/t+F/LzPKR9Tw+V4DxdstzrNp8e9pzqPeHhkgr9fRsmg320/2fsPgAeP6TPbb1wKDxsQmo5Flkq/dRyFtj37cDv4ZslowPFmCte+rmjh4vzuA9z03tnR9vv73wlLXOf+brNlzsPP1dH+svUU/Cop+I8SubMLm9fllEAD5np/JmR+wB4HKU39Kq91GqLrIiYlDrR8FZr+73oelve1NqQGRGTnZKyIFaaAe/yWPRr9v7ZQRAkuTkW6AL4zMaJ2IFJJHWxo0o+iYhCgDMvCfJORMT0uTngE3fnG24b/DC4wdxDRvrn/yQvaM2cj71PPnDM+/S+pbeNKltz112PKU4lR+9D76MLjg94vBEenRAd4DEvv4UD/9P2ux8c5vwRDedED0GMc2NWFCaMv+Q8qC1S2oUZbpdgG857H9Qf55zzUm8zbSP+OOe8bXmvweJO8Bne/n3q3t/wc7zP931hZlvrXvvjw2PbY9jz0dvDVp/eqfKxbeZ6brc8527fsfsQ7tsCHh8AD8R5LLRanKSFbt9ISupXgvdaRkhxsVLtSU3G61pKtblBOeCRqArwgC4uwCNRdVpi3BNxHhDgASXa8oAHBHhASbY82qdBgAcEQYAHtFbn9zOS6PkKAR6/wA4EiUGAB3QmPDYkxgEeEOBxZXj8ZMe4y7WagJLgIaVkWGsEPAbzKZ0P8Rl9raFPhIcQQrxUGrqoWi934CR4fHDrBd432XxtwwldHR7c1DcEPDbe758MEuNBi2+eCFboB+EhTeFIwGMHc+cnZ/YhPHQrE1i314ZHJ20NAAI8oNdnw+r1GTF6ShRdvCI8GiEe40/Ej8CjFlC6HrRMuRA1htmF4NFwzjmbfB5u/Cd0I+gU3a7UY/fq8GAZHnhoPyGs/lpmyz0KkBu7sPQknFGkh+e07husvrAw7UcZgBOTuPObgUMf4fNoOJ90g3HcN5lIgV8OEhuatgg6vazDVNeNrACPSXjU58Kj/hZ4CAEn6eXhoUeJLuMezEax/JYcsLElSOxzfQcePAqEqAMegUrmx4nBkE3XbwZPcesH2+va/CCSqS3K/7/+oxvdBr0VHpogdvk2w8obFOiPj/Ui2aDS87dVtVKq1c9e4T9/hff/Nici1oxug94PD6VUI4QQGeABHa3uQZSZYLS+L+2jEyInYromSvfw4CBzyprxbdBHwMNMBnHboKNle6R2xaDHbNu3ixUUNHyWblvmWifCxP4seEDQefDoG1x7zaBNLRXddvJpQTG2DfAAPKDLwkMxyuUkPAq3ZB7Aw20DPAAPCPAYhYck6xPp4VF52wAPwAOC2WLhcffg8VSVDVrs4aEqIrrVgAfgAV0WHlLKZ59DpOEhi94hKuipA9QqHx5PpUq3DfAAPKALwmMQlMiIHqIiIlfLVVCh1J+hhzfzcPQAPAAP6JLwyExulfZfKBfi7L2nsD6QMoSHqsw2wAPwgK7q85CF8V8oRlTJATxIKaWeRMQG8JBmG+ABeEBXhYeecUjr85CZl5gpTLCYqSfS+zz6bYAH4AEBHtphKrySEBYeJqvXn3ko9XcDPAAPCPDo4SFFZnNbeng02Ss8VA14AB4Q4NHDQ//NQngoOQIPBXgAHtCV4dFJDxoioIkPCpm9wkNmgAfgAV2PHV5KPrFGmyv06Ow0IxNSiYdXCrJhpJROyRdNvw3wADygqz2XTg8hGmWDxgoLCCKhqI8BUTZIrAhq7HJCLVXAA7qY+pqDpoxg51Ug7LySg66ebivdfoNtEOABQRDgAUEQ4AFBEOABQRAEeEAQBHhAEAR4QBAEeEAQBHhAEAQBHhAEAR4QBH2u/gHDxC1Y8jTTlQAAAABJRU5ErkJggg==\">" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The windowed approach is labeled **MLP** (for multilayer perceptron). Standard RNNs are labeled **RNN**, and utilize information right up to $t_c$. Delayed RNNs (going forward and backward) can use all their history, with an extra window around $t_c$. Finally, bidirectional RNNs (**BRNN**s) can use the entire sequence for their prediction.\n", "\n", "Graves et. al. propose using LSTM units in a bidirectional RNN for speech recognition, so we focus on that approach. It can be trained similar to a standard RNN; however, it looks slightly different when expanded in time (shown in the graphic below, also from Schuster and Paliwal)." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<img src=\"data:image/png;base64,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\">" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Here we see the BRNN expanded in time, showing only the timesteps around timestep $t$. We see that in the middle we have two hidden states (gray), one propagating forwards and one propagating backwards in time. The input (striped) feeds to both of these, and both of them feed to the output of the RNN (black)." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Final Architecture\n", "\n", "The architecture ultimately proposed by Graves et. al. in their paper utilizes both BRNNs and LSTM units. However, in addition, they extend the architecture by adding more hidden layers at each timestep. Instead of only having one hidden layer between the input and the output, the BRNN has $N$ hidden layers. In a normal deep RNN, each hidden layer $h^n_t$ (at time $t$) receives input from the previous hidden layer $h^{n-1}_t$ as well as from the same hidden layer from the previous time step $h^n_{t-1}$. \n", "\n", "However, in a BRNN, each hidden layer has a direction associated with it; we can denote the two directions as $+$ and $-$, as in $h^{n,+}_t$ and $h^{n,-}_t$. Each hidden layer receives input not just from its previous timestep but also from the previous hidden layers *of both directions*. Thus, by utilizing a deep architecture, information from the end and beginning of a sequence can very effectively mix to form the prediction.\n", "\n", "In addition, using LSTM units allows information to propagate for long distances both from the beginning and end of the sequence." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Training an Acoustic Model\n", "\n", "The first goal for speech recognition is to build a classifier which can convert from a sequence of sounds into a sequence of letters or phonemes. \n", "\n", "Suppose that we have an input sequence $x$ (sound data) and a desired output sequence $y$ (phonemes). However, even if our output sequence is short (say, two spoken words, maybe ten or twenty sounds), our input sequence will be much longer, as we will want to sample each sound many times to be able to distinguish them. Thus, $x$ and $y$ will be of different lengths, which poses a problem from our standard RNN architecture (in which we predict one output for one input).\n", "\n", "We have several options for correcting this problem. The first option is to align the output sequence $y$ with the input sequence; each element $y_i$ of the output sequence is placed on some corresponding element $x_i$. Then, the network is trained to output $y_i$ at timestep $i$ (with input $x_i$) and output a \"blank\" element on timesteps for which there is no output. These sequences are said to be \"aligned\", since we've placed each output element $y_i$ in its proper temporal position.\n", "\n", "Sadly, aligning the sequences is an onerous requirement. While unaligned data may be easy to come by (simply record sound and ask speakers to transcribe it), aligned data may be *much* harder to acquire; it may require careful aligning as well as understanding of the sounds being produced (and a sound understanding of phonology).\n", "\n", "Instead of requiring aligned data, however, we can train our network directly on unaligned data. This requires some clever tricks, objective functions, and output decoding algorithms; collectively, this method is known as **Connectionist Temporal Classification**." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Connectionist Temporal Classification\n", "\n", "For the purposes of Connectionist Temporal Classification (CTC), consider the entire neural network to be simply a function that takes in some input sequence $x$ (of length $T$) and outputs some output sequence $y$ (also of length $T$). As long as we have an objective function on the output sequence $y$, we can train our network to produce the desired output.\n", "\n", "Suppose that for each input sequence $x$ (sound data) we have a label $\\ell$. The label is a sequence of letters from some alphabet $L$, which is potentially shorter than the input sequence $x$; let $U$ be the length of the label. They key idea behind CTC is that instead of somehow generating the label as output from the neural network, we instead generate a *probability distribution* at every timestep (from $t = 1$ to $t = T$). We can then decode this probability distribution into a maximum likelihood label. Finally, we train our network by creating an objective function that coerces the maximum likelihood decoding for a given sequence $x$ to correspond to our desired label $\\ell$.\n", "\n", "There are several moving parts here, and we will talk about them in order." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Probability Distribution\n", "\n", "Given an input sequence $x$ of length $T$, the network generates some output $y$ which parameterizes a probability distribution over the space of all possible labels. Let $L'$ be our alphabet $L$ with an extra symbol representing a \"blank\". The output layer of our network is required to be a softmax layer, which assigns a probability to each element of $L'$. Let $y_i(n)$ be the probability assigned by the network to seeing $n \\in L'$ at time $t = i$.\n", "\n", "The output generated by the network is known as a \"path\". The probability of a given path $\\pi$ (given inputs $x$) can then be written as the product of all its constituent elements:\n", "\n", "$$P(\\pi | x) = \\prod_{t=1}^T y_t(\\pi_t), \\text{ where $\\pi_t$ is the $t^\\text{th}$ element of the path $\\pi$}$$\n", "\n", "Note that this assumes that the outputs are all conditionally independent (given the internal state of the network); we ensure this by forbidding connections from the output layer to other output layers or to other hidden layers.\n", "\n", "If we traverse the path by removing all blanks and duplicate letters, we get some label. Note that we remove duplicate letters in addition to blanks; effectively, this means we really care about *transitions* from blanks to letters or from a letter to another letter. Let label($\\pi$) be the label corresponding to a path $\\pi$. Thus, the probability of seeing a particular label $\\ell$ given the input sequence $x$ can be written as the sum of all the path probabilities over the paths that get us that label:\n", "\n", "$$P(\\ell | x) = \\sum_{\\text{label}(\\pi) = \\ell} P(\\pi | x) = \\sum_{\\text{label}(\\pi) = \\ell} \\prod_{t=1}^T y_t(\\pi_t)$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Output Decoding\n", "\n", "Given the probability distribution $P(\\ell | x)$, we can compute a label $\\ell$ for an input sequence $x$ by taking the most likely label. Thus, given that $L^{\\le T}$ is the set of sequences of length less than or equal to $T$ with letters drawn from the alphabet $L$, we can express our desired classifier $h(x)$ as follows:\n", "\n", "$$h(x) = \\arg \\max_{\\ell \\in L^{\\le T}} P(\\ell | x)$$\n", "\n", "Computing the most likely $\\ell$ from the probability distribution $P(\\ell | x)$ is known as *decoding*. However, given that the alphabet $L$ and the maximum sequence length $T$ may be quite large, it is computationally intractable to examing every possible $\\ell \\in L^{\\le T}$. There is no known algorithm to efficiently compute this $h(x)$ precisely; however, there are several ways to approximate decoding which work well enough in practice.\n", "\n", "Traditionally, decoding is done in one of two ways, which we discuss now. However, note that Graves et. al. do not end up using either of these ways, as they augment the CTC-style network in several ways, and find that a different decoding strategy works better in that context.\n", "\n", "#### Best Path Decoding\n", "\n", "The first traditional decoding strategy is *best path decoding*, which assumes that the most likely path corresponds to the most likely label. This is not necessarily true: suppose we have one path with probability 0.1 corresponding to label $A$, and ten paths with probability 0.05 each corresponding to label $B$. Clearly, label $B$ is preferable overall, since it has an overall probability of 0.5; however, best path decoding would select label $A$, which has a higher probability than any path for label $B$.\n", "\n", "Best path decoding is fairly simple to compute; simply look at the most active output at every timestep, concatenate them, and convert them to a label (via removing blanks and duplicates). Since at each step we choose the most active output, the resulting path is the most likely one.\n", "\n", "#### Prefix Search Decoding\n", "\n", "As an alternative to the naive best path decoding method, we can perform a search in the label space using heuristics to guide our search and decide when to stop. One particular set of heuristics yields an algorithm called *prefix search decoding*, which is somewhat inspired by the [forward-backward algorithm](http://en.wikipedia.org/wiki/Forward%E2%80%93backward_algorithm) for hidden Markov models. \n", "\n", "The intuition behind prefix search decoding is that instead of searching among all labels, we can look at prefixes of strings. We continue growing the prefixes by appending the most probable element until it is more probable that the prefix ends (the string consists only of that prefix), at which point we stop.\n", "\n", "The search proceeds as follows:\n", "\n", "1. At each step, we maintain a list of growing prefixes. Initialise this list with a single element consisting of the empty prefix. Along with each prefix store its probability; we know that the empty prefix has probability one.\n", "\n", "2. Find the most likely prefix. Consider each possible extension of the prefix, or consider terminating the prefix and ending the string.\n", "\n", "3. Compute the probability of each of these options.\n", "\n", "4. If terminating the prefix has a higher probability than extending this or any other prefix, terminate the prefix; we have found our decoding.\n", "\n", "5. If extending the prefix has a higher probability than terminating it, extend the prefix and store it with the new probability (instead of the old, shorter prefix).\n", "\n", "6. Iterate these steps until you have found your decoding.\n", "\n", "Note that if given enough time, prefix search will find the true best decoding, and may thus require exponentially many prefixes. However, if the output distribution is concentrated around the best decoding, the search will finish significantly faster; also, heuristics may be used to speed it up. (For instance, [Graves et. al.](ftp://ftp.idsia.ch/pub/juergen/icml2006.pdf) cut the sequence into chunks which are likely to start and end with a blank by segmenting based on the probability of a blank, and then run prefix search on the small chunks.)\n", "\n", "Graves et. al. provide the following diagram to help understand this process in [their paper describing CTC networks](ftp://ftp.idsia.ch/pub/juergen/icml2006.pdf):" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<img width=\"394\" height=\"451\" src=\"data:image/png;base64,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\" />\n", "\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Note that at this point we have said nothing about *how* we can compute the probability of a prefix once we extend it, which is what we address next.\n", "\n", "To efficiently compute the probability of a prefix, we define some extra values which we will compute incrementally in a dynamic programming algorithm. Let $\\gamma_t(p_n)$ be the probability that the prefix $p$ is seen at time $t$ and that the last seen output is a non-blank ($n$ stands for non-blank). Similarly, let $\\gamma_t(p_b)$ be the probability that the prefix $p$ is seen at time $t$ and the last seen output is a blank ($b$ stands for blank). Note that these are probabilities that the prefix *and nothing else* have been seen at time $t$. Then, the probability that a given prefix $p$ is the entire labeling is given by\n", "\n", "$$P(p|x) = \\gamma_T(p_n) + \\gamma_T(p_b),$$\n", "\n", "where $T$ is the length of our sequence. Also, let us define the harder-to-compute probability of seeing a prefix $p$ that has a non-empty string following it as\n", "\n", "$$P(p\\ldots|x) = \\sum_{\\ell \\ne \\varnothing} P(p + \\ell | x)$$\n", "\n", "With these in mind, we can proceed to implement the search algorithm described earlier. First, we must initialize all our values. We know that for any $t$, we can compute the probability of seeing nothing by that $t$:\n", "\n", "$$\\begin{align*}\n", "\\gamma_t(\\varnothing_n) &= 0 \\\\\n", "\\gamma_t(\\varnothing_b) &= \\prod_{i=1}^t y_i(b)\n", "\\end{align*}$$\n", "\n", "To understand the first of these, note that it's impossible that we see nothing when the path doesn't end in a blank (since then we'd have seen that non-blank). For the second, note that in order to see nothing and have it end in a blank, we simply multiply the probabilities of seeing blanks at every timestep up to $t$.\n", "\n", "Next, initialize our set of prefixes to $P = \\{\\varnothing\\}$, the set containing only the empty prefix. Let $\\ell^*$ be the growing output labeling, and let $p^*$ be the current prefix we're looking at. Initialize both of these to the empty string as well.\n", "\n", "We now begin iteratively growing our prefixes, extending them by one character at a time. If $p^*$ is the current best prefix, all the prefixes we wish to consider next are of the form $p' = p^* + k$, where $k$ is a character in our alphabet. For each, we wish to compute the probability that this prefix is the entire labeling, $P(p'|x) = P(p^*+k|x)$, as well as the probability that the best labeling starts with this prefix, $P(p'\\ldots|x)$. We can compute these using a dynamic programming algorithm with $\\gamma_t(x)$, by starting with initial values at $\\gamma_1(x)$ and building up through time.\n", "\n", "Thus, initialize $\\gamma_1(p'_n)$ and $\\gamma_1(p'_b)$. Intuitively, $\\gamma_1(p'_b)$ must be zero, because $p'$ does not end in a blank (it ends in $k$) and at time zero if we output a blank we clearly could not have seen $p'$. On the other hand, $\\gamma_1(p'_n)$ can be non-zero if $p'$ consists *only* of $k$, in which case $\\gamma_1(p'_n) = y_1(k)$.\n", "\n", "We now have values of $\\gamma$ at $t = 1$. In addition, let us define the probability that we the last character in $p'$ appear at time $t$. Since the last character is $k$, this probability is the probability that seeing a $k$ at time $t$ would indeed be a new character:\n", "\n", "$$\\text{new}(t) = \\gamma_{t-1}(p^*_b) + \\begin{cases}0 & \\text{if $p^*$ ends in $k$}\\\\ \\gamma_{t-1}(p^*_n) & \\text{otherwise}\\end{cases}$$\n", "\n", "This equation says that we have two ways in which seeing a $k$ can yield a new character: either the previous timestep we had a sequence which ended in a blank, or we had a sequence which ended in a non-$k$ character.\n", "\n", "With this value, we can compute $\\gamma$ for time $t$ if we have computed it for times less than $t$:\n", "\n", "$$\\begin{align*}\n", "\\gamma_t(p'_n) &= y_t(k) \\big(\\text{new}(t) + \\gamma_{t-1}(p'_n)\\big) \\\\\n", "\\gamma_t(p'_b) &= y_t(b) \\big(\\gamma_{t-1}(p'_b) + \\gamma_{t-1}(p'_n)\\big)\n", "\\end{align*}$$\n", "\n", "These equations are fairly intuitive. For the first one, to end in a non-blank and generate $p'$, we must end with $k$ (thus the $y_t(k)$); in order to have generated $p'$, $k$ must either be a new label or we must have already generated all of $p'$ (with $k$ included) at the previous timestep (thus the $\\gamma_{t-1}(p'_n)$). For the second equation, to generate $p'$ and end in a blank, we must clearly have a blank as the last character, and at the previous timestep we must have generated all of $p'$ (and ended with blank or non-blank).\n", "\n", "With $\\gamma$ fully computed up through the sequence length $T$, we can now compute the quantities we are interested in, namely $P(p'\\ldots|x)$ and $P(p'|x)$. As we said before,\n", "\n", "$$P(p'|x) = \\gamma_T(p'_n) + \\gamma_T(p'_b)$$\n", "\n", "We now have values for $\\gamma$, so we can compute this numerically. \n", "\n", "In order to compute $P(p'\\ldots|x)$, we simply compute the probability of seeing $p'$ as a prefix and subtract the probability of seeing it as the entire label. The probability of seeing it as the entire label is the value we just computed, $P(p'|x)$. The probability of seeing $p'$ as a prefix can be written as\n", "\n", "$$P(p' \\text{ is a prefix }|x) = \\gamma_1(p'_n) + \\sum_{t=2}^T y_t(k) \\cdot \\text{new}(t),$$\n", "\n", "because we simply consider separately the possibilities that we see $p'$ as a prefix *for the first time* at every time $t$. (For $t=1$, that's just $\\gamma_1(p'_n)$, since there's no way to have seen $p'$ as a prefix before; for other $t$, it's exactly the probability of seeing $k$ times the probability new$(t)$ that we're seeing a new character being generated, which we computed before.) Thus, we can write $P(p'\\ldots|x)$ as\n", "\n", "$$P(p'\\ldots|x) = \\gamma_1(p'_n) + \\sum_{t=2}^T y_t(k) \\cdot \\text{new}(t) - P(p'|x).$$\n", "\n", "Now we have the probability of each extended prefix and the probability of ending with each one. When you compute these for a prefix, do the following:\n", "\n", "1. If our prefix is a better labeling than $\\ell^*$ ($P(p'|x) > P(\\ell^*|x)$), update our best labeling $\\ell^*$.\n", "2. If the probability of starting with $p'$ is higher than our labeling ($P(p'\\ldots|x) > P(\\ell^*|x)$, add it to the list of prefixes we're considering $P$.\n", "\n", "After looking at each of the extensions of $p^*$:\n", "\n", "- Get rid of $p^*$ from the list of prefixes we're considering.\n", "- Update $p^*$ by choosing it to be the prefix that maximizes $P(p^*\\ldots|x)$ (the prefix that the labeling is most likely to start with).\n", "\n", "We wish to continue growing our prefix until the current estimate of the best labeling has higher probability than any of our other options from the best prefix. The probability of extending the best prefix is just $P(p^*\\ldots|x)$, and the best labeling has probability $P(\\ell^*|x)$, so we iterate until $P(p^*\\ldots|x) < P(\\ell^*|x)$. After each step, we have these values, so we can easily test for termination. Once we're done, $\\ell^*$ will contain our best labeling, and decoding will be complete.\n", "\n", "This entire algorithm is summarized in the following graphic, taken from [Alex Graves' dissertation](http://deeplearning.cs.cmu.edu/pdfs/1104/Supervised_Sequence_Labeling.pdf):" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<img width=\"483\" height=\"852\" src=\"data:image/png;base64,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\">" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Formulating an Objective\n", "\n", "Now that we have defined the probability distribution used in CTC networks as well as figured out how to decode the CTC network output, we are left with the question of how we can train our CTC networks. In order to train our network, we need an objective function, which we can then minimize via some standard minimization algorithm (such as gradient descent or hessian free optimization). In this section, we derive this objective function.\n", "\n", "The objective function is based off maximum likelihood; minimizing the objective function *maximizes* the (log) likelihood of observing our desired label. We begin by deriving this likelihood function; namely, we wish to compute $P(\\ell|x)$ where $\\ell$ is the label and $x$ is the input sequence.\n", "\n", "Naively computing this is computationally intractable, as demonstrated via the following equation (which we came up with above):\n", "\n", "$$P(\\ell | x) = \\sum_{\\large\\text{label}(\\pi) = \\ell} P(\\pi | x) = \\sum_{\\text{label}(\\pi) = \\ell} \\prod_{t=1}^T y_t(\\pi_t)$$\n", "\n", "However, we can compute this efficiently via a dynamic programming algorithm similar to the one we used to do decoding. This algorithm, however, has a forward and backward pass. The forward pass computes probabilities of prefixes, and the backward pass computes probabilities of suffixes.\n", "\n", "The maximum likelihood function works by probabilistically matching elements of the label sequence with elements of the output sequence. We know that the output sequence will have many blanks; in particular, we expect that there will very often be a blank between successive letters. To simplify our matching, we can account for this by adjusting our mental model of the label we're matching. Thus, instead of considering a label $\\ell$, we consider a modified label $\\ell'$, which is just $\\ell$ with blanks inserted between all letters, as well as at the beginning and end. This way, if the network outputs blanks between its letters, they will correspond to existing blanks between the letters in the label. Since we have a blank between each pair of letters and at the beginning and end, the lengths of the new sequence is $|\\ell'| = 2|\\ell| + 1$ (if $|\\ell|$ is the length of the original sequence).\n", "\n", "Let $\\ell'_{1:q}$ be the substring of $\\ell'$ that starts at element 1 and ends at element $q$ (such that $\\ell'_{1:0}$ is the empty string). Then, let $\\alpha_t(s)$ be the probability that the prefix $\\ell'_{1:s}$ is observed by time $t$. We can write this probability by summing over all paths $\\pi$ that contain $\\ell'_{1:s}$ in their first $t$ elements (label$(\\pi_{1:t}) = \\ell'_{1:s}$):\n", "\n", "$$\\alpha_t(s) = \\sum_{\\large\\text{label}(\\pi_{1:t}) = \\ell'_{1:s}} p(\\pi | x)= \\sum_{\\large\\text{label}(\\pi_{1:t}) = \\ell'_{1:s}} \n", "\\prod_{j=1}^t y_j(\\pi_j)$$\n", "\n", "Note that the probability of $\\ell$ is the combined probability of $\\ell'$ with and without the last blank:\n", "\n", "$$P(\\ell|x) = \\alpha_T(|\\ell'|) + \\alpha_T(|\\ell'|-1).$$\n", "\n", "We compute $\\alpha$ via the following dynamic programming algorithm. We start by initializing $\\alpha$ for time $t = 0$:\n", "$$\\begin{align*}\n", "\\alpha_0(0) &= 1 \\\\\n", "\\alpha_0(i) &= 0 \\text{ (for $i > 0$)}\n", "\\end{align*}$$\n", "Before any data is presented we cannot predict any elements of the label (and thus the probability of the empty string must be one, and the probability of a non-empty string is zero.)\n", "\n", "This forms a base case. Next, we can compute any other $\\alpha_t(s)$ via the following recursive relations (where $b$ is a blank):\n", "\n", "$$\\alpha_t(s) = \\begin{cases}\n", "y_t(\\ell'(s)) \\cdot (\\alpha_{t-1}(s) + \\alpha_{t-1}(s-1)) & \\text{if } \\ell'(s) = b \\text{ or } \\ell'(s - 2) = \\ell'(s)\\\\\n", "y_t(\\ell'(s)) \\cdot (\\alpha_{t-1}(s) + \\alpha_{t-1}(s-1) + + \\alpha_{t-1}(s-2)) & \\text{otherwise}\n", "\\end{cases}$$\n", "\n", "These relations may initially seem fairly cryptic, so let us look at each one in turn.\n", "\n", "- Suppose $\\ell'(s) = b$: the last letter in our prefix is a blank. In this case, we have two ways in which we will have seen this prefix by time $t$. First, we could have seen the entire prefix by time $t-1$, followed by seeing a blank (which does nothing). This probability is just $y_t(\\ell'(s)) \\cdot \\alpha_{t-1}(s)$, where $y_t(\\ell'(s))$ corresponds to seeing the blank and $\\alpha_{t-1}(s)$ corresponds to seeing the entire prefix by time $t-1$. The other way in which we can see this prefix by time $t$ is if we see everything but the last blank by time $t-1$ and we see a blank at time $t$; for this, the probability is $y_t(\\ell'(s)) \\alpha_{t-1}(s-1)$.\n", "\n", "- Suppose $\\ell'(s) = \\ell'(s-2)$: our original sequence $\\ell$ has two repeated letters, and we stuck a blank in between them. Once more, we have two ways in which we can get our full prefix $\\ell'_{1:s}$ by time $t$. First, if we have already seen the prefix by time $t-1$, we can just see another $\\ell'(s)$ and the repeated $\\ell'(s)$ will just be removed; the probability of seeing that repeated $\\ell'(s)$ is $y_t(\\ell'(s)) \\alpha_{t-1}(s)$. Second, if we have seen everything but the last letter, then we must see the last letter at time $t$; this probability is $y_t(\\ell'(s)) \\alpha_{t-1}(s-1)$. Note that the sum of this case is identical to that of the previous case.\n", "- Finally, suppose we have non-blank $\\ell'(s)$ which is distinct from the previous non-blank, $\\ell'(s-2)$. In that case, we have the same options as before, except we have a third which corresponds to outputting $\\ell'(s)$ immediately after $\\ell'(s-2)$ (with no intervening blank). That will happen if we have seen $\\ell'(s-2)$ by time $t-1$ and immediately see $\\ell'(s)$ afterwards; this probability is $y_t(\\ell'(s))\\alpha_{t-1}(s-2)$, and forms the last term in the second case.\n", "\n", "Note also that for any for any $s$ that is smaller than $|\\ell'| - 2(T-t)-1$, we do not have enough time steps to complete the rest of the sequence, so $\\alpha_t(s) = 0$. Thus, we can compute $\\alpha_t(s)$ for any $t$, $s$, and $\\ell'$; we can also thus compute $P(\\ell|x)$ for any $\\ell$ and $x$.\n", "\n", "We can now formulate our objective function. Given the dataset $S = \\{(x, \\ell)\\}$ of training samples where $x$ is the input and $\\ell$ is the desired output, we wish to maximize the likelihood (log probability) of each training sample, which corresponds to minimizing the following objective function:\n", "\n", "$$\\mathcal{O}(S) = -\\sum_{(x, \\ell) \\in S} \\ln P(\\ell|x).$$" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Training the Network\n", "\n", "Now that we have an objective function, can devise a training algorithm to minimize it. As we'll see, this is where the *backwards* pass of our forward-backward algorithm comes into play. We minimize it by taking the gradient with respect to the weights, at which point we can use gradient descent.\n", "\n", "The difficulty in this minimization comes from the fact that we need to compute the derivative with respect to the neural network outputs $y_t$, since our objective is a fairly complicated function of these outputs. Once we have the derivatives with respect to the neural network outputs $y_t$, we can use standard neural network backpropagation to compute the derivatives with respect to the weights. Note also that since all training samples are independent, we will just compute our derivatives for a single training sample; simply sum over all training samples to deal with the entire dataset.\n", "\n", "In order to compute our gradients, we are going to need our set of backwards variables. Thus, let $\\beta_t(s)$ be the probability that $\\ell'_{s:|\\ell'|}$ is observed after time $t$; that is, the probability that the $|\\ell'|-s$-length suffix of $t$ is seen starting at time $t$. If $\\pi$ are paths, we define these variables as the sum of the path probabilities over all paths with the desired suffix:\n", "\n", "$$\\beta_t(s) = \\sum_{\\large\\text{label}(\\pi_{t:T}) = \\ell'_{s:|\\ell'|}} \\prod_{i=t}^T y_i(\\pi(i))$$\n", "\n", "As before, we have some fairly convenient initializations, this time at time $t = T$:\n", "\n", "$$\\begin{align*}\n", "\\beta_T(|\\ell'|) &= y_T(b) \\\\\n", "\\beta_T(|\\ell'| - 1) &= y_T(\\ell_{|\\ell|}) \\\\\n", "\\end{align*}$$\n", "\n", "The above equations simply state that the probability of seeing the last character as a suffix at time $t$ is the probability of seeing the network output that character at time $t$ (with or without the blank trailing $\\ell'$). We also know that it's impossible to see a two or more character suffix if we're only looking at the last time output:\n", "\n", "$$\\beta_T(s) = 0 \\text{ for all } s < |\\ell'| - 1.$$\n", "\n", "Next, we define the recursive relations that allow us to compute $\\beta_t(s)$ for any other $s$ and $t$. Unsurprisingly, they look like backwards versions of the $\\alpha_t(s)$ relations:\n", "\n", "$$\\beta_t(s) = \\begin{cases}\n", "y_t(\\ell'(s))\\cdot (\\beta_{t+1}(s) + \\beta_{t+1}(s+1)) & \\text{ if $\\ell'(s) = b$ or $\\ell'(s) = \\ell'(s+2)$} \\\\\n", "y_t(\\ell'(s))\\cdot (\\beta_{t+1}(s) + \\beta_{t+1}(s+1) + \\beta_{t+1}(s+2)) & \\text{ otherwise} \\\\\n", "\\end{cases}$$\n", "The reasoning from before carries over as well, as long as you keep in mind that $\\beta_t(s)$ is the probability of seeing the $|\\ell'|-s$ length suffix of $\\ell'$ starting at time $t$.\n", "\n", "Now, we have $\\alpha_t(s)$, the probability of $s$-length prefix being seen at time $t$, as well as $\\beta_t(s)$, the probability of the $|\\ell'|-s$ length suffix being seen at time $t$. The next key insight is that if we have both $\\beta_t(s)$ and $\\alpha_t(s)$, we are observing symbol $s$ at time $t$, because if we were observing *anything* else, either the suffix or the prefix would not be observed exactly at time $t$. Thus, $\\alpha_t(s)\\beta_t(s)$ is the probability of all paths corresponding to $\\ell$ that go through symbol $s$ at time $t$. Specifically, recall the definitions of $\\alpha$ and $\\beta$:\n", "\n", "$$\\begin{align*}\n", "\\alpha_t(s) &= \\sum_{\\large\\text{label}(\\pi_{1:t}) = \\ell'_{1:s}} \\prod_{j=1}^t y_j(\\pi_j)\\\\\n", "\\beta_t(s) &= \\sum_{\\large\\text{label}(\\pi_{t:T}) = \\ell'_{s:|\\ell'|}} \\prod_{i=t}^T y_i(\\pi(i))\n", "\\end{align*}$$\n", "\n", "Now, consider the terms of the product $\\alpha_t(s)\\beta_t(s)$. Each of these is a term from the $\\alpha_t(s)$ times a term from the $\\beta_t(s)$ sum. Since each term is for a distinct prefix or suffix, the cross product of these two sets yields all possible prefixes and suffixes. The only constraint is that the prefixes and suffixes end and start with symbol $s$ at time $t$; that is, that the paths have $s$ emitted at time $t$. When we multiply two terms (both of which are products over the path) from the two sums, the resulting term is also just a product over the path. Since $\\alpha_t(s)$ contributes a product over the prefix and $\\beta_t(s)$ contributes a product over the suffix, the result is a product over the entire path. Note, however, that since both the suffix and prefix include $s$, we have to avoid double counting it, so we divide by $y_t(\\ell'(s))$. This yields the equation\n", "\n", "$$\\frac{\\alpha_t(s)\\beta_t(s)}{y_t(\\ell'(s))} = \\sum_{\\substack{\\large\\text{label}(\\pi) = \\ell\\\\\\large\\pi(t) = \\ell'(s)}} \\prod_{t=1}^T y_t(\\pi(t))= \\sum_{\\substack{\\large\\text{label}(\\pi) = \\ell\\\\\\large\\pi(t) = \\ell'(s)}} P(\\pi|x)$$\n", "\n", "In the equation above, we are summing over all paths that have symbol $s$ at time $t$. We know that *some* symbol from the path must exist at time $t$, though. Thus, the *total* probability of $\\ell$ is the sum of the probabilities that any *s* appears at time $t$. Thus, we can write that\n", "\n", "$$P(\\ell|x) = \\sum_{s=1}^{|\\ell'|} \\frac{\\alpha_t(s)\\beta_t(s)}{y_t(\\ell'(s))}.$$\n", "\n", "Note that this is valid *for any* $t$ from 1 to $T$. Thus, we can differentiate this probability with respect to $y_t(k)$ for any character $k$ in the alphabet (or blank) and time $t$. Note though that a sequence $\\ell'$ may have many instances of $k$, so let $\\text{loc}(\\ell', k)$ be the set of locations $s$ such that $\\ell'(s) = k$. Then, we can write the derivative as\n", "\n", "$$\\frac{\\partial}{\\partial y_t(k)} P(\\ell|x) = \\frac{1}{y_t(k)^2} \\sum_{\\large s \\in \\text{loc}(\\ell', k)} \\alpha_t(s)\\beta_t(s)$$\n", "\n", "Recall that the final objective function is actually the natural log of the probability. However, we know that\n", "\n", "$$\\frac{\\partial}{\\partial y_t(k)} \\ln P(\\ell|x) = \\frac{1}{P(\\ell|x)}\\frac{\\partial}{\\partial y_t(k)} P(\\ell|x)$$\n", "\n", "and that the probability itself may be written as\n", "\n", "$$P(\\ell|x) = \\alpha_T(|\\ell'|)+\\alpha_T(|\\ell'|-1),$$\n", "\n", "which leads us to our final objective function for the CTC network:\n", "\n", "$$\\frac{\\partial}{\\partial y_t(k)} \\ln P(\\ell|x) = \\frac{1}{y_t(k)^2\\cdot(\\alpha_T(|\\ell'|)+\\alpha_T(|\\ell'|-1))} \\sum_{\\large s \\in \\text{loc}(\\ell', k)} \\alpha_t(s)\\beta_t(s)$$\n", "\n", "This concludes our analysis of connectionist temporal classification (CTC) networks; the details may be accessed in [this paper](ftp://ftp.idsia.ch/pub/juergen/icml2006.pdf) and [Alex Graves' dissertation](http://deeplearning.cs.cmu.edu/pdfs/1104/Supervised_Sequence_Labeling.pdf), both of which address several other issues which arise in practice with CTC networks, and include experimental findings related to their use." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Training a Linguistic Model\n", "\n", "The connectionist temporal classification model we described above does a good job as an acoustic model; that is, it can be trained to predict the output phonemes based on the input sound data. However, it does not account for the fact that the output is actually human language, and *not* just a stream of phonemes. We can augment the acoustic model with a \"linguistic\" model, one that depends solely on the character stream, and not on the sound data.\n", "\n", "This second model is also done as an RNN, known as an RNN transducer. A full account of it may be viewed in [this paper](http://arxiv.org/pdf/1211.3711.pdf).\n", "\n", "Using the same architecture as we defined in the first section (before we looked into CTC networks), we train an RNN to do one-step prediction. Namely, if we have a data sequence $d = (g_1, g_2, \\ldots, g_k)$ of *characters*, we train our neural network to predict $d$ from an input sequence $(b, g_1, g_2, \\ldots, g_{k-1})$, where $b$ is a blank character (encoded the same as in our CTC networks). \n", "\n", "Now we have two models - one RNN that does character-level prediction, and one that does sound-based prediction. If $f_t$ is the output of the acoustic model at time $t$ and $g_u$ is the output of the character-based model at character $u$, we can combine these into a single function $h(t, u)$:\n", "\n", "$$h(t, u) = \\exp(f_t + g_u).$$\n", "\n", "Note that $f_t$ and $g_u$ are both vectors, and the exponentiation and addition are done elementwise. The length of the vector is dependent on the number of characters in the alphabet, with potentially an extra space for the blank.\n", "\n", "From $h$, we can create a normalized probability distribution for observing character or blank $k$ at time $t$ and location $u$:\n", "\n", "$$P(k | t, u) = \\frac{h(t, u)_k}{\\sum_{j=1}^K h(t, u)_j},$$\n", "where $K$ is the number of elements in $h(t, u)$.\n", "\n", "Using this probability distribution, we can define the functions $\\ell(t, u)$ and $b(t, u)$ as the probability of outputting the $u+1$th element of $\\ell$ and the probability of outputting a blank:\n", "\n", "$$\\begin{align*}\n", "\\ell(t, u) &= P(\\ell(u+1)|t, u) \\\\\n", "b(t, u) &= P(b|t, u)\n", "\\end{align*}$$\n", "\n", "Note that these functions are effectively predicting the *next* character emitted. With these, we can redefine our forward and backward variable $\\alpha_t(u)$ and $\\beta_t(u)$ relations as follows:\n", "\n", "$$\\begin{align*}\n", "\\alpha_t(u) &= \\alpha_{t-1}(u)b(t-1, u) + \\alpha_t(u-1)\\ell(t, u-1) \\\\\n", "\\beta_t(u) &= \\beta_{t+1}(u)b(t, u) + \\beta_t(u+1)\\ell(t, u)\n", "\\end{align*}$$\n", "\n", "These have a similar justification as in the previous section. (Note that we did not use $\\ell'$ in this explanation, though.) Next, we proceed through the rest of the CTC algorithm in a similarly motivated way. Decoding, however, must be done with a beam search, which again is documented in the [original paper](http://arxiv.org/pdf/1211.3711.pdf)." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Minor Modifications\n", "\n", "Finally, we have all the components we need to create our final network. Our final network greatly resembles the RNN tranducer network we discussed above. While that is the standard formulation, Graves et. al. propose a modification. Note that the function\n", "\n", "$$h(t, u) = \\exp(f_t + g_u)$$\n", "\n", "effectively multiplies the softmax outputs of $f_t$ and $g_u$. Instead, Graves et. al. propose simply feeding the hidden layers that feed into $f_t$ and $g_u$ to another single-hidden-layer neural network, which computes $h(t, u)$. They find that this decreases deletion errors during speech recognition." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Conclusion\n", "\n", "In this article, we've gone over a ton of material. Motivated by the [Graves et. al. paper](http://arxiv.org/pdf/1303.5778.pdf), we looked at many ways to augment standard recurrent neural networks and apply them to speech recognition. We used Long Short-Term Memory (LSTM) units in deep (multi-hidden-layer) bidirectional recurrent neural networks (BRNNs) as our base architecture. We worked through an explanation of connectionist temporal classificiation (CTC) networks, a method via which we can train RNNs to work with unaligned data sequences. We worked through two possible decoding algorithms for standard CTC networks and derived the objective function as well as the way in which we can compute the gradient in order to train our networks. We looked at RNN tranducers, an approach used to augment the CTC network with a linguistic model (or any model that just models output-output relationships). (Note that we skipped over a number of things related to decoding data from the RNN transducer network.)\n", "\n", "In summary, neural networks can be really darn complicated." ] } ], "metadata": { "kernelspec": { "display_name": "Haskell", "language": "haskell", "name": "haskell" } }, "nbformat": 4, "nbformat_minor": 0 }
gpl-2.0
jingr1/SelfDrivingCar
TowDHistogramFilter/TowDHistogramFilter.ipynb
1
69930
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Two Dimensional Histogram Filter - Your First Feature (and your first bug).\n", "Writing code is important. But a big part of being on a self driving car team is working with a **large** existing codebase. On high stakes engineering projects like a self driving car, you will probably have to earn the trust of your managers and coworkers before they'll let you make substantial changes to the code base. \n", "\n", "A typical assignment for someone new to a team is to make progress on a backlog of bugs. So with that in mind, that's what you will be doing for your first project in the Nanodegree.\n", "\n", "You'll go through this project in a few parts:\n", "\n", "1. **Explore the Code** - don't worry about bugs at this point. The goal is to get a feel for how this code base is organized and what everything does.\n", "2. **Implement a Feature** - write code that gets the robot moving correctly.\n", "3. **Fix a Bug** - Implementing motion will reveal a bug which hadn't shown up before. Here you'll identify what the bug is and take steps to reproduce it. Then you'll identify the cause and fix it.\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Part 1: Exploring the code\n", "In this section you will just run some existing code to get a feel for what this localizer does.\n", "\n", "You can navigate through this notebook using the arrow keys on your keyboard. You can run the code in a cell by pressing **`Ctrl + Enter`**\n", "\n", "Navigate through the cells below. In each cell you should\n", "\n", "1. Read through the code. It's okay to not understand everything at this point. \n", "2. Make a guess about what will happen when you run the code. \n", "3. Run the code and compare what you see with what you expected. \n", "4. When you get to a **TODO** read the instructions carefully and complete the activity.\n", "\n" ] }, { "cell_type": "code", "execution_count": 3, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "The autoreload extension is already loaded. To reload it, use:\n", " %reload_ext autoreload\n" ] } ], "source": [ "# This code \"imports\" code from some of the other files we've written\n", "# in this directory. Specifically simulate.py and helpers.py\n", "import simulate as sim\n", "import helpers\n", "import localizer\n", "\n", "# Don't worry too much about this code for now...\n", "from __future__ import division, print_function\n", "%load_ext autoreload\n", "%autoreload 2" ] }, { "cell_type": "code", "execution_count": 20, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "(2, 2)\n" ] }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAXcAAAD8CAYAAACMwORRAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x25da8dd4588>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "# This code defines a 5x5 robot world as well as some other parameters\n", "# which we will discuss later. It then creates a simulation and shows \n", "# the initial beliefs. \n", "R = 'r'\n", "G = 'g'\n", "grid = [\n", " [R,G,G,G,R],\n", " [G,G,R,G,R],\n", " [G,R,G,G,G],\n", " [R,R,G,R,G],\n", " [R,G,R,G,R],\n", "]\n", "blur = 0.05\n", "p_hit = 200.0\n", "simulation = sim.Simulation(grid, blur, p_hit)\n", "simulation.show_beliefs()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Run the code below multiple times by repeatedly pressing Ctrl + Enter.\n", "\n", "After each run observe how the state has changed. " ] }, { "cell_type": "code", "execution_count": 27, "metadata": {}, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAXcAAAD8CAYAAACMwORRAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nFxFJIIW7iEgCKdxFRBLo/wNNdwu7hasbVQAAAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x25da93c7978>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "simulation.run(1)\n", "simulation.show_beliefs()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "What do you think this call to `run` is doing? Look at the code in **`simulate.py`** to find out.\n", "\n", "Spend a few minutes looking at the `run` method and the methods it calls to get a sense for what's going on." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### What am I looking at?\n", "\n", "The red star shows the robot's true position. The blue circles indicate the strength of the robot's belief that it is at any particular location.\n", "\n", "Ideally we want the biggest blue circle to be at the same position as the red star." ] }, { "cell_type": "code", "execution_count": 28, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# We will provide you with the function below to help you look\n", "# at the raw numbers.\n", "\n", "def show_rounded_beliefs(beliefs):\n", " for row in beliefs:\n", " for belief in row:\n", " print(\"{:0.3f}\".format(belief), end=\" \")\n", " print()\n", " \n", "# The {:0.3f} notation is an example of \"string \n", "# formatting\" in Python. You can learn more about string \n", "# formatting at https://pyformat.info/" ] }, { "cell_type": "code", "execution_count": 31, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "0.000 0.000 0.001 0.001 0.001 \n", "0.000 0.000 0.000 0.000 0.000 \n", "0.004 0.000 0.000 0.004 0.030 \n", "0.015 0.005 0.000 0.016 0.841 \n", "0.015 0.000 0.001 0.057 0.008 \n" ] } ], "source": [ "show_rounded_beliefs(simulation.beliefs)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "_____" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Part 2: Implement a 2D sense function.\n", "As you can see, the robot's beliefs aren't changing. No matter how many times we call the simulation's sense method, nothing happens. The beliefs remain uniform." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Instructions\n", "1. Open `localizer.py` and complete the `sense` function.\n", "3. Run the code in the cell below to import the localizer module (or reload it) and then test your sense function.\n", "4. If the test passes, you've successfully implemented your first feature! Keep going with the project. If your tests don't pass (they likely won't the first few times you test), keep making modifications to the `sense` function until they do!" ] }, { "cell_type": "code", "execution_count": 33, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Tests pass! Your sense function is working as expected\n" ] } ], "source": [ "from imp import reload\n", "reload(localizer)\n", "def test_sense():\n", " R = 'r'\n", " _ = 'g'\n", "\n", " simple_grid = [\n", " [_,_,_],\n", " [_,R,_],\n", " [_,_,_]\n", " ]\n", "\n", " p = 1.0 / 9\n", " initial_beliefs = [\n", " [p,p,p],\n", " [p,p,p],\n", " [p,p,p]\n", " ]\n", "\n", " observation = R\n", "\n", " expected_beliefs_after = [\n", " [1/11, 1/11, 1/11],\n", " [1/11, 3/11, 1/11],\n", " [1/11, 1/11, 1/11]\n", " ]\n", "\n", " p_hit = 3.0\n", " p_miss = 1.0\n", " beliefs_after_sensing = localizer.sense(\n", " observation, simple_grid, initial_beliefs, p_hit, p_miss)\n", "\n", " if helpers.close_enough(beliefs_after_sensing, expected_beliefs_after):\n", " print(\"Tests pass! Your sense function is working as expected\")\n", " return\n", " \n", " elif not isinstance(beliefs_after_sensing, list):\n", " print(\"Your sense function doesn't return a list!\")\n", " return\n", " \n", " elif len(beliefs_after_sensing) != len(expected_beliefs_after):\n", " print(\"Dimensionality error! Incorrect height\")\n", " return\n", " \n", " elif len(beliefs_after_sensing[0] ) != len(expected_beliefs_after[0]):\n", " print(\"Dimensionality Error! Incorrect width\")\n", " return\n", " \n", " elif beliefs_after_sensing == initial_beliefs:\n", " print(\"Your code returns the initial beliefs.\")\n", " return\n", " \n", " total_probability = 0.0\n", " for row in beliefs_after_sensing:\n", " for p in row:\n", " total_probability += p\n", " if abs(total_probability-1.0) > 0.001:\n", " \n", " print(\"Your beliefs appear to not be normalized\")\n", " return\n", " \n", " print(\"Something isn't quite right with your sense function\")\n", "\n", "test_sense()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Integration Testing\n", "Before we call this \"complete\" we should perform an **integration test**. We've verified that the sense function works on it's own, but does the localizer work overall?\n", "\n", "Let's perform an integration test. First you you should execute the code in the cell below to prepare the simulation environment." ] }, { "cell_type": "code", "execution_count": 34, "metadata": { "collapsed": true }, "outputs": [], "source": [ "from simulate import Simulation\n", "import simulate as sim\n", "import helpers\n", "reload(localizer)\n", "reload(sim)\n", "reload(helpers)\n", "\n", "R = 'r'\n", "G = 'g'\n", "grid = [\n", " [R,G,G,G,R,R,R],\n", " [G,G,R,G,R,G,R],\n", " [G,R,G,G,G,G,R],\n", " [R,R,G,R,G,G,G],\n", " [R,G,R,G,R,R,R],\n", " [G,R,R,R,G,R,G],\n", " [R,R,R,G,R,G,G],\n", "]\n", "\n", "# Use small value for blur. This parameter is used to represent\n", "# the uncertainty in MOTION, not in sensing. We want this test\n", "# to focus on sensing functionality\n", "blur = 0.1\n", "p_hit = 100.0\n", "simulation = sim.Simulation(grid, blur, p_hit)" ] }, { "cell_type": "code", "execution_count": 44, "metadata": {}, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAW4AAAD8CAYAAABXe05zAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nEQmMgltEJDAKbhGRwCi4RUQC8/8Pa/LldYFELwAAAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x25da90856a0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "# Use control+Enter to run this cell many times and observe how \n", "# the robot's belief that it is in each cell (represented by the\n", "# size of the corresponding circle) changes as the robot moves.\n", "# The true position of the robot is given by the red star.\n", "\n", "# Run this cell about 15-25 times and observe the results\n", "simulation.run(1)\n", "simulation.show_beliefs()\n", "\n", "# If everything is working correctly you should see the beliefs\n", "# converge to a single large circle at the same position as the \n", "# red star.\n", "#\n", "# When you are satisfied that everything is working, continue\n", "# to the next section" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Part 3: Identify and Reproduce a Bug\n", "Software has bugs. That's okay.\n", "\n", "A user of your robot called tech support with a complaint\n", "\n", "> \"So I was using your robot in a square room and everything was fine. Then I tried loading in a map for a rectangular room and it drove around for a couple seconds and then suddenly stopped working. Fix it!\"\n", "\n", "Now we have to debug. We are going to use a systematic approach.\n", "\n", "1. Reproduce the bug\n", "2. Read (and understand) the error message (when one exists)\n", "3. Write a test that triggers the bug.\n", "4. Generate a hypothesis for the cause of the bug.\n", "5. Try a solution. If it fixes the bug, great! If not, go back to step 4." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Step 1: Reproduce the bug\n", "The user said that **rectangular environments** seem to be causing the bug. \n", "\n", "The code below is the same as the code you were working with when you were doing integration testing of your new feature. See if you can modify it to reproduce the bug." ] }, { "cell_type": "code", "execution_count": 45, "metadata": {}, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAXcAAAD8CAYAAACMwORRAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nB/oX2InMNBlgAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x25da6cb6fd0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "from simulate import Simulation\n", "import simulate as sim\n", "import helpers\n", "reload(localizer)\n", "reload(sim)\n", "reload(helpers)\n", "\n", "R = 'r'\n", "G = 'g'\n", "\n", "grid = [ \n", " [R,G,G,G,R,R,R],\n", " [G,G,R,G,R,G,R],\n", " [G,R,G,G,G,G,R],\n", " [R,R,G,R,G,G,G],\n", "]\n", "\n", "blur = 0.001\n", "p_hit = 100.0\n", "simulation = sim.Simulation(grid, blur, p_hit)\n", "\n", "# remember, the user said that the robot would sometimes drive around for a bit...\n", "# It may take several calls to \"simulation.run\" to actually trigger the bug.\n", "simulation.run(5)\n", "simulation.show_beliefs()" ] }, { "cell_type": "code", "execution_count": 48, "metadata": { "collapsed": true }, "outputs": [], "source": [ "simulation.run(3)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Step 2: Read and Understand the error message\n", "If you triggered the bug, you should see an error message directly above this cell. The end of that message should say:\n", "\n", "```\n", "IndexError: list index out of range\n", "```\n", "\n", "And just above that you should see something like\n", "\n", "```\n", "path/to/your/directory/localizer.pyc in move(dy, dx, beliefs, blurring)\n", " 38 new_i = (i + dy ) % width\n", " 39 new_j = (j + dx ) % height\n", "---> 40 new_G[int(new_i)][int(new_j)] = cell\n", " 41 return blur(new_G, blurring)\n", "```\n", "\n", "This tells us that line 40 (in the move function) is causing an `IndexError` because \"list index out of range\".\n", "\n", "If you aren't sure what this means, use Google! \n", "\n", "Copy and paste `IndexError: list index out of range` into Google! When I do that, I see something like this:\n", "\n", "![Search Results](http://i.imgur.com/gleBmBy.png)\n", "\n", "Browse through the top links (often these will come from stack overflow) and read what people have said about this error until you are satisfied you understand how it's caused." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Step 3: Write a test that reproduces the bug\n", "This will help you know when you've fixed it and help you make sure you never reintroduce it in the future. You might have to try many potential solutions, so it will be nice to have a single function to call to confirm whether or not the bug is fixed" ] }, { "cell_type": "code", "execution_count": 52, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# According to the user, sometimes the robot actually does run \"for a while\" \n", "# - How can you change the code so the robot runs \"for a while\"?\n", "# - How many times do you need to call simulation.run() to consistently\n", "# reproduce the bug?\n", "# Modify the code below so that when the function is called \n", "# it consistently reproduces the bug.\n", "def test_robot_works_in_rectangle_world():\n", " from simulate import Simulation\n", " import simulate as sim\n", " import helpers\n", " reload(localizer)\n", " reload(sim)\n", " reload(helpers)\n", "\n", " R = 'r'\n", " G = 'g'\n", "\n", " grid = [ \n", " [R,G,G,G,R,R,R],\n", " [G,G,R,G,R,G,R],\n", " [G,R,G,G,G,G,R],\n", " [R,R,G,R,G,G,G],\n", " ]\n", "\n", " blur = 0.001\n", " p_hit = 100.0\n", " for i in range(1000):\n", " simulation = sim.Simulation(grid, blur, p_hit)\n", " simulation.run(10)\n", " \n", "test_robot_works_in_rectangle_world()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Step 4: Generate a Hypothesis\n", "In order to have a guess about what's causing the problem, it will be helpful to use some Python debuggin tools\n", "\n", "The `pdb` module (`p`ython `d`e`b`ugger) will be helpful here!\n", "\n", "#### Setting up the debugger \n", "1. Open `localizer.py` and uncomment the line to the top that says `import pdb`\n", "2. Just before the line of code that is causing the bug `new_G[int(new_i)][int(new_j)] = cell`, add a new line of code that says `pdb.set_trace()`\n", "3. Run your test by calling your test function (run the cell below this one)\n", "4. You should see a text entry box pop up! For now, type `c` into the box and hit enter to **c**ontinue program execution. Keep typing `c` and enter until the bug is triggered again" ] }, { "cell_type": "code", "execution_count": 53, "metadata": { "collapsed": true }, "outputs": [], "source": [ "test_robot_works_in_rectangle_world()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Using the debugger\n", "The debugger works by pausing program execution wherever you write `pdb.set_trace()` in your code. You also have access to any variables which are accessible from that point in your code. \n", "\n", "Try running your test again. This time, when the text entry box shows up, type `new_i` and hit enter. You will see the value of the `new_i` variable show up in the debugger window. Play around with the debugger: find the values of `new_j`, `height`, and `width`. Do they seem reasonable / correct?\n", "\n", "When you are done playing around, type `c` to continue program execution. Was the bug triggered? Keep playing until you have a guess about what is causing the bug." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Step 5: Write a Fix\n", "You have a hypothesis about what's wrong. Now try to fix it. When you're done you should call your test function again. You may want to remove (or comment out) the line you added to `localizer.py` that says `pdb.set_trace()` so your test can run without you having to type `c` into the debugger box." ] }, { "cell_type": "code", "execution_count": 54, "metadata": {}, "outputs": [], "source": [ "test_robot_works_in_rectangle_world()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Congratulations!\n", "You've implemented your first feature and successfully debugged a problem the robot was having with rectangular environments. Well done." ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.1" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
Cyb3rWard0g/HELK
docker/helk-jupyter/notebooks/sigma/sysmon_powershell_network_connection.ipynb
1
4018
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# PowerShell Network Connections\n", "Detects a Powershell process that opens network connections - check for suspicious target ports and target systems - adjust to your environment (e.g. extend filters with company's ip range')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Rule Content\n", "```\n", "- title: PowerShell Network Connections\n", " id: 1f21ec3f-810d-4b0e-8045-322202e22b4b\n", " status: experimental\n", " description: Detects a Powershell process that opens network connections - check\n", " for suspicious target ports and target systems - adjust to your environment (e.g.\n", " extend filters with company's ip range')\n", " author: Florian Roth\n", " references:\n", " - https://www.youtube.com/watch?v=DLtJTxMWZ2o\n", " tags:\n", " - attack.execution\n", " - attack.t1086\n", " logsource:\n", " product: windows\n", " service: sysmon\n", " category: null\n", " detection:\n", " selection:\n", " EventID: 3\n", " Image: '*\\powershell.exe'\n", " Initiated: 'true'\n", " filter:\n", " DestinationIp:\n", " - 10.*\n", " - 192.168.*\n", " - 172.16.*\n", " - 172.17.*\n", " - 172.18.*\n", " - 172.19.*\n", " - 172.20.*\n", " - 172.21.*\n", " - 172.22.*\n", " - 172.23.*\n", " - 172.24.*\n", " - 172.25.*\n", " - 172.26.*\n", " - 172.27.*\n", " - 172.28.*\n", " - 172.29.*\n", " - 172.30.*\n", " - 172.31.*\n", " - 127.0.0.1\n", " DestinationIsIpv6: 'false'\n", " User: NT AUTHORITY\\SYSTEM\n", " condition: selection and not filter\n", " falsepositives:\n", " - Administrative scripts\n", " level: low\n", "\n", "```" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Querying Elasticsearch" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Import Libraries" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "from elasticsearch import Elasticsearch\n", "from elasticsearch_dsl import Search\n", "import pandas as pd" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Initialize Elasticsearch client" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "es = Elasticsearch(['http://helk-elasticsearch:9200'])\n", "searchContext = Search(using=es, index='logs-endpoint-winevent-sysmon-*', doc_type='doc')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Run Elasticsearch Query" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "s = searchContext.query('query_string', query='((event_id:\"3\" AND process_path.keyword:*\\\\powershell.exe AND network_initiated:\"true\") AND (NOT (dst_ip_addr.keyword:(10.* OR 192.168.* OR 172.16.* OR 172.17.* OR 172.18.* OR 172.19.* OR 172.20.* OR 172.21.* OR 172.22.* OR 172.23.* OR 172.24.* OR 172.25.* OR 172.26.* OR 172.27.* OR 172.28.* OR 172.29.* OR 172.30.* OR 172.31.* OR 127.0.0.1) AND DestinationIsIpv6:\"false\" AND user_account:\"NT\\ AUTHORITY\\\\SYSTEM\")))')\n", "response = s.execute()\n", "if response.success():\n", " df = pd.DataFrame((d.to_dict() for d in s.scan()))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Show Results" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "df.head()" ] } ], "metadata": {}, "nbformat": 4, "nbformat_minor": 4 }
gpl-3.0
stharrold/2015_Harrold_SDSSJ1600
ipython_notebooks/20150727T203000_SDSS_J160036.83+272117.8_combined.ipynb
2
8648110
null
mit
CivicKnowledge/metatab-py
examples/Pandas Reporter Example.ipynb
3
8899
{ "cells": [ { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [], "source": [ "import pandas as pd\n", "import numpy as np\n", "import pandasreporter as pr\n", "\n", "\n", "# B17001, Poverty Status by Sex by Age\n", "b17001 = pr.get_dataframe('B17001', '140', '05000US06073', cache=True).ct_columns\n", "# B17024, Age by Ratio of Income to Poverty Level\n", "b17024 = pr.get_dataframe('B17024', '140', '05000US06073', cache=True).ct_columns\n", "# B17017, Poverty Status by Household Type by Age of Householder\n", "b17017 = pr.get_dataframe('B17017', '140', '05000US06073', cache=True).ct_columns" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# B17001 Poverty Status by Sex by Age\n", "\n", "For the [Poverty Status by Sex by Age](https://censusreporter.org/tables/B17001/) we'll select the columns for male and female, below poverty, 65 and older. \n", "\n", "**NOTE** if you want to get seniors of a particular race, use table `C17001a-g`, condensed race iterations. The 'C' tables have fewer age ranges, but there is no 'C' table for all races: There is a `C17001a` for Whites, a condensed version of `B17001a`, but there is no `C17001` for a condensed version of `B17001`\n", "\n" ] }, { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "['B17001015 Total Income in the past 12 months below poverty level Male 65 to 74 years',\n", " 'Margins for B17001015 Total Income in the past 12 months below poverty level Male 65 to 74 years',\n", " 'B17001016 Total Income in the past 12 months below poverty level Male 75 years and over',\n", " 'Margins for B17001016 Total Income in the past 12 months below poverty level Male 75 years and over',\n", " 'B17001029 Total Income in the past 12 months below poverty level Female 65 to 74 years',\n", " 'Margins for B17001029 Total Income in the past 12 months below poverty level Female 65 to 74 years',\n", " 'B17001030 Total Income in the past 12 months below poverty level Female 75 years and over',\n", " 'Margins for B17001030 Total Income in the past 12 months below poverty level Female 75 years and over',\n", " 'B17001044 Total Income in the past 12 months at or above poverty level Male 65 to 74 years',\n", " 'Margins for B17001044 Total Income in the past 12 months at or above poverty level Male 65 to 74 years',\n", " 'B17001045 Total Income in the past 12 months at or above poverty level Male 75 years and over',\n", " 'Margins for B17001045 Total Income in the past 12 months at or above poverty level Male 75 years and over',\n", " 'B17001058 Total Income in the past 12 months at or above poverty level Female 65 to 74 years',\n", " 'Margins for B17001058 Total Income in the past 12 months at or above poverty level Female 65 to 74 years',\n", " 'B17001059 Total Income in the past 12 months at or above poverty level Female 75 years and over',\n", " 'Margins for B17001059 Total Income in the past 12 months at or above poverty level Female 75 years and over']" ] }, "execution_count": 2, "output_type": "execute_result", "metadata": {} } ], "source": [ "[e for e in b17001.columns if '65 to 74' in str(e) or '75 years' in str(e) ]" ] }, { "cell_type": "code", "execution_count": 3, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>geoid</th>\n", " <th>B17001015 Total Income in the past 12 months below poverty level Male 65 to 74 years</th>\n", " <th>Margins for B17001015 Total Income in the past 12 months below poverty level Male 65 to 74 years</th>\n", " <th>B17001016 Total Income in the past 12 months below poverty level Male 75 years and over</th>\n", " <th>Margins for B17001016 Total Income in the past 12 months below poverty level Male 75 years and over</th>\n", " <th>B17001029 Total Income in the past 12 months below poverty level Female 65 to 74 years</th>\n", " <th>Margins for B17001029 Total Income in the past 12 months below poverty level Female 65 to 74 years</th>\n", " <th>B17001030 Total Income in the past 12 months below poverty level Female 75 years and over</th>\n", " <th>Margins for B17001030 Total Income in the past 12 months below poverty level Female 75 years and over</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>14000US06073004501</td>\n", " <td>10.0</td>\n", " <td>18.0</td>\n", " <td>0.0</td>\n", " <td>12.0</td>\n", " <td>13.0</td>\n", " <td>22.0</td>\n", " <td>7.0</td>\n", " <td>12.0</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>14000US06073019803</td>\n", " <td>0.0</td>\n", " <td>12.0</td>\n", " <td>0.0</td>\n", " <td>12.0</td>\n", " <td>8.0</td>\n", " <td>12.0</td>\n", " <td>11.0</td>\n", " <td>17.0</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>14000US06073006000</td>\n", " <td>18.0</td>\n", " <td>30.0</td>\n", " <td>0.0</td>\n", " <td>12.0</td>\n", " <td>0.0</td>\n", " <td>12.0</td>\n", " <td>0.0</td>\n", " <td>12.0</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>14000US06073008364</td>\n", " <td>0.0</td>\n", " <td>17.0</td>\n", " <td>7.0</td>\n", " <td>18.0</td>\n", " <td>7.0</td>\n", " <td>17.0</td>\n", " <td>0.0</td>\n", " <td>17.0</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>14000US06073008507</td>\n", " <td>0.0</td>\n", " <td>17.0</td>\n", " <td>67.0</td>\n", " <td>61.0</td>\n", " <td>17.0</td>\n", " <td>26.0</td>\n", " <td>26.0</td>\n", " <td>41.0</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ] }, "output_type": "execute_result", "metadata": {} } ], "source": [ "# Now create a subset dataframe with just the columns we need. \n", "b17001s = b17001[['geoid', 'B17001015', 'B17001016','B17001029','B17001030']]\n", "b17001s.head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Senior poverty rates\n", "\n", "Creating the sums for the senior below poverty rates at the tract level is easy, but there is a *serious problem* with the results: the numbers are completely unstable. The minimum RSE is 22%, and the median is about 60%. These are useless results. " ] }, { "cell_type": "code", "execution_count": 4, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "count 576.000000\n", "mean 87.621218\n", "std 156.710591\n", "min 22.150407\n", "25% 43.645038\n", "50% 58.919310\n", "75% 82.136436\n", "max 1806.402183\n", "dtype: float64" ] }, "execution_count": 4, "output_type": "execute_result", "metadata": {} } ], "source": [ "b17001_65mf = pr.CensusDataFrame()\n", "b17001_65mf['geoid'] = b17001['geoid']\n", "b17001_65mf['poverty_65'], b17001_65mf['poverty_65_m90'] = b17001.sum_m('B17001015', 'B17001016','B17001029','B17001030')\n", "b17001_65mf.add_rse('poverty_65')\n", "b17001_65mf.poverty_65_rse.replace([np.inf, -np.inf], np.nan).dropna().describe()" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3.0 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.0" } }, "nbformat": 4, "nbformat_minor": 2 }
bsd-3-clause
acdh-oeaw/defc-app
import_nofk_vocabs.ipynb
1
2971
{ "cells": [ { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import csv, re" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": true }, "outputs": [], "source": [ "file = \"data/small_finds_failed_saves_v9.csv\"" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": true }, "outputs": [], "source": [ "with open(file, 'r', encoding ='utf-8') as data:\n", " reader = csv.reader(data)\n", " datalist = list(reader)" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "[['classname', 'field name 1', 'value', 'field name 2', 'value'],\n", " ['DC_finds_small_finds_type',\n", " 'name',\n", " 'Fire dog',\n", " 'german_name',\n", " '“Feuerbock”'],\n", " ['DC_finds_small_finds_type',\n", " 'name',\n", " '“Skull crusher”',\n", " 'german_name',\n", " '“Skull Crusher”']]" ] }, "execution_count": 4, "metadata": {}, "output_type": "execute_result" } ], "source": [ "datalist[:15]" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "2\n", "saved 2 entries\n", "[]\n" ] } ], "source": [ "print(len(datalist[1:]))\n", "failed_saves = []\n", "c = 0\n", "for x in datalist[1:]:\n", " if x[3]==\"\":\n", " string = \"\"\"{}({}=\"{}\", )\"\"\".format(x[0], x[1], x[2])\n", " try:\n", " (eval(string)).save()\n", " c = c+1\n", " except:\n", " failed_saves.append(string)\n", " else:\n", " string = \"\"\"{}({}=\"{}\",{}=\"{}\")\"\"\".format(x[0], x[1], x[2], x[3], x[4])\n", " try:\n", " (eval(string)).save()\n", " c = c+1\n", " except:\n", " failed_saves.append(string)\n", "print(\"saved {} entries\".format(c))\n", "print(failed_saves)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Django Shell-Plus", "language": "python", "name": "django_extensions" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.4.3" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
tensorflow/examples
courses/udacity_intro_to_tensorflow_for_deep_learning/l08c04_time_windows.ipynb
1
7250
{ "cells": [ { "cell_type": "markdown", "metadata": { "id": "Za8-Nr5k11fh" }, "source": [ "##### Copyright 2018 The TensorFlow Authors." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "cellView": "form", "id": "Eq10uEbw0E4l" }, "outputs": [], "source": [ "#@title Licensed under the Apache License, Version 2.0 (the \"License\");\n", "# you may not use this file except in compliance with the License.\n", "# You may obtain a copy of the License at\n", "#\n", "# https://www.apache.org/licenses/LICENSE-2.0\n", "#\n", "# Unless required by applicable law or agreed to in writing, software\n", "# distributed under the License is distributed on an \"AS IS\" BASIS,\n", "# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.\n", "# See the License for the specific language governing permissions and\n", "# limitations under the License." ] }, { "cell_type": "markdown", "metadata": { "id": "Ou0PGp_4icRo" }, "source": [ "# Time windows" ] }, { "cell_type": "markdown", "metadata": { "id": "93b0GzKph0jK" }, "source": [ "<table class=\"tfo-notebook-buttons\" align=\"left\">\n", " <td>\n", " <a target=\"_blank\" href=\"https://colab.research.google.com/github/tensorflow/examples/blob/master/courses/udacity_intro_to_tensorflow_for_deep_learning/l08c04_time_windows.ipynb\"><img src=\"https://www.tensorflow.org/images/colab_logo_32px.png\" />Run in Google Colab</a>\n", " </td>\n", " <td>\n", " <a target=\"_blank\" href=\"https://github.com/tensorflow/examples/blob/master/courses/udacity_intro_to_tensorflow_for_deep_learning/l08c04_time_windows.ipynb\"><img src=\"https://www.tensorflow.org/images/GitHub-Mark-32px.png\" />View source on GitHub</a>\n", " </td>\n", "</table>" ] }, { "cell_type": "markdown", "metadata": { "id": "vidayERjaO5q" }, "source": [ "## Setup" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "gqWabzlJ63nL" }, "outputs": [], "source": [ "import tensorflow as tf" ] }, { "cell_type": "markdown", "metadata": { "id": "ViWVB9qd8OIR" }, "source": [ "## Time Windows\n", "\n", "First, we will train a model to forecast the next step given the previous 20 steps, therefore, we need to create a dataset of 20-step windows for training." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "bgJkwtq88OIS" }, "outputs": [], "source": [ "dataset = tf.data.Dataset.range(10)\n", "for val in dataset:\n", " print(val.numpy())" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "ad8C65JV8OIT" }, "outputs": [], "source": [ "dataset = tf.data.Dataset.range(10)\n", "dataset = dataset.window(5, shift=1)\n", "for window_dataset in dataset:\n", " for val in window_dataset:\n", " print(val.numpy(), end=\" \")\n", " print()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "AQtmODsi8OIU" }, "outputs": [], "source": [ "dataset = tf.data.Dataset.range(10)\n", "dataset = dataset.window(5, shift=1, drop_remainder=True)\n", "for window_dataset in dataset:\n", " for val in window_dataset:\n", " print(val.numpy(), end=\" \")\n", " print()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "kTRHiWxi8OIW" }, "outputs": [], "source": [ "dataset = tf.data.Dataset.range(10)\n", "dataset = dataset.window(5, shift=1, drop_remainder=True)\n", "dataset = dataset.flat_map(lambda window: window.batch(5))\n", "for window in dataset:\n", " print(window.numpy())" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "iPsQbWHb8OIX" }, "outputs": [], "source": [ "dataset = tf.data.Dataset.range(10)\n", "dataset = dataset.window(5, shift=1, drop_remainder=True)\n", "dataset = dataset.flat_map(lambda window: window.batch(5))\n", "dataset = dataset.map(lambda window: (window[:-1], window[-1:]))\n", "for x, y in dataset:\n", " print(x.numpy(), y.numpy())" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "hzp7RD6_8OIY" }, "outputs": [], "source": [ "dataset = tf.data.Dataset.range(10)\n", "dataset = dataset.window(5, shift=1, drop_remainder=True)\n", "dataset = dataset.flat_map(lambda window: window.batch(5))\n", "dataset = dataset.map(lambda window: (window[:-1], window[-1:]))\n", "dataset = dataset.shuffle(buffer_size=10)\n", "for x, y in dataset:\n", " print(x.numpy(), y.numpy())" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "y70nV0EI8OIZ" }, "outputs": [], "source": [ "dataset = tf.data.Dataset.range(10)\n", "dataset = dataset.window(5, shift=1, drop_remainder=True)\n", "dataset = dataset.flat_map(lambda window: window.batch(5))\n", "dataset = dataset.map(lambda window: (window[:-1], window[-1:]))\n", "dataset = dataset.shuffle(buffer_size=10)\n", "dataset = dataset.batch(2).prefetch(1)\n", "for x, y in dataset:\n", " print(\"x =\", x.numpy())\n", " print(\"y =\", y.numpy())" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "1tl-0BOKkEtk" }, "outputs": [], "source": [ "def window_dataset(series, window_size, batch_size=32,\n", " shuffle_buffer=1000):\n", " dataset = tf.data.Dataset.from_tensor_slices(series)\n", " dataset = dataset.window(window_size + 1, shift=1, drop_remainder=True)\n", " dataset = dataset.flat_map(lambda window: window.batch(window_size + 1))\n", " dataset = dataset.shuffle(shuffle_buffer)\n", " dataset = dataset.map(lambda window: (window[:-1], window[-1]))\n", " dataset = dataset.batch(batch_size).prefetch(1)\n", " return dataset" ] } ], "metadata": { "colab": { "collapsed_sections": [], "name": "l08c04_time_windows.ipynb", "toc_visible": true }, "kernelspec": { "display_name": "Python 3", "name": "python3" } }, "nbformat": 4, "nbformat_minor": 0 }
apache-2.0
wcmckee/wcmckee.com
posts/niktrans.ipynb
1
14008
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "<h1>NikTrans</h1>\n", "\n", "Python script to create Nikola sites from a list of schools. Edits conf.py file for site name and licence. " ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": true, "scrolled": true }, "outputs": [], "source": [ "import os\n", "import json" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [], "source": [ "os.system('python3 nikoladu.py')\n", "os.chdir('/home/wcmckee/nik1/')\n", "os.system('nikola build')\n", "os.system('rsync -azP /home/wcmckee/nik1/* wcmckee@wcmckee.com:/home/wcmckee/github/wcmckee.com/output/minedujobs')" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": true, "scrolled": true }, "outputs": [], "source": [ "opccschho = open('/home/wcmckee/ccschool/cctru.json', 'r')" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [], "source": [ "opcz = opccschho.read()" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [], "source": [ "rssch = json.loads(opcz)" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": true, "scrolled": true }, "outputs": [], "source": [ "filrma = ('/home/wcmckee/ccschol/')" ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [], "source": [ "for rs in rssch.keys():\n", " hythsc = (rs.replace(' ', '-'))\n", " hylow = hythsc.lower()\n", " hybrac = hylow.replace('(', '')\n", " hybaec = hybrac.replace(')', '')\n", " os.mkdir(filrma + hybaec)\n", " \n", " os.system('nikola init -q ' + filrma + hybaec)\n", " " ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "I want to open each of the conf.py files and replace the nanme of the site with hythsc.lower\n", "\n", "Dir /home/wcmckee/ccschol has all the schools folders. Need to replace in conf.py Demo Name \n", "with folder name of school.\n", "\n", "Schools name missing characters - eg ardmore" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": true, "scrolled": true }, "outputs": [], "source": [ "lisschol = os.listdir('/home/wcmckee/ccschol/')" ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "collapsed": true, "scrolled": true }, "outputs": [], "source": [ "findwat = ('LICENSE = \"\"\"')" ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "collapsed": true, "scrolled": true }, "outputs": [], "source": [ "def replacetext(findtext, replacetext):\n", " for lisol in lisschol:\n", " filereaz = ('/home/wcmckee/ccschol/' + hybaec + '/conf.py')\n", " f = open(filereaz,'r')\n", " filedata = f.read()\n", " f.close()\n", "\n", " newdata = filedata.replace(findtext, '\"' + replacetext + '\"')\n", " #print (newdata)\n", " f = open(filereaz,'w')\n", " f.write(newdata)\n", " f.close()\n" ] }, { "cell_type": "code", "execution_count": 14, "metadata": { "collapsed": true, "scrolled": true }, "outputs": [], "source": [ "replacetext('LICENSE = \"\"\"', 'LICENSE = \"\"\"<a rel=\"license\" href=\"http://creativecommons.org/licenses/by/4.0/\"><img alt=\"Creative Commons Attribution 4.0 International License\" style=\"border-width:0; margin-bottom:12px;\" src=\"https://i.creativecommons.org/l/by/4.0/88x31.png\"></a>\"')" ] }, { "cell_type": "code", "execution_count": 15, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [], "source": [ "\n", "licfil = 'LICENSE = \"\"\"<a rel=\"license\" href=\"http://creativecommons.org/licenses/by/4.0/\"><img alt=\"Creative Commons Attribution 4.0 International License\" style=\"border-width:0; margin-bottom:12px;\" src=\"https://i.creativecommons.org/l/by/4.0/88x31.png\"></a>\"'" ] }, { "cell_type": "code", "execution_count": 16, "metadata": { "collapsed": true, "scrolled": true }, "outputs": [], "source": [ "opwcm = ('/home/wcmckee/github/wcm.com/conf.py')" ] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "te-wharekura-o-maniapoto\n", "ardmore\n", "shotover-school\n", "hobsonville-point-secondary-school\n", "south-hornby\n", "thorndon\n", "leigh-school\n", "st-josephs-upper-hutt\n", "green-bay\n", "oaklands-\n", "hampden-street\n", "rangiora-borough\n", "pegasus-bay\n", "te-pa-o-rakaihautu\n", "paparoa-street\n", "te-one\n", "kaikohe-west\n", "thorrington\n", "grey-lynn\n", "ohoka\n", "westlake-girls-high-school\n", "merrin\n", "stonefields-school\n", "sylvia-park-school\n", "roydvale\n", "marlborough-primary\n", "ward\n", "raphael-house\n", "whau-valley\n", "taipa-area-school-\n", "pukekohe-intermediate\n", "ashburton-intermediate\n", "onewhero-area\n", "rawhiti\n", "horowhenua-college\n", "our-lady-star-of-the-sea-sumner\n", "taradale-intermediate\n", "eastern-hutt\n", "st-marys-catholic-tauranga\n", "windwhistle\n", "northcote-college\n", "diamond-harbour\n", "barton-rural\n", "orewa-college\n", "ebbett-park\n", "shirley-intermediate\n", "sumner\n", "arrowtown\n", "taupaki-school\n", "yaldhurst-model\n", "nelson-park\n", "wellington-high-school\n", "redwood-tawa\n", "somerfield\n", "marewa\n", "albany-senior-high-school\n", "middleton-grange-school\n", "kaingaroa-chatham-islands\n", "cheviot-area\n", "okaihau-college\n", "runanga\n", "hutt-valley-high-school\n", "our-lady-of-victoriea\n", "burnside-high-school\n", "hillpark\n", "tkkm-o-te-atihaunui-a-paparangi\n", "tawa-intermediate\n", "auckland-girls-grammar-school\n", "broadfield\n", "westmere\n", "kaikoura-suburban\n", "whangaparaoa-college\n", "our-lady-of-snows-methven\n", "waikato-diocesan\n", "warrington-school\n", "banks-avenue\n", "cobham-intermediate\n", "st-patrick’s-bryndwr\n", "hobsonville-point-primary-school\n", "te-hihi\n", "st-patrick’s-kaiapoi\n", "springston-school\n", "nayland-college\n", "elmwood-normal\n", "pakuranga-heights-school\n", "sacred-heart-catholic\n", "eskdale\n" ] } ], "source": [ "for lisol in lisschol:\n", " print (lisol)\n", " rdwcm = open(opwcm, 'r')\n", " \n", " filewcm = rdwcm.read()\n", " newdata = filewcm.replace('wcmckee', lisol)\n", "\n", " rdwcm.close()\n", " #print (newdata)\n", " \n", " f = open('/home/wcmckee/ccschol/' + lisol + '/conf.py','w')\n", " f.write(newdata)\n", " f.close()\n" ] }, { "cell_type": "code", "execution_count": 18, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [ { "ename": "ValueError", "evalue": "I/O operation on closed file.", "output_type": "error", "traceback": [ "\u001b[1;31m---------------------------------------------------------------------------\u001b[0m", "\u001b[1;31mValueError\u001b[0m Traceback (most recent call last)", "\u001b[1;32m<ipython-input-18-46372e43ced8>\u001b[0m in \u001b[0;36m<module>\u001b[1;34m()\u001b[0m\n\u001b[1;32m----> 1\u001b[1;33m \u001b[1;32mfor\u001b[0m \u001b[0mrdlin\u001b[0m \u001b[1;32min\u001b[0m \u001b[0mrdwcm\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mreadlines\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 2\u001b[0m \u001b[1;31m#print (rdlin)\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 3\u001b[0m \u001b[1;32mif\u001b[0m \u001b[1;34m'BLOG_TITLE'\u001b[0m \u001b[1;32min\u001b[0m \u001b[0mrdlin\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 4\u001b[0m \u001b[0mprint\u001b[0m \u001b[1;33m(\u001b[0m\u001b[0mrdlin\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 5\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;31mValueError\u001b[0m: I/O operation on closed file." ] } ], "source": [ "for rdlin in rdwcm.readlines():\n", " #print (rdlin)\n", " if 'BLOG_TITLE' in rdlin:\n", " print (rdlin)\n", " " ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [], "source": [ "for lisol in lisschol:\n", " print (lisol)\n", " hythsc = (lisol.replace(' ', '-'))\n", " hylow = hythsc.lower()\n", " hybrac = hylow.replace('(', '')\n", " hybaec = hybrac.replace(')', '')\n", " filereaz = ('/home/wcmckee/ccschol/' + hybaec + '/conf.py')\n", " f = open(filereaz,'r')\n", " filedata = f.read()\n", " f.close()\n", "\n", " newdata = filedata.replace('LICENCE = \"\"\"', licfil )\n", " #print (newdata)\n", " f = open(filereaz,'w')\n", " f.write(newdata)\n", " f.close()\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "scrolled": true }, "outputs": [], "source": [ "\n", "for lisol in lisschol:\n", " print (lisol)\n", " hythsc = (lisol.replace(' ', '-'))\n", " hylow = hythsc.lower()\n", " hybrac = hylow.replace('(', '')\n", " hybaec = hybrac.replace(')', '')\n", " filereaz = ('/home/wcmckee/ccschol/' + hybaec + '/conf.py')\n", " f = open(filereaz,'r')\n", " filedata = f.read()\n", " f.close()\n", "\n", " newdata = filedata.replace('\"Demo Site\"', '\"' + hybaec + '\"')\n", " #print (newdata)\n", " f = open(filereaz,'w')\n", " f.write(newdata)\n", " f.close()\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [], "source": [ "for lisol in lisschol:\n", " print (lisol)\n", " hythsc = (lisol.replace(' ', '-'))\n", " hylow = hythsc.lower()\n", " hybrac = hylow.replace('(', '')\n", " hybaec = hybrac.replace(')', '')\n", " filereaz = ('/home/wcmckee/ccschol/' + hybaec + '/conf.py')\n", " f = open(filereaz,'r')\n", " filedata = f.read()\n", " f.close()\n", "\n", " newdata = filedata.replace('\"Demo Site\"', '\"' + hybaec + '\"')\n", " #print (newdata)\n", " f = open(filereaz,'w')\n", " f.write(newdata)\n", " f.close()\n" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "Perform Nikola build of all the sites in ccschol folder" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [], "source": [ "buildnik = input('Build school sites y/N ')\n", "\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [], "source": [ "for lisol in lisschol:\n", " print (lisol)\n", " os.chdir('/home/wcmckee/ccschol/' + lisol)\n", " if 'y' in buildnik:\n", " os.system('nikola build')" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "scrolled": true }, "outputs": [], "source": [ "makerst = open('/home/wcmckee/ccs')" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [], "source": [ "for rs in rssch.keys():\n", " hythsc = (rs.replace(' ', '-'))\n", " hylow = hythsc.lower()\n", " hybrac = hylow.replace('(', '-')\n", " hybaec = hybrac.replace(')', '')\n", " \n", " #print (hylow())\n", " filereaz = ('/home/wcmckee/ccschol/' + hybaec + '/conf.py')\n", " f = open(filereaz,'r')\n", " filedata = f.read()\n", " \n", "\n", " newdata = filedata.replace(\"Demo Site\", hybaec)\n", " f.close()\n", " f = open(filereaz,'w')\n", " f.write(newdata)\n", " f.close()" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.4.2" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
timothydmorton/transit-fitting
notebooks/k2_testing.ipynb
1
1378190
null
mit
Alexander-Schiendorfer/active-learning-collectives
SamplingAbstraction-Experiments/analysis/readCentral.ipynb
1
1673311
null
mit
tjwei/HackNTU_Data_2017
Week07/HW1-Neural Matching.ipynb
1
755
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "實作 https://github.com/tjwei/Neural-Matching/blob/master/matching-theano-VGG-one-patch.ipynb\n", "\n", "可參考: \n", "* https://arxiv.org/abs/1601.04589\n", "* https://github.com/awentzonline/image-analogies" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.3" } }, "nbformat": 4, "nbformat_minor": 1 }
mit
mattmcd/PyAnalysis
scripts/deep_learning/homl_tf_ch09.ipynb
1
9976
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Hands On Machine Learning Chapter 9\n", "Examples from HOML on using TensorFlow" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import tensorflow as tf\n", "import os\n", "from datetime import datetime" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Define the graph\n", "x = tf.Variable(3, name='x')\n", "y = tf.Variable(4, name='y')\n", "f = x*x*y + y + 2" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Add a node to intialise all variables\n", "init = tf.global_variables_initializer()" ] }, { "cell_type": "code", "execution_count": 4, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "42\n" ] } ], "source": [ "# Create a session and evaluate f\n", "with tf.Session() as sess:\n", " sess.run(init)\n", " result = f.eval()\n", "print(result)" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Clear the graph\n", "tf.reset_default_graph()" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Linear regression\n", "import numpy as np\n", "from sklearn.datasets import fetch_california_housing" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": true }, "outputs": [], "source": [ "housing = fetch_california_housing()\n", "m, n = housing.data.shape\n", "housing_data_plus_bias = np.c_[np.ones((m, 1)), housing.data]" ] }, { "cell_type": "code", "execution_count": 8, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "['MedInc',\n", " 'HouseAge',\n", " 'AveRooms',\n", " 'AveBedrms',\n", " 'Population',\n", " 'AveOccup',\n", " 'Latitude',\n", " 'Longitude']" ] }, "execution_count": 8, "metadata": {}, "output_type": "execute_result" } ], "source": [ "housing.feature_names" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": true }, "outputs": [], "source": [ "from sklearn.preprocessing import StandardScaler\n", "scaler = StandardScaler()\n", "scaled_housing_data_plus_bias = np.c_[np.ones((m,1)), scaler.fit_transform(housing.data)]\n", "# print(scaled_housing_data_plus_bias[:10, :])" ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Define the computation graph\n", "# Use scaled for comparison with gradient descent\n", "X = tf.constant(scaled_housing_data_plus_bias, dtype=tf.float32, name='X') \n", "y = tf.constant(housing.target.reshape(-1,1), dtype=tf.float32, name='y' )\n", "XT = tf.transpose(X)\n", "# Compute model parameters using Normal Equation\n", "theta = tf.matmul(tf.matmul(tf.matrix_inverse(tf.matmul(XT, X)), XT), y)" ] }, { "cell_type": "code", "execution_count": 11, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[[ 2.06856298]\n", " [ 0.82961965]\n", " [ 0.11875178]\n", " [-0.26552707]\n", " [ 0.30569667]\n", " [-0.00450281]\n", " [-0.03932635]\n", " [-0.8998825 ]\n", " [-0.87053877]]\n" ] } ], "source": [ "with tf.Session() as sess:\n", " theta_value = theta.eval()\n", "\n", "print(theta_value)" ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "collapsed": true }, "outputs": [], "source": [ "tf.reset_default_graph()" ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Linear regression using gradient descent\n", "n_epochs = 2000\n", "learning_rate = 0.01" ] }, { "cell_type": "code", "execution_count": 14, "metadata": { "collapsed": true }, "outputs": [], "source": [ "X = tf.constant(scaled_housing_data_plus_bias, dtype=tf.float32, name='X')\n", "y = tf.constant(housing.target.reshape(-1, 1), dtype=tf.float32, name='y')\n", "theta = tf.Variable(tf.random_uniform([n + 1, 1], -1.0, 1.0), name='theta')\n", "y_pred = tf.matmul(X, theta, name='predictions')\n", "error = y_pred - y\n", "mse = tf.reduce_mean(tf.square(error), name='mse')\n", "# gradients = 2/m * tf.matmul(tf.transpose(X), error) # Manually derived gradient\n", "# gradients = tf.gradients(mse, [theta])[0] # Autodiff\n", "# training_op = tf.assign(theta, theta - learning_rate * gradients)\n", "\n", "optimizer = tf.train.AdamOptimizer(learning_rate=learning_rate)\n", "training_op = optimizer.minimize(mse)\n", "\n", "init = tf.global_variables_initializer()" ] }, { "cell_type": "code", "execution_count": 15, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Epoch 0 MSE = 6.87245130539\n", "Epoch 200 MSE = 0.646441102028\n", "Epoch 400 MSE = 0.540355324745\n", "Epoch 600 MSE = 0.529172837734\n", "Epoch 800 MSE = 0.525422751904\n", "Epoch 1000 MSE = 0.524504840374\n", "Epoch 1200 MSE = 0.524343013763\n", "Epoch 1400 MSE = 0.524322807789\n", "Epoch 1600 MSE = 0.524320721626\n", "Epoch 1800 MSE = 0.524321079254\n", "[[ 2.06855607]\n", " [ 0.82962835]\n", " [ 0.11875465]\n", " [-0.26554158]\n", " [ 0.30570725]\n", " [-0.00450202]\n", " [-0.03935729]\n", " [-0.89985526]\n", " [-0.87051153]]\n" ] } ], "source": [ "with tf.Session() as sess:\n", " sess.run(init)\n", " for epoch in range(n_epochs):\n", " if epoch % (n_epochs / 10) == 0:\n", " print('Epoch {epoch} MSE = {mse}'.format(epoch=epoch, mse=mse.eval()))\n", " sess.run(training_op)\n", " best_theta = theta.eval()\n", "print(best_theta)" ] }, { "cell_type": "code", "execution_count": 19, "metadata": { "collapsed": true }, "outputs": [], "source": [ "tf.reset_default_graph()\n", "root_logdir = os.path.join(os.environ.get('MDA_DATA_DIR'), 'tf_logs')\n", "now = datetime.utcnow().strftime('%Y%m%dT%H%M%S')\n", "logdir = os.path.join(root_logdir, 'run-' + now)\n", "# Minibatch training\n", "X = tf.placeholder(tf.float32, shape=(None, n + 1), name='X')\n", "y = tf.placeholder(tf.float32, shape=(None, 1), name='y')\n", "theta = tf.Variable(tf.random_uniform([n + 1, 1], -1.0, 1.0), name='theta')\n", "y_pred = tf.matmul(X, theta, name='predictions')\n", "error = y_pred - y\n", "mse = tf.reduce_mean(tf.square(error), name='mse')\n", "# gradients = 2/m * tf.matmul(tf.transpose(X), error) # Manually derived gradient\n", "# gradients = tf.gradients(mse, [theta])[0] # Autodiff\n", "# training_op = tf.assign(theta, theta - learning_rate * gradients)\n", "\n", "optimizer = tf.train.AdamOptimizer(learning_rate=learning_rate)\n", "training_op = optimizer.minimize(mse)\n", "\n", "init = tf.global_variables_initializer()\n", "\n", "mse_summary = tf.summary.scalar('MSE', mse)\n", "file_writer = tf.summary.FileWriter(logdir, tf.get_default_graph())" ] }, { "cell_type": "code", "execution_count": 20, "metadata": { "collapsed": true }, "outputs": [], "source": [ "batch_size = 100\n", "n_batches = int(np.ceil(m / batch_size))" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Epoch 0\n" ] } ], "source": [ "with tf.Session() as sess:\n", " sess.run(init)\n", " for epoch in range(n_epochs):\n", " if epoch % (n_epochs / 10) == 0:\n", " print('Epoch {epoch}'.format(epoch=epoch))\n", " for batch in range(n_batches):\n", " X_batch = scaled_housing_data_plus_bias[batch_size*batch:min(m, batch_size*(batch + 1)), :]\n", " y_batch = housing.target.reshape(-1, 1)[batch_size*batch:min(m, batch_size*(batch + 1))]\n", " if batch % 10 == 0:\n", " summary_str = mse_summary.eval(feed_dict={X:X_batch, y:y_batch})\n", " step = epoch * n_batches + batch\n", " file_writer.add_summary(summary_str, step)\n", " sess.run(training_op, feed_dict={\n", " X: X_batch,\n", " y: y_batch\n", " })\n", " best_theta = theta.eval()\n", "print(best_theta)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python [Root]", "language": "python", "name": "Python [Root]" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.14" } }, "nbformat": 4, "nbformat_minor": 2 }
apache-2.0
mcocdawc/chemcoord
Tutorial/Gradients.ipynb
1
10106
{ "cells": [ { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "# Gradients" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import pandas as pd\n", "import numpy as np\n", "import chemcoord as cc\n", "import sympy\n", "sympy.init_printing()" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": true }, "outputs": [], "source": [ "molecule = cc.Cartesian.read_xyz('MIL53_beta.xyz', start_index=1)\n", "r, theta = sympy.symbols('r, theta', real=True)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Let's build the construction table in order to bend one of the terephtalic acid ligands." ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": true }, "outputs": [], "source": [ "fragment = molecule.get_fragment([(12, 17), (55, 60)])\n", "connection = np.array([[3, 99, 1, 12], [17, 3, 99, 12], [60, 3, 17, 12]])\n", "connection = pd.DataFrame(connection[:, 1:], index=connection[:, 0], columns=['b', 'a', 'd'])\n", "c_table = molecule.get_construction_table([(fragment, connection)])\n", "molecule = molecule.loc[c_table.index]\n", "zmolecule = molecule.get_zmat(c_table)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "This gives the following movement:" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": true }, "outputs": [], "source": [ "zmolecule_symb = zmolecule.copy()\n", "zmolecule_symb.safe_loc[3, 'angle'] += theta\n", "\n", "cc.xyz_functions.view([zmolecule_symb.subs(theta, a).get_cartesian() for a in [-30, 0, 30]])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Gradient for Zmat to Cartesian" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "For the gradients it is very illustrating to compare:\n", "$$\n", "f(x + h) \\approx f(x) + f'(x) h\n", "$$\n", "\n", "$f(x + h)$ will be ``zmolecule2``\n", "\n", "and\n", "$h$ will be dist_zmol\n", "\n", "The boolean ``chain`` argument denotes if the movement should be chained or not." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "##### Bond" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": true }, "outputs": [], "source": [ "dist_zmol1 = zmolecule.copy()\n", "\n", "r = 3\n", "\n", "dist_zmol1.unsafe_loc[:, ['bond', 'angle', 'dihedral']] = 0\n", "dist_zmol1.unsafe_loc[3, 'bond'] = r" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": true }, "outputs": [], "source": [ "cc.xyz_functions.view([molecule,\n", " molecule + zmolecule.get_grad_cartesian(chain=False)(dist_zmol1),\n", " molecule + zmolecule.get_grad_cartesian()(dist_zmol1),\n", " (zmolecule + dist_zmol1).get_cartesian()])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "##### Angle" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": true }, "outputs": [], "source": [ "angle = 30" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": true }, "outputs": [], "source": [ "dist_zmol2 = zmolecule.copy()\n", "dist_zmol2.unsafe_loc[:, ['bond', 'angle', 'dihedral']] = 0\n", "dist_zmol2.unsafe_loc[3, 'angle'] = angle" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": true }, "outputs": [], "source": [ "cc.xyz_functions.view([molecule,\n", " molecule + zmolecule.get_grad_cartesian(chain=False)(dist_zmol2),\n", " molecule + zmolecule.get_grad_cartesian()(dist_zmol2),\n", " (zmolecule + dist_zmol2).get_cartesian()])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Note that the deviation between $f(x + h)$ and $f(x) + h f'(x)$ is not an error in the implementation but a visualisation of the [small angle approximation](https://en.wikipedia.org/wiki/Small-angle_approximation).\n", "\n", "The smaller the angle the better is the linearisation." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Gradient for Cartesian to Zmat" ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": true }, "outputs": [], "source": [ "x_dist = 2\n", "\n", "dist_mol = molecule.copy()\n", "dist_mol.loc[:, ['x', 'y', 'z']] = 0.\n", "dist_mol.loc[13, 'x'] = x_dist" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": true }, "outputs": [], "source": [ "zmat_dist = molecule.get_grad_zmat(c_table)(dist_mol)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "It is immediately obvious, that only the ``['bond', 'angle', 'dihedral']`` of those atoms change,\n", "which are either moved themselves in cartesian space or use moved references." ] }, { "cell_type": "code", "execution_count": 12, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<div>\n", "<style>\n", " .dataframe thead tr:only-child th {\n", " text-align: right;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: left;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>atom</th>\n", " <th>b</th>\n", " <th>bond</th>\n", " <th>a</th>\n", " <th>angle</th>\n", " <th>d</th>\n", " <th>dihedral</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>13</th>\n", " <td>O</td>\n", " <td>12</td>\n", " <td>1.521692e+00</td>\n", " <td>99</td>\n", " <td>-1.387881e+01</td>\n", " <td>2</td>\n", " <td>36.649299</td>\n", " </tr>\n", " <tr>\n", " <th>11</th>\n", " <td>C</td>\n", " <td>13</td>\n", " <td>-8.852016e-01</td>\n", " <td>12</td>\n", " <td>-6.848733e+01</td>\n", " <td>99</td>\n", " <td>112.390250</td>\n", " </tr>\n", " <tr>\n", " <th>23</th>\n", " <td>C</td>\n", " <td>11</td>\n", " <td>7.771561e-16</td>\n", " <td>13</td>\n", " <td>-6.350987e+01</td>\n", " <td>12</td>\n", " <td>-87.668150</td>\n", " </tr>\n", " <tr>\n", " <th>21</th>\n", " <td>O</td>\n", " <td>11</td>\n", " <td>-3.330669e-16</td>\n", " <td>13</td>\n", " <td>6.350985e+01</td>\n", " <td>12</td>\n", " <td>-140.238538</td>\n", " </tr>\n", " <tr>\n", " <th>25</th>\n", " <td>C</td>\n", " <td>23</td>\n", " <td>9.487976e-17</td>\n", " <td>11</td>\n", " <td>-2.265493e-15</td>\n", " <td>13</td>\n", " <td>-54.808771</td>\n", " </tr>\n", " <tr>\n", " <th>27</th>\n", " <td>C</td>\n", " <td>23</td>\n", " <td>-5.332157e-17</td>\n", " <td>11</td>\n", " <td>1.255238e-15</td>\n", " <td>13</td>\n", " <td>-54.808771</td>\n", " </tr>\n", " <tr>\n", " <th>50</th>\n", " <td>H</td>\n", " <td>21</td>\n", " <td>1.283378e-16</td>\n", " <td>11</td>\n", " <td>-2.369105e-15</td>\n", " <td>13</td>\n", " <td>52.372802</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " atom b bond a angle d dihedral\n", "13 O 12 1.521692e+00 99 -1.387881e+01 2 36.649299\n", "11 C 13 -8.852016e-01 12 -6.848733e+01 99 112.390250\n", "23 C 11 7.771561e-16 13 -6.350987e+01 12 -87.668150\n", "21 O 11 -3.330669e-16 13 6.350985e+01 12 -140.238538\n", "25 C 23 9.487976e-17 11 -2.265493e-15 13 -54.808771\n", "27 C 23 -5.332157e-17 11 1.255238e-15 13 -54.808771\n", "50 H 21 1.283378e-16 11 -2.369105e-15 13 52.372802" ] }, "execution_count": 12, "metadata": {}, "output_type": "execute_result" } ], "source": [ "zmat_dist[(zmat_dist.loc[:, ['bond', 'angle', 'dihedral']] != 0).any(axis=1)]" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python [conda root]", "language": "python", "name": "conda-root-py" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.2" } }, "nbformat": 4, "nbformat_minor": 2 }
lgpl-3.0
birdsarah/bokeh-miscellany
old/resources/Inline.ipynb
1
1691377
null
gpl-2.0
lybicat/netbyte
notebooks/learn-pandas.ipynb
1
12182
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "最近开始学习pandas用来进行数据分析的入门,这里将一些东西总结为一个notebook以方便查看。" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 下载数据" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# TODO" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "%matplotlib inline" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "from pandas import DataFrame, Series\n", "import pandas as pd\n", "import numpy as np\n", "import seaborn as sns # 引入seaborn让图表更现代" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 分析网页请求数据" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import json\n", "# 数据来源: http://1usagov.measuredvoice.com/2013/\n", "with open('usagov_bitly_data2013-05-17-1368832207') as fp:\n", " records = map(json.loads, fp)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "data = DataFrame(records) # 以frame形式使用数据\n", "\n", "clean_tz = data['tz'].fillna('Missing')\n", "clean_tz[clean_tz == ''] = 'Unknown'\n", "\n", "# data[(data['tz'] == '') & (data['al'] == 'en')] # filter data" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "clean_tz.value_counts()[:15].plot(kind='barh', figsize=(12, 5)) # figsize 调整大小,单位为inch" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "agents = Series([x.split(' ', 1)[0] for x in data.a.dropna()])\n", "agents.value_counts(ascending=True)[-15:].plot(kind='barh', figsize=(12, 5), logx=True) # logx=True 使用对数坐标" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# 找出Windows和非Windows用户的比例\n", "cframe = data[data.a.notnull()] # 过滤\n", "\n", "operating_systems = np.where(cframe['a'].str.contains('Windows'), 'Windows', 'Not Windows')\n", "\n", "by_tz_os = cframe.groupby(['tz', operating_systems])\n", "agg_counts = by_tz_os.size().unstack().fillna(0)\n", "# 排序\n", "indexer = agg_counts.sum(1).argsort()\n", "indexer[:10] # 最前面10个数据\n", "count_subset = agg_counts.take(indexer)[-10:] # 最后10个数据\n", "count_subset.plot(kind='barh', stacked=True)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [], "source": [ "# 看比例而不看数据\n", "count_subset.div(count_subset.sum(1), axis=0).plot(kind='barh', stacked=True, figsize=(10, 5))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 分析电影评分数据" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# 数据来源 http://grouplens.org/datasets/movielens/1m/\n", "# 用户数据\n", "unames = ['user_id', 'gender', 'age', 'occupation', 'zip']\n", "users = pd.read_table('ml-1m/users.dat', sep='::', header=None, names=unames, engine='python')\n", "# 评分数据\n", "rnames = ['user_id', 'movie_id', 'rating', 'timestamp']\n", "ratings = pd.read_table('ml-1m/ratings.dat', sep='::', header=None, names=rnames, engine='python')\n", "# 电影数据\n", "mnames = ['movie_id', 'title', 'genres']\n", "movies = pd.read_table('ml-1m/movies.dat', sep='::', header=None, names=mnames, engine='python')\n", "# 合并为最终数据\n", "mldata = pd.merge(pd.merge(ratings, users), movies)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# 使用数据\n", "mean_ratings = mldata.pivot_table('rating', index='title', columns=['gender'], aggfunc='mean') # 得到每部电影按性别划分的评分标准" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# 以title分组的rating\n", "# 过滤出至少有250条评分记录的电影数据\n", "ratings_by_title = mldata.groupby('title').size()\n", "active_titles = ratings_by_title.index[ratings_by_title >= 250]\n", "mean_ratings = mean_ratings.ix[active_titles]" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [], "source": [ "# 女性最喜欢的N部电影\n", "top_female_ratings = mean_ratings.sort_values(by='F', ascending=False)\n", "top_female_ratings[:10]" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# 分歧最大的电影\n", "mean_ratings['diff'] = mean_ratings['M'] - mean_ratings['F']\n", "sort_by_diff = mean_ratings.dropna().sort_values(by='diff', ascending=False)\n", "sort_by_diff[:10]" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# 不考虑性别因素分歧最大的电影列表\n", "mldata.groupby('title')['rating'].std().ix[active_titles].sort_values(ascending=False)[:10]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 分析新生儿姓名数据" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# 数据来源: https://www.ssa.gov/oact/babynames/limits.html\n", "from glob import glob\n", "import re\n", "\n", "year_ptn = re.compile(r'\\d+')\n", "pieces = []\n", "for file_path in glob('names/*.txt'):\n", " names_year = pd.read_csv(file_path, names=['name', 'sex', 'births'])\n", " names_year['year'] = int(year_ptn.search(file_path).group())\n", " pieces.append(names_year)\n", "\n", "names = pd.concat(pieces, ignore_index=True) # ignore_index=True 之后将不会返回原始行号" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "total_births = names.pivot_table('births', index='year', columns=['sex'], aggfunc=sum)\n", "total_births.plot(title='Total births by sex and year', figsize=(12, 5))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# 某个名字在历史上的出生人数\n", "names[names.name == 'Mike'].pivot_table('births', index='year').plot()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# 指定名字的婴儿出生数占总出生数的比例\n", "def add_prop(group):\n", " births = group.births.astype(float)\n", " group['prop'] = births/births.sum()\n", " \n", " return group\n", "\n", "names = names.groupby(['year', 'sex']).apply(add_prop) # seems \"map\"?\n", "# 有效性检查,所有名字的比例之和为1\n", "np.allclose(names.groupby(['year', 'sex']).prop.sum(), 1)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# 取sex/year组合的前1000个名字\n", "def get_top1000(group):\n", " return group.sort_values(by='births', ascending=False)[:1000]\n", "\n", "grouped = names.groupby(['year', 'sex'])\n", "top1000 = grouped.apply(get_top1000)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "boys = top1000[top1000.sex == 'M']\n", "girls = top1000[top1000.sex == 'F']\n", "total_births = top1000.pivot_table('births', index='year', columns='name', aggfunc=sum)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [], "source": [ "subset = total_births[['John', 'Harry', 'Mary', 'Marilyn']]\n", "subset.plot(subplots=True, figsize=(12, 12), grid=False, title='Number of births per year')" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# top 1000姓名的新生儿占全部新生儿的比例\n", "table = top1000.pivot_table('prop', index='year', columns='sex', aggfunc=sum)\n", "table.plot(title='Sum of table1000.prop by year and sex',\n", " yticks=np.linspace(0, 1.2, 13),\n", " figsize=(12, 5),\n", " xticks=range(1880, 2020, 10))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# 50%人数所需要的名字数\n", "def get_quantile_count(group, q=0.5):\n", " group = group.sort_values(by='prop', ascending=False)\n", " return group.prop.cumsum().searchsorted(q) + 1\n", "\n", "diversity = top1000.groupby(['year', 'sex']).apply(get_quantile_count)\n", "diversity = diversity.unstack('sex').astype(int)\n", "diversity.plot(title='Number of popular names in top 50%', figsize=(12, 5))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "top1000.name.unique()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## numpy" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "arr = np.array([[1,2,3], [4,5,6], [7,8,9]])" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# 下标批量修改\n", "arr[0] = 1\n", "arr[1:3] = 2\n", "arr" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# 矩阵访问\n", "arr = np.array([[1,2,3], [4,5,6], [7,8,9]])\n", "arr[1, 0] # 4\n", "arr[2, 0] # 7" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# 切片\n", "arr = np.array([[1,2,3], [4,5,6], [7,8,9]])\n", "arr[1:3]\n", "arr[:2, 1:]\n", "arr[:, :1]" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# 布尔型索引\n", "names = np.array(['bob', 'jerry', 'mike', 'tom', 'jerry', 'bob'])" ] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.12" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
ChinaQuants/qlengine
examples/python/rates/curve construction.ipynb
1
8376
{ "cells": [ { "cell_type": "markdown", "metadata": { "collapsed": true, "slideshow": { "slide_type": "slide" } }, "source": [ "# 1. Setup Evaluation Date\n", "---------------" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "%matplotlib inline\n", "import matplotlib.pyplot as plt\n", "import numpy as np\n", "from QuantLib import *\n", "\n", "plt.style.use(\"fivethirtyeight\")" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "Settings.instance().evaluationDate = Date(3, October, 2014)" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "# 2. Specifing Calibration Instruments\n", "--------------" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "helpers = [SwapRateHelper(QuoteHandle(SimpleQuote(rate/100.)),\n", " Period(*tenor),\n", " TARGET(),\n", " Annual,\n", " Unadjusted,\n", " Thirty360(),\n", " Euribor6M())\n", " for tenor, rate in [((2, Years), 0.201),\n", " ((3, Years), 0.258),\n", " ((5, Years), 0.464),\n", " ((10, Years), 1.151),\n", " ((15, Years), 1.588)]]" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "# 3. Buiding Yield Curve (from instruments)\n", "-----------------------" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "curve1 = PiecewiseFlatForward(0, TARGET(), helpers, Actual360())" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "dates, rates = zip(*curve1.nodes())" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "list(zip(dates, rates))" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "# 4. Building Yield Curve (from nodes)\n", "------------------------------" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "curve2 = ForwardCurve(dates, rates, Actual360())" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "print(curve1.zeroRate(5.0, Continuous))\n", "print(curve2.zeroRate(5.0, Continuous))" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "# 5. Plotting Curve\n", "---------------" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "times = np.linspace(0., 15., 400)\n", "rates = [curve1.zeroRate(t, Continuous).rate() for t in times]" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "plt.figure(figsize=(14, 7))\n", "plt.plot(times, rates)" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "# 6. Moving the Evaluation Date\n", "-----------------------" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "Settings.instance().evaluationDate = Date(19, September, 2014)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "print(curve1.referenceDate())" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "print(curve1.zeroRate(Date(7, September, 2019), Actual360(), Continuous))\n", "print(curve2.zeroRate(Date(7, September, 2019), Actual360(), Continuous))" ] }, { "cell_type": "markdown", "metadata": { "slideshow": { "slide_type": "slide" } }, "source": [ "# 7. Notifications\n", "-----------------" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "def make_observer(i):\n", " def say():\n", " s = \"Observer {0} notified\".format(i)\n", " print('-' * len(s))\n", " print(s)\n", " print('-' * len(s))\n", " return Observer(say)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "obs1 = make_observer(1)\n", "obs2 = make_observer(2)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "q1 = SimpleQuote(1.)\n", "obs1.registerWith(q1)\n", "\n", "q2 = SimpleQuote(2.)\n", "obs2.registerWith(q2)\n", "\n", "q3 = SimpleQuote(3.)\n", "obs1.registerWith(q3)\n", "obs2.registerWith(q3)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "q1.setValue(1.5)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "q2.setValue(1.9)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "q3.setValue(3.1)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "obs1.registerWith(curve1)\n", "obs2.registerWith(curve2)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "slideshow": { "slide_type": "subslide" } }, "outputs": [], "source": [ "Settings.instance().evaluationDate = Date(23, September, 2014)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.3" } }, "nbformat": 4, "nbformat_minor": 2 }
bsd-3-clause
LimeeZ/phys292-2015-work
assignments/assignment08/InterpolationEx01.ipynb
1
28380
{ "cells": [ { "cell_type": "markdown", "metadata": { "nbgrader": {} }, "source": [ "# Interpolation Exercise 1" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": true, "nbgrader": {} }, "outputs": [], "source": [ "%matplotlib inline\n", "import matplotlib.pyplot as plt\n", "import seaborn as sns\n", "import numpy as np" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": true, "nbgrader": {} }, "outputs": [], "source": [ "from scipy.interpolate import interp1d" ] }, { "cell_type": "markdown", "metadata": { "nbgrader": {} }, "source": [ "## 2D trajectory interpolation" ] }, { "cell_type": "markdown", "metadata": { "nbgrader": {} }, "source": [ "The file `trajectory.npz` contains 3 Numpy arrays that describe a 2d trajectory of a particle as a function of time:\n", "\n", "* `t` which has discrete values of time `t[i]`.\n", "* `x` which has values of the x position at those times: `x[i] = x(t[i])`.\n", "* `y` which has values of the y position at those times: `y[i] = y(t[i])`.\n", "\n", "Load those arrays into this notebook and save them as variables `x`, `y` and `t`:" ] }, { "cell_type": "code", "execution_count": 37, "metadata": { "collapsed": false, "deletable": false, "nbgrader": { "checksum": "6cff4e8e53b15273846c3aecaea84a3d", "solution": true } }, "outputs": [], "source": [ "with np.load('trajectory.npz') as data:\n", " t = data['t']\n", " x = data['x']\n", " y = data['y']" ] }, { "cell_type": "code", "execution_count": 73, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[ 0. 0.46611028 0.77169706 0.85695573 0.72231261 0.42244838\n", " 0.04750816 -0.30261323 -0.54388671 -0.62734994 -0.54777832 -0.34071147\n", " -0.06970442 0.19209565 0.38094522 0.45732667 0.41334402 0.27159009\n", " 0.07659602 -0.11830239 -0.26499214 -0.33195345 -0.31042482 -0.21434785\n", " -0.07471184 0.06975958 0.18292364 0.23989085 0.23207351 0.16771697\n", " 0.06822291 -0.0384174 -0.12517681 -0.17257156 -0.17273666 -0.13023529\n", " -0.05972056 0.018666 0.08480265 0.1235537 ]\n" ] } ], "source": [ "print(x)" ] }, { "cell_type": "code", "execution_count": 22, "metadata": { "collapsed": false, "deletable": false, "nbgrader": { "checksum": "6d28ef77badc258f64b8e8844ce5329b", "grade": true, "grade_id": "interpolationex01a", "points": 4 } }, "outputs": [], "source": [ "assert isinstance(x, np.ndarray) and len(x)==40\n", "assert isinstance(y, np.ndarray) and len(y)==40\n", "assert isinstance(t, np.ndarray) and len(t)==40" ] }, { "cell_type": "markdown", "metadata": { "nbgrader": {} }, "source": [ "Use these arrays to create interpolated functions $x(t)$ and $y(t)$. Then use those functions to create the following arrays:\n", "\n", "* `newt` which has 200 points between $\\{t_{min},t_{max}\\}$.\n", "* `newx` which has the interpolated values of $x(t)$ at those times.\n", "* `newy` which has the interpolated values of $y(t)$ at those times." ] }, { "cell_type": "code", "execution_count": 64, "metadata": { "collapsed": false }, "outputs": [], "source": [ "newt = np.linspace(min(t),max(t), 200)\n", "f = np.sin(newt)" ] }, { "cell_type": "code", "execution_count": 125, "metadata": { "collapsed": false }, "outputs": [ { "ename": "ValueError", "evalue": "A value in x_new is above the interpolation range.", "output_type": "error", "traceback": [ "\u001b[1;31m---------------------------------------------------------------------------\u001b[0m", "\u001b[1;31mValueError\u001b[0m Traceback (most recent call last)", "\u001b[1;32m<ipython-input-125-c28e6d4dc9d8>\u001b[0m in \u001b[0;36m<module>\u001b[1;34m()\u001b[0m\n\u001b[0;32m 1\u001b[0m \u001b[0mapproxx\u001b[0m\u001b[1;33m=\u001b[0m \u001b[0minterp1d\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mx\u001b[0m\u001b[1;33m,\u001b[0m\u001b[0mt\u001b[0m\u001b[1;33m,\u001b[0m\u001b[0mkind\u001b[0m \u001b[1;33m=\u001b[0m \u001b[1;34m'cubic'\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m----> 2\u001b[1;33m \u001b[0mnewx\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mapproxx\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mnewt\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 3\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 4\u001b[0m \u001b[0mapproxy\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0minterp1d\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0my\u001b[0m\u001b[1;33m,\u001b[0m\u001b[0mt\u001b[0m\u001b[1;33m,\u001b[0m\u001b[0mkind\u001b[0m \u001b[1;33m=\u001b[0m \u001b[1;34m'cubic'\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 5\u001b[0m \u001b[0mnewy\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mnp\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mlinspace\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mnp\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mmin\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mt\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mnp\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mmax\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mt\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m,\u001b[0m \u001b[1;36m200\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;32m/usr/local/lib/python3.4/dist-packages/scipy/interpolate/polyint.py\u001b[0m in \u001b[0;36m__call__\u001b[1;34m(self, x)\u001b[0m\n\u001b[0;32m 77\u001b[0m \"\"\"\n\u001b[0;32m 78\u001b[0m \u001b[0mx\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mx_shape\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_prepare_x\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mx\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m---> 79\u001b[1;33m \u001b[0my\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_evaluate\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mx\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 80\u001b[0m \u001b[1;32mreturn\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_finish_y\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0my\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mx_shape\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 81\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;32m/usr/local/lib/python3.4/dist-packages/scipy/interpolate/interpolate.py\u001b[0m in \u001b[0;36m_evaluate\u001b[1;34m(self, x_new)\u001b[0m\n\u001b[0;32m 496\u001b[0m \u001b[1;31m# The behavior is set by the bounds_error variable.\u001b[0m\u001b[1;33m\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 497\u001b[0m \u001b[0mx_new\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0masarray\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mx_new\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m--> 498\u001b[1;33m \u001b[0mout_of_bounds\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_check_bounds\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mx_new\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0m\u001b[0;32m 499\u001b[0m \u001b[0my_new\u001b[0m \u001b[1;33m=\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0m_call\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0mself\u001b[0m\u001b[1;33m,\u001b[0m \u001b[0mx_new\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[0;32m 500\u001b[0m \u001b[1;32mif\u001b[0m \u001b[0mlen\u001b[0m\u001b[1;33m(\u001b[0m\u001b[0my_new\u001b[0m\u001b[1;33m)\u001b[0m \u001b[1;33m>\u001b[0m \u001b[1;36m0\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;32m/usr/local/lib/python3.4/dist-packages/scipy/interpolate/interpolate.py\u001b[0m in \u001b[0;36m_check_bounds\u001b[1;34m(self, x_new)\u001b[0m\n\u001b[0;32m 526\u001b[0m \"range.\")\n\u001b[0;32m 527\u001b[0m \u001b[1;32mif\u001b[0m \u001b[0mself\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0mbounds_error\u001b[0m \u001b[1;32mand\u001b[0m \u001b[0mabove_bounds\u001b[0m\u001b[1;33m.\u001b[0m\u001b[0many\u001b[0m\u001b[1;33m(\u001b[0m\u001b[1;33m)\u001b[0m\u001b[1;33m:\u001b[0m\u001b[1;33m\u001b[0m\u001b[0m\n\u001b[1;32m--> 528\u001b[1;33m raise ValueError(\"A value in x_new is above the interpolation \"\n\u001b[0m\u001b[0;32m 529\u001b[0m \"range.\")\n\u001b[0;32m 530\u001b[0m \u001b[1;33m\u001b[0m\u001b[0m\n", "\u001b[1;31mValueError\u001b[0m: A value in x_new is above the interpolation range." ] } ], "source": [ "approxx= interp1d(x,t,kind = 'cubic')\n", "newx = np.linspace(np.min(t), np.max(t), 200)\n", "\n", "approxy = interp1d(y,t,kind = 'cubic')\n", "newy = np.linspace(np.min(t), np.max(t), 200)" ] }, { "cell_type": "code", "execution_count": 63, "metadata": { "collapsed": false, "deletable": false, "nbgrader": { "checksum": "6cff4e8e53b15273846c3aecaea84a3d", "solution": true } }, "outputs": [], "source": [ "?interp1d\n" ] }, { "cell_type": "code", "execution_count": 122, "metadata": { "collapsed": false, "deletable": false, "nbgrader": { "checksum": "50f3d594bf1df788fd1f281855440611", "grade": true, "grade_id": "interpolationex01b", "points": 4 } }, "outputs": [], "source": [ "assert newt[0]==t.min()\n", "assert newt[-1]==t.max()\n", "assert len(newt)==200\n", "assert len(newx)==200\n", "assert len(newy)==200" ] }, { "cell_type": "markdown", "metadata": { "nbgrader": {} }, "source": [ "Make a parametric plot of $\\{x(t),y(t)\\}$ that shows the interpolated values and the original points:\n", "\n", "* For the interpolated points, use a solid line.\n", "* For the original points, use circles of a different color and no line.\n", "* Customize you plot to make it effective and beautiful." ] }, { "cell_type": "code", "execution_count": 124, "metadata": { "collapsed": false, "deletable": false, "nbgrader": { "checksum": "6cff4e8e53b15273846c3aecaea84a3d", "solution": true } }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAfMAAAFmCAYAAAB5pHO7AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nSUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7fe9e80b8860>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "plt.plot(newt, f, marker='o', linestyle='', label='original data')\n", "plt.plot(newx, newy, marker='.', label='interpolated');\n", "plt.legend();\n", "plt.xlabel('x')\n", "plt.ylabel('f(x)');" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "deletable": false, "nbgrader": { "checksum": "e9c74ef21797ed1bbacb14dae973b7aa", "grade": true, "grade_id": "interpolationex01c", "points": 2 } }, "outputs": [], "source": [ "assert True # leave this to grade the trajectory plot" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.4.0" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
abevieiramota/data-science-cookbook
2016/network-analysis/(Response) Average Shortest Paths.ipynb
2
3544
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Exercício 2 - Caminhos Médios\n", "\n", "Computar, para os mesmos __gráficos do Exercício 1__, a média dos caminhos mais curtos.\n", "\n", "Referência: [Wikipedia](https://en.wikipedia.org/wiki/Average_path_length)" ] }, { "cell_type": "code", "execution_count": 14, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import networkx as nx\n", "import network_analysis_utils as nau\n", "\n", "# Funções disponíveis:\n", "#\n", "# - nau.facebook_nx_graph(): Obtém o grafo Networkx do facebook\n", "# \n", "# - nau.random_nx_graph(): Obtém o grafo Networkx randômico\n", "#\n", "# Obs: o prefixo 'nx' indica o uso da Networkx, a lib de grafos utilizada nesse exercício" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "> __Dica__: está permitido o uso da [função da distância de caminhos mais curtos da Networkx](http://networkx.readthedocs.io/en/networkx-1.11/reference/generated/networkx.algorithms.shortest_paths.generic.shortest_path_length.html#networkx.algorithms.shortest_paths.generic.shortest_path_length)\n", "\n", "> __Requisito__: __não__ está permitido o uso da [função da __média__ da distância dos caminhos mais curtos da Networkx](http://networkx.readthedocs.io/en/networkx-1.11/reference/generated/networkx.algorithms.shortest_paths.generic.average_shortest_path_length.html#networkx.algorithms.shortest_paths.generic.average_shortest_path_length)" ] }, { "cell_type": "code", "execution_count": 44, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import itertools\n", "\n", "def avg_shortest_paths(nx_graph):\n", " \"\"\" Retorna a média (como valor escalar) dos caminhos mais curtos no grafo\"\"\"\n", " nodes = nx_graph.nodes()\n", " len_nodes = len(nodes)\n", " summation = sum( [ nx.shortest_path_length(nx_graph, s, t)\n", " for s, t in itertools.product(nodes, nodes) \n", " if s != t] )\n", " return float(summation) / (len_nodes * (len_nodes-1))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "facebook_graph = nau.facebook_nx_graph()\n", "random_graph = nau.random_nx_grap()\n", "\n", "print '[You] facebook graph average shortest paths: %.2f ' % avg_shortest_paths( facebook_graph )\n", "print '[You] random graph average shortest paths: %.2f ' % avg_shortest_paths( random_graph )\n", "print '[Networkx] facebook graph average shortest paths: %.2f ' % nx.average_shortest_path_length( facebook_graph )\n", "print '[Networkx] random graph average shortest paths: %.2f ' % nx.average_shortest_path_length( random_graph )" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.8" } }, "nbformat": 4, "nbformat_minor": 1 }
mit
mdbecker/daa_philly_2015
DataPhilly_Analysis.ipynb
1
522105
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Analyzing the Philadelphia Data Science Scene with Python" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Instructions\n", "* The latest version of this notebook can always be found and viewed online [here](http://nbviewer.ipython.org/github/mdbecker/daa_philly_2015/blob/master/DataPhilly_Analysis.ipynb). **It's strongly recommended that you view the online version of this document**.\n", "* Instructions for setting up Jupyter Notebook and the required libraries can be found online [here](https://github.com/mdbecker/daa_philly_2015/blob/master/README.md).\n", "* The repo for this project can be found and forked [here](https://github.com/mdbecker/daa_philly_2015/).\n", "\n", "# DataPhilly\n", "<img src=\"dataphilly.jpeg\" width=\"70%\" />\n", "\n", "[DataPhilly](www.meetup.com/DataPhilly) is a local data meetup group I started back in 2012. I had attended a few data science conferences and I was really disappointed about the lack of a local meetup group for people interested in data science. And so DataPhilly was born!\n", "\n", "# Jupyter Notebook\n", "The Jupyter Notebook is a web application that allows you to create and share documents that contain live code, equations, visualizations and explanatory text. Uses include: data cleaning and transformation, numerical simulation, statistical modeling, machine learning and much more.\n", "\n", "<img src=\"jupyterpreview.png\" width=\"70%\" />\n", "\n", "Through Jupyter's kernel and messaging architecture, the Notebook allows code to be run in a range of different programming languages. For each notebook document that a user opens, the web application starts a kernel that runs the code for that notebook. Each kernel is capable of running code in a single programming language and there are kernels available in the following languages\n", "\n", "* Python(https://github.com/ipython/ipython)\n", "* Julia (https://github.com/JuliaLang/IJulia.jl)\n", "* R (https://github.com/takluyver/IRkernel)\n", "* Ruby (https://github.com/minrk/iruby)\n", "* Haskell (https://github.com/gibiansky/IHaskell)\n", "* Scala (https://github.com/Bridgewater/scala-notebook)\n", "* node.js (https://gist.github.com/Carreau/4279371)\n", "* Go (https://github.com/takluyver/igo)\n", "\n", "The default kernel runs Python code. The notebook provides a simple way for users to pick which of these kernels is used for a given notebook.\n", "\n", "**Jupyter examples and tutorials can be found in the Jupyter github repo [here](https://github.com/jupyter/notebook/blob/master/docs/source/examples/Notebook/Examples%20and%20Tutorials%20Index.ipynb).**" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# The task\n", "The task I'll be walking you through today will demonstrate how to use Python for exploratory data analysis. The dataset I'll use is one I created by querying the Meetup API for the DataPhilly meetup. I'll walk you through using Jupyter notebook (The webapp we're using now), Pandas (an excel like tool for data exploration) and scikit-learn (a Python machine learning library) to explore the DataPhilly dataset. I won't go in depth into these tools but my hope is that you'll leave my talk wanting to learn more about using Python for exploratory data analysis and that you'll learn some interesting things about DataPhilly in the process." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Initializing our environment" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "First let's start off by initializing our environment\n", "* ``%matplotlib inline`` initializes [matplotlib](http://matplotlib.org/) so that we can display graphs and charts in our notebook.\n", "* ``import seaborn as sns`` imports [seaborn](https://web.stanford.edu/~mwaskom/software/seaborn/) a graphing library built on top of matplotlib.\n", "* ``import pandas as pd`` imports [pandas](http://pandas.pydata.org/) a tool I'll explain in the next section." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "*Hint: If you've installed Jupyter Notebook and you're running this on your machine, you can use the run button <i class=\"fa-step-forward fa\"></i> in the toolbar at the top of the page to execute each cell*" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Click on the cell above and the cell below. You'll notice that the cell above is [Markdown](https://daringfireball.net/projects/markdown/). You can edit it by double clicking on it. The cell below contains Python code which can be modified and executed. If the code has any output it will be printed out below the cell with **<font color=\"darkred\">Out [n]:</font>** in front of it." ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [], "source": [ "%matplotlib inline\n", "import seaborn as sns\n", "import pandas as pd\n", "from matplotlib import rcParams\n", "\n", "# Modify aesthetics for visibility during presentation\n", "sns.set_style('darkgrid', {'axes.facecolor': '#C2C2C8'})\n", "sns.set_palette('colorblind')\n", "\n", "# Make everything bigger for visibility during presentation\n", "rcParams['figure.figsize'] = 20, 10\n", "rcParams['axes.titlesize'] = 'xx-large'\n", "rcParams['axes.labelsize'] = 'x-large'\n", "rcParams['xtick.labelsize'] = 'x-large'\n", "rcParams['ytick.labelsize'] = 'x-large'\n", "rcParams['legend.fontsize'] = 'xx-large'\n", "rcParams['lines.linewidth'] = 4.0\n", "rcParams['grid.linewidth'] = 2.0\n", "\n", "# Hide warnings in the notebook\n", "import warnings\n", "warnings.filterwarnings('ignore')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Pandas" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<img src=\"pandas_logo.png\" width=\"50%\" />\n", "\n", "Pandas is a library that provides data analysis tools for the Python programming language. You can think of it as Excel on steroids, but in Python." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "To start off, I've used the [meetup API](http://www.meetup.com/meetup_api/docs/) to gather a bunch of data on members of the [DataPhilly meetup group](http://www.meetup.com/DataPhilly/). First let's start off by looking at the events we've had over the past few years. I've loaded the data into a pandas ``DataFrame`` and stored it in the file ``events.pkl``. A ``DataFrame`` is a table similar to an Excel spreadsheet. Let's load it and see what it looks like:" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## DataPhilly events dataset" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>created</th>\n", " <th>name</th>\n", " <th>rating</th>\n", " <th>time</th>\n", " <th>waitlist_count</th>\n", " <th>yes_rsvp_count</th>\n", " <th>id</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>1351948193000</td>\n", " <td>Meet and greet</td>\n", " <td>{u'count': 3, u'average': 5}</td>\n", " <td>1352934000000</td>\n", " <td>0</td>\n", " <td>17</td>\n", " <td>89769502</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>1357781071000</td>\n", " <td>DataPhilly January 2013 Meetup - An Introducti...</td>\n", " <td>{u'count': 6, u'average': 4.17000007629}</td>\n", " <td>1359588600000</td>\n", " <td>0</td>\n", " <td>61</td>\n", " <td>98833672</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>1359732939000</td>\n", " <td>DataPhilly February 2013 Meetup - Data Science...</td>\n", " <td>{u'count': 5, u'average': 5}</td>\n", " <td>1361316600000</td>\n", " <td>0</td>\n", " <td>47</td>\n", " <td>102502622</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>1361647778000</td>\n", " <td>DataPhilly March 2013 Meetup - Data Analysis u...</td>\n", " <td>{u'count': 8, u'average': 5}</td>\n", " <td>1364423400000</td>\n", " <td>0</td>\n", " <td>62</td>\n", " <td>106043892</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>1362506708000</td>\n", " <td>DataPhilly April 2013 Meetup - Machine Learnin...</td>\n", " <td>{u'count': 7, u'average': 4.57000017166}</td>\n", " <td>1366151400000</td>\n", " <td>2</td>\n", " <td>54</td>\n", " <td>107740582</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td>1369104714000</td>\n", " <td>DataPhilly June 2013 - Hadoop: BigSheets &amp; Pig</td>\n", " <td>{u'count': 4, u'average': 3}</td>\n", " <td>1370471400000</td>\n", " <td>5</td>\n", " <td>41</td>\n", " <td>120425212</td>\n", " </tr>\n", " <tr>\n", " <th>6</th>\n", " <td>1375999505000</td>\n", " <td>DataPhilly August 2013 - Data Science with R</td>\n", " <td>{u'count': 11, u'average': 4.55000019073}</td>\n", " <td>1377037800000</td>\n", " <td>0</td>\n", " <td>77</td>\n", " <td>133803672</td>\n", " </tr>\n", " <tr>\n", " <th>7</th>\n", " <td>1378332108000</td>\n", " <td>DataPhilly September 2013 - Data Storytime</td>\n", " <td>{u'count': 9, u'average': 5}</td>\n", " <td>1380234600000</td>\n", " <td>0</td>\n", " <td>64</td>\n", " <td>138415912</td>\n", " </tr>\n", " <tr>\n", " <th>8</th>\n", " <td>1381360216000</td>\n", " <td>DataPhilly October 2013 - Data Science Tools a...</td>\n", " <td>{u'count': 11, u'average': 4.73000001907}</td>\n", " <td>1382565600000</td>\n", " <td>0</td>\n", " <td>50</td>\n", " <td>144769822</td>\n", " </tr>\n", " <tr>\n", " <th>9</th>\n", " <td>1383762778000</td>\n", " <td>DataPhilly November 2013 - Data in Practice</td>\n", " <td>{u'count': 3, u'average': 4.67000007629}</td>\n", " <td>1384815600000</td>\n", " <td>0</td>\n", " <td>67</td>\n", " <td>149515412</td>\n", " </tr>\n", " <tr>\n", " <th>10</th>\n", " <td>1389631621000</td>\n", " <td>DataPhilly January 2014 - Two Hours of Lightni...</td>\n", " <td>{u'count': 6, u'average': 4.82999992371}</td>\n", " <td>1391036400000</td>\n", " <td>0</td>\n", " <td>69</td>\n", " <td>160323532</td>\n", " </tr>\n", " <tr>\n", " <th>11</th>\n", " <td>1393608501000</td>\n", " <td>DataPhilly March 2014 - Interactive Data Visua...</td>\n", " <td>{u'count': 9, u'average': 4.67000007629}</td>\n", " <td>1394661600000</td>\n", " <td>0</td>\n", " <td>69</td>\n", " <td>168747852</td>\n", " </tr>\n", " <tr>\n", " <th>12</th>\n", " <td>1396956902000</td>\n", " <td>DataPhilly April 2014: Art and Data</td>\n", " <td>{u'count': 4, u'average': 4.75}</td>\n", " <td>1397685600000</td>\n", " <td>0</td>\n", " <td>39</td>\n", " <td>175993712</td>\n", " </tr>\n", " <tr>\n", " <th>13</th>\n", " <td>1400001749000</td>\n", " <td>DataPhilly May 2014: Data Discovery</td>\n", " <td>{u'count': 7, u'average': 5}</td>\n", " <td>1400709600000</td>\n", " <td>0</td>\n", " <td>60</td>\n", " <td>182860422</td>\n", " </tr>\n", " <tr>\n", " <th>14</th>\n", " <td>1410488369000</td>\n", " <td>Explore All the Data!</td>\n", " <td>{u'count': 2, u'average': 5}</td>\n", " <td>1412719200000</td>\n", " <td>0</td>\n", " <td>44</td>\n", " <td>206754182</td>\n", " </tr>\n", " <tr>\n", " <th>15</th>\n", " <td>1414103507000</td>\n", " <td>Explore All the Data!</td>\n", " <td>{u'count': 3, u'average': 4}</td>\n", " <td>1415314800000</td>\n", " <td>0</td>\n", " <td>41</td>\n", " <td>215265722</td>\n", " </tr>\n", " <tr>\n", " <th>16</th>\n", " <td>1417659431000</td>\n", " <td>DataPhilly - December 2014</td>\n", " <td>{u'count': 5, u'average': 5}</td>\n", " <td>1418770800000</td>\n", " <td>2</td>\n", " <td>68</td>\n", " <td>219055217</td>\n", " </tr>\n", " <tr>\n", " <th>17</th>\n", " <td>1421280214000</td>\n", " <td>DataPhilly &amp; GeoPhilly: Open Data Day Meetup</td>\n", " <td>{u'count': 4, u'average': 4.5}</td>\n", " <td>1424386800000</td>\n", " <td>83</td>\n", " <td>57</td>\n", " <td>219840555</td>\n", " </tr>\n", " <tr>\n", " <th>18</th>\n", " <td>1423955223000</td>\n", " <td>DataPhilly: March Meetup</td>\n", " <td>{u'count': 3, u'average': 4.67000007629}</td>\n", " <td>1426802400000</td>\n", " <td>0</td>\n", " <td>114</td>\n", " <td>220526799</td>\n", " </tr>\n", " <tr>\n", " <th>19</th>\n", " <td>1426720048000</td>\n", " <td>DataPhilly: April; Philly Tech Week Edition</td>\n", " <td>{u'count': 9, u'average': 5}</td>\n", " <td>1429221600000</td>\n", " <td>19</td>\n", " <td>115</td>\n", " <td>221245827</td>\n", " </tr>\n", " <tr>\n", " <th>20</th>\n", " <td>1442763491000</td>\n", " <td>DataPhilly October</td>\n", " <td>{u'count': 6, u'average': 4.82999992371}</td>\n", " <td>1445551200000</td>\n", " <td>7</td>\n", " <td>139</td>\n", " <td>225488147</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " created name \\\n", "0 1351948193000 Meet and greet \n", "1 1357781071000 DataPhilly January 2013 Meetup - An Introducti... \n", "2 1359732939000 DataPhilly February 2013 Meetup - Data Science... \n", "3 1361647778000 DataPhilly March 2013 Meetup - Data Analysis u... \n", "4 1362506708000 DataPhilly April 2013 Meetup - Machine Learnin... \n", "5 1369104714000 DataPhilly June 2013 - Hadoop: BigSheets & Pig \n", "6 1375999505000 DataPhilly August 2013 - Data Science with R \n", "7 1378332108000 DataPhilly September 2013 - Data Storytime \n", "8 1381360216000 DataPhilly October 2013 - Data Science Tools a... \n", "9 1383762778000 DataPhilly November 2013 - Data in Practice \n", "10 1389631621000 DataPhilly January 2014 - Two Hours of Lightni... \n", "11 1393608501000 DataPhilly March 2014 - Interactive Data Visua... \n", "12 1396956902000 DataPhilly April 2014: Art and Data \n", "13 1400001749000 DataPhilly May 2014: Data Discovery \n", "14 1410488369000 Explore All the Data! \n", "15 1414103507000 Explore All the Data! \n", "16 1417659431000 DataPhilly - December 2014 \n", "17 1421280214000 DataPhilly & GeoPhilly: Open Data Day Meetup \n", "18 1423955223000 DataPhilly: March Meetup \n", "19 1426720048000 DataPhilly: April; Philly Tech Week Edition \n", "20 1442763491000 DataPhilly October \n", "\n", " rating time waitlist_count \\\n", "0 {u'count': 3, u'average': 5} 1352934000000 0 \n", "1 {u'count': 6, u'average': 4.17000007629} 1359588600000 0 \n", "2 {u'count': 5, u'average': 5} 1361316600000 0 \n", "3 {u'count': 8, u'average': 5} 1364423400000 0 \n", "4 {u'count': 7, u'average': 4.57000017166} 1366151400000 2 \n", "5 {u'count': 4, u'average': 3} 1370471400000 5 \n", "6 {u'count': 11, u'average': 4.55000019073} 1377037800000 0 \n", "7 {u'count': 9, u'average': 5} 1380234600000 0 \n", "8 {u'count': 11, u'average': 4.73000001907} 1382565600000 0 \n", "9 {u'count': 3, u'average': 4.67000007629} 1384815600000 0 \n", "10 {u'count': 6, u'average': 4.82999992371} 1391036400000 0 \n", "11 {u'count': 9, u'average': 4.67000007629} 1394661600000 0 \n", "12 {u'count': 4, u'average': 4.75} 1397685600000 0 \n", "13 {u'count': 7, u'average': 5} 1400709600000 0 \n", "14 {u'count': 2, u'average': 5} 1412719200000 0 \n", "15 {u'count': 3, u'average': 4} 1415314800000 0 \n", "16 {u'count': 5, u'average': 5} 1418770800000 2 \n", "17 {u'count': 4, u'average': 4.5} 1424386800000 83 \n", "18 {u'count': 3, u'average': 4.67000007629} 1426802400000 0 \n", "19 {u'count': 9, u'average': 5} 1429221600000 19 \n", "20 {u'count': 6, u'average': 4.82999992371} 1445551200000 7 \n", "\n", " yes_rsvp_count id \n", "0 17 89769502 \n", "1 61 98833672 \n", "2 47 102502622 \n", "3 62 106043892 \n", "4 54 107740582 \n", "5 41 120425212 \n", "6 77 133803672 \n", "7 64 138415912 \n", "8 50 144769822 \n", "9 67 149515412 \n", "10 69 160323532 \n", "11 69 168747852 \n", "12 39 175993712 \n", "13 60 182860422 \n", "14 44 206754182 \n", "15 41 215265722 \n", "16 68 219055217 \n", "17 57 219840555 \n", "18 114 220526799 \n", "19 115 221245827 \n", "20 139 225488147 " ] }, "execution_count": 2, "metadata": {}, "output_type": "execute_result" } ], "source": [ "events_df = pd.read_pickle('events.pkl')\n", "events_df = events_df.sort_values(by='time')\n", "events_df" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "You can access values in a ``DataFrame`` column like this:" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "0 17\n", "1 61\n", "2 47\n", "3 62\n", "4 54\n", "5 41\n", "6 77\n", "7 64\n", "8 50\n", "9 67\n", "10 69\n", "11 69\n", "12 39\n", "13 60\n", "14 44\n", "15 41\n", "16 68\n", "17 57\n", "18 114\n", "19 115\n", "20 139\n", "Name: yes_rsvp_count, dtype: int64" ] }, "execution_count": 3, "metadata": {}, "output_type": "execute_result" } ], "source": [ "events_df['yes_rsvp_count']" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "You can access a row of a ``DataFrame`` using ``iloc``:" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "created 1362506708000\n", "name DataPhilly April 2013 Meetup - Machine Learnin...\n", "rating {u'count': 7, u'average': 4.57000017166}\n", "time 1366151400000\n", "waitlist_count 2\n", "yes_rsvp_count 54\n", "id 107740582\n", "Name: 4, dtype: object" ] }, "execution_count": 4, "metadata": {}, "output_type": "execute_result" } ], "source": [ "events_df.iloc[4]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We can view the first few rows using the ``head`` method:" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>created</th>\n", " <th>name</th>\n", " <th>rating</th>\n", " <th>time</th>\n", " <th>waitlist_count</th>\n", " <th>yes_rsvp_count</th>\n", " <th>id</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>1351948193000</td>\n", " <td>Meet and greet</td>\n", " <td>{u'count': 3, u'average': 5}</td>\n", " <td>1352934000000</td>\n", " <td>0</td>\n", " <td>17</td>\n", " <td>89769502</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>1357781071000</td>\n", " <td>DataPhilly January 2013 Meetup - An Introducti...</td>\n", " <td>{u'count': 6, u'average': 4.17000007629}</td>\n", " <td>1359588600000</td>\n", " <td>0</td>\n", " <td>61</td>\n", " <td>98833672</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>1359732939000</td>\n", " <td>DataPhilly February 2013 Meetup - Data Science...</td>\n", " <td>{u'count': 5, u'average': 5}</td>\n", " <td>1361316600000</td>\n", " <td>0</td>\n", " <td>47</td>\n", " <td>102502622</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>1361647778000</td>\n", " <td>DataPhilly March 2013 Meetup - Data Analysis u...</td>\n", " <td>{u'count': 8, u'average': 5}</td>\n", " <td>1364423400000</td>\n", " <td>0</td>\n", " <td>62</td>\n", " <td>106043892</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>1362506708000</td>\n", " <td>DataPhilly April 2013 Meetup - Machine Learnin...</td>\n", " <td>{u'count': 7, u'average': 4.57000017166}</td>\n", " <td>1366151400000</td>\n", " <td>2</td>\n", " <td>54</td>\n", " <td>107740582</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " created name \\\n", "0 1351948193000 Meet and greet \n", "1 1357781071000 DataPhilly January 2013 Meetup - An Introducti... \n", "2 1359732939000 DataPhilly February 2013 Meetup - Data Science... \n", "3 1361647778000 DataPhilly March 2013 Meetup - Data Analysis u... \n", "4 1362506708000 DataPhilly April 2013 Meetup - Machine Learnin... \n", "\n", " rating time waitlist_count \\\n", "0 {u'count': 3, u'average': 5} 1352934000000 0 \n", "1 {u'count': 6, u'average': 4.17000007629} 1359588600000 0 \n", "2 {u'count': 5, u'average': 5} 1361316600000 0 \n", "3 {u'count': 8, u'average': 5} 1364423400000 0 \n", "4 {u'count': 7, u'average': 4.57000017166} 1366151400000 2 \n", "\n", " yes_rsvp_count id \n", "0 17 89769502 \n", "1 61 98833672 \n", "2 47 102502622 \n", "3 62 106043892 \n", "4 54 107740582 " ] }, "execution_count": 5, "metadata": {}, "output_type": "execute_result" } ], "source": [ "events_df.head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "And similarly the last few using ``tail``:" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>created</th>\n", " <th>name</th>\n", " <th>rating</th>\n", " <th>time</th>\n", " <th>waitlist_count</th>\n", " <th>yes_rsvp_count</th>\n", " <th>id</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>18</th>\n", " <td>1423955223000</td>\n", " <td>DataPhilly: March Meetup</td>\n", " <td>{u'count': 3, u'average': 4.67000007629}</td>\n", " <td>1426802400000</td>\n", " <td>0</td>\n", " <td>114</td>\n", " <td>220526799</td>\n", " </tr>\n", " <tr>\n", " <th>19</th>\n", " <td>1426720048000</td>\n", " <td>DataPhilly: April; Philly Tech Week Edition</td>\n", " <td>{u'count': 9, u'average': 5}</td>\n", " <td>1429221600000</td>\n", " <td>19</td>\n", " <td>115</td>\n", " <td>221245827</td>\n", " </tr>\n", " <tr>\n", " <th>20</th>\n", " <td>1442763491000</td>\n", " <td>DataPhilly October</td>\n", " <td>{u'count': 6, u'average': 4.82999992371}</td>\n", " <td>1445551200000</td>\n", " <td>7</td>\n", " <td>139</td>\n", " <td>225488147</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " created name \\\n", "18 1423955223000 DataPhilly: March Meetup \n", "19 1426720048000 DataPhilly: April; Philly Tech Week Edition \n", "20 1442763491000 DataPhilly October \n", "\n", " rating time waitlist_count \\\n", "18 {u'count': 3, u'average': 4.67000007629} 1426802400000 0 \n", "19 {u'count': 9, u'average': 5} 1429221600000 19 \n", "20 {u'count': 6, u'average': 4.82999992371} 1445551200000 7 \n", "\n", " yes_rsvp_count id \n", "18 114 220526799 \n", "19 115 221245827 \n", "20 139 225488147 " ] }, "execution_count": 6, "metadata": {}, "output_type": "execute_result" } ], "source": [ "events_df.tail(3)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We can see that the ``yes_rsvp_count`` contains the number of people who RSVPed yes for each event. First let's look at some basic statistics:" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "(1355, 64.523809523809518, 17, 139)" ] }, "execution_count": 7, "metadata": {}, "output_type": "execute_result" } ], "source": [ "yes_rsvp_count = events_df['yes_rsvp_count']\n", "yes_rsvp_count.sum(), yes_rsvp_count.mean(), yes_rsvp_count.min(), yes_rsvp_count.max()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "When we access a single column of the ``DataFrame`` like this we get a ``Series`` object which is just a 1-dimensional version of a ``DataFrame``." ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "pandas.core.series.Series" ] }, "execution_count": 8, "metadata": {}, "output_type": "execute_result" } ], "source": [ "type(yes_rsvp_count)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We can use the built-in ``describe`` method to print out a lot of useful stats in a nice tabular format:" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "count 21.000000\n", "mean 64.523810\n", "std 28.212797\n", "min 17.000000\n", "25% 47.000000\n", "50% 61.000000\n", "75% 69.000000\n", "max 139.000000\n", "Name: yes_rsvp_count, dtype: float64" ] }, "execution_count": 9, "metadata": {}, "output_type": "execute_result" } ], "source": [ "yes_rsvp_count.describe()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Next I'd like to graph the number of RSVPs over time to see if there are any interesting trends. To do this let's first ``sum`` the ``waitlist_count`` and ``yes_rsvp_count`` columns and make a new column called ``total_RSVP_count``." ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "0 17\n", "1 61\n", "2 47\n", "3 62\n", "4 56\n", "5 46\n", "6 77\n", "7 64\n", "8 50\n", "9 67\n", "10 69\n", "11 69\n", "12 39\n", "13 60\n", "14 44\n", "15 41\n", "16 70\n", "17 140\n", "18 114\n", "19 134\n", "20 146\n", "Name: total_RSVP_count, dtype: int64" ] }, "execution_count": 10, "metadata": {}, "output_type": "execute_result" } ], "source": [ "events_df['total_RSVP_count'] = events_df['waitlist_count'] + events_df['yes_rsvp_count']\n", "events_df['total_RSVP_count']" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We can plot these values using the ``plot`` method" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.axes._subplots.AxesSubplot at 0x1045c68d0>" ] }, "execution_count": 11, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAABI4AAAJYCAYAAAAE3K2RAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nGsV4QC02AecAAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x103a510d0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "events_df['total_RSVP_count'].plot()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The plot method utilizes the ``matplotlib`` library behind the scenes to draw the plot. This is interesting, but it would be nice to have the dates of the meetups on the X-axis of the plot.\n", "\n", "To accomplish this, let's convert the ``time`` field from a unix epoch timestamp to a python ``datetime`` utilizing the ``apply`` method and a function." ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>created</th>\n", " <th>name</th>\n", " <th>rating</th>\n", " <th>time</th>\n", " <th>waitlist_count</th>\n", " <th>yes_rsvp_count</th>\n", " <th>id</th>\n", " <th>total_RSVP_count</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>1351948193000</td>\n", " <td>Meet and greet</td>\n", " <td>{u'count': 3, u'average': 5}</td>\n", " <td>1352934000000</td>\n", " <td>0</td>\n", " <td>17</td>\n", " <td>89769502</td>\n", " <td>17</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>1357781071000</td>\n", " <td>DataPhilly January 2013 Meetup - An Introducti...</td>\n", " <td>{u'count': 6, u'average': 4.17000007629}</td>\n", " <td>1359588600000</td>\n", " <td>0</td>\n", " <td>61</td>\n", " <td>98833672</td>\n", " <td>61</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " created name \\\n", "0 1351948193000 Meet and greet \n", "1 1357781071000 DataPhilly January 2013 Meetup - An Introducti... \n", "\n", " rating time waitlist_count \\\n", "0 {u'count': 3, u'average': 5} 1352934000000 0 \n", "1 {u'count': 6, u'average': 4.17000007629} 1359588600000 0 \n", "\n", " yes_rsvp_count id total_RSVP_count \n", "0 17 89769502 17 \n", "1 61 98833672 61 " ] }, "execution_count": 12, "metadata": {}, "output_type": "execute_result" } ], "source": [ "events_df.head(2)" ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import datetime\n", "def get_datetime_from_epoch(epoch):\n", " return datetime.datetime.fromtimestamp(epoch/1000.0)" ] }, { "cell_type": "code", "execution_count": 14, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "0 2012-11-14 18:00:00\n", "1 2013-01-30 18:30:00\n", "2 2013-02-19 18:30:00\n", "3 2013-03-27 18:30:00\n", "4 2013-04-16 18:30:00\n", "5 2013-06-05 18:30:00\n", "6 2013-08-20 18:30:00\n", "7 2013-09-26 18:30:00\n", "8 2013-10-23 18:00:00\n", "9 2013-11-18 18:00:00\n", "10 2014-01-29 18:00:00\n", "11 2014-03-12 18:00:00\n", "12 2014-04-16 18:00:00\n", "13 2014-05-21 18:00:00\n", "14 2014-10-07 18:00:00\n", "15 2014-11-06 18:00:00\n", "16 2014-12-16 18:00:00\n", "17 2015-02-19 18:00:00\n", "18 2015-03-19 18:00:00\n", "19 2015-04-16 18:00:00\n", "20 2015-10-22 18:00:00\n", "Name: time, dtype: datetime64[ns]" ] }, "execution_count": 14, "metadata": {}, "output_type": "execute_result" } ], "source": [ "events_df['time'] = events_df['time'].apply(get_datetime_from_epoch)\n", "events_df['time']" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Next let's make the ``time`` column the index of the ``DataFrame`` using the ``set_index`` method and then re-``plot`` our data." ] }, { "cell_type": "code", "execution_count": 15, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.axes._subplots.AxesSubplot at 0x109664550>" ] }, "execution_count": 15, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAABJUAAAJSCAYAAAB6CfToAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAAAAAOCy/w/IsDc1UA0m9QAAAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x103a4b490>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "events_df.set_index('time', inplace=True)\n", "events_df[['total_RSVP_count']].plot()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We can also easily plot multiple columns on the same plot." ] }, { "cell_type": "code", "execution_count": 16, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.axes._subplots.AxesSubplot at 0x10993a890>" ] }, "execution_count": 16, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAABJUAAAJcCAYAAABAA5WYAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nRK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x1099abb90>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "all_rsvps = events_df[['yes_rsvp_count', 'waitlist_count', 'total_RSVP_count']]\n", "all_rsvps.plot(title='Attendance over time')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## DataPhilly members dataset" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Alright so I'm seeing some interesting trends here. Let's take a look at something different.\n", "\n", "The Meetup API also provides us access to member info. Let's have a look at the data we have available:" ] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>anon_id</th>\n", " <th>anon_name</th>\n", " <th>bio</th>\n", " <th>city</th>\n", " <th>country</th>\n", " <th>gender</th>\n", " <th>hometown</th>\n", " <th>joined</th>\n", " <th>lat</th>\n", " <th>lon</th>\n", " <th>membership_count</th>\n", " <th>state</th>\n", " <th>topics</th>\n", " <th>visited</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>0</td>\n", " <td>James</td>\n", " <td>NaN</td>\n", " <td>Philadelphia</td>\n", " <td>us</td>\n", " <td>male</td>\n", " <td>Philadelphia</td>\n", " <td>2015-10-10 21:43:33</td>\n", " <td>39.94</td>\n", " <td>-75.23</td>\n", " <td>0</td>\n", " <td>PA</td>\n", " <td>[{u'name': u'Poker', u'urlkey': u'poker', u'id...</td>\n", " <td>2015-10-10 21:43:33</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>1</td>\n", " <td>Vijay</td>\n", " <td>java software developer in center city philly</td>\n", " <td>Philadelphia</td>\n", " <td>us</td>\n", " <td>male</td>\n", " <td>Philadelphia</td>\n", " <td>2013-11-22 22:32:04</td>\n", " <td>39.96</td>\n", " <td>-75.20</td>\n", " <td>0</td>\n", " <td>PA</td>\n", " <td>[]</td>\n", " <td>2015-04-13 20:20:24</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>2</td>\n", " <td>Justin</td>\n", " <td>NaN</td>\n", " <td>Philadelphia</td>\n", " <td>us</td>\n", " <td>male</td>\n", " <td>NaN</td>\n", " <td>2015-06-10 16:18:43</td>\n", " <td>40.00</td>\n", " <td>-75.14</td>\n", " <td>63</td>\n", " <td>PA</td>\n", " <td>[{u'name': u'Extreme Programming', u'urlkey': ...</td>\n", " <td>2015-10-22 15:42:23</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " anon_id anon_name bio \\\n", "0 0 James NaN \n", "1 1 Vijay java software developer in center city philly \n", "2 2 Justin NaN \n", "\n", " city country gender hometown joined lat \\\n", "0 Philadelphia us male Philadelphia 2015-10-10 21:43:33 39.94 \n", "1 Philadelphia us male Philadelphia 2013-11-22 22:32:04 39.96 \n", "2 Philadelphia us male NaN 2015-06-10 16:18:43 40.00 \n", "\n", " lon membership_count state \\\n", "0 -75.23 0 PA \n", "1 -75.20 0 PA \n", "2 -75.14 63 PA \n", "\n", " topics visited \n", "0 [{u'name': u'Poker', u'urlkey': u'poker', u'id... 2015-10-10 21:43:33 \n", "1 [] 2015-04-13 20:20:24 \n", "2 [{u'name': u'Extreme Programming', u'urlkey': ... 2015-10-22 15:42:23 " ] }, "execution_count": 17, "metadata": {}, "output_type": "execute_result" } ], "source": [ "members_df = pd.read_pickle('members.pkl')\n", "for column in ['joined', 'visited']:\n", " members_df[column] = members_df[column].apply(get_datetime_from_epoch)\n", "members_df.head(3)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "You'll notice that I've anonymized the meetup member_id and the member's name. I've also used the python module [``SexMachine``](https://pypi.python.org/pypi/SexMachine/) to infer members gender based on their first name. I ran SexMachine on the original names before I anonymized them. Let's have a closer look at the gender breakdown of our members:" ] }, { "cell_type": "code", "execution_count": 18, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "male 716\n", "andy 257\n", "female 175\n", "mostly_male 91\n", "mostly_female 35\n", "Name: gender, dtype: int64" ] }, "execution_count": 18, "metadata": {}, "output_type": "execute_result" } ], "source": [ "gender_counts = members_df['gender'].value_counts()\n", "gender_counts" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Next let's use the ``hist`` method to plot a histogram of ``membership_count``. This is the number of groups each member is in." ] }, { "cell_type": "code", "execution_count": 19, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.axes._subplots.AxesSubplot at 0x10b34a990>" ] }, "execution_count": 19, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAABJ4AAAJYCAYAAAAjcix5AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x109d68250>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "members_df['membership_count'].hist(bins=20)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Something looks odd here let's check out the ``value_counts``:" ] }, { "cell_type": "code", "execution_count": 20, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "0 124\n", "2 105\n", "1 96\n", "3 86\n", "5 77\n", "Name: membership_count, dtype: int64" ] }, "execution_count": 20, "metadata": {}, "output_type": "execute_result" } ], "source": [ "members_df['membership_count'].value_counts().head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Okay so most members are members of 0 meetup groups?! This seems odd! I did a little digging and came up with the answer; members can set their membership details to be private, and then this value will be zero. Let's filter out these members and recreate the histogram." ] }, { "cell_type": "code", "execution_count": 21, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.axes._subplots.AxesSubplot at 0x10b534bd0>" ] }, "execution_count": 21, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAABJ4AAAJYCAYAAAAjcix5AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x10b519450>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "members_df_non_zero = members_df[members_df['membership_count'] != 0]\n", "members_df_non_zero['membership_count'].hist(bins=50)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Okay so most members are only members of a few meetup groups. There's some outliers that are pretty hard to read, let's try plotting this on a logarithmic scale to see if that helps:" ] }, { "cell_type": "code", "execution_count": 22, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "(0, 500)" ] }, "execution_count": 22, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAABJMAAAJaCAYAAACbRAbCAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x10b9f0450>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "ax = members_df_non_zero['membership_count'].hist(bins=50)\n", "ax.set_yscale('log')\n", "ax.set_xlim(0, 500)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Let's use a ``mask`` to filter out the outliers so we can dig into them a little further:" ] }, { "cell_type": "code", "execution_count": 23, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>membership_count</th>\n", " <th>city</th>\n", " <th>country</th>\n", " <th>state</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>301</th>\n", " <td>1838</td>\n", " <td>Berlin</td>\n", " <td>de</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>25</th>\n", " <td>816</td>\n", " <td>San Francisco</td>\n", " <td>us</td>\n", " <td>CA</td>\n", " </tr>\n", " <tr>\n", " <th>141</th>\n", " <td>651</td>\n", " <td>Jerusalem</td>\n", " <td>il</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>67</th>\n", " <td>303</td>\n", " <td>Philadelphia</td>\n", " <td>us</td>\n", " <td>PA</td>\n", " </tr>\n", " <tr>\n", " <th>420</th>\n", " <td>295</td>\n", " <td>Baltimore</td>\n", " <td>us</td>\n", " <td>MD</td>\n", " </tr>\n", " <tr>\n", " <th>1178</th>\n", " <td>278</td>\n", " <td>Princeton</td>\n", " <td>us</td>\n", " <td>NJ</td>\n", " </tr>\n", " <tr>\n", " <th>257</th>\n", " <td>241</td>\n", " <td>New York</td>\n", " <td>us</td>\n", " <td>NY</td>\n", " </tr>\n", " <tr>\n", " <th>223</th>\n", " <td>207</td>\n", " <td>Scarsdale</td>\n", " <td>us</td>\n", " <td>NY</td>\n", " </tr>\n", " <tr>\n", " <th>150</th>\n", " <td>197</td>\n", " <td>Philadelphia</td>\n", " <td>us</td>\n", " <td>PA</td>\n", " </tr>\n", " <tr>\n", " <th>174</th>\n", " <td>166</td>\n", " <td>Philadelphia</td>\n", " <td>us</td>\n", " <td>PA</td>\n", " </tr>\n", " <tr>\n", " <th>86</th>\n", " <td>166</td>\n", " <td>West Chester</td>\n", " <td>us</td>\n", " <td>PA</td>\n", " </tr>\n", " <tr>\n", " <th>449</th>\n", " <td>146</td>\n", " <td>Exton</td>\n", " <td>us</td>\n", " <td>PA</td>\n", " </tr>\n", " <tr>\n", " <th>154</th>\n", " <td>119</td>\n", " <td>San Francisco</td>\n", " <td>us</td>\n", " <td>CA</td>\n", " </tr>\n", " <tr>\n", " <th>1158</th>\n", " <td>119</td>\n", " <td>Philadelphia</td>\n", " <td>us</td>\n", " <td>PA</td>\n", " </tr>\n", " <tr>\n", " <th>1022</th>\n", " <td>113</td>\n", " <td>Levittown</td>\n", " <td>us</td>\n", " <td>PA</td>\n", " </tr>\n", " <tr>\n", " <th>868</th>\n", " <td>106</td>\n", " <td>Seattle</td>\n", " <td>us</td>\n", " <td>WA</td>\n", " </tr>\n", " <tr>\n", " <th>987</th>\n", " <td>102</td>\n", " <td>San Francisco</td>\n", " <td>us</td>\n", " <td>CA</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " membership_count city country state\n", "301 1838 Berlin de NaN\n", "25 816 San Francisco us CA\n", "141 651 Jerusalem il NaN\n", "67 303 Philadelphia us PA\n", "420 295 Baltimore us MD\n", "1178 278 Princeton us NJ\n", "257 241 New York us NY\n", "223 207 Scarsdale us NY\n", "150 197 Philadelphia us PA\n", "174 166 Philadelphia us PA\n", "86 166 West Chester us PA\n", "449 146 Exton us PA\n", "154 119 San Francisco us CA\n", "1158 119 Philadelphia us PA\n", "1022 113 Levittown us PA\n", "868 106 Seattle us WA\n", "987 102 San Francisco us CA" ] }, "execution_count": 23, "metadata": {}, "output_type": "execute_result" } ], "source": [ "all_the_meetups = members_df[members_df['membership_count'] > 100]\n", "filtered = all_the_meetups[['membership_count', 'city', 'country', 'state']]\n", "filtered.sort_values(by='membership_count', ascending=False)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The people from Philly might actually be legitimate members, let's use a compound mask to filter them out as well:" ] }, { "cell_type": "code", "execution_count": 24, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>membership_count</th>\n", " <th>city</th>\n", " <th>country</th>\n", " <th>state</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>301</th>\n", " <td>1838</td>\n", " <td>Berlin</td>\n", " <td>de</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>25</th>\n", " <td>816</td>\n", " <td>San Francisco</td>\n", " <td>us</td>\n", " <td>CA</td>\n", " </tr>\n", " <tr>\n", " <th>141</th>\n", " <td>651</td>\n", " <td>Jerusalem</td>\n", " <td>il</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>420</th>\n", " <td>295</td>\n", " <td>Baltimore</td>\n", " <td>us</td>\n", " <td>MD</td>\n", " </tr>\n", " <tr>\n", " <th>1178</th>\n", " <td>278</td>\n", " <td>Princeton</td>\n", " <td>us</td>\n", " <td>NJ</td>\n", " </tr>\n", " <tr>\n", " <th>257</th>\n", " <td>241</td>\n", " <td>New York</td>\n", " <td>us</td>\n", " <td>NY</td>\n", " </tr>\n", " <tr>\n", " <th>223</th>\n", " <td>207</td>\n", " <td>Scarsdale</td>\n", " <td>us</td>\n", " <td>NY</td>\n", " </tr>\n", " <tr>\n", " <th>86</th>\n", " <td>166</td>\n", " <td>West Chester</td>\n", " <td>us</td>\n", " <td>PA</td>\n", " </tr>\n", " <tr>\n", " <th>449</th>\n", " <td>146</td>\n", " <td>Exton</td>\n", " <td>us</td>\n", " <td>PA</td>\n", " </tr>\n", " <tr>\n", " <th>154</th>\n", " <td>119</td>\n", " <td>San Francisco</td>\n", " <td>us</td>\n", " <td>CA</td>\n", " </tr>\n", " <tr>\n", " <th>1022</th>\n", " <td>113</td>\n", " <td>Levittown</td>\n", " <td>us</td>\n", " <td>PA</td>\n", " </tr>\n", " <tr>\n", " <th>868</th>\n", " <td>106</td>\n", " <td>Seattle</td>\n", " <td>us</td>\n", " <td>WA</td>\n", " </tr>\n", " <tr>\n", " <th>987</th>\n", " <td>102</td>\n", " <td>San Francisco</td>\n", " <td>us</td>\n", " <td>CA</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " membership_count city country state\n", "301 1838 Berlin de NaN\n", "25 816 San Francisco us CA\n", "141 651 Jerusalem il NaN\n", "420 295 Baltimore us MD\n", "1178 278 Princeton us NJ\n", "257 241 New York us NY\n", "223 207 Scarsdale us NY\n", "86 166 West Chester us PA\n", "449 146 Exton us PA\n", "154 119 San Francisco us CA\n", "1022 113 Levittown us PA\n", "868 106 Seattle us WA\n", "987 102 San Francisco us CA" ] }, "execution_count": 24, "metadata": {}, "output_type": "execute_result" } ], "source": [ "all_the_meetups = members_df[\n", " (members_df['membership_count'] > 100) & (members_df['city'] != 'Philadelphia')\n", "]\n", "filtered = all_the_meetups[['membership_count', 'city', 'country', 'state']]\n", "filtered.sort_values(by='membership_count', ascending=False)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "That's strange, I don't think we've ever had any members from Berlin, San Francisco, or Jerusalem in attendance :-)." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## The RSVP dataset" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Moving on, we also have all the events that each member RSVPed to:" ] }, { "cell_type": "code", "execution_count": 25, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>102502622</th>\n", " <th>106043892</th>\n", " <th>107740582</th>\n", " <th>120425212</th>\n", " <th>133803672</th>\n", " <th>138415912</th>\n", " <th>144769822</th>\n", " <th>149515412</th>\n", " <th>160323532</th>\n", " <th>168747852</th>\n", " <th>...</th>\n", " <th>206754182</th>\n", " <th>215265722</th>\n", " <th>219055217</th>\n", " <th>219840555</th>\n", " <th>220526799</th>\n", " <th>221245827</th>\n", " <th>225488147</th>\n", " <th>89769502</th>\n", " <th>98833672</th>\n", " <th>member_id</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>...</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>151</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>...</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>370</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>...</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>157</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "<p>3 rows × 22 columns</p>\n", "</div>" ], "text/plain": [ " 102502622 106043892 107740582 120425212 133803672 138415912 \\\n", "0 0 0 0 0 0 0 \n", "1 0 0 0 0 0 0 \n", "2 1 0 0 0 0 0 \n", "\n", " 144769822 149515412 160323532 168747852 ... 206754182 \\\n", "0 0 0 0 0 ... 1 \n", "1 0 0 0 0 ... 0 \n", "2 0 0 0 0 ... 0 \n", "\n", " 215265722 219055217 219840555 220526799 221245827 225488147 89769502 \\\n", "0 0 0 1 0 0 0 0 \n", "1 0 0 0 0 0 1 0 \n", "2 0 0 0 0 0 0 0 \n", "\n", " 98833672 member_id \n", "0 0 151 \n", "1 0 370 \n", "2 1 157 \n", "\n", "[3 rows x 22 columns]" ] }, "execution_count": 25, "metadata": {}, "output_type": "execute_result" } ], "source": [ "rsvps_df = pd.read_pickle('rsvps.pkl')\n", "rsvps_df.head(3)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<img src=\"inner_join.png\" width=\"50%\" />" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We can utilize the pandas ``merge`` method to join our members ``DataFrame`` and our rsvps ``DataFrame``:" ] }, { "cell_type": "code", "execution_count": 26, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>anon_id</th>\n", " <th>anon_name</th>\n", " <th>bio</th>\n", " <th>city</th>\n", " <th>country</th>\n", " <th>gender</th>\n", " <th>hometown</th>\n", " <th>joined</th>\n", " <th>lat</th>\n", " <th>lon</th>\n", " <th>...</th>\n", " <th>206754182</th>\n", " <th>215265722</th>\n", " <th>219055217</th>\n", " <th>219840555</th>\n", " <th>220526799</th>\n", " <th>221245827</th>\n", " <th>225488147</th>\n", " <th>89769502</th>\n", " <th>98833672</th>\n", " <th>member_id</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>4</td>\n", " <td>Edward</td>\n", " <td>NaN</td>\n", " <td>Downingtown</td>\n", " <td>us</td>\n", " <td>male</td>\n", " <td>Philadelphia</td>\n", " <td>2015-05-20 05:24:59</td>\n", " <td>40.02</td>\n", " <td>-75.71</td>\n", " <td>...</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>4</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>8</td>\n", " <td>John</td>\n", " <td>CTO as SnipSnap, the coupon app</td>\n", " <td>Woodbury</td>\n", " <td>us</td>\n", " <td>male</td>\n", " <td>NaN</td>\n", " <td>2013-01-29 22:49:12</td>\n", " <td>39.83</td>\n", " <td>-75.13</td>\n", " <td>...</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>8</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>11</td>\n", " <td>John</td>\n", " <td>Founder and CEO of Azavea, a firm that builds ...</td>\n", " <td>Philadelphia</td>\n", " <td>us</td>\n", " <td>male</td>\n", " <td>NaN</td>\n", " <td>2012-11-06 12:18:12</td>\n", " <td>39.95</td>\n", " <td>-75.16</td>\n", " <td>...</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>11</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "<p>3 rows × 36 columns</p>\n", "</div>" ], "text/plain": [ " anon_id anon_name bio \\\n", "0 4 Edward NaN \n", "1 8 John CTO as SnipSnap, the coupon app \n", "2 11 John Founder and CEO of Azavea, a firm that builds ... \n", "\n", " city country gender hometown joined lat \\\n", "0 Downingtown us male Philadelphia 2015-05-20 05:24:59 40.02 \n", "1 Woodbury us male NaN 2013-01-29 22:49:12 39.83 \n", "2 Philadelphia us male NaN 2012-11-06 12:18:12 39.95 \n", "\n", " lon ... 206754182 215265722 219055217 219840555 220526799 \\\n", "0 -75.71 ... 0 0 0 0 0 \n", "1 -75.13 ... 0 0 0 0 0 \n", "2 -75.16 ... 0 0 0 0 0 \n", "\n", " 221245827 225488147 89769502 98833672 member_id \n", "0 0 1 0 0 4 \n", "1 0 0 0 0 8 \n", "2 0 1 0 1 11 \n", "\n", "[3 rows x 36 columns]" ] }, "execution_count": 26, "metadata": {}, "output_type": "execute_result" } ], "source": [ "joined_with_rsvps_df = pd.merge(members_df, rsvps_df, left_on='anon_id', right_on='member_id')\n", "joined_with_rsvps_df.head(3)" ] }, { "cell_type": "code", "execution_count": 27, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "Index([ u'anon_id', u'anon_name', u'bio',\n", " u'city', u'country', u'gender',\n", " u'hometown', u'joined', u'lat',\n", " u'lon', u'membership_count', u'state',\n", " u'topics', u'visited', u'102502622',\n", " u'106043892', u'107740582', u'120425212',\n", " u'133803672', u'138415912', u'144769822',\n", " u'149515412', u'160323532', u'168747852',\n", " u'175993712', u'182860422', u'206754182',\n", " u'215265722', u'219055217', u'219840555',\n", " u'220526799', u'221245827', u'225488147',\n", " u'89769502', u'98833672', u'member_id'],\n", " dtype='object')" ] }, "execution_count": 27, "metadata": {}, "output_type": "execute_result" } ], "source": [ "joined_with_rsvps_df.columns" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Now we have a ton of data, let's see what kind of interesting things we can discover.\n", "Let's look at the some stats on male attendees vs. female attendees:" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "First we can use the ``isin`` method to make ``DataFrame``s for male and female members." ] }, { "cell_type": "code", "execution_count": 28, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>anon_id</th>\n", " <th>anon_name</th>\n", " <th>bio</th>\n", " <th>city</th>\n", " <th>country</th>\n", " <th>gender</th>\n", " <th>hometown</th>\n", " <th>joined</th>\n", " <th>lat</th>\n", " <th>lon</th>\n", " <th>...</th>\n", " <th>206754182</th>\n", " <th>215265722</th>\n", " <th>219055217</th>\n", " <th>219840555</th>\n", " <th>220526799</th>\n", " <th>221245827</th>\n", " <th>225488147</th>\n", " <th>89769502</th>\n", " <th>98833672</th>\n", " <th>member_id</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>578</th>\n", " <td>1261</td>\n", " <td>Tom</td>\n", " <td>NaN</td>\n", " <td>Philadelphia</td>\n", " <td>us</td>\n", " <td>male</td>\n", " <td>NaN</td>\n", " <td>2015-10-06 17:50:59</td>\n", " <td>39.96</td>\n", " <td>-75.20</td>\n", " <td>...</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1261</td>\n", " </tr>\n", " <tr>\n", " <th>579</th>\n", " <td>1262</td>\n", " <td>Daniel</td>\n", " <td>NaN</td>\n", " <td>Philadelphia</td>\n", " <td>us</td>\n", " <td>male</td>\n", " <td>NaN</td>\n", " <td>2015-10-07 15:48:58</td>\n", " <td>39.97</td>\n", " <td>-75.17</td>\n", " <td>...</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1262</td>\n", " </tr>\n", " <tr>\n", " <th>583</th>\n", " <td>1271</td>\n", " <td>Chris</td>\n", " <td>NaN</td>\n", " <td>Philadelphia</td>\n", " <td>us</td>\n", " <td>male</td>\n", " <td>NaN</td>\n", " <td>2015-10-20 15:00:55</td>\n", " <td>39.96</td>\n", " <td>-75.20</td>\n", " <td>...</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1271</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "<p>3 rows × 36 columns</p>\n", "</div>" ], "text/plain": [ " anon_id anon_name bio city country gender hometown \\\n", "578 1261 Tom NaN Philadelphia us male NaN \n", "579 1262 Daniel NaN Philadelphia us male NaN \n", "583 1271 Chris NaN Philadelphia us male NaN \n", "\n", " joined lat lon ... 206754182 215265722 \\\n", "578 2015-10-06 17:50:59 39.96 -75.20 ... 0 0 \n", "579 2015-10-07 15:48:58 39.97 -75.17 ... 0 0 \n", "583 2015-10-20 15:00:55 39.96 -75.20 ... 0 0 \n", "\n", " 219055217 219840555 220526799 221245827 225488147 89769502 98833672 \\\n", "578 0 0 0 0 1 0 0 \n", "579 0 0 0 0 1 0 0 \n", "583 0 0 0 0 1 0 0 \n", "\n", " member_id \n", "578 1261 \n", "579 1262 \n", "583 1271 \n", "\n", "[3 rows x 36 columns]" ] }, "execution_count": 28, "metadata": {}, "output_type": "execute_result" } ], "source": [ "male_attendees = joined_with_rsvps_df[joined_with_rsvps_df['gender'].isin(['male', 'mostly_male'])]\n", "male_attendees.tail(3)" ] }, { "cell_type": "code", "execution_count": 29, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>anon_id</th>\n", " <th>anon_name</th>\n", " <th>bio</th>\n", " <th>city</th>\n", " <th>country</th>\n", " <th>gender</th>\n", " <th>hometown</th>\n", " <th>joined</th>\n", " <th>lat</th>\n", " <th>lon</th>\n", " <th>...</th>\n", " <th>206754182</th>\n", " <th>215265722</th>\n", " <th>219055217</th>\n", " <th>219840555</th>\n", " <th>220526799</th>\n", " <th>221245827</th>\n", " <th>225488147</th>\n", " <th>89769502</th>\n", " <th>98833672</th>\n", " <th>member_id</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>580</th>\n", " <td>1265</td>\n", " <td>Erin</td>\n", " <td>NaN</td>\n", " <td>Philadelphia</td>\n", " <td>us</td>\n", " <td>female</td>\n", " <td>NaN</td>\n", " <td>2015-10-13 18:13:37</td>\n", " <td>39.95</td>\n", " <td>-75.16</td>\n", " <td>...</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1265</td>\n", " </tr>\n", " <tr>\n", " <th>581</th>\n", " <td>1268</td>\n", " <td>Anne</td>\n", " <td>NaN</td>\n", " <td>Philadelphia</td>\n", " <td>us</td>\n", " <td>female</td>\n", " <td>Philadelphia</td>\n", " <td>2015-10-18 15:29:42</td>\n", " <td>39.96</td>\n", " <td>-75.20</td>\n", " <td>...</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1268</td>\n", " </tr>\n", " <tr>\n", " <th>582</th>\n", " <td>1269</td>\n", " <td>Stacey</td>\n", " <td>NaN</td>\n", " <td>Philadelphia</td>\n", " <td>us</td>\n", " <td>female</td>\n", " <td>NaN</td>\n", " <td>2015-10-20 09:55:35</td>\n", " <td>39.96</td>\n", " <td>-75.20</td>\n", " <td>...</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1269</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "<p>3 rows × 36 columns</p>\n", "</div>" ], "text/plain": [ " anon_id anon_name bio city country gender hometown \\\n", "580 1265 Erin NaN Philadelphia us female NaN \n", "581 1268 Anne NaN Philadelphia us female Philadelphia \n", "582 1269 Stacey NaN Philadelphia us female NaN \n", "\n", " joined lat lon ... 206754182 215265722 \\\n", "580 2015-10-13 18:13:37 39.95 -75.16 ... 0 0 \n", "581 2015-10-18 15:29:42 39.96 -75.20 ... 0 0 \n", "582 2015-10-20 09:55:35 39.96 -75.20 ... 0 0 \n", "\n", " 219055217 219840555 220526799 221245827 225488147 89769502 98833672 \\\n", "580 0 0 0 0 1 0 0 \n", "581 0 0 0 0 1 0 0 \n", "582 0 0 0 0 1 0 0 \n", "\n", " member_id \n", "580 1265 \n", "581 1268 \n", "582 1269 \n", "\n", "[3 rows x 36 columns]" ] }, "execution_count": 29, "metadata": {}, "output_type": "execute_result" } ], "source": [ "female_attendees = joined_with_rsvps_df[joined_with_rsvps_df['gender'].isin(['female', 'mostly_female'])]\n", "female_attendees.tail(3)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Next we can use the ``sum`` method to count the number of male and female attendees per event and create a ``Series`` for each." ] }, { "cell_type": "code", "execution_count": 30, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "102502622 30\n", "106043892 35\n", "107740582 33\n", "dtype: float64" ] }, "execution_count": 30, "metadata": {}, "output_type": "execute_result" } ], "source": [ "event_ids = [\n", " '102502622', '106043892', '107740582', '120425212', '133803672', '138415912', '144769822', '149515412',\n", " '160323532', '168747852', '175993712', '182860422', '206754182', '215265722', '219055217', '219840555',\n", " '220526799', '221245827', '225488147', '89769502', '98833672'\n", "]\n", "male_attendees[event_ids].sum().head(3)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We can then recombine the male and female ``Series``' into a new ``DataFrame``." ] }, { "cell_type": "code", "execution_count": 31, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>female</th>\n", " <th>male</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>102502622</th>\n", " <td>2</td>\n", " <td>30</td>\n", " </tr>\n", " <tr>\n", " <th>106043892</th>\n", " <td>6</td>\n", " <td>35</td>\n", " </tr>\n", " <tr>\n", " <th>107740582</th>\n", " <td>3</td>\n", " <td>33</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " female male\n", "102502622 2 30\n", "106043892 6 35\n", "107740582 3 33" ] }, "execution_count": 31, "metadata": {}, "output_type": "execute_result" } ], "source": [ "gender_attendance = pd.DataFrame({'male': male_attendees[event_ids].sum(), 'female': female_attendees[event_ids].sum()})\n", "gender_attendance.head(3)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "And then we can use ``merge`` again to combine this with our events ``DataFrame``." ] }, { "cell_type": "code", "execution_count": 32, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>created</th>\n", " <th>name</th>\n", " <th>rating</th>\n", " <th>waitlist_count</th>\n", " <th>yes_rsvp_count</th>\n", " <th>id</th>\n", " <th>total_RSVP_count</th>\n", " <th>female</th>\n", " <th>male</th>\n", " </tr>\n", " <tr>\n", " <th>time</th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>2012-11-14 18:00:00</th>\n", " <td>1351948193000</td>\n", " <td>Meet and greet</td>\n", " <td>{u'count': 3, u'average': 5}</td>\n", " <td>0</td>\n", " <td>17</td>\n", " <td>89769502</td>\n", " <td>17</td>\n", " <td>1</td>\n", " <td>8</td>\n", " </tr>\n", " <tr>\n", " <th>2013-01-30 18:30:00</th>\n", " <td>1357781071000</td>\n", " <td>DataPhilly January 2013 Meetup - An Introducti...</td>\n", " <td>{u'count': 6, u'average': 4.17000007629}</td>\n", " <td>0</td>\n", " <td>61</td>\n", " <td>98833672</td>\n", " <td>61</td>\n", " <td>1</td>\n", " <td>36</td>\n", " </tr>\n", " <tr>\n", " <th>2013-02-19 18:30:00</th>\n", " <td>1359732939000</td>\n", " <td>DataPhilly February 2013 Meetup - Data Science...</td>\n", " <td>{u'count': 5, u'average': 5}</td>\n", " <td>0</td>\n", " <td>47</td>\n", " <td>102502622</td>\n", " <td>47</td>\n", " <td>2</td>\n", " <td>30</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " created \\\n", "time \n", "2012-11-14 18:00:00 1351948193000 \n", "2013-01-30 18:30:00 1357781071000 \n", "2013-02-19 18:30:00 1359732939000 \n", "\n", " name \\\n", "time \n", "2012-11-14 18:00:00 Meet and greet \n", "2013-01-30 18:30:00 DataPhilly January 2013 Meetup - An Introducti... \n", "2013-02-19 18:30:00 DataPhilly February 2013 Meetup - Data Science... \n", "\n", " rating waitlist_count \\\n", "time \n", "2012-11-14 18:00:00 {u'count': 3, u'average': 5} 0 \n", "2013-01-30 18:30:00 {u'count': 6, u'average': 4.17000007629} 0 \n", "2013-02-19 18:30:00 {u'count': 5, u'average': 5} 0 \n", "\n", " yes_rsvp_count id total_RSVP_count female male \n", "time \n", "2012-11-14 18:00:00 17 89769502 17 1 8 \n", "2013-01-30 18:30:00 61 98833672 61 1 36 \n", "2013-02-19 18:30:00 47 102502622 47 2 30 " ] }, "execution_count": 32, "metadata": {}, "output_type": "execute_result" } ], "source": [ "events_with_gender_df = pd.merge(events_df, gender_attendance, left_on='id', right_index=True)\n", "events_with_gender_df.head(3)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The we can ``plot`` the attendance by gender over time" ] }, { "cell_type": "code", "execution_count": 33, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.axes._subplots.AxesSubplot at 0x10c19f290>" ] }, "execution_count": 33, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAABJUAAAJcCAYAAABAA5WYAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nrkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x10c1ed290>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "gender_df = events_with_gender_df[['female', 'male']]\n", "gender_df.plot(title='Attendance by gender over time')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "This might be easier to interpret by looking at the percentage of females in attendance. We can use the ``div`` (divide) method to calculate this." ] }, { "cell_type": "code", "execution_count": 34, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.axes._subplots.AxesSubplot at 0x10c8e5110>" ] }, "execution_count": 34, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAABJUAAAJcCAYAAABAA5WYAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nTwE40xkAAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x10c15e650>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "female_ratio = gender_df['female'].div(gender_df['male'] + gender_df['female'])\n", "female_ratio.plot(title='Percentage female attendance over time', ylim=(0.0, 1.0))" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "The members ``DataFrame`` also has some other interesting stuff in it. Let's take a look at the ``topics`` column." ] }, { "cell_type": "code", "execution_count": 35, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "[{u'id': 254, u'name': u'Poker', u'urlkey': u'poker'},\n", " {u'id': 21067, u'name': u'Collaboration', u'urlkey': u'collaboration'},\n", " {u'id': 15167, u'name': u'Cloud Computing', u'urlkey': u'cloud-computing'},\n", " {u'id': 10333, u'name': u'Parents', u'urlkey': u'parents'},\n", " {u'id': 553, u'name': u'Dungeons & Dragons', u'urlkey': u'dnd'},\n", " {u'id': 4377, u'name': u'Politics', u'urlkey': u'politics'},\n", " {u'id': 15992, u'name': u'Games', u'urlkey': u'games'},\n", " {u'id': 9696, u'name': u'New Technology', u'urlkey': u'newtech'},\n", " {u'id': 19585, u'name': u'Board Games', u'urlkey': u'board-games'},\n", " {u'id': 48471,\n", " u'name': u'Computer programming',\n", " u'urlkey': u'computer-programming'},\n", " {u'id': 19197, u'name': u'Activism', u'urlkey': u'activism'},\n", " {u'id': 226, u'name': u'Acting', u'urlkey': u'acting'},\n", " {u'id': 17558, u'name': u'Performing Arts', u'urlkey': u'performing-arts'}]" ] }, "execution_count": 35, "metadata": {}, "output_type": "execute_result" } ], "source": [ "members_df['topics'].iloc[0]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Let's see if we can identify any trends in member's topics. Let's start off by identifying the most common topics:" ] }, { "cell_type": "code", "execution_count": 36, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "[(u'Big Data', 528),\n", " (u'Data Analytics', 492),\n", " (u'Computer programming', 473),\n", " (u'New Technology', 450),\n", " (u'Open Source', 381),\n", " (u'Data Mining', 372),\n", " (u'Software Development', 366),\n", " (u'Startup Businesses', 359),\n", " (u'Technology', 314),\n", " (u'Python', 285),\n", " (u'Technology Startups', 278),\n", " (u'Web Development', 277),\n", " (u'Entrepreneurship', 265),\n", " (u'Data Visualization', 264),\n", " (u'Mobile Technology', 227),\n", " (u'Big Data Analytics', 210),\n", " (u'Predictive Analytics', 202),\n", " (u'Mobile Development', 190),\n", " (u'Web Design', 182),\n", " (u'Outdoors', 182)]" ] }, "execution_count": 36, "metadata": {}, "output_type": "execute_result" } ], "source": [ "from collections import Counter\n", "\n", "topic_counter = Counter()\n", "for m in members_df['topics']:\n", " topic_counter.update([t['name'] for t in m])\n", "topic_counter.most_common(20)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Next let's create a new ``DataFrame`` where each column is one of the top 100 topics, and each row is a member. We'll set the values of each cell to be either 0 or 1 to indicate that that member has (or doesn't have) that topic." ] }, { "cell_type": "code", "execution_count": 37, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>0</th>\n", " <th>2</th>\n", " <th>3</th>\n", " <th>4</th>\n", " <th>5</th>\n", " <th>6</th>\n", " <th>8</th>\n", " <th>9</th>\n", " <th>11</th>\n", " <th>12</th>\n", " <th>...</th>\n", " <th>1261</th>\n", " <th>1262</th>\n", " <th>1264</th>\n", " <th>1266</th>\n", " <th>1267</th>\n", " <th>1268</th>\n", " <th>1269</th>\n", " <th>1271</th>\n", " <th>1272</th>\n", " <th>1273</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>20's &amp; 30's Social</th>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>Adventure</th>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>Art</th>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "<p>3 rows × 1088 columns</p>\n", "</div>" ], "text/plain": [ " 0 2 3 4 5 6 8 9 11 \\\n", "20's & 30's Social NaN NaN NaN NaN NaN NaN NaN NaN NaN \n", "Adventure NaN NaN NaN 1 NaN NaN NaN NaN NaN \n", "Art NaN NaN NaN NaN NaN NaN NaN NaN NaN \n", "\n", " 12 ... 1261 1262 1264 1266 1267 1268 1269 \\\n", "20's & 30's Social NaN ... NaN 1 NaN NaN NaN NaN NaN \n", "Adventure NaN ... NaN NaN NaN NaN NaN NaN NaN \n", "Art NaN ... NaN NaN NaN NaN NaN NaN NaN \n", "\n", " 1271 1272 1273 \n", "20's & 30's Social NaN NaN NaN \n", "Adventure NaN NaN NaN \n", "Art NaN NaN NaN \n", "\n", "[3 rows x 1088 columns]" ] }, "execution_count": 37, "metadata": {}, "output_type": "execute_result" } ], "source": [ "top_100_topics = set([t[0] for t in topic_counter.most_common(100)])\n", "topic_member_map = {}\n", "for i, m in members_df.iterrows():\n", " if m['topics']:\n", " top_topic_count = {}\n", " for topic in m['topics']:\n", " if topic['name'] in top_100_topics:\n", " top_topic_count[topic['name']] = 1\n", " topic_member_map[m['anon_id']] = top_topic_count\n", " \n", "top_topic_df = pd.DataFrame(topic_member_map)\n", "top_topic_df.head(3)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Okay for what I'm going to do next, I want the rows to be the members and the columns to be the topics. We can use the ``T`` (transpose) method to fix this." ] }, { "cell_type": "code", "execution_count": 38, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>20's &amp; 30's Social</th>\n", " <th>Adventure</th>\n", " <th>Art</th>\n", " <th>Bicycling</th>\n", " <th>Big Data</th>\n", " <th>Big Data Analytics</th>\n", " <th>Board Games</th>\n", " <th>Book Club</th>\n", " <th>Business Intelligence</th>\n", " <th>Business Strategy</th>\n", " <th>...</th>\n", " <th>Watching Movies</th>\n", " <th>Web Design</th>\n", " <th>Web Development</th>\n", " <th>Web Technology</th>\n", " <th>Wellness</th>\n", " <th>Wine</th>\n", " <th>Women in Technology</th>\n", " <th>Writing</th>\n", " <th>Young Professionals</th>\n", " <th>iOS Development</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "<p>3 rows × 100 columns</p>\n", "</div>" ], "text/plain": [ " 20's & 30's Social Adventure Art Bicycling Big Data \\\n", "0 NaN NaN NaN NaN NaN \n", "2 NaN NaN NaN NaN NaN \n", "3 NaN NaN NaN NaN NaN \n", "\n", " Big Data Analytics Board Games Book Club Business Intelligence \\\n", "0 NaN 1 NaN NaN \n", "2 NaN NaN NaN NaN \n", "3 NaN NaN NaN NaN \n", "\n", " Business Strategy ... Watching Movies Web Design \\\n", "0 NaN ... NaN NaN \n", "2 NaN ... NaN 1 \n", "3 NaN ... NaN NaN \n", "\n", " Web Development Web Technology Wellness Wine Women in Technology \\\n", "0 NaN NaN NaN NaN NaN \n", "2 1 1 NaN NaN NaN \n", "3 NaN NaN NaN NaN NaN \n", "\n", " Writing Young Professionals iOS Development \n", "0 NaN NaN NaN \n", "2 NaN NaN 1 \n", "3 NaN NaN NaN \n", "\n", "[3 rows x 100 columns]" ] }, "execution_count": 38, "metadata": {}, "output_type": "execute_result" } ], "source": [ "top_topic_df = top_topic_df.T\n", "top_topic_df.head(3)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Next we can use the ``fillna`` method to fill in the missing values with zeros." ] }, { "cell_type": "code", "execution_count": 39, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>20's &amp; 30's Social</th>\n", " <th>Adventure</th>\n", " <th>Art</th>\n", " <th>Bicycling</th>\n", " <th>Big Data</th>\n", " <th>Big Data Analytics</th>\n", " <th>Board Games</th>\n", " <th>Book Club</th>\n", " <th>Business Intelligence</th>\n", " <th>Business Strategy</th>\n", " <th>...</th>\n", " <th>Watching Movies</th>\n", " <th>Web Design</th>\n", " <th>Web Development</th>\n", " <th>Web Technology</th>\n", " <th>Wellness</th>\n", " <th>Wine</th>\n", " <th>Women in Technology</th>\n", " <th>Writing</th>\n", " <th>Young Professionals</th>\n", " <th>iOS Development</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>...</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>...</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>...</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "<p>3 rows × 100 columns</p>\n", "</div>" ], "text/plain": [ " 20's & 30's Social Adventure Art Bicycling Big Data \\\n", "0 0 0 0 0 0 \n", "2 0 0 0 0 0 \n", "3 0 0 0 0 0 \n", "\n", " Big Data Analytics Board Games Book Club Business Intelligence \\\n", "0 0 1 0 0 \n", "2 0 0 0 0 \n", "3 0 0 0 0 \n", "\n", " Business Strategy ... Watching Movies Web Design \\\n", "0 0 ... 0 0 \n", "2 0 ... 0 1 \n", "3 0 ... 0 0 \n", "\n", " Web Development Web Technology Wellness Wine Women in Technology \\\n", "0 0 0 0 0 0 \n", "2 1 1 0 0 0 \n", "3 0 0 0 0 0 \n", "\n", " Writing Young Professionals iOS Development \n", "0 0 0 0 \n", "2 0 0 1 \n", "3 0 0 0 \n", "\n", "[3 rows x 100 columns]" ] }, "execution_count": 39, "metadata": {}, "output_type": "execute_result" } ], "source": [ "top_topic_df.fillna(0, inplace=True)\n", "top_topic_df.head(3)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Next let's use a [clustering algorithm](https://en.wikipedia.org/wiki/Cluster_analysis) to see if there are any patterns in the topics members are interested in. A clustering algorithm groups a set of data points so that similar objects are in the same group. This is a classic type of [unsupervised machine learning](https://en.wikipedia.org/wiki/Unsupervised_learning). Below you can find visualisations of how different clustering algorithms perform on various kinds of data:\n", "\n", "<img src=\"plot_cluster_comparison_001.png\" width=\"90%\" />\n", "\n", "[Kmeans clustering](http://scikit-learn.org/stable/modules/clustering.html#k-means) is quick and can scale well to larger datasets. Let's see how it performs on our dataset:" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# scikit-learn\n", "<img src=\"scikit-learn-logo-notext.png\" width=\"20%\" />\n", "\n", "We'll use a python machine learning library called scikit-learn to do the clustering." ] }, { "cell_type": "code", "execution_count": 40, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "array([2, 1, 2, ..., 2, 0, 2], dtype=int32)" ] }, "execution_count": 40, "metadata": {}, "output_type": "execute_result" } ], "source": [ "from sklearn.cluster import MiniBatchKMeans as KMeans\n", "X = top_topic_df.as_matrix()\n", "n_clusters = 3\n", "k_means = KMeans(init='k-means++', n_clusters=n_clusters, n_init=10, random_state=47)\n", "k_means.fit(X)\n", "k_means.labels_" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We've grouped our members into 3 clusters, let's see how many members are in each cluster" ] }, { "cell_type": "code", "execution_count": 41, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "[(2, 637), (1, 293), (0, 158)]" ] }, "execution_count": 41, "metadata": {}, "output_type": "execute_result" } ], "source": [ "Counter(list(k_means.labels_)).most_common()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Next let's see which topics are most popular in each cluster:" ] }, { "cell_type": "code", "execution_count": 42, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Cluster 0\n", "Outdoors 104\n", "Live Music 98\n", "Dining Out 93\n", "Hiking 86\n", "Fitness 84\n", "Travel 77\n", "Watching Movies 60\n", "Adventure 58\n", "New Technology 57\n", "Intellectual Discussion 54\n", "dtype: float64\n", "\n", "Cluster 1\n", "Computer programming 248\n", "Open Source 236\n", "New Technology 231\n", "Software Development 231\n", "Technology 214\n", "Web Development 211\n", "Big Data 190\n", "Startup Businesses 177\n", "Data Analytics 166\n", "Mobile Technology 158\n", "dtype: float64\n", "\n", "Cluster 2\n", "Big Data 291\n", "Data Analytics 286\n", "Data Mining 201\n", "Computer programming 171\n", "New Technology 162\n", "Data Visualization 148\n", "Startup Businesses 130\n", "Python 130\n", "Open Source 123\n", "Big Data Analytics 115\n", "dtype: float64\n", "\n" ] } ], "source": [ "from collections import defaultdict\n", "\n", "cluster_index_map = defaultdict(list)\n", "for i in range(k_means.labels_.shape[0]):\n", " cluster_index_map[k_means.labels_[i]].append(top_topic_df.index[i])\n", "\n", "for cluster_num in range(n_clusters):\n", " print 'Cluster {}'.format(cluster_num)\n", " f = top_topic_df[top_topic_df.index.isin(cluster_index_map[cluster_num])].sum()\n", " f2 = f[f > 0]\n", " f3 = f2.sort_values(ascending=False)\n", " print f3[:10]\n", " print" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "So it looks like our biggest cluster (#2) contains members whose primary interest is data science.\n", "\n", "The second biggest cluster (#1) contains members whose primary interests are technology, and data science just happens to be one of those interests.\n", "\n", "The smallest cluster (#0) contains members whose primary interests are around socializing." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Based on this information we might be able to engage members in the \"social\" (#0) cluster by having more socially oriented events. We might be able to engaged with the members in cluster (#1) by having more events geared toward beginners." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Conclusion\n", "Hopefully you learned a little bit about DataPhilly and doing exploratory analysis in Python. There's tons of extra data in our datasets that I don't even have time to get into today. If you feel like you missed anything and would like to revist it, you can find this Notebook and instructions for how to use it in my github repo [http://github.com/mdbecker/](http://github.com/mdbecker/). If you find something interesting in the data and you'd like to share it with me I'm [@beckerfuffle](https://twitter.com/beckerfuffle) on Twitter, and you can always [contact me](http://goo.gl/RvxB6J) through the DataPhilly Meetup page." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.10" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
ds-modules/LINGUIS-110
FormantsUpdated/Assignment.ipynb
2
27637
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Linguistics 110: Vowel Formants\n", "\n", "### Professor Susan Lin\n", "\n", "In this notebook, we use both data from an outside source and that the class generated to explore the relationships between formants, gender, and height.\n", "\n", "## Table of Contents\n", "\n", "1 - [Exploring TIMIT Data](#timit)\n", "\n", "2 - [Using the Class's Data](#cls)\n", "\n", "3 - [Vowel Spaces](#vs)\n", "\n", "4 - [Variation in Vowel Spaces](#vvs)\n", "\n", "5 - [Formants vs Height](#fvh)\n", "\n", "Remember that to run a cell, you can either click the play button in the toolbar, or you can press `shift` and `enter` on your keyboard. To get a quick review of Jupyter notebooks, you can look at the [VOT Notebook](http://datahub.berkeley.edu/user-redirect/interact?account=ds-modules&repo=LINGUIS-110&branch=master&path=VOT). __Make sure to run the following cell before you get started.__" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# DON'T FORGET TO RUN THIS CELL\n", "import math\n", "import numpy as np\n", "import pandas as pd\n", "import seaborn as sns\n", "import datascience as ds\n", "import matplotlib.pyplot as plt\n", "sns.set_style('darkgrid')\n", "\n", "%matplotlib inline\n", "\n", "import warnings\n", "warnings.filterwarnings('ignore')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Exploring TIMIT Data <a id='timit'></a>\n", "\n", "We will start off by exploring [TIMIT](https://en.wikipedia.org/wiki/TIMIT) data taken from 8 different regions. These measurements are taken at the midpoint of vowels, where vowel boundaries were determined automatically using forced alignment.\n", "\n", "### Uploading the data\n", "\n", "Prior to being able to work with the data, we have to upload our dataset. The following two lines of code will read in our data and create a dataframe. The last line of code prints the `timit` dataframe, but instead of printing the whole dataframe, by using the method `.head`, it only prints the first 5 rows." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "timit = pd.read_csv('data/timitvowels.csv')\n", "timit.head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Look at the dataframe you created and try to figure out what each column measures. Each column represents a different attribute, see the following table for more information.\n", "\n", "|Column Name|Details|\n", "|---|---|\n", "|speaker|unique speaker ID|\n", "|gender|Speaker’s self-reported gender|\n", "|region|Speaker dialect region number|\n", "|word|Lexical item (from sentence prompt)|\n", "|vowel|Vowel ID|\n", "|duration|Vowel duration (seconds)|\n", "|F1/F2/F3/f0|f0 and F1-F3 in BPM (Hz)|\n", "\n", "Sometimes data is encoded with with an identifier, or key, to save space and simplify calculations. Each of those keys corresponds to a specific value. If you look at the `region` column, you will notice that all of the values are numbers. Each of those numbers corresponds to a region, for example, in our first row the speaker, `cjf0`, is from region `1`. That corresponds to New England. Below is a table with all of the keys for `region`.\n", "\n", "|Key|Region|\n", "|---|---|\n", "|1|New England|\n", "|2|Northern|\n", "|3|North Midland|\n", "|4|South Midland|\n", "|5|Southern|\n", "|6|New York City|\n", "|7|Western|\n", "|8|Army Brat|\n", "\n", "### Transformations\n", "\n", "When inspecting data, you may realize that there are changes to be made -- possibly due to the representation to the data or errors in the recording. Before jumping into analysis, it is important to clean the data. \n", "\n", "One thing to notice about `timit` is that the column `vowel` contains ARPABET identifiers for the vowels. We want to convert the vowel column to be IPA characters, and will do so in the cell below." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "IPAdict = {\"AO\" : \"ɔ\", \"AA\" : \"ɑ\", \"IY\" : \"i\", \"UW\" : \"u\", \"EH\" : \"ɛ\", \"IH\" : \"ɪ\", \"UH\":\"ʊ\", \"AH\": \"ʌ\", \"AX\" : \"ə\", \"AE\":\"æ\", \"EY\" :\"eɪ\", \"AY\": \"aɪ\", \"OW\":\"oʊ\", \"AW\":\"aʊ\", \"OY\" :\"ɔɪ\", \"ER\":\"ɚ\"}\n", "timit['vowel'] = [IPAdict[x] for x in timit['vowel']]\n", "timit.head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Most of the speakers will say the same vowel multiple times, so we are going to average those values together. The end result will be a dataframe where each row represents the average values for each vowel for each speaker." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "timit_avg = timit.groupby(['speaker', 'vowel', 'gender', 'region']).mean().reset_index()\n", "timit_avg.head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Splitting on Gender" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Using the same dataframe from above, `timit_avg`, we are going to split into dataframes grouped by gender. To identify the possible values of gender in the `gender` column, we can use the method `.unique` on the column." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "timit_avg.gender.unique()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "You could see that for this specific dataset there are only \"female\" and \"male\" values in the column. Given that information, we'll create two subsets based off of gender. \n", "\n", "We'll split `timit_avg` into two separate dataframes, one for females, `timit_female`, and one for males, `timit_male`. Creating these subset dataframes does not affect the original `timit_avg` dataframe." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "timit_female = timit_avg[timit_avg['gender'] == 'female']\n", "timit_male = timit_avg[timit_avg['gender'] == 'male']" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Distribution of Formants" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We want to inspect the distributions of F1, F2, and F3 for those that self-report as male and those that self-report as female to identify possible trends or relationships. Having our two split dataframes, `timit_female` and `timit_male`, eases the plotting process. \n", "\n", "Run the cell below to see the distribution of `F1`." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "sns.distplot(timit_female['F1'], kde_kws={\"label\": \"female\"})\n", "sns.distplot(timit_male['F1'], kde_kws={\"label\": \"male\"})\n", "plt.title('F1')\n", "plt.xlabel(\"Hz\")\n", "plt.ylabel('Proportion per Hz');" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Does there seem to be a notable difference between male and female distributions of F1?\n", "\n", "Next, we plot F2." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "sns.distplot(timit_female['F2'], kde_kws={\"label\": \"female\"})\n", "sns.distplot(timit_male['F2'], kde_kws={\"label\": \"male\"})\n", "plt.title('F2')\n", "plt.xlabel(\"Hz\")\n", "plt.ylabel('Proportion per Hz');" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Finally, we create the same visualization, but for F3." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "sns.distplot(timit_female['F3'], kde_kws={\"label\": \"female\"})\n", "sns.distplot(timit_male['F3'], kde_kws={\"label\": \"male\"})\n", "plt.title('F3')\n", "plt.xlabel(\"Hz\")\n", "plt.ylabel('Proportion per Hz');" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "Do you see a more pronounced difference across the the different F values? Are they the same throughout? Can we make any meaningful assumptions from these visualizations?\n", "\n", "An additional question: How do you think the fact that we average each vowel together first for each individual affects the shape of the histograms?" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Using the Class's Data <a id='cls'></a>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "This portion of the notebook will rely on the data that was submit for HW5. Just like we did for the TIMIT data, we are going to read it into a dataframe and modify the column `vowel` to reflect the corresponding IPA translation. We will name the dataframe `class_data`." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "# reading in the data\n", "class_data = pd.read_csv('data/110_formants.csv')\n", "class_data.head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The `ID` column contains a unique value for each individual. Each individual has a row for each of the different vowels they measured." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "# translating the vowel column\n", "class_data['vowel'] = [IPAdict[x] for x in class_data['vowel']]\n", "class_data.head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Splitting on Gender" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "As we did with the TIMIT data, we are going to split `class_data` based on self-reported gender. We need to figure out what the possible responses for the column were." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "class_data['Gender'].unique()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Notice that there are three possible values for the column. We do not have a large enough sample size to responsibly come to conclusions for `Prefer not to answer`, so for now we'll compare `Male` and `Female`. We'll call our new split dataframes `class_female` and `class_male`." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "class_female = class_data[class_data['Gender'] == 'Female']\n", "class_male = class_data[class_data['Gender'] == 'Male']" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Comparing Distributions" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The following visualizations compare the the distribution of formants for males and females, like we did for the TIMIT data.\n", "\n", "First, we'll start with `F1`." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "sns.distplot(class_female['F1'], kde_kws={\"label\": \"female\"})\n", "sns.distplot(class_male['F1'], kde_kws={\"label\": \"male\"})\n", "plt.title('F1')\n", "plt.xlabel(\"Hz\")\n", "plt.ylabel('Proportion per Hz');" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Next is `F2`." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "sns.distplot(class_female['F2'], kde_kws={\"label\": \"female\"})\n", "sns.distplot(class_male['F2'], kde_kws={\"label\": \"male\"})\n", "plt.title('F2')\n", "plt.xlabel(\"Hz\")\n", "plt.ylabel('Proportion per Hz');" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "And finally `F3`." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "sns.distplot(class_female['F3'], kde_kws={\"label\": \"female\"})\n", "sns.distplot(class_male['F3'], kde_kws={\"label\": \"male\"})\n", "plt.title('F3')\n", "plt.xlabel(\"Hz\")\n", "plt.ylabel('Proportion per Hz');" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Do the spread of values appear to be the same for females and males? Do the same patterns that occur in the TIMIT data appear in the class's data?" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Vowel Spaces <a id='vs'></a>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Run the cell below to define some functions that we will be using." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def plot_blank_vowel_chart():\n", " im = plt.imread('images/blankvowel.png')\n", " plt.imshow(im, extent=(plt.xlim()[0], plt.xlim()[1], plt.ylim()[0], plt.ylim()[1]))\n", "\n", "def plot_vowel_space(avgs_df):\n", " plt.figure(figsize=(10, 8))\n", " plt.gca().invert_yaxis()\n", " plt.gca().invert_xaxis()\n", " \n", " vowels = ['eɪ', 'i', 'oʊ', 'u', 'æ', 'ɑ', 'ɚ', 'ɛ', 'ɪ', 'ʊ', 'ʌ'] + ['ɔ']\n", " \n", " for i in range(len(avgs_df)):\n", " plt.scatter(avgs_df.loc[vowels[i]]['F2'], avgs_df.loc[vowels[i]]['F1'], marker=r\"$ {} $\".format(vowels[i]), s=1000)\n", " \n", " plt.ylabel('F1')\n", " plt.xlabel('F2')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We are going to be recreating the following graphic from [this website](http://allthingslinguistic.com/post/67308552090/how-to-remember-the-ipa-vowel-chart)." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "![](images/notblank.png)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Before we can get to creating, we need to get a singular value for each column for each of the vowels (so we can create coordinate pairs). To do this, we are going to find the average formant values for each of the vowels in our dataframes. We'll do this for both `timit` and `class_data`." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "class_vowel_avgs = class_data.drop('ID', axis=1).groupby('vowel').mean()\n", "class_vowel_avgs.head()" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "timit_vowel_avgs = timit.groupby('vowel').mean()\n", "timit_vowel_avgs.head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Each of these new tables has a row for each vowel, which comprisises of the averaged values across all speakers." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Plotting the Vowel Space" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Run the cell below to construct a vowel space for the class's data, in which we plot `F1` on `F2`.\n", "\n", "_Note that both axes are descending._" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "plot_vowel_space(class_vowel_avgs)\n", "plt.xlabel('F2 (Hz)')\n", "plt.ylabel('F1 (Hz)');" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Using Logarithmic Axes\n", "\n", "In our visualization above, we use linear axes in order to construct our vowel space. The chart we are trying to recreate has logged axes (though the picture does not indicate it). Below we log-transform all of the values in our dataframes." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "log_timit_vowels = timit_vowel_avgs.apply(np.log)\n", "log_class_vowels = class_vowel_avgs.apply(np.log)\n", "class_data['log(F1)'] = np.log(class_data['F1'])\n", "class_data['log(F2)'] = np.log(class_data['F2'])\n", "log_class_vowels.head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Below we plot the vowel space using these new values." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "plot_vowel_space(log_class_vowels)\n", "plt.xlabel('log(F2) (Hz)')\n", "plt.ylabel('log(F1) (Hz)');" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "What effect does using the logged values have, if any? What advantages does using these values have? Are there any negatives? [This paper](https://www.ncbi.nlm.nih.gov/pmc/articles/PMC4120293/) might give some ideas." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Overlaying a Vowel Space Chart\n", "\n", "Finally, we are going to overlay a blank vowel space chart outline to see how close our data reflects the theoretical vowel chart." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "scrolled": false }, "outputs": [], "source": [ "plot_vowel_space(log_class_vowels)\n", "plot_blank_vowel_chart()\n", "plt.xlabel('log(F2) (Hz)')\n", "plt.ylabel('log(F1) (Hz)');" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "How well does it match the original?\n", "\n", "Below we generate the same graph, except using the information from the TIMIT dataset." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "plot_vowel_space(log_timit_vowels)\n", "plot_blank_vowel_chart()\n", "plt.xlabel('log(F2) (Hz)')\n", "plt.ylabel('log(F1) (Hz)');" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "How does the TIMIT vowel space compare to the vowel space from our class data? What may be the cause for any differences between our vowel space and the one constructed using the TIMIT data? Do you notice any outliers or do any points that seem off?" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Variation in Vowel Spaces <a id='vvs'></a>\n", "\n", "In the following visualizations, we are going to show each individual vowel from each person in the F2 and F1 dimensions (logged). Each color corresponds to a different vowel -- see the legend for the exact pairs." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "sns.lmplot('log(F2)', 'log(F1)', hue='vowel', data=class_data, fit_reg=False, size=8, scatter_kws={'s':30})\n", "plt.xlim(8.2, 6.7)\n", "plt.ylim(7.0, 5.7);" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "In the following visualization, we replace the colors with the IPA characters and attempt to clump the vowels together." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "plt.figure(figsize=(10, 12))\n", "pick_vowel = lambda v: class_data[class_data['vowel'] == v]\n", "colors = ['Greys_r', 'Purples_r', 'Blues_r', 'Greens_r', 'Oranges_r', \\\n", " 'Reds_r', 'GnBu_r', 'PuRd_r', 'winter_r', 'YlOrBr_r', 'pink_r', 'copper_r']\n", "\n", "for vowel, color in list(zip(class_data.vowel.unique(), colors)):\n", " vowel_subset = pick_vowel(vowel)\n", " sns.kdeplot(vowel_subset['log(F2)'], vowel_subset['log(F1)'], n_levels=1, cmap=color, shade=False, shade_lowest=False)\n", "\n", "for i in range(1, len(class_data)+1):\n", " plt.scatter(class_data['log(F2)'][i], class_data['log(F1)'][i], color='black', linewidths=.5, marker=r\"$ {} $\".format(class_data['vowel'][i]), s=40)\n", "\n", "plt.xlim(8.2, 6.7)\n", "plt.ylim(7.0, 5.7);" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Formants vs Height <a id='fvh'></a>\n", "\n", "We are going to compare each of the formants and height to see if there is a relationship between the two. To help visualize that, we are going to plot a regression line, which is also referred to as the line of best fit.\n", "\n", "We are going to use the _maximum_ of each formant to compare to height. So for each speaker, we will calculate their greatest `F1`, `F2`, and `F3` across all vowels, then compare one of those to their height. We create the necessary dataframe in the cell below using the class's data." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "genders = class_data['Gender']\n", "plotting_data = class_data.drop('vowel', axis=1)[np.logical_or(genders == 'Male', genders == 'Female')]\n", "maxes = plotting_data.groupby(['ID', 'Gender']).max().reset_index()[plotting_data.columns[:-2]]\n", "maxes.columns = ['ID', 'Language', 'Gender', 'Height', 'Max F1', 'Max F2', 'Max F3']\n", "maxes_female = maxes[maxes['Gender'] == 'Female']\n", "maxes_male = maxes[maxes['Gender'] == 'Male']\n", "maxes.head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "First we will plot `Max F1` against `Height`.\n", "\n", "_Note: Each gender has a different color dot, but the line represents the line of best fit for ALL points._" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "sns.regplot('Height', 'Max F1', data=maxes)\n", "sns.regplot('Height', 'Max F1', data=maxes_male, fit_reg=False)\n", "sns.regplot('Height', 'Max F1', data=maxes_female, fit_reg=False)\n", "plt.xlabel('Height (cm)')\n", "plt.ylabel('Max F1 (Hz)')\n", "print('female: green')\n", "print('male: orange')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Is there a general trend for the data that you notice? What do you notice about the different color dots?\n", "\n", "Next, we plot `Max F2` on `Height`." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "sns.regplot('Height', 'Max F2', data=maxes)\n", "sns.regplot('Height', 'Max F2', data=maxes_male, fit_reg=False)\n", "sns.regplot('Height', 'Max F2', data=maxes_female, fit_reg=False)\n", "plt.xlabel('Height (cm)')\n", "plt.ylabel('Max F2 (Hz)')\n", "print('female: green')\n", "print('male: orange')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Finally, `Max F3` vs `Height`." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "sns.regplot('Height', 'Max F3', data=maxes)\n", "sns.regplot('Height', 'Max F3', data=maxes_male, fit_reg=False)\n", "sns.regplot('Height', 'Max F3', data=maxes_female, fit_reg=False)\n", "plt.xlabel('Height (cm)')\n", "plt.ylabel('Max F3 (Hz)')\n", "print('female: green')\n", "print('male: orange')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Do you notice a difference between the trends for the three formants?\n", "\n", "Now we are going to plot two lines of best fit -- one for males, one for females. Before we plotted one line for all of the values, but now we are separating by gender to see if gender explains some of the difference in formants values. \n", "\n", "For now, we're going deal with just `Max F1`." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "sns.lmplot('Height', 'Max F1', data=maxes, hue='Gender')\n", "plt.xlabel('Height (cm)')\n", "plt.ylabel('Max F1 (Hz)');" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Is there a noticeable difference between the two? Did you expect this result?\n", "\n", "We're going to repeat the above graph, plotting a different regression line for males and females, but this time, using `timit` -- having a larger sample size may help expose patterns. Before we do that, we have to repeat the process of calulating the maximum value for each formants for each speaker. Run the cell below to do that and generate the plot. The blue dots are females, the orange dots are males, and the green line is the regression line for all speakers." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "timit_maxes = timit.groupby(['speaker', 'gender']).max().reset_index()\n", "timit_maxes.columns = ['speaker', 'gender', 'region', 'height', 'word', 'vowel', 'Max duration', 'Max F1', 'Max F2', 'Max F3', 'Max f0']\n", "plt.xlim(140, 210)\n", "plt.ylim(500, 1400)\n", "sns.regplot('height', 'Max F1', data=timit_maxes[timit_maxes['gender'] == 'female'], scatter_kws={'alpha':0.3})\n", "sns.regplot('height', 'Max F1', data=timit_maxes[timit_maxes['gender'] == 'male'], scatter_kws={'alpha':0.3})\n", "sns.regplot('height', 'Max F1', data=timit_maxes, scatter=False)\n", "plt.xlabel('Height (cm)')\n", "plt.ylabel('Max F1 (Hz)');" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Does this graph differ from the one based on `class_data`? If it does, what are some possible explanations for this? From the visualization, what can you say about height as a predictor of `Max F1`? Do you think gender plays a role in the value of `Max F1`?\n", "\n", "Do you think similar patterns would emerge for `Max F2` and `Max F3`? We only used `Max F1`, but consider trying to plot them by copying some of the code from above and making slight alterations (remember that to insert a code cell below, you can either press `esc + b` or click `Insert > Insert Cell Below` on the toolbar)." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "---\n", "\n", "***Please fill out our [feedback form](https://goo.gl/forms/PR1OQvX3bMIfype62)!***" ] } ], "metadata": { "anaconda-cloud": {}, "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.1" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
lmoresi/UoM-VIEPS-Intro-to-Python
Notebooks/SolveMathProblems/0 - IntroductionToNumericalSolutions.ipynb
1
12495
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "## Numerical models\n", "\n", "We start with the numerical solution of a very simple differential\n", "equation. In fact we choose something simple enough that we already \n", "know the answer.\n", "\n", "\\\\[\n", " \\frac{d\\theta}{dt} = - k \\theta\n", "\\\\]\n", "\n", "This is the equation which governs radioactive decay, in which case\n", "\\\\(\\theta \\\\) is the amount of the radioactive isotope remaining and \\\\(d\\theta / dt\\\\)\n", "is the activity that we can measure. \\\\(k \\\\) is closely related to the half life.\n", "\n", "The solution to this equation is\n", "\\\\[\n", " \\theta(t) = \\theta_0 e^{-kt}\n", "\\\\]\n", "\n", "where \\\\(\\theta_0\\\\) is the amount of the radioactive material remaining.\n", "The same equation also describes the cooling of, say, a cup of coffee. In this\n", "case we interpret \\\\( \\theta \\\\) as the excess temperature (above room temperature). \n", "\n", "<img src=\"images/theta_t1.png\" width=\"66%\">" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "%pylab inline\n", "\n", "import numpy as np\n", "\n", "theta_0 = 1.0\n", "\n", "time_values = np.linspace(0,1.0,1000)\n", "\n", "for const_k in [1.0, 3.1, 10.0, 31, 100.0]:\n", "\n", " exact_theta_values = theta_0 * np.exp(-const_k * time_values)\n", " plot(time_values, exact_theta_values)\n", "\n", "\n", "pass" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We want to be able to march forward in time from our starting point\n", "(just like the picture above)\n", "where $\\theta = \\theta_0$ to obtain the value of $\\theta$ at\n", "later times. To do this, we need to approximate the original\n", "differential equation, and, in particular, the value of the time\n", "derivative at each time. There are a number of ways to do this.\n", "\n", "### First order numerical approximation\n", "\n", "Assume that the variation in \\\\(\\theta(t) \\\\) is linear, i.e.\n", "\\\\[\n", " \\theta(t') = \\theta_n + \\beta t'\n", "\\\\]\n", "\n", "where we use a local time coordinate \\\\(t' = t - n\\Delta t\\\\), so that when we differentiate\n", "\n", "\\\\[\n", " \\frac{d \\theta}{dt} = \\beta\n", "\\\\]\n", "\n", "To determine the approximation for the derivative therefore\n", "becomes the solution to the following equation:\n", "\n", "\\\\[\n", " \\begin{split}\n", " & \\theta_{n+1} = \\theta_n + \\beta \\Delta t \\\\\n", " & \\Rightarrow\t\\beta = \\frac{d \\theta}{dt} = \\frac{\\theta_{n+1} - \\theta_n}{\\Delta t}\n", " \\end{split}\n", "\\\\]\n", "\n", "This is a first order difference expression for the derivative which we\n", "substitute into the original differential equation for radioactive decay at\n", "the current timestep\n", "\n", "\\\\[\n", " \\frac{\\theta_{n+1} - \\theta_n}{\\Delta t} = - k \\theta_n\n", "\\\\]\n", "\n", "This rearranges to give us a time-marching algorithm:\n", "\n", "\\\\[\n", " \\theta_{n+1} = \\theta_n (1-k \\Delta t)\n", "\\\\]\n", "\n", "It is an indication of the fact that this problem is really not all that difficult\n", "that this difference equation can be written recursively\n", "to give:\n", "\\\\[\n", " \\theta_{n+1} = \\theta_0 (1-k \\Delta t)^n\n", "\\\\]\n", "\n", "In a moment we will compute some values for this expression to see how\n", "accurate it is. First we consider whether we can improve the accuracy of the\n", "approximation by doing a bit more work." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "steps = 10\n", "theta_0 = 1.0\n", "const_k = 10.0\n", "delta_t = 1.0 / steps\n", "\n", "\n", "theta_values = np.zeros(steps)\n", "time_values = np.zeros(steps)\n", "\n", "\n", "theta_values[0] = theta_0\n", "time_values[0] = 0.0\n", "\n", "for i in range(1, steps):\n", " theta_values[i] = theta_values[i-1] * (1 - const_k * delta_t)\n", " time_values[i] = time_values[i-1] + delta_t\n", "\n", "exact_theta_values = theta_0 * np.exp(-const_k * time_values)\n", " \n", "plot(time_values, exact_theta_values, linewidth=5.0)\n", "plot(time_values, theta_values, linewidth=3.0, color=\"red\")\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Higher order expansion\n", "\n", "First we try fitting the local expansion for \\\\(\\theta\\\\) through an\n", "additional point.\t \n", "This time we assume that the variation in \\\\(\\theta(t)\\\\) is quadratic, i.e.\n", "$$\n", " \\theta(t') = \\theta_{n-1} + \\beta t' + \\gamma {t'}^2\n", "$$\n", "\n", "The local time coordinate is $t' = t - (n-1)\\Delta t$, and when we differentiate\n", "\n", "$$ \n", " \\frac{d \\theta}{dt} = \\beta + 2 \\gamma t'\n", "$$ \n", "\n", "To solve for \\\\(\\beta\\\\) and \\\\(\\gamma\\\\) we fit the curve through the sample points:\n", "$$\n", " \\begin{split}\n", " \\theta_n &= \\theta_{n-1} + \\beta \\Delta t + \\gamma (\\Delta t)^2 \\\\\n", " \\theta_{n+1} &= \\theta_{n-1} + 2 \\beta \\Delta t + 4 \\gamma (\\Delta t)^2\n", " \\end{split}\n", "$$\n", "\n", "Which solve to give\n", "$$\n", " \\begin{split}\n", " \\beta &= \\left( 4 \\theta_n - \\theta_{n+1} - 3\\theta_{n-1} \\right) \\frac{1}{2\\Delta t} \\\\\n", " \\gamma &= \\left( \\theta_{n+1} + \\theta_{n-1} -2 \\theta_n \\right) \\frac{1}{2\\Delta t^2} \n", " \\end{split}\n", "$$\n", "\n", "We can subsitute this back into the equation above and then into the original differential equation and we obtain the following\n", "\n", "$$\t \t\n", " \\left. \\frac{d\\theta}{dt} \\right|_{t=n\\Delta t} = \\beta + 2\\gamma \\Delta t =\n", " \\frac{1}{2\\Delta t} \\left( \\theta_{n+1} - \\theta_{n-1} \\right) = -k \\theta_n \n", "$$\n", "\n", "The difference approximation to the derivative turns out to be the average of the expressions for the previous derivative and the new derivative. We have now included information about the current timestep and the previous timestep in our expression for the value of \\\\(\\theta\\\\) at the forthcoming timestep:\t\n", "\n", "$$\n", " \\theta_{n+1} = \\theta_{n-1} -2k \\theta_n \\Delta t\n", "$$\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "steps = 100\n", "theta_0 = 1.0\n", "const_k = 10.0\n", "delta_t = 1.0 / steps\n", "\n", "theta_values = np.zeros(steps)\n", "time_values = np.zeros(steps)\n", "\n", "theta_values[0] = theta_0\n", "time_values[0] = 0.0\n", "\n", "theta_values[1] = theta_values[0] * (1 - const_k * delta_t)\n", "time_values[1] = delta_t\n", "\n", "for i in range(2, steps):\n", " theta_values[i] = theta_values[i-2] - 2.0 * theta_values[i-1] * const_k * delta_t\n", " time_values[i] = time_values[i-1] + delta_t\n", "\n", "exact_theta_values = theta_0 * np.exp(-const_k * time_values)\n", " \n", "plot(time_values, exact_theta_values, linewidth=5.0)\n", "plot(time_values, theta_values, linewidth=3.0, color=\"red\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The results are more accurate when a smaller timestep is used although it\n", "requires more computation to achieve the greater accuracy. Higher order expansion\n", "also increases the accuracy and may be more efficient in terms of the number of computations\n", "required for a given level of accuracy.\n", "\n", "Note, however, that the supposedly better quadratic expansion produces an error which\n", "oscillates as time increases. Does this error grow ? Does this make second order\n", "expansions useless ?" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Second Order Runge-Kutta\n", "\n", "<img src=\"images/theta_rk2-1.png\" width=\"66%\">\n", "\n", "The Runge-Kutta approach to higher order integration methods is\n", "illustrated in the figure above. The idea is to estimate the \n", "gradient \\\\(d \\theta / d t\\\\) at the half way point between two\n", "timestep values. This is done in two stages. Initially a \n", "first order estimate, \\\\( \\hat{\\theta} \\\\) is made for the value of the function\n", "\\\\( \\theta\\\\) at \\\\(t=t+\\Delta t /2\\\\) in the future. This value is then\n", "subsituted into the differential equation to obtain the\n", "estimate for the gradient at this time. The revised gradient is\n", "then used to update the original \\\\(\\theta(t)\\\\) by an entire timestep.\n", "\t\n", "The first order step is\n", "$$\n", "\t\t\\begin{split}\n", "\t\t\\hat{\\theta}(t+\\Delta t /2) & = \\theta(t) + \\left. \\frac{d \\theta}{d t} \\right|_t \\frac{\\Delta t}{2} \\\\\n", " &= \\theta(t) \\left[ 1-\\frac{k\\Delta t}{2} \\right]\n", "\t\t\\end{split}\n", "$$\n", "\n", "Substitute to estimate the gradient at the mid-point\n", "$$\n", "\t\\left. \\frac{d \\theta}{d t} \\right|_{t+\\Delta t /2} \\approx -k \\theta(t) \\left[ 1-\\frac{k\\Delta t}{2} \\right]\n", "$$\n", "\n", "Use this value as the average gradient over the interval \\\\( t\\rightarrow t+\\Delta t\\\\) to update \\\\(\\theta\\\\)\n", "\n", "$$\n", " \\begin{split}\n", " \\theta(t+\\Delta t) & \\approx \\theta(t) + \\delta t \\left( -k \\theta(t) \\left[ 1-\\frac{k\\Delta t}{2} \\right] \\right) \\\\\n", " & \\approx \\theta(t) \\left( 1 - k \\Delta t + k^2 \\frac{\\Delta t^2}{2} \\right)\n", " \\end{split}\n", "$$\n", "\n", "It's worth noting that the Taylor expansion of the solution should look like\n", "$$ \n", " e^{-kt} = 1 - kt + \\frac{k^2 t^2}{2!} - \\frac{k^3 t^3}{3!} + \\ldots\n", "$$\n", "\t\n", "The Runge Kutta method can be extended by repeating the estimates on smaller regions of the interval. The usual choice is fourth order RK. This is largely because, obviously, it's accurate to fourth order, but also because the number of operations to go higher than fourth order is disproportionately large. See Numerical Recipes for a discussion on this and better methods for ODE's.\n", "\t\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "steps = 100\n", "theta_0 = 1.0\n", "const_k = 10.0\n", "delta_t = 1.0 / steps\n", "\n", "\n", "theta_values = np.zeros(steps)\n", "time_values = np.zeros(steps)\n", "\n", "\n", "theta_values[0] = theta_0\n", "time_values[0] = 0.0\n", "\n", "for i in range(1, steps):\n", " theta_values[i] = theta_values[i-1] * (1 - const_k * delta_t + const_k**2 * delta_t**2 / 2.0)\n", " time_values[i] = time_values[i-1] + delta_t\n", "\n", "exact_theta_values = theta_0 * np.exp(-const_k * time_values)\n", " \n", "plot(time_values, exact_theta_values, linewidth=5.0)\n", "plot(time_values, theta_values, linewidth=3.0, color=\"red\")\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.14" } }, "nbformat": 4, "nbformat_minor": 1 }
mit
danielskol/ml-cipher-cracker
report/Task 2.ipynb
3
6818
{ "metadata": { "name": "", "signature": "sha256:5070299ceb9a74a18bf2a610123db12296554fe49fb5074ce3055d2cda4698ef" }, "nbformat": 3, "nbformat_minor": 0, "worksheets": [ { "cells": [ { "cell_type": "heading", "level": 1, "metadata": {}, "source": [ "Metropolis implementation" ] }, { "cell_type": "code", "collapsed": false, "input": [ "\"\"\"\n", "This module implements Metropolis-Hastings algorithm for random variable \n", "generation. The algorithm generates random variables from a desired \n", "distribution (which may be unnormalized).\n", "\"\"\"\n", "\n", "def metropolis( desiredPDF, initValue, computableRVS, skipIterations = 1500 ):\n", " \"\"\"\n", " This function returns a generator, which generates random variables \n", " from some space S with a desired distribution using Metropolis-Hastings \n", " algorithm.\n", " \n", " Args:\n", " desiredPDF (func) : PDF of desired distribution p( T ), where T from S\n", " initValue : an object from S to initialize the starting point \n", " of iterative proccess\n", " computableRVS (func) : a generator of random value from space S \n", " with given parameter T, which is also from S\n", " skipIterations (int) : number of iterations to skip \n", " (skipping more iterations leads to better accuracy, \n", " but more time consuming)\n", " \n", " Returns: generator, which produce some values from S \n", " and their denisity according to distribution desiredPDF\n", " \"\"\"\n", " \n", " random_variable = initValue\n", " random_variableDensityValue = desiredPDF( random_variable )\n", " \"\"\"\n", " A state of MCMC\n", " \"\"\"\n", " \n", " #ignore first iterations to let the iterative proccess \n", " #converge to some distribution, which is close to desired\n", " for i in xrange( skipIterations ):\n", " candidate = computableRVS( random_variable )\n", " candidateDensityValue = desiredPDF( candidate )\n", " \"\"\"\n", " next candidate for sample, generated by computableRVS\n", " \"\"\"\n", " \n", " #acceptanceProb = min( 1, candidateDensityValue / random_variableDensityValue )\n", " #logp is returnd by desiredPDF_, so here is the change\n", " acceptanceProb = min(0, candidateDensityValue - random_variableDensityValue )\n", " \"\"\"\n", " probability to accept candidate to sample\n", " \"\"\" \n", " if math.log(random.random()) < acceptanceProb:\n", " random_variable = candidate\n", " random_variableDensityValue = candidateDensityValue\n", " \n", " #now when the procces is converged to desired distribution, \n", " #return acceptable candidates\n", " while True:\n", " candidate = computableRVS( random_variable )\n", " candidateDensityValue = desiredPDF( candidate )\n", " \"\"\"\n", " next candidate for sample, generated by computableRVS\n", " \"\"\"\n", " \n", " #acceptanceProb = min( 1, candidateDensityValue / random_variableDensityValue )\n", " # logp is returnd by desiredPDF_, so here is the change\n", " acceptanceProb = min( 0, candidateDensityValue - random_variableDensityValue )\n", " \n", " \"\"\"\n", " probability to accept candidate to sample\n", " \"\"\"\n", " if math.log(random.random()) < acceptanceProb:\n", " random_variable = candidate\n", " random_variableDensityValue = candidateDensityValue\n", " yield random_variable, random_variableDensityValue\n" ], "language": "python", "metadata": {}, "outputs": [] }, { "cell_type": "code", "collapsed": false, "input": [ "\"\"\"\n", "This module provides some functions \n", "that generate random permutations with different distributions. \n", "There are a uniform distribution and a symmetric distribution, \n", "which depends on some other permutation.\n", "\"\"\"\n", "\n", "def uniform( n ):\n", " \"\"\"\n", " Generates random permutation using Knuth algorithm.\n", " \n", " Args:\n", " n (int) : length of permutation\n", " \n", " Returns: random permutation of length n from uniform distribution\n", " \"\"\"\n", " \n", " #initialize permutation with identical\n", " permutation = [ i for i in xrange( n ) ]\n", " \n", " #swap ith object with random onject from i to n - 1 enclusively\n", " for i in xrange( n ):\n", " j = random.randint( i, n - 1 )\n", " permutation[ i ], permutation[ j ] = permutation[ j ], permutation[ i ]\n", " \n", " permutation.append(26)\n", " \n", " return permutation\n", "\n", "def applyTransposition( basePermutation ):\n", " \"\"\"\n", " This function returns random permutation by applying random transposition \n", " to given permutation. \n", " The result distribution is not uniform and summetric assuming parameter.\n", " \n", " Args:\n", " basePermutation (array) : parameter of distribution\n", " \n", " Returns: random permutation generated from basePermutation\n", " \"\"\"\n", " \n", " n = len( basePermutation )\n", " \"\"\"\n", " length of permutation\n", " \"\"\"\n", " \n", " permutation = copy( basePermutation )\n", " \"\"\"\n", " permutation to return after some modifications\n", " we use a copy method, because initial arguments must be left unchanged\n", " \"\"\"\n", " #apply n random transpositions (including identical) to base permutation\n", " #for i in xrange( n ):\n", " k, l = random.randint( 0, n - 1 ), random.randint( 0, n - 1 )\n", " permutation[ k ], permutation[ l ] = permutation[ l ], permutation[ k ]\n", " \n", " return permutation" ], "language": "python", "metadata": {}, "outputs": [] } ], "metadata": {} } ] }
mit
metpy/MetPy
dev/_downloads/9041777e133eed610f5b243c688e89f9/surface_declarative.ipynb
1
3417
{ "cells": [ { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "%matplotlib inline" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "\n# Surface Analysis using Declarative Syntax\n\nThe MetPy declarative syntax allows for a simplified interface to creating common\nmeteorological analyses including surface observation plots.\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "from datetime import datetime, timedelta\n\nimport cartopy.crs as ccrs\nimport pandas as pd\n\nfrom metpy.cbook import get_test_data\nimport metpy.plots as mpplots" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Getting the data**\n\nIn this example, data is originally from the Iowa State ASOS archive\n(https://mesonet.agron.iastate.edu/request/download.phtml) downloaded through a separate\nPython script. The data are pre-processed to determine sky cover and weather symbols from\ntext output.\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "data = pd.read_csv(get_test_data('SFC_obs.csv', as_file_obj=False),\n infer_datetime_format=True, parse_dates=['valid'])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Plotting the data**\n\nUse the declarative plotting interface to plot surface observations over the state of\nGeorgia.\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Plotting the Observations using a 15 minute time window for surface observations\nobs = mpplots.PlotObs()\nobs.data = data\nobs.time = datetime(1993, 3, 12, 13)\nobs.time_window = timedelta(minutes=15)\nobs.level = None\nobs.fields = ['tmpf', 'dwpf', 'emsl', 'cloud_cover', 'wxsym']\nobs.locations = ['NW', 'SW', 'NE', 'C', 'W']\nobs.colors = ['red', 'green', 'black', 'black', 'blue']\nobs.formats = [None, None, lambda v: format(10 * v, '.0f')[-3:], 'sky_cover',\n 'current_weather']\nobs.vector_field = ('uwind', 'vwind')\nobs.reduce_points = 1\n\n# Add map features for the particular panel\npanel = mpplots.MapPanel()\npanel.layout = (1, 1, 1)\npanel.area = 'ga'\npanel.projection = ccrs.PlateCarree()\npanel.layers = ['coastline', 'borders', 'states']\npanel.plots = [obs]\n\n# Collecting panels for complete figure\npc = mpplots.PanelContainer()\npc.size = (10, 10)\npc.panels = [panel]\n\n# Showing the results\npc.show()" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.9.9" } }, "nbformat": 4, "nbformat_minor": 0 }
bsd-3-clause
letsgoexploring/teaching
winter2017/econ129/python/Econ129_Winter2017_Homework2.ipynb
1
12605
{ "cells": [ { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import matplotlib.pyplot as plt\n", "import numpy as np\n", "import pandas as pd\n", "%matplotlib inline" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Homework 2 (DUE: Thursday February 16)\n", "\n", "*Instructions:* Complete the instructions in this notebook. You may work together with other students in the class and you may take full advantage of any internet resources available. You must provide thorough comments in your code so that it's clear that you understand what your code is doing and so that your code is readable.\n", "\n", "Submit the assignment by saving your notebook as an html file (File -> Download as -> HTML) and uploading it to the appropriate Dropbox folder on EEE." ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "## Question 1\n", "\n", "For each of the following first-difference processes, compute the values of $y$ from $t=0$ through $t = 20$. For each, assume that $y_0 = 0$, $w_1 = 1$, and $w_2 = w_3 = \\cdots w_T = 0$. \n", "\n", "* $y_t = 0.99y_{t-1} + w_t$\n", "* $y_t = y_{t-1} + w_t$\n", "* $y_t = 1.01y_{t-1} + w_t$\n", "\n", "Plot the the simulated values for each process on the same axes and be sure to include a legend." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Question 1\n", "\n" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "## Question 2\n", "\n", "For each of the following first-difference processes, compute the values of $y$ from $t=0$ through $t = 12$. For each, assume that $y_0 = 0$. \n", "\n", "* $y_t = 1 + 0.5y_{t-1}$\n", "* $y_t = 0.5y_{t-1}$\n", "* $y_t = -1 + 0.5y_{t-1}$\n", "\n", "Plot the the simulated values for each process on the same axes and be sure to include a legend. Set the $y$-axis limits to $[-3,3]$." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Question 2\n", "\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Question 3\n", "\n", "Download a file called `Econ129_US_Production_A_Data.csv` from the link \"Production data for the US\" under the \"Data\" section on the course website. The file contains annual production data for the US economy including ouput, consumption, investment, and labor hours, among others. The capital stock of the US is only given for 1948. Import the data into a Pandas DataFrame and do the following:\n", "\n", "1. Suppose that the depreciation rate for the US is $\\delta = 0.0375$. Use the capital accumulation equation $K_{t+1} = I_t + (1-\\delta)K_t$ to fill in the missing values for the capital column. Construct a plot of the computed capital stock.\n", "2. Add columns to your DataFrame equal to capital per worker and output per worker by dividing the capital and output columns by the labor column. Print the first five rows of the DataFrame.\n", "3. Print the average annual growth rates of capital per worker and output per worker for the US.\n", "\n", "Recall that the average annnual growth rate of a quantity $y$ from date $0$ to date $T$ is:\n", "\\begin{align}\n", "g & = \\left(\\frac{y_T}{y_0}\\right)^{\\frac{1}{T}}-1\n", "\\end{align}" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Question 3.1\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Question 3.2\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Question 3.3\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Question 4: The Solow model with exogenous population and TFP growth\n", "\n", "\n", "Suppose that the aggregate production function is given by:\n", "\n", "\\begin{align}\n", "Y_t & = A_tK_t^{\\alpha} L_t^{1-\\alpha}, \\tag{1}\n", "\\end{align}\n", "\n", "where $Y_t$ denotes output, $K_t$ denotes the capital stock, $L_t$ denotes the labor supply, and $A_t$ denotes total factor productivity $TFP$. $\\alpha$ is a constant.\n", "\n", "The supply of labor grows at an exogenously determined rate $n$ and so it's value is determined recursively by a first-order difference equation:\n", "\n", "\\begin{align}\n", "L_{t+1} & = (1+n) L_t. \\tag{2}\n", "\\end{align}\n", "\n", "Likewise, TFP grows at an exogenously determined rate $g$:\n", "\n", "\\begin{align}\n", "A_{t+1} & = (1+g) A_t. \\tag{3}\n", "\\end{align}\n", "\n", "\n", "The rest of the economy is characterized by the same equations as before:\n", "\n", "\\begin{align}\n", "C_t & = (1-s)Y_t \\tag{4}\\\\\n", "Y_t & = C_t + I_t \\tag{5}\\\\\n", "K_{t+1} & = I_t + ( 1- \\delta)K_t. \\tag{6}\\\\\n", "\\end{align}\n", "\n", "\n", "Equation (4) is the consumption function where $s$ denotes the exogenously given saving rate. Equation (5) is the aggregate market clearing condition. Finally, Equation (6) is the capital evolution equation specifying that capital in year $t+1$ is the sum of newly created capital $I_t$ and the capital stock from year $t$ that has not depreciated $(1-\\delta)K_t$.\n", "\n", "Combine Equations (1) and (4) through (6) to eliminate $C_t$, $I_t$, and $Y_t$ and obtain a recurrence relation specifying $K_{t+1}$ as a funtion of $K_t$, $A_t$, and $L_t$:\n", "\\begin{align}\n", "K_{t+1} & = sA_tK_t^{\\alpha}L_t^{1-\\alpha} + ( 1- \\delta)K_t \\tag{7}\n", "\\end{align}\n", "\n", "Given an initial values for capital and labor, Equations (2), (3), and (7) can be iterated on to compute the values of the capital stock and labor supply at some future date $T$. Furthermore, the values of consumption, output, and investment at date $T$ can also be computed using Equations (1), (4), (5), and (6).\n", "\n", "### Simulation\n", "\n", "Simulate the Solow growth model with exogenous labor growth for $t=0\\ldots 100$. For the simulation, assume the following values of the parameters:\n", "\n", "\\begin{align}\n", "A & = 10\\\\\n", "\\alpha & = 0.35\\\\\n", "s & = 0.15\\\\\n", "\\delta & = 0.1\\\\\n", "g & = 0.015 \\\\\n", "n & = 0.01\n", "\\end{align}\n", "\n", "Furthermore, suppose that the initial values of capital and labor are:\n", "\n", "\\begin{align}\n", "K_0 & = 2\\\\\n", "A_0 & = 1\\\\\n", "L_0 & = 1\n", "\\end{align}" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Initialize parameters for the simulation (A, s, T, delta, alpha, g, n, K0, A0, L0)\n", "\n", "\n", "# Initialize a variable called tfp as a (T+1)x1 array of zeros and set first value to A0\n", "\n", "\n", "# Compute all subsequent tfp values by iterating over t from 0 through T\n", " \n", " \n", "# Plot the simulated tfp series\n", "\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Initialize a variable called labor as a (T+1)x1 array of zeros and set first value to L0\n", "\n", "\n", "# Compute all subsequent labor values by iterating over t from 0 through T\n", " \n", " \n", "# Plot the simulated labor series\n", "\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Initialize a variable called capital as a (T+1)x1 array of zeros and set first value to K0\n", "\n", "\n", "# Compute all subsequent capital values by iterating over t from 0 through T\n", "\n", " \n", "# Plot the simulated capital series\n", "\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Store the simulated capital, labor, and tfp data in a pandas DataFrame called data\n", "\n", "\n", "# Print the first 5 frows of the DataFrame\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Create columns in the DataFrame to store computed values of the other endogenous variables: Y, C, and I\n", "\n", "\n", "# Print the first five rows of the DataFrame\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Create columns in the DataFrame to store capital per worker, output per worker, consumption per worker, and investment per worker\n", "\n", "\n", "# Print the first five rows of the DataFrame\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Create a 2x2 grid of plots of capital, output, consumption, and investment\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Create a 2x2 grid of plots of capital per worker, output per worker, consumption per worker, and investment per worker\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Question 5\n", "\n", "Recall the Solow growth model with exogenous growth in labor and TFP:\n", "\n", "\\begin{align}\n", "Y_t & = A_tK_t^{\\alpha} L_t^{1-\\alpha}, \\tag{1}\\\\\n", "C_t & = (1-s)Y_t \\tag{2}\\\\\n", "Y_t & = C_t + I_t \\tag{3}\\\\\n", "K_{t+1} & = I_t + ( 1- \\delta)K_t \\tag{4}\\\\\n", "L_{t+1} & = (1+n) L_t \\tag{5} \\\\\n", "A_{t+1} & = (1+g) A_t. \\tag{6}\n", "\\end{align}\n", "\n", "Suppose that two countries called Westeros and Essos are identical except that TFP in Westeros grows faster than in Essos. Specifically:\n", "\n", "\\begin{align}\n", "g_{Westeros} & = 0.03\\\\\n", "g_{Essos} & = 0.01\n", "\\end{align}\n", "\n", "Otherwise, the parameters for each economy are the same including the initial values of capital, labor, and TFP:\n", "\n", "\\begin{align}\n", "\\alpha & = 0.35\\\\\n", "s & = 0.15\\\\\n", "\\delta & = 0.1\\\\\n", "n & = 0.01\\\\\n", "K_0 & = 20\\\\\n", "A_0 & = 10\\\\\n", "L_0 & = 1\n", "\\end{align}\n", "\n", "Do the following:\n", "\n", "1. Find the date (value for $t$) at which output per worker in Westeros becomes at least twice as large as output per worker in Essos. Print the value for t and the values of ouput per worker for each country.\n", "\n", "2. On a single set of axes, plot simulated values of output per worker for each country for t = $1, 2, \\ldots 100$. \n", "\n", "*Hint*: Copy into this notebook the function that simulates the Solow model with exogenous labor growth from the end of the Notebook from Class 9. Modify the function to fit this problem." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Question 5.1\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Question 5.2\n" ] } ], "metadata": { "anaconda-cloud": {}, "kernelspec": { "display_name": "Python [default]", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.2" } }, "nbformat": 4, "nbformat_minor": 1 }
mit
besser82/shogun
doc/ipython-notebooks/converter/Tapkee.ipynb
4
9435
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Dimensionality Reduction with the Shogun Machine Learning Toolbox" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### *By Sergey Lisitsyn ([lisitsyn](https://github.com/lisitsyn)) and Fernando J. Iglesias Garcia ([iglesias](https://github.com/iglesias)).*" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "This notebook illustrates <a href=\"http://en.wikipedia.org/wiki/Unsupervised_learning\">unsupervised learning</a> using the suite of dimensionality reduction algorithms available in Shogun. Shogun provides access to all these algorithms using [Tapkee](http://tapkee.lisitsyn.me/), a C++ library especialized in <a href=\"http://en.wikipedia.org/wiki/Dimensionality_reduction\">dimensionality reduction</a>." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Hands-on introduction to dimension reduction" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "First of all, let us start right away by showing what the purpose of dimensionality reduction actually is. To this end, we will begin by creating a function that provides us with some data:" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "import numpy\n", "import os\n", "SHOGUN_DATA_DIR=os.getenv('SHOGUN_DATA_DIR', '../../../data')\n", "\n", "def generate_data(curve_type, num_points=1000):\n", "\tif curve_type=='swissroll':\n", "\t\ttt = numpy.array((3*numpy.pi/2)*(1+2*numpy.random.rand(num_points)))\n", "\t\theight = numpy.array((numpy.random.rand(num_points)-0.5))\n", "\t\tX = numpy.array([tt*numpy.cos(tt), 10*height, tt*numpy.sin(tt)])\n", "\t\treturn X,tt\n", "\tif curve_type=='scurve':\n", "\t\ttt = numpy.array((3*numpy.pi*(numpy.random.rand(num_points)-0.5)))\n", "\t\theight = numpy.array((numpy.random.rand(num_points)-0.5))\n", "\t\tX = numpy.array([numpy.sin(tt), 10*height, numpy.sign(tt)*(numpy.cos(tt)-1)])\n", "\t\treturn X,tt\n", "\tif curve_type=='helix':\n", "\t\ttt = numpy.linspace(1, num_points, num_points).T / num_points\n", "\t\ttt = tt*2*numpy.pi\n", "\t\tX = numpy.r_[[(2+numpy.cos(8*tt))*numpy.cos(tt)],\n", "\t\t [(2+numpy.cos(8*tt))*numpy.sin(tt)],\n", "\t\t [numpy.sin(8*tt)]]\n", "\t\treturn X,tt" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The function above can be used to generate three-dimensional datasets with the shape of a [Swiss roll](http://en.wikipedia.org/wiki/Swiss_roll), the letter S, or an helix. These are three examples of datasets which have been extensively used to compare different dimension reduction algorithms. As an illustrative exercise of what dimensionality reduction can do, we will use a few of the algorithms available in Shogun to embed this data into a two-dimensional space. This is essentially the dimension reduction process as we reduce the number of features from 3 to 2. The question that arises is: what principle should we use to keep some important relations between datapoints? In fact, different algorithms imply different criteria to answer this question." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Just to start, lets pick some algorithm and one of the data sets, for example lets see what embedding of the Swissroll is produced by the Isomap algorithm. The Isomap algorithm is basically a slightly modified Multidimensional Scaling (MDS) algorithm which finds embedding as a solution of the following optimization problem:\n", "\n", "$$\n", "\\min_{x'_1, x'_2, \\dots} \\sum_i \\sum_j \\| d'(x'_i, x'_j) - d(x_i, x_j)\\|^2,\n", "$$\n", "\n", "with defined $x_1, x_2, \\dots \\in X~~$ and unknown variables $x_1, x_2, \\dots \\in X'~~$ while $\\text{dim}(X') < \\text{dim}(X)~~~$,\n", "$d: X \\times X \\to \\mathbb{R}~~$ and $d': X' \\times X' \\to \\mathbb{R}~~$ are defined as arbitrary distance functions (for example Euclidean). \n", "\n", "Speaking less math, the MDS algorithm finds an embedding that preserves pairwise distances between points as much as it is possible. The Isomap algorithm changes quite small detail: the distance - instead of using local pairwise relationships it takes global factor into the account with shortest path on the neighborhood graph (so-called geodesic distance). The neighborhood graph is defined as graph with datapoints as nodes and weighted edges (with weight equal to the distance between points). The edge between point $x_i~$ and $x_j~$ exists if and only if $x_j~$ is in $k~$ nearest neighbors of $x_i$. Later we will see that that 'global factor' changes the game for the swissroll dataset.\n", "\n", "However, first we prepare a small function to plot any of the original data sets together with its embedding." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "%matplotlib inline\n", "import matplotlib.pyplot as plt\n", "from mpl_toolkits.mplot3d import Axes3D\n", "\n", "%matplotlib inline\n", "\n", "def plot(data, embedded_data, colors='m'):\n", "\tfig = plt.figure()\n", "\tfig.set_facecolor('white')\n", "\tax = fig.add_subplot(121,projection='3d')\n", "\tax.scatter(data[0],data[1],data[2],c=colors,cmap=plt.cm.Spectral)\n", "\tplt.axis('tight'); plt.axis('off')\n", "\tax = fig.add_subplot(122)\n", "\tax.scatter(embedded_data[0],embedded_data[1],c=colors,cmap=plt.cm.Spectral)\n", "\tplt.axis('tight'); plt.axis('off')\n", "\tplt.show()" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "import shogun as sg\n", "\n", "# wrap data into Shogun features\n", "data, colors = generate_data('swissroll')\n", "feats = sg.features(data)\n", "\n", "# create instance of Isomap converter and configure it\n", "isomap = sg.transformer('Isomap')\n", "isomap.put('target_dim', 2)\n", "# set the number of neighbours used in kNN search\n", "isomap.put('k', 20)\n", "\n", "# create instance of Multidimensional Scaling converter and configure it\n", "mds = sg.transformer('MultidimensionalScaling')\n", "mds.put('target_dim', 2)\n", "\n", "# embed Swiss roll data\n", "embedded_data_mds = mds.transform(feats).get('feature_matrix')\n", "embedded_data_isomap = isomap.transform(feats).get('feature_matrix')\n", "\n", "plot(data, embedded_data_mds, colors)\n", "plot(data, embedded_data_isomap, colors)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "As it can be seen from the figure above, Isomap has been able to \"unroll\" the data, reducing its dimension from three to two. At the same time, points with similar colours in the input space are close to points with similar colours in the output space. This is, a new representation of the data has been obtained; this new representation maintains the properties of the original data, while it reduces the amount of information required to represent it. Note that the fact the embedding of the Swiss roll looks good in two dimensions stems from the *intrinsic* dimension of the input data. Although the original data is in a three-dimensional space, its intrinsic dimension is lower, since the only degree of freedom are the polar angle and distance from the centre, or height. " ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Finally, we use yet another method, Stochastic Proximity Embedding (SPE) to embed the helix:" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "# wrap data into Shogun features\n", "data, colors = generate_data('helix')\n", "features = sg.features(data)\n", "\n", "# create MDS instance\n", "converter = sg.transformer('StochasticProximityEmbedding')\n", "converter.put('target_dim', 2)\n", "\n", "# embed helix data\n", "embedded_features = converter.transform(features)\n", "embedded_data = embedded_features.get('feature_matrix')\n", "\n", "plot(data, embedded_data, colors)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## References" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "- Lisitsyn, S., Widmer, C., Iglesias Garcia, F. J. Tapkee: An Efficient Dimension Reduction Library. ([Link to paper in JMLR](http://jmlr.org/papers/v14/lisitsyn13a.html#!).)\n", "- Tenenbaum, J. B., de Silva, V. and Langford, J. B. A Global Geometric Framework for Nonlinear Dimensionality Reduction. ([Link to Isomap's website](http://isomap.stanford.edu/).)" ] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.12" } }, "nbformat": 4, "nbformat_minor": 1 }
bsd-3-clause
texaspse/blog
media/f16-scientific-python/week2/Scientific Python Workshop 2.ipynb
1
123445
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "First import pandas and numpy" ] }, { "cell_type": "code", "execution_count": 21, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import pandas as pd\n", "import numpy as np\n", "#Dont import matplotlib until we get to histogram example\n", "import matplotlib.pyplot as plt\n", "#This next line tells jupyter to plot it in the same space\n", "%matplotlib inline" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Use pd.read_excel in order to open file. If it says file not found, then make sure your directory is correct" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Make sure you assign the file to a variable so it doesn't have to run every time" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [], "source": [ "table = pd.read_excel(\"GASISData.xls\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Lets say we want to see the first 10 rows of the data to make sure it is the correct file (Google \"pandas data preview\") #table.tail is end of data" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>LINKA</th>\n", " <th>STATE</th>\n", " <th>FLDNAME</th>\n", " <th>RESNAME</th>\n", " <th>R_STUDY</th>\n", " <th>PLAYNAME</th>\n", " <th>PLAYCOD</th>\n", " <th>SUBPLAYN</th>\n", " <th>SUBPLAY</th>\n", " <th>DOEFLD</th>\n", " <th>...</th>\n", " <th>HELIUM</th>\n", " <th>OTHER</th>\n", " <th>HEAT</th>\n", " <th>ATLASREG</th>\n", " <th>RTYPEGOR</th>\n", " <th>USGSPROV</th>\n", " <th>USGSPLAY</th>\n", " <th>S_USGSPL</th>\n", " <th>PLAYDEPO</th>\n", " <th>TYPELOGF</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>1</td>\n", " <td>ALABAMA</td>\n", " <td>ALOE BAY</td>\n", " <td>NORPHLET</td>\n", " <td>A</td>\n", " <td>UPPER JURASSIC AGGRADATIONAL SANDSTONE</td>\n", " <td>UU A.1</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>14081.0</td>\n", " <td>...</td>\n", " <td>0.00</td>\n", " <td>0.0</td>\n", " <td>0</td>\n", " <td>GM</td>\n", " <td>G</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>2</td>\n", " <td>ALABAMA</td>\n", " <td>BEAVERTON</td>\n", " <td>CARTER NE</td>\n", " <td>R</td>\n", " <td>PENNSYLVANIAN-MISSISSIPPIAN DELTAIC AND MARINE...</td>\n", " <td>GCPN-MS-1</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>50317.0</td>\n", " <td>...</td>\n", " <td>0.07</td>\n", " <td>0.0</td>\n", " <td>1005</td>\n", " <td>EG</td>\n", " <td>G</td>\n", " <td>65.0</td>\n", " <td>6502.0</td>\n", " <td>O</td>\n", " <td>DELTA</td>\n", " <td>AL000001</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>3</td>\n", " <td>ALABAMA</td>\n", " <td>BEAVERTON</td>\n", " <td>CARTER SE</td>\n", " <td>R</td>\n", " <td>PENNSYLVANIAN-MISSISSIPPIAN DELTAIC AND MARINE...</td>\n", " <td>GCPN-MS-1</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>50317.0</td>\n", " <td>...</td>\n", " <td>0.07</td>\n", " <td>0.0</td>\n", " <td>1005</td>\n", " <td>EG</td>\n", " <td>G</td>\n", " <td>65.0</td>\n", " <td>6502.0</td>\n", " <td>O</td>\n", " <td>DELTA</td>\n", " <td>AL000002</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>4</td>\n", " <td>ALABAMA</td>\n", " <td>BEAVERTON</td>\n", " <td>LEWIS</td>\n", " <td>R</td>\n", " <td>PENNSYLVANIAN-MISSISSIPPIAN DELTAIC AND MARINE...</td>\n", " <td>GCPN-MS-1</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>50317.0</td>\n", " <td>...</td>\n", " <td>0.00</td>\n", " <td>0.0</td>\n", " <td>0</td>\n", " <td>EG</td>\n", " <td>G</td>\n", " <td>65.0</td>\n", " <td>6502.0</td>\n", " <td>O</td>\n", " <td>DELTA</td>\n", " <td>AL000003</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>5</td>\n", " <td>ALABAMA</td>\n", " <td>BETHEL CHURCH</td>\n", " <td>CARTER</td>\n", " <td>R</td>\n", " <td>PENNSYLVANIAN-MISSISSIPPIAN DELTAIC AND MARINE...</td>\n", " <td>GCPN-MS-1</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>1994.0</td>\n", " <td>...</td>\n", " <td>0.08</td>\n", " <td>0.5</td>\n", " <td>1004</td>\n", " <td>EG</td>\n", " <td>G</td>\n", " <td>65.0</td>\n", " <td>6502.0</td>\n", " <td>O</td>\n", " <td>DELTA</td>\n", " <td>AL000004</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "<p>5 rows × 186 columns</p>\n", "</div>" ], "text/plain": [ " LINKA STATE FLDNAME RESNAME R_STUDY \\\n", "0 1 ALABAMA ALOE BAY NORPHLET A \n", "1 2 ALABAMA BEAVERTON CARTER NE R \n", "2 3 ALABAMA BEAVERTON CARTER SE R \n", "3 4 ALABAMA BEAVERTON LEWIS R \n", "4 5 ALABAMA BETHEL CHURCH CARTER R \n", "\n", " PLAYNAME PLAYCOD SUBPLAYN \\\n", "0 UPPER JURASSIC AGGRADATIONAL SANDSTONE UU A.1 NaN \n", "1 PENNSYLVANIAN-MISSISSIPPIAN DELTAIC AND MARINE... GCPN-MS-1 NaN \n", "2 PENNSYLVANIAN-MISSISSIPPIAN DELTAIC AND MARINE... GCPN-MS-1 NaN \n", "3 PENNSYLVANIAN-MISSISSIPPIAN DELTAIC AND MARINE... GCPN-MS-1 NaN \n", "4 PENNSYLVANIAN-MISSISSIPPIAN DELTAIC AND MARINE... GCPN-MS-1 NaN \n", "\n", " SUBPLAY DOEFLD ... HELIUM OTHER HEAT ATLASREG RTYPEGOR USGSPROV \\\n", "0 NaN 14081.0 ... 0.00 0.0 0 GM G NaN \n", "1 NaN 50317.0 ... 0.07 0.0 1005 EG G 65.0 \n", "2 NaN 50317.0 ... 0.07 0.0 1005 EG G 65.0 \n", "3 NaN 50317.0 ... 0.00 0.0 0 EG G 65.0 \n", "4 NaN 1994.0 ... 0.08 0.5 1004 EG G 65.0 \n", "\n", " USGSPLAY S_USGSPL PLAYDEPO TYPELOGF \n", "0 NaN NaN NaN NaN \n", "1 6502.0 O DELTA AL000001 \n", "2 6502.0 O DELTA AL000002 \n", "3 6502.0 O DELTA AL000003 \n", "4 6502.0 O DELTA AL000004 \n", "\n", "[5 rows x 186 columns]" ] }, "execution_count": 8, "metadata": {}, "output_type": "execute_result" } ], "source": [ "table.head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "What if I want to look at just one column of data" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "0 UPPER JURASSIC AGGRADATIONAL SANDSTONE\n", "1 PENNSYLVANIAN-MISSISSIPPIAN DELTAIC AND MARINE...\n", "2 PENNSYLVANIAN-MISSISSIPPIAN DELTAIC AND MARINE...\n", "3 PENNSYLVANIAN-MISSISSIPPIAN DELTAIC AND MARINE...\n", "4 PENNSYLVANIAN-MISSISSIPPIAN DELTAIC AND MARINE...\n", "5 UPPER JURASSIC SHALLOW-MARINE CARBONATE AND SA...\n", "6 PENNSYLVANIAN-MISSISSIPPIAN DELTAIC AND MARINE...\n", "7 PENNSYLVANIAN-MISSISSIPPIAN DELTAIC AND MARINE...\n", "8 PENNSYLVANIAN-MISSISSIPPIAN DELTAIC AND MARINE...\n", "9 PENNSYLVANIAN COALBED METHANE-ALABAMA\n", "10 UPPER JURASSIC AGGRADATIONAL SANDSTONE\n", "11 PENNSYLVANIAN COALBED METHANE-ALABAMA\n", "12 PENNSYLVANIAN COALBED METHANE-ALABAMA\n", "13 UPPER JURASSIC SHALLOW-MARINE CARBONATE AND SA...\n", "14 UPPER JURASSIC SHALLOW-MARINE CARBONATE AND SA...\n", "15 TRINITY GROUP SANDSTONE-MISSISSIPPI INTERIOR S...\n", "16 PENNSYLVANIAN-MISSISSIPPIAN DELTAIC AND MARINE...\n", "17 UPPER JURASSIC SHALLOW-MARINE CARBONATE AND SA...\n", "18 PENNSYLVANIAN-MISSISSIPPIAN DELTAIC AND MARINE...\n", "19 LOWER UPPER MIOCENE COMBINATION SANDSTONE\n", "20 LOWER UPPER MIOCENE COMBINATION SANDSTONE\n", "21 UPPER MIDDLE MIOCENE COMBINATION SANDSTONE\n", "22 LOWER UPPER MIOCENE COMBINATION SANDSTONE\n", "23 UPPER MIDDLE MIOCENE COMBINATION SANDSTONE\n", "24 LOWER UPPER MIOCENE COMBINATION SANDSTONE\n", "25 UPPER JURASSIC AGGRADATIONAL SANDSTONE\n", "26 PENNSYLVANIAN-MISSISSIPPIAN DELTAIC AND MARINE...\n", "27 PENNSYLVANIAN-MISSISSIPPIAN DELTAIC AND MARINE...\n", "28 PENNSYLVANIAN COALBED METHANE-ALABAMA\n", "29 PENNSYLVANIAN-MISSISSIPPIAN DELTAIC AND MARINE...\n", " ... \n", "19190 WIND RIVER BASIN\n", "19191 MESAVERDE GROUP\n", "19192 MESAVERDE GROUP\n", "19193 LEWIS SHALE (AND FOX HILLS SANDSTONE)\n", "19194 MESAVERDE GROUP\n", "19195 MESAVERDE GROUP\n", "19196 GREATER GREEN RIVER BASIN\n", "19197 FORT UNION FORMATION\n", "19198 LANCE FORMATION\n", "19199 NaN\n", "19200 LEWIS SHALE (AND FOX HILLS SANDSTONE)\n", "19201 LANCE FORMATION\n", "19202 DAKOTA/FALL RIVER SANDSTONE\n", "19203 FRONTIER FORMATION\n", "19204 FRONTIER FORMATION\n", "19205 DAKOTA/FALL RIVER SANDSTONE\n", "19206 ORDOVICIAN BIGHORN DOLOMITE\n", "19207 MISSISSIPPIAN MADISON/MISSION CANYON LIMESTONE\n", "19208 MISSISSIPPIAN MADISON/MISSION CANYON LIMESTONE\n", "19209 TRIASSIC THAYNES LIMESTONE\n", "19210 PENNSYLVANIAN WEBER SANDSTONE\n", "19211 MESAVERDE GROUP\n", "19212 MESAVERDE GROUP\n", "19213 MUDDY SANDSTONE\n", "19214 FRONTIER FORMATION\n", "19215 FRONTIER FORMATION\n", "19216 BIGHORN BASIN\n", "19217 BIGHORN BASIN\n", "19218 PERMIAN PHOSPHORIA FORMATION\n", "19219 JURASSIC TWIN CREEK LIMESTONE\n", "Name: PLAYNAME, dtype: object" ] }, "execution_count": 9, "metadata": {}, "output_type": "execute_result" } ], "source": [ "table['PLAYNAME']" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "What if I want to create a new column" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "0 5\n", "1 5\n", "2 5\n", "3 5\n", "4 5\n", "5 5\n", "6 5\n", "7 5\n", "8 5\n", "9 5\n", "10 5\n", "11 5\n", "12 5\n", "13 5\n", "14 5\n", "15 5\n", "16 5\n", "17 5\n", "18 5\n", "19 5\n", "20 5\n", "21 5\n", "22 5\n", "23 5\n", "24 5\n", "25 5\n", "26 5\n", "27 5\n", "28 5\n", "29 5\n", " ..\n", "19190 5\n", "19191 5\n", "19192 5\n", "19193 5\n", "19194 5\n", "19195 5\n", "19196 5\n", "19197 5\n", "19198 5\n", "19199 5\n", "19200 5\n", "19201 5\n", "19202 5\n", "19203 5\n", "19204 5\n", "19205 5\n", "19206 5\n", "19207 5\n", "19208 5\n", "19209 5\n", "19210 5\n", "19211 5\n", "19212 5\n", "19213 5\n", "19214 5\n", "19215 5\n", "19216 5\n", "19217 5\n", "19218 5\n", "19219 5\n", "Name: NEW COLUMN, dtype: int64" ] }, "execution_count": 11, "metadata": {}, "output_type": "execute_result" } ], "source": [ "table['NEW COLUMN'] = 5\n", "table['NEW COLUMN'] " ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "What if I want to find data in a certain set, such as only in Texas (Google) (panas find rows where value is)" ] }, { "cell_type": "code", "execution_count": 14, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ " LINKA STATE FLDNAME RESNAME R_STUDY \\\n", "12712 12713 TEXAS A W P OLMOS T \n", "12713 12714 TEXAS ABELL CLEAR FORK T \n", "12714 12715 TEXAS ABELL DEVONIAN T \n", "12715 12716 TEXAS ABELL PERMIAN GENERAL T \n", "12716 12717 TEXAS ABELL EAST GLORIETA * T \n", "12717 12718 TEXAS ACEVEDO LOBO 6 T \n", "12718 12719 TEXAS ACKERLY DEAN SAND T \n", "12719 12720 TEXAS ADAIR NO NAME T \n", "12720 12721 TEXAS ADAIR WOLFCAMP T \n", "12721 12722 TEXAS ADAMC BEND T \n", "12722 12723 TEXAS ADAMC DEVONIAN T \n", "12723 12724 TEXAS ADAMS RANCH NODOSARIA T \n", "12724 12725 TEXAS ADAMS-BAGGETT RANCH CANYON SAND T \n", "12725 12726 TEXAS AGARITO LOBO T \n", "12726 12727 TEXAS AGARITTA KING SAND T \n", "12727 12728 TEXAS AGGIELAND WOODBINE T \n", "12728 12729 TEXAS AGUA DULCE 4900 T \n", "12729 12730 TEXAS AGUA DULCE 5000 T \n", "12730 12731 TEXAS AGUA DULCE 5100 T \n", "12731 12732 TEXAS AGUA DULCE 5200 T \n", "12732 12733 TEXAS AGUA DULCE 5300 T \n", "12733 12734 TEXAS AGUA DULCE 5450 T \n", "12734 12735 TEXAS AGUA DULCE 5600 T \n", "12735 12736 TEXAS AGUA DULCE 6100 T \n", "12736 12737 TEXAS AGUA DULCE 6600 T \n", "12737 12738 TEXAS AGUA DULCE 7000 T \n", "12738 12739 TEXAS AGUA DULCE 7550 T \n", "12739 12740 TEXAS AGUA DULCE 8300 T \n", "12740 12741 TEXAS AGUA DULCE 8400 T \n", "12741 12742 TEXAS AGUA DULCE 8570 T \n", "... ... ... ... ... ... \n", "18045 18046 TEXAS YANTIS SW SMACKOVER R \n", "18046 18047 TEXAS YANTIS WEST SMACKOVER T \n", "18047 18048 TEXAS YATES NO NAME T \n", "18048 18049 TEXAS YEARY FIMBLE T \n", "18049 18050 TEXAS YEARY HUFF T \n", "18050 18051 TEXAS YEARY KNOX T \n", "18051 18052 TEXAS YEARY MORGAN T \n", "18052 18053 TEXAS YEARY MORGAN UPPER T \n", "18053 18054 TEXAS YEARY STUBBS T \n", "18054 18055 TEXAS YEARY WALSH T \n", "18055 18056 TEXAS YOAKUM 8600 T \n", "18056 18057 TEXAS YOAKUM WILCOX 8800 T \n", "18057 18058 TEXAS YORKTOWN WILCOX B 10400 T \n", "18058 18059 TEXAS YORKTOWN WILCOX LOWER 11000 T \n", "18059 18060 TEXAS YORKTOWN SOUTH WILCOX T \n", "18060 18061 TEXAS YORKTOWN SOUTH WILCOX 11100 T \n", "18061 18062 TEXAS YOUGEEN NO NAME T \n", "18062 18063 TEXAS YOUGEEN VICKSBURG 3700 T \n", "18063 18064 TEXAS YOUGEEN VICKSBURG 3800 T \n", "18064 18065 TEXAS YOUNG COUNTY REGULAR NO NAME T \n", "18065 18066 TEXAS YOUNG COUNTY REGULAR NO NAME T \n", "18066 18067 TEXAS YOWARD NO NAME T \n", "18067 18068 TEXAS YTURRIA 4100 T \n", "18068 18069 TEXAS YTURRIA RINCON 4900 T \n", "18069 18070 TEXAS YUCCA BUTTE PENNSYLVANIAN DETRITAL T \n", "18070 18071 TEXAS YUCCA BUTTE WEST ELLENBURGER R \n", "18071 18072 TEXAS YUCCA BUTTE WEST STRAWN R \n", "18072 18073 TEXAS ZIM-RICABY 2600, SOUTH T \n", "18073 18074 TEXAS ZONE 21-B TREND ZONE 21-B TREND T \n", "18074 18075 TEXAS ZULCH NORTH SUB CLARKSVILLE T \n", "\n", " PLAYNAME PLAYCOD \\\n", "12712 NaN NaN \n", "12713 CLEAR FORK PLATFORM CARBONATE TXPM-3 \n", "12714 SILURO-DEVONIAN EROSIONAL TRUNCATION TXSD-2 \n", "12715 NaN NaN \n", "12716 NaN NaN \n", "12717 NaN NaN \n", "12718 SPRABERRY AND DEAN SANDSTONE, MIDLAND BASIN TXPM-2 \n", "12719 SAN ANDRES AND GRAYBURG PLATFORM CARBONATE TXPM-4 \n", "12720 UPPER PENNSYLVANIAN CARBONATE TXPN-4 \n", "12721 ATOKA GROUP LIMESTONE AND SANDSTONE TXPN-1 \n", "12722 SILURO-DEVONIAN SHELF CARBONATE-MIDLAND BASIN TXSD-3 \n", "12723 FRIO BARRIER/STRANDPLAIN AND SLOPE SANDSTONE I... TXFR-10 \n", "12724 UPPER PENNSYLVANIAN AND LOWER PERMIAN SLOPE AN... TXPN-5 \n", "12725 NaN NaN \n", "12726 NaN NaN \n", "12727 NaN NaN \n", "12728 NaN NaN \n", "12729 FRIO FLUVIAL/DELTAIC SANDSTONE ALONG THE VICKS... TXFR-4 \n", "12730 FRIO FLUVIAL/DELTAIC SANDSTONE ALONG THE VICKS... TXFR-4 \n", "12731 FRIO FLUVIAL/DELTAIC SANDSTONE ALONG THE VICKS... TXFR-4 \n", "12732 NaN NaN \n", "12733 FRIO FLUVIAL/DELTAIC SANDSTONE ALONG THE VICKS... TXFR-4 \n", "12734 FRIO FLUVIAL/DELTAIC SANDSTONE ALONG THE VICKS... TXFR-4 \n", "12735 NaN NaN \n", "12736 FRIO FLUVIAL/DELTAIC SANDSTONE ALONG THE VICKS... TXFR-4 \n", "12737 NaN NaN \n", "12738 VICKSBURG DELTAIC SANDSTONE IN THE RIO GRANDE ... TXVK-1 \n", "12739 NaN NaN \n", "12740 FRIO FLUVIAL/DELTAIC SANDSTONE ALONG THE VICKS... TXFR-4 \n", "12741 NaN NaN \n", "... ... ... \n", "18045 SMACKOVER SHALLOW-MARINE CARBONATE TXJC-1 \n", "18046 SMACKOVER SHALLOW-MARINE CARBONATE TXJC-1 \n", "18047 SAN ANDRES AND GRAYBURG PLATFORM CARBONATE TXPM-4 \n", "18048 PROXIMAL FRIO DELTAIC SANDSTONE IN THE RIO GRA... TXFR-3 \n", "18049 PROXIMAL FRIO DELTAIC SANDSTONE IN THE RIO GRA... TXFR-3 \n", "18050 PROXIMAL FRIO DELTAIC SANDSTONE IN THE RIO GRA... TXFR-3 \n", "18051 PROXIMAL FRIO DELTAIC SANDSTONE IN THE RIO GRA... TXFR-3 \n", "18052 PROXIMAL FRIO DELTAIC SANDSTONE IN THE RIO GRA... TXFR-3 \n", "18053 PROXIMAL FRIO DELTAIC SANDSTONE IN THE RIO GRA... TXFR-3 \n", "18054 PROXIMAL FRIO DELTAIC SANDSTONE IN THE RIO GRA... TXFR-3 \n", "18055 NaN NaN \n", "18056 WILCOX DELTAIC SANDSTONE IN THE HOUSTON EMBAYMENT TXWX-1 \n", "18057 WILCOX DELTAIC SANDSTONE IN THE RIO GRANDE EMB... TXWX-4 \n", "18058 WILCOX DELTAIC SANDSTONE IN THE RIO GRANDE EMB... TXWX-4 \n", "18059 NaN NaN \n", "18060 WILCOX DELTAIC SANDSTONE IN THE RIO GRANDE EMB... TXWX-4 \n", "18061 NaN NaN \n", "18062 VICKSBURG SANDSTONE UPDIP FROM THE VICKSBURG F... TXVK-2 \n", "18063 NaN NaN \n", "18064 NaN NaN \n", "18065 LOWER AND MIDDLE PENNSYLVANIAN FAN-DELTA SANDS... TXPN-7 \n", "18066 NaN NaN \n", "18067 NaN NaN \n", "18068 NaN NaN \n", "18069 STRAWN GROUP SHALLOW-MARINE CARBONATE TXPN-2 \n", "18070 ELLENBURGER FRACTURED DOLOSTONE TXOR-1 \n", "18071 STRAWN GROUP SHALLOW-MARINE CARBONATE TXPN-2 \n", "18072 NaN NaN \n", "18073 FRIO FLUVIAL/DELTAIC SANDSTONE ALONG THE VICKS... TXFR-4 \n", "18074 UPPER CRETACEOUS SANDSTONE-DOWNDIP SHELF MARGIN TXKS-3 \n", "\n", " SUBPLAYN SUBPLAY DOEFLD \\\n", "12712 NaN NaN 6990.0 \n", "12713 NaN NaN 1652.0 \n", "12714 NaN NaN 1652.0 \n", "12715 NaN NaN 1652.0 \n", "12716 NaN NaN 1683.0 \n", "12717 NaN NaN 10001.0 \n", "12718 NaN NaN 2427.0 \n", "12719 PLATFORM CARBONATES, CENTRAL BASIN PLATFORM TXPM-4A 3109.0 \n", "12720 NaN NaN 3109.0 \n", "12721 NaN NaN 3233.0 \n", "12722 NaN NaN 3233.0 \n", "12723 NaN NaN 3574.0 \n", "12724 NaN NaN 3357.0 \n", "12725 NaN NaN 13175.0 \n", "12726 NaN NaN 13176.0 \n", "12727 NaN NaN 6329.0 \n", "12728 NaN NaN 5217.0 \n", "12729 NaN NaN 5217.0 \n", "12730 NaN NaN 5217.0 \n", "12731 NaN NaN 5217.0 \n", "12732 NaN NaN 5217.0 \n", "12733 NaN NaN 5217.0 \n", "12734 NaN NaN 5217.0 \n", "12735 NaN NaN 5217.0 \n", "12736 NaN NaN 5217.0 \n", "12737 NaN NaN 5217.0 \n", "12738 NaN NaN 5217.0 \n", "12739 NaN NaN 5217.0 \n", "12740 NaN NaN 5217.0 \n", "12741 NaN NaN 5217.0 \n", "... ... ... ... \n", "18045 NaN NaN 785294.0 \n", "18046 NaN NaN 785325.0 \n", "18047 NaN NaN 785511.0 \n", "18048 NaN NaN 785930.0 \n", "18049 NaN NaN 785930.0 \n", "18050 NaN NaN 785930.0 \n", "18051 NaN NaN 785930.0 \n", "18052 NaN NaN 785930.0 \n", "18053 NaN NaN 785930.0 \n", "18054 NaN NaN 785930.0 \n", "18055 NaN NaN 787118.0 \n", "18056 NaN NaN 787118.0 \n", "18057 NaN NaN 787614.0 \n", "18058 NaN NaN 787614.0 \n", "18059 NaN NaN 787707.0 \n", "18060 NaN NaN 787707.0 \n", "18061 NaN NaN 787769.0 \n", "18062 NaN NaN 787769.0 \n", "18063 NaN NaN 787769.0 \n", "18064 NaN NaN 787924.0 \n", "18065 NaN NaN 787924.0 \n", "18066 NaN NaN 788482.0 \n", "18067 NaN NaN 788948.0 \n", "18068 NaN NaN 788948.0 \n", "18069 NaN NaN 789010.0 \n", "18070 NaN NaN 789024.0 \n", "18071 NaN NaN 789024.0 \n", "18072 NaN NaN 791734.0 \n", "18073 NaN NaN 792866.0 \n", "18074 NaN NaN 793443.0 \n", "\n", " ... OTHER HEAT ATLASREG RTYPEGOR USGSPROV USGSPLAY S_USGSPL \\\n", "12712 ... 0.0 0 TX O 47.0 4716.0 O \n", "12713 ... 0.1 1098 TX O 44.0 4410.0 E \n", "12714 ... 0.0 0 TX O 44.0 4402.0 E \n", "12715 ... 0.0 0 TX O 44.0 4410.0 E \n", "12716 ... 0.0 0 TX G 44.0 4410.0 O \n", "12717 ... 0.0 0 TX G NaN NaN NaN \n", "12718 ... 0.0 0 TX O 44.0 4410.0 O \n", "12719 ... 0.0 0 TX O 44.0 4410.0 E \n", "12720 ... 0.0 0 TX O 44.0 4405.0 O \n", "12721 ... 0.0 0 TX O 44.0 4404.0 O \n", "12722 ... 0.0 0 TX O 44.0 4402.0 O \n", "12723 ... 0.0 0 TX G 47.0 4701.0 E \n", "12724 ... 0.0 0 TX G 44.0 4407.0 O \n", "12725 ... 0.0 0 TX G 47.0 4718.0 O \n", "12726 ... 0.0 0 TX O NaN NaN NaN \n", "12727 ... 0.0 0 TX O 47.0 4710.0 O \n", "12728 ... 0.0 1147 TX G 47.0 4732.0 E \n", "12729 ... 0.0 1147 TX G 47.0 4732.0 E \n", "12730 ... 0.0 1147 TX G 47.0 4732.0 E \n", "12731 ... 0.0 1147 TX G 47.0 4732.0 E \n", "12732 ... 0.0 1147 TX G 47.0 4732.0 E \n", "12733 ... 0.0 1147 TX G 47.0 4732.0 E \n", "12734 ... 0.0 1147 TX G 47.0 4732.0 E \n", "12735 ... 0.0 1147 TX G 47.0 4732.0 E \n", "12736 ... 0.0 1147 TX G 47.0 4732.0 E \n", "12737 ... 0.0 1147 TX G 47.0 4732.0 E \n", "12738 ... 0.0 0 TX G 47.0 4730.0 E \n", "12739 ... 0.0 0 TX G 47.0 4732.0 E \n", "12740 ... 0.0 1147 TX G 47.0 4732.0 E \n", "12741 ... 0.0 1147 TX G 47.0 4732.0 E \n", "... ... ... ... ... ... ... ... ... \n", "18045 ... 0.0 0 TX G 49.0 4912.0 O \n", "18046 ... 0.1 983 TX G 49.0 4912.0 O \n", "18047 ... 0.0 0 TX O 44.0 4410.0 O \n", "18048 ... 0.0 0 TX G 47.0 4733.0 O \n", "18049 ... 0.0 0 TX G 47.0 4733.0 O \n", "18050 ... 0.0 0 TX G 47.0 4733.0 O \n", "18051 ... 0.0 0 TX G 47.0 4733.0 O \n", "18052 ... 0.0 0 TX G 47.0 4733.0 O \n", "18053 ... 0.0 0 TX G 47.0 4733.0 O \n", "18054 ... 0.0 0 TX G 47.0 4733.0 O \n", "18055 ... 0.0 1122 TX G 47.0 4722.0 O \n", "18056 ... 0.0 1122 TX G 47.0 4722.0 O \n", "18057 ... 0.1 1278 TX G 47.0 4719.0 E \n", "18058 ... 0.1 1278 TX G 47.0 4720.0 O \n", "18059 ... 0.8 1147 TX G 47.0 4720.0 O \n", "18060 ... 0.8 1147 TX G 47.0 4720.0 O \n", "18061 ... 0.0 0 TX O 47.0 4730.0 O \n", "18062 ... 0.0 0 TX G 47.0 4730.0 O \n", "18063 ... 0.0 0 TX G 47.0 4730.0 O \n", "18064 ... 0.0 0 TX O 45.0 4505.0 E \n", "18065 ... 0.0 0 TX G 45.0 4505.0 E \n", "18066 ... 0.0 0 TX O 47.0 4720.0 E \n", "18067 ... 0.0 0 TX G 47.0 4733.0 O \n", "18068 ... 0.0 0 TX O 47.0 4731.0 O \n", "18069 ... 0.0 0 TX G 44.0 4406.0 E \n", "18070 ... 0.0 0 TX O 44.0 4401.0 O \n", "18071 ... 0.0 0 TX O 44.0 4407.0 O \n", "18072 ... 0.0 0 TX G 47.0 4728.0 E \n", "18073 ... 0.0 0 TX G 47.0 4731.0 E \n", "18074 ... 0.0 0 TX G 47.0 4710.0 O \n", "\n", " PLAYDEPO TYPELOGF NEW COLUMN \n", "12712 NaN NaN 5 \n", "12713 NaN NaN 5 \n", "12714 NaN NaN 5 \n", "12715 NaN NaN 5 \n", "12716 NaN NaN 5 \n", "12717 NaN NaN 5 \n", "12718 SLBAS NaN 5 \n", "12719 NaN NaN 5 \n", "12720 REEF NaN 5 \n", "12721 NaN NaN 5 \n", "12722 SHSHF NaN 5 \n", "12723 STRAN NaN 5 \n", "12724 SLBAS NaN 5 \n", "12725 NaN NaN 5 \n", "12726 NaN NaN 5 \n", "12727 NaN NaN 5 \n", "12728 NaN NaN 5 \n", "12729 DELTA NaN 5 \n", "12730 DELTA NaN 5 \n", "12731 DELTA NaN 5 \n", "12732 NaN NaN 5 \n", "12733 DELTA NaN 5 \n", "12734 DELTA NaN 5 \n", "12735 NaN NaN 5 \n", "12736 DELTA NaN 5 \n", "12737 NaN NaN 5 \n", "12738 DELTA NaN 5 \n", "12739 NaN NaN 5 \n", "12740 DELTA NaN 5 \n", "12741 NaN NaN 5 \n", "... ... ... ... \n", "18045 SHSHF TX000161 5 \n", "18046 SHSHF NaN 5 \n", "18047 PERIT NaN 5 \n", "18048 DELTA NaN 5 \n", "18049 DELTA NaN 5 \n", "18050 DELTA NaN 5 \n", "18051 DELTA NaN 5 \n", "18052 DELTA NaN 5 \n", "18053 DELTA NaN 5 \n", "18054 DELTA NaN 5 \n", "18055 NaN NaN 5 \n", "18056 DELTA NaN 5 \n", "18057 DELTA NaN 5 \n", "18058 DELTA NaN 5 \n", "18059 NaN NaN 5 \n", "18060 DELTA NaN 5 \n", "18061 NaN NaN 5 \n", "18062 DELTA NaN 5 \n", "18063 NaN NaN 5 \n", "18064 NaN NaN 5 \n", "18065 AFAN NaN 5 \n", "18066 NaN NaN 5 \n", "18067 NaN NaN 5 \n", "18068 NaN NaN 5 \n", "18069 SHSHF NaN 5 \n", "18070 NaN TX000242 5 \n", "18071 NaN TX000243 5 \n", "18072 NaN NaN 5 \n", "18073 DELTA NaN 5 \n", "18074 SHMAR NaN 5 \n", "\n", "[5363 rows x 187 columns]\n" ] } ], "source": [ "texasTable = table.loc[table['STATE'] == \"TEXAS\"]\n", "print(texasTable)" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "Run the following to get shape of table" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "(19220, 186)\n" ] } ], "source": [ "sizeTable = table.shape\n", "print(sizeTable)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "This is done to find the count of number of rows and number of cols" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "19220\n", "186\n" ] } ], "source": [ "num_rows = sizeTable[0]\n", "num_cols = sizeTable[1]\n", "print(num_rows)\n", "print(num_cols)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Rows where you have some preset parameter, such as where lattitude is greater than 80 (Google) (Google same thing as above)" ] }, { "cell_type": "code", "execution_count": 16, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>LINKA</th>\n", " <th>STATE</th>\n", " <th>FLDNAME</th>\n", " <th>RESNAME</th>\n", " <th>R_STUDY</th>\n", " <th>PLAYNAME</th>\n", " <th>PLAYCOD</th>\n", " <th>SUBPLAYN</th>\n", " <th>SUBPLAY</th>\n", " <th>DOEFLD</th>\n", " <th>...</th>\n", " <th>OTHER</th>\n", " <th>HEAT</th>\n", " <th>ATLASREG</th>\n", " <th>RTYPEGOR</th>\n", " <th>USGSPROV</th>\n", " <th>USGSPLAY</th>\n", " <th>S_USGSPL</th>\n", " <th>PLAYDEPO</th>\n", " <th>TYPELOGF</th>\n", " <th>NEW COLUMN</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>453</th>\n", " <td>454</td>\n", " <td>KENTUCKY</td>\n", " <td>ADAMS</td>\n", " <td>357CLNN</td>\n", " <td>A</td>\n", " <td>LOWER SILURIAN CATARACT/MEDINA GROUP ('CLINTON...</td>\n", " <td>Scm</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>3326.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>1026</td>\n", " <td>AP</td>\n", " <td>G</td>\n", " <td>67.0</td>\n", " <td>6728.0</td>\n", " <td>E</td>\n", " <td>DELTA</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>454</th>\n", " <td>455</td>\n", " <td>KENTUCKY</td>\n", " <td>ALBANY CONS.</td>\n", " <td>365SBRK</td>\n", " <td>A</td>\n", " <td>MIDDLE AND UPPER ORDOVICIAN BIOCLASTIC CARBONA...</td>\n", " <td>Obc</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>0</td>\n", " <td>AP</td>\n", " <td>G</td>\n", " <td>67.0</td>\n", " <td>6703.0</td>\n", " <td>E</td>\n", " <td>SHSHF</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>455</th>\n", " <td>456</td>\n", " <td>KENTUCKY</td>\n", " <td>ALBANY CONS.</td>\n", " <td>365SRVR</td>\n", " <td>A</td>\n", " <td>MIDDLE ORDOVICIAN FRACTURED CARBONATES</td>\n", " <td>MOf</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>0</td>\n", " <td>AP</td>\n", " <td>G</td>\n", " <td>67.0</td>\n", " <td>6703.0</td>\n", " <td>E</td>\n", " <td>SHSHF</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>456</th>\n", " <td>457</td>\n", " <td>KENTUCKY</td>\n", " <td>ALBANY CONS.</td>\n", " <td>368KNOX</td>\n", " <td>A</td>\n", " <td>CAMBRIAN-ORDOVICIAN KNOX GROUP UNCONFORMITY</td>\n", " <td>COk</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>0</td>\n", " <td>AP</td>\n", " <td>G</td>\n", " <td>67.0</td>\n", " <td>6703.0</td>\n", " <td>E</td>\n", " <td>SHSHF</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>457</th>\n", " <td>458</td>\n", " <td>KENTUCKY</td>\n", " <td>ALBANY NORTH</td>\n", " <td>365TRNN</td>\n", " <td>A</td>\n", " <td>MIDDLE ORDOVICIAN FRACTURED CARBONATES</td>\n", " <td>MOf</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>4645.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>0</td>\n", " <td>AP</td>\n", " <td>G</td>\n", " <td>66.0</td>\n", " <td>6602.0</td>\n", " <td>E</td>\n", " <td>SHSHF</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>458</th>\n", " <td>459</td>\n", " <td>KENTUCKY</td>\n", " <td>ALBANY SOUTH</td>\n", " <td>337FRPN</td>\n", " <td>A</td>\n", " <td>LOWER MISSISSIPPIAN FORT PAYNE CARBONATE MOUND...</td>\n", " <td>Mfp</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>7356.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>0</td>\n", " <td>AP</td>\n", " <td>G</td>\n", " <td>66.0</td>\n", " <td>6605.0</td>\n", " <td>E</td>\n", " <td>REEF</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>459</th>\n", " <td>460</td>\n", " <td>KENTUCKY</td>\n", " <td>ALLEN CITY DBS</td>\n", " <td>327LEE</td>\n", " <td>A</td>\n", " <td>LOWER AND MIDDLE PENNSYLVANIAN POTTSVILLE, NEW...</td>\n", " <td>Pps</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>11642.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>0</td>\n", " <td>AP</td>\n", " <td>G</td>\n", " <td>67.0</td>\n", " <td>6725.0</td>\n", " <td>E</td>\n", " <td>DELTA</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>460</th>\n", " <td>461</td>\n", " <td>KENTUCKY</td>\n", " <td>ALLEN CITY DBS</td>\n", " <td>332BGLM</td>\n", " <td>A</td>\n", " <td>UPPER MISSISSIPPIAN GREENBRIER-NEWMAN LIMESTONES</td>\n", " <td>Mgn</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>11642.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>0</td>\n", " <td>AP</td>\n", " <td>G</td>\n", " <td>67.0</td>\n", " <td>6725.0</td>\n", " <td>E</td>\n", " <td>SHSHF</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>461</th>\n", " <td>462</td>\n", " <td>KENTUCKY</td>\n", " <td>ALLEN CITY DBS</td>\n", " <td>332MXON</td>\n", " <td>A</td>\n", " <td>UPPER MISSISSIPPIAN MAUCH CHUNK GROUP AND EQUI...</td>\n", " <td>Mmc</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>11642.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>1167</td>\n", " <td>AP</td>\n", " <td>G</td>\n", " <td>67.0</td>\n", " <td>6725.0</td>\n", " <td>E</td>\n", " <td>DELTA</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>462</th>\n", " <td>463</td>\n", " <td>KENTUCKY</td>\n", " <td>ALLEN CITY DBS</td>\n", " <td>337BGIJ</td>\n", " <td>A</td>\n", " <td>LOWER MISSISSIPPIAN BIG INJUN SANDSTONES</td>\n", " <td>Mbi</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>11642.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>1151</td>\n", " <td>AP</td>\n", " <td>G</td>\n", " <td>67.0</td>\n", " <td>6725.0</td>\n", " <td>E</td>\n", " <td>DELTA</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>463</th>\n", " <td>464</td>\n", " <td>KENTUCKY</td>\n", " <td>ALVA</td>\n", " <td>341OHIO</td>\n", " <td>A</td>\n", " <td>UPPER DEVONIAN BLACK SHALES</td>\n", " <td>UDs</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>14296.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>0</td>\n", " <td>AP</td>\n", " <td>G</td>\n", " <td>67.0</td>\n", " <td>6740.0</td>\n", " <td>E</td>\n", " <td>DELTA</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>464</th>\n", " <td>465</td>\n", " <td>KENTUCKY</td>\n", " <td>ALVIN NORTH DBS</td>\n", " <td>332MXON</td>\n", " <td>A</td>\n", " <td>UPPER MISSISSIPPIAN MAUCH CHUNK GROUP AND EQUI...</td>\n", " <td>Mmc</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>1148</td>\n", " <td>AP</td>\n", " <td>G</td>\n", " <td>67.0</td>\n", " <td>6725.0</td>\n", " <td>E</td>\n", " <td>DELTA</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>465</th>\n", " <td>466</td>\n", " <td>KENTUCKY</td>\n", " <td>ARGO DBS</td>\n", " <td>332BGLM</td>\n", " <td>A</td>\n", " <td>UPPER MISSISSIPPIAN GREENBRIER-NEWMAN LIMESTONES</td>\n", " <td>Mgn</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>24081.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>0</td>\n", " <td>AP</td>\n", " <td>G</td>\n", " <td>67.0</td>\n", " <td>6725.0</td>\n", " <td>E</td>\n", " <td>SHSHF</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>466</th>\n", " <td>467</td>\n", " <td>KENTUCKY</td>\n", " <td>ARGO DBS</td>\n", " <td>332MXON</td>\n", " <td>A</td>\n", " <td>UPPER MISSISSIPPIAN MAUCH CHUNK GROUP AND EQUI...</td>\n", " <td>Mmc</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>24081.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>0</td>\n", " <td>AP</td>\n", " <td>G</td>\n", " <td>67.0</td>\n", " <td>6725.0</td>\n", " <td>E</td>\n", " <td>DELTA</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>467</th>\n", " <td>468</td>\n", " <td>KENTUCKY</td>\n", " <td>ARTEMUS-HIMYAR CONS.</td>\n", " <td>332BGLM</td>\n", " <td>A</td>\n", " <td>UPPER MISSISSIPPIAN GREENBRIER-NEWMAN LIMESTONES</td>\n", " <td>Mgn</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>4655.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>0</td>\n", " <td>AP</td>\n", " <td>O</td>\n", " <td>67.0</td>\n", " <td>6725.0</td>\n", " <td>E</td>\n", " <td>SHSHF</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>468</th>\n", " <td>469</td>\n", " <td>KENTUCKY</td>\n", " <td>ARTEMUS-HIMYAR CONS.</td>\n", " <td>332MXON</td>\n", " <td>A</td>\n", " <td>UPPER MISSISSIPPIAN MAUCH CHUNK GROUP AND EQUI...</td>\n", " <td>Mmc</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>4655.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>1107</td>\n", " <td>AP</td>\n", " <td>O</td>\n", " <td>67.0</td>\n", " <td>6725.0</td>\n", " <td>E</td>\n", " <td>DELTA</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>469</th>\n", " <td>470</td>\n", " <td>KENTUCKY</td>\n", " <td>ARTEMUS-HIMYAR CONS.</td>\n", " <td>337BGIJ</td>\n", " <td>A</td>\n", " <td>LOWER MISSISSIPPIAN BIG INJUN SANDSTONES</td>\n", " <td>Mbi</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>4655.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>0</td>\n", " <td>AP</td>\n", " <td>G</td>\n", " <td>67.0</td>\n", " <td>6725.0</td>\n", " <td>E</td>\n", " <td>DELTA</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>470</th>\n", " <td>471</td>\n", " <td>KENTUCKY</td>\n", " <td>ARTEMUS-HIMYAR CONS.</td>\n", " <td>341OHIO</td>\n", " <td>A</td>\n", " <td>UPPER DEVONIAN BLACK SHALES</td>\n", " <td>UDs</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>4655.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>0</td>\n", " <td>AP</td>\n", " <td>G</td>\n", " <td>67.0</td>\n", " <td>6741.0</td>\n", " <td>E</td>\n", " <td>DELTA</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>471</th>\n", " <td>472</td>\n", " <td>KENTUCKY</td>\n", " <td>ARTEMUS-HIMYAR CONS.</td>\n", " <td>355LCKP</td>\n", " <td>A</td>\n", " <td>LOWER DEVONIAN-UPPER SILURIAN UNCONFORMITY PLAY</td>\n", " <td>DSu</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>4655.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>1183</td>\n", " <td>AP</td>\n", " <td>G</td>\n", " <td>67.0</td>\n", " <td>6717.0</td>\n", " <td>E</td>\n", " <td>SHSHF</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>472</th>\n", " <td>473</td>\n", " <td>KENTUCKY</td>\n", " <td>ARY DBS</td>\n", " <td>332BGLM</td>\n", " <td>A</td>\n", " <td>UPPER MISSISSIPPIAN GREENBRIER-NEWMAN LIMESTONES</td>\n", " <td>Mgn</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>4656.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>0</td>\n", " <td>AP</td>\n", " <td>O</td>\n", " <td>67.0</td>\n", " <td>6725.0</td>\n", " <td>E</td>\n", " <td>SHSHF</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>473</th>\n", " <td>474</td>\n", " <td>KENTUCKY</td>\n", " <td>ARY DBS</td>\n", " <td>355BGSX</td>\n", " <td>A</td>\n", " <td>UPPER SILURIAN LOCKPORT DOLOMITE-KEEFER (BIG S...</td>\n", " <td>Sld</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>4656.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>1061</td>\n", " <td>AP</td>\n", " <td>G</td>\n", " <td>67.0</td>\n", " <td>6715.0</td>\n", " <td>E</td>\n", " <td>SHELF</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>474</th>\n", " <td>475</td>\n", " <td>KENTUCKY</td>\n", " <td>ASHER</td>\n", " <td>341OHIO</td>\n", " <td>A</td>\n", " <td>UPPER DEVONIAN BLACK SHALES</td>\n", " <td>UDs</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>27553.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>1204</td>\n", " <td>AP</td>\n", " <td>G</td>\n", " <td>67.0</td>\n", " <td>6740.0</td>\n", " <td>E</td>\n", " <td>DELTA</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>475</th>\n", " <td>476</td>\n", " <td>KENTUCKY</td>\n", " <td>ASHLAND</td>\n", " <td>327LEE</td>\n", " <td>A</td>\n", " <td>LOWER AND MIDDLE PENNSYLVANIAN POTTSVILLE, NEW...</td>\n", " <td>Pps</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>27770.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>0</td>\n", " <td>AP</td>\n", " <td>G</td>\n", " <td>67.0</td>\n", " <td>6725.0</td>\n", " <td>E</td>\n", " <td>DELTA</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>476</th>\n", " <td>477</td>\n", " <td>KENTUCKY</td>\n", " <td>ASHLAND</td>\n", " <td>339BERE</td>\n", " <td>A</td>\n", " <td>LOWER MISSISSIPPIAN-UPPER DEVONIAN BEREA FLUVI...</td>\n", " <td>MDe</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>27770.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>1083</td>\n", " <td>AP</td>\n", " <td>O</td>\n", " <td>67.0</td>\n", " <td>6725.0</td>\n", " <td>E</td>\n", " <td>DELTA</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>477</th>\n", " <td>478</td>\n", " <td>KENTUCKY</td>\n", " <td>ASHLAND</td>\n", " <td>341OHIO</td>\n", " <td>A</td>\n", " <td>UPPER DEVONIAN BLACK SHALES</td>\n", " <td>UDs</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>27770.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>0</td>\n", " <td>AP</td>\n", " <td>G</td>\n", " <td>67.0</td>\n", " <td>6740.0</td>\n", " <td>E</td>\n", " <td>DELTA</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>478</th>\n", " <td>479</td>\n", " <td>KENTUCKY</td>\n", " <td>ASHLAND</td>\n", " <td>357CLNN</td>\n", " <td>A</td>\n", " <td>LOWER SILURIAN CATARACT/MEDINA GROUP ('CLINTON...</td>\n", " <td>Scm</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>27770.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>1013</td>\n", " <td>AP</td>\n", " <td>G</td>\n", " <td>67.0</td>\n", " <td>6728.0</td>\n", " <td>E</td>\n", " <td>DELTA</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>479</th>\n", " <td>480</td>\n", " <td>KENTUCKY</td>\n", " <td>AUXIER DBS</td>\n", " <td>355LCKP</td>\n", " <td>A</td>\n", " <td>UPPER SILURIAN LOCKPORT DOLOMITE-KEEFER (BIG S...</td>\n", " <td>Sld</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>990</td>\n", " <td>AP</td>\n", " <td>G</td>\n", " <td>67.0</td>\n", " <td>6715.0</td>\n", " <td>E</td>\n", " <td>SHELF</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>480</th>\n", " <td>481</td>\n", " <td>KENTUCKY</td>\n", " <td>AVAWAM DBS</td>\n", " <td>332BGLM</td>\n", " <td>A</td>\n", " <td>UPPER MISSISSIPPIAN GREENBRIER-NEWMAN LIMESTONES</td>\n", " <td>Mgn</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>1144</td>\n", " <td>AP</td>\n", " <td>O</td>\n", " <td>67.0</td>\n", " <td>6725.0</td>\n", " <td>E</td>\n", " <td>SHSHF</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>481</th>\n", " <td>482</td>\n", " <td>KENTUCKY</td>\n", " <td>BALLS FORK DBS</td>\n", " <td>332BGLM</td>\n", " <td>A</td>\n", " <td>UPPER MISSISSIPPIAN GREENBRIER-NEWMAN LIMESTONES</td>\n", " <td>Mgn</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>4664.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>0</td>\n", " <td>AP</td>\n", " <td>O</td>\n", " <td>67.0</td>\n", " <td>6725.0</td>\n", " <td>E</td>\n", " <td>SHSHF</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>482</th>\n", " <td>483</td>\n", " <td>KENTUCKY</td>\n", " <td>BANNER SOUTH DBS</td>\n", " <td>332BGLM</td>\n", " <td>A</td>\n", " <td>UPPER MISSISSIPPIAN GREENBRIER-NEWMAN LIMESTONES</td>\n", " <td>Mgn</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>38172.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>1279</td>\n", " <td>AP</td>\n", " <td>G</td>\n", " <td>67.0</td>\n", " <td>6725.0</td>\n", " <td>E</td>\n", " <td>SHSHF</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>...</th>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " </tr>\n", " <tr>\n", " <th>18823</th>\n", " <td>18824</td>\n", " <td>WEST VIRGINIA</td>\n", " <td>TWENTY MILE CREEK EAST</td>\n", " <td>330MSSP</td>\n", " <td>A</td>\n", " <td>UPPER MISSISSIPPIAN GREENBRIER-NEWMAN LIMESTONES</td>\n", " <td>Mgn</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>723299.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>0</td>\n", " <td>AP</td>\n", " <td>G</td>\n", " <td>67.0</td>\n", " <td>6725.0</td>\n", " <td>E</td>\n", " <td>SHSHF</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>18824</th>\n", " <td>18825</td>\n", " <td>WEST VIRGINIA</td>\n", " <td>TWENTY MILE CREEK EAST</td>\n", " <td>331BGLM</td>\n", " <td>A</td>\n", " <td>UPPER MISSISSIPPIAN GREENBRIER-NEWMAN LIMESTONES</td>\n", " <td>Mgn</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>723299.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>0</td>\n", " <td>AP</td>\n", " <td>G</td>\n", " <td>67.0</td>\n", " <td>6725.0</td>\n", " <td>E</td>\n", " <td>SHSHF</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>18825</th>\n", " <td>18826</td>\n", " <td>WEST VIRGINIA</td>\n", " <td>TWENTY MILE CREEK EAST</td>\n", " <td>331MXON</td>\n", " <td>A</td>\n", " <td>UPPER MISSISSIPPIAN MAUCH CHUNK GROUP AND EQUI...</td>\n", " <td>Mmc</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>723299.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>1091</td>\n", " <td>AP</td>\n", " <td>G</td>\n", " <td>67.0</td>\n", " <td>6725.0</td>\n", " <td>E</td>\n", " <td>DELTA</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>18826</th>\n", " <td>18827</td>\n", " <td>WEST VIRGINIA</td>\n", " <td>VADIS</td>\n", " <td>330MSSP</td>\n", " <td>A</td>\n", " <td>UPPER MISSISSIPPIAN GREENBRIER-NEWMAN LIMESTONES</td>\n", " <td>Mgn</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>731953.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>0</td>\n", " <td>AP</td>\n", " <td>O</td>\n", " <td>67.0</td>\n", " <td>6725.0</td>\n", " <td>E</td>\n", " <td>SHSHF</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>18827</th>\n", " <td>18828</td>\n", " <td>WEST VIRGINIA</td>\n", " <td>VADIS</td>\n", " <td>331BGIJG</td>\n", " <td>A</td>\n", " <td>UPPER MISSISSIPPIAN GREENBRIER-NEWMAN LIMESTONES</td>\n", " <td>Mgn</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>731953.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>0</td>\n", " <td>AP</td>\n", " <td>O</td>\n", " <td>67.0</td>\n", " <td>6725.0</td>\n", " <td>E</td>\n", " <td>SHSHF</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>18828</th>\n", " <td>18829</td>\n", " <td>WEST VIRGINIA</td>\n", " <td>VANDALIA</td>\n", " <td>340DVNN</td>\n", " <td>A</td>\n", " <td>UPPER DEVONIAN VENANGO SANDSTONES AND SILTSTONES</td>\n", " <td>Dvs</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>733875.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>0</td>\n", " <td>AP</td>\n", " <td>O</td>\n", " <td>67.0</td>\n", " <td>6718.0</td>\n", " <td>E</td>\n", " <td>SHSHF</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>18829</th>\n", " <td>18830</td>\n", " <td>WEST VIRGINIA</td>\n", " <td>VANDALIA</td>\n", " <td>340DVNN</td>\n", " <td>A</td>\n", " <td>UPPER DEVONIAN ELK SANDSTONES</td>\n", " <td>Des</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>733875.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>0</td>\n", " <td>AP</td>\n", " <td>O</td>\n", " <td>67.0</td>\n", " <td>6718.0</td>\n", " <td>E</td>\n", " <td>SLBAS</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>18830</th>\n", " <td>18831</td>\n", " <td>WEST VIRGINIA</td>\n", " <td>VANDALIA</td>\n", " <td>341BLTN</td>\n", " <td>A</td>\n", " <td>UPPER DEVONIAN BRADFORD SANDSTONES AND SILTSTONES</td>\n", " <td>Dbs</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>733875.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>0</td>\n", " <td>AP</td>\n", " <td>O</td>\n", " <td>67.0</td>\n", " <td>6737.0</td>\n", " <td>E</td>\n", " <td>SHSHF</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>18831</th>\n", " <td>18832</td>\n", " <td>WEST VIRGINIA</td>\n", " <td>VANDALIA</td>\n", " <td>341BNSN</td>\n", " <td>A</td>\n", " <td>UPPER DEVONIAN ELK SANDSTONES</td>\n", " <td>Des</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>733875.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>1106</td>\n", " <td>AP</td>\n", " <td>O</td>\n", " <td>67.0</td>\n", " <td>6737.0</td>\n", " <td>E</td>\n", " <td>SLBAS</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>18832</th>\n", " <td>18833</td>\n", " <td>WEST VIRGINIA</td>\n", " <td>WALTON (ROCK CREEK)</td>\n", " <td>330MSSP</td>\n", " <td>A</td>\n", " <td>LOWER MISSISSIPPIAN BIG INJUN SANDSTONES</td>\n", " <td>Mbi</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>747543.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>0</td>\n", " <td>AP</td>\n", " <td>O</td>\n", " <td>67.0</td>\n", " <td>6725.0</td>\n", " <td>E</td>\n", " <td>DELTA</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>18833</th>\n", " <td>18834</td>\n", " <td>WEST VIRGINIA</td>\n", " <td>WALTON (ROCK CREEK)</td>\n", " <td>337BGIJP</td>\n", " <td>A</td>\n", " <td>LOWER MISSISSIPPIAN BIG INJUN SANDSTONES</td>\n", " <td>Mbi</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>747543.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>0</td>\n", " <td>AP</td>\n", " <td>O</td>\n", " <td>67.0</td>\n", " <td>6725.0</td>\n", " <td>E</td>\n", " <td>DELTA</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>18834</th>\n", " <td>18835</td>\n", " <td>WEST VIRGINIA</td>\n", " <td>WESTON-JANE LEW</td>\n", " <td>330MSSP</td>\n", " <td>A</td>\n", " <td>UPPER MISSISSIPPIAN GREENBRIER-NEWMAN LIMESTONES</td>\n", " <td>Mgn</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>760959.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>0</td>\n", " <td>AP</td>\n", " <td>O</td>\n", " <td>67.0</td>\n", " <td>6725.0</td>\n", " <td>E</td>\n", " <td>SHSHF</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>18835</th>\n", " <td>18836</td>\n", " <td>WEST VIRGINIA</td>\n", " <td>WESTON-JANE LEW</td>\n", " <td>331BGIJG</td>\n", " <td>A</td>\n", " <td>UPPER MISSISSIPPIAN GREENBRIER-NEWMAN LIMESTONES</td>\n", " <td>Mgn</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>760959.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>1168</td>\n", " <td>AP</td>\n", " <td>O</td>\n", " <td>67.0</td>\n", " <td>6725.0</td>\n", " <td>E</td>\n", " <td>SHSHF</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>18836</th>\n", " <td>18837</td>\n", " <td>WEST VIRGINIA</td>\n", " <td>WESTON-JANE LEW</td>\n", " <td>340DVNN</td>\n", " <td>A</td>\n", " <td>UPPER DEVONIAN VENANGO SANDSTONES AND SILTSTONES</td>\n", " <td>Dvs</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>760959.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>0</td>\n", " <td>AP</td>\n", " <td>O</td>\n", " <td>67.0</td>\n", " <td>6718.0</td>\n", " <td>E</td>\n", " <td>SHSHF</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>18837</th>\n", " <td>18838</td>\n", " <td>WEST VIRGINIA</td>\n", " <td>WESTON-JANE LEW</td>\n", " <td>340DVNN</td>\n", " <td>A</td>\n", " <td>UPPER DEVONIAN ELK SANDSTONES</td>\n", " <td>Des</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>760959.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>0</td>\n", " <td>AP</td>\n", " <td>G</td>\n", " <td>67.0</td>\n", " <td>6718.0</td>\n", " <td>E</td>\n", " <td>SLBAS</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>18838</th>\n", " <td>18839</td>\n", " <td>WEST VIRGINIA</td>\n", " <td>WESTON-JANE LEW</td>\n", " <td>341BLTNA</td>\n", " <td>R</td>\n", " <td>UPPER DEVONIAN BRADFORD SANDSTONES AND SILTSTONES</td>\n", " <td>Dbs</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>760959.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>0</td>\n", " <td>AP</td>\n", " <td>O</td>\n", " <td>67.0</td>\n", " <td>6737.0</td>\n", " <td>E</td>\n", " <td>SHSHF</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>18839</th>\n", " <td>18840</td>\n", " <td>WEST VIRGINIA</td>\n", " <td>WESTON-JANE LEW</td>\n", " <td>341BLTNB</td>\n", " <td>R</td>\n", " <td>UPPER DEVONIAN BRADFORD SANDSTONES AND SILTSTONES</td>\n", " <td>Dbs</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>760959.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>0</td>\n", " <td>AP</td>\n", " <td>O</td>\n", " <td>67.0</td>\n", " <td>6737.0</td>\n", " <td>E</td>\n", " <td>SHSHF</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>18840</th>\n", " <td>18841</td>\n", " <td>WEST VIRGINIA</td>\n", " <td>WESTON-JANE LEW</td>\n", " <td>341BLTNC</td>\n", " <td>R</td>\n", " <td>UPPER DEVONIAN BRADFORD SANDSTONES AND SILTSTONES</td>\n", " <td>Dbs</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>760959.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>0</td>\n", " <td>AP</td>\n", " <td>O</td>\n", " <td>67.0</td>\n", " <td>6737.0</td>\n", " <td>E</td>\n", " <td>SHSHF</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>18841</th>\n", " <td>18842</td>\n", " <td>WEST VIRGINIA</td>\n", " <td>WESTON-JANE LEW</td>\n", " <td>341BNSN</td>\n", " <td>A</td>\n", " <td>UPPER DEVONIAN ELK SANDSTONES</td>\n", " <td>Des</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>760959.0</td>\n", " <td>...</td>\n", " <td>0.2</td>\n", " <td>1146</td>\n", " <td>AP</td>\n", " <td>G</td>\n", " <td>67.0</td>\n", " <td>6737.0</td>\n", " <td>E</td>\n", " <td>SLBAS</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>18842</th>\n", " <td>18843</td>\n", " <td>WEST VIRGINIA</td>\n", " <td>WESTON-JANE LEW</td>\n", " <td>341FFTF</td>\n", " <td>A</td>\n", " <td>UPPER DEVONIAN VENANGO SANDSTONES AND SILTSTONES</td>\n", " <td>Dvs</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>760959.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>0</td>\n", " <td>AP</td>\n", " <td>O</td>\n", " <td>67.0</td>\n", " <td>6737.0</td>\n", " <td>E</td>\n", " <td>SHSHF</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>18843</th>\n", " <td>18844</td>\n", " <td>WEST VIRGINIA</td>\n", " <td>WESTON-JANE LEW</td>\n", " <td>341FFTH</td>\n", " <td>A</td>\n", " <td>UPPER DEVONIAN VENANGO SANDSTONES AND SILTSTONES</td>\n", " <td>Dvs</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>760959.0</td>\n", " <td>...</td>\n", " <td>0.1</td>\n", " <td>1156</td>\n", " <td>AP</td>\n", " <td>O</td>\n", " <td>67.0</td>\n", " <td>6737.0</td>\n", " <td>E</td>\n", " <td>SHSHF</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>18844</th>\n", " <td>18845</td>\n", " <td>WEST VIRGINIA</td>\n", " <td>WESTON-JANE LEW</td>\n", " <td>341FRTH</td>\n", " <td>A</td>\n", " <td>UPPER DEVONIAN VENANGO SANDSTONES AND SILTSTONES</td>\n", " <td>Dvs</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>760959.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>0</td>\n", " <td>AP</td>\n", " <td>O</td>\n", " <td>67.0</td>\n", " <td>6737.0</td>\n", " <td>E</td>\n", " <td>SHSHF</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>18845</th>\n", " <td>18846</td>\n", " <td>WEST VIRGINIA</td>\n", " <td>WESTON-JANE LEW</td>\n", " <td>341GNTZ</td>\n", " <td>A</td>\n", " <td>UPPER DEVONIAN VENANGO SANDSTONES AND SILTSTONES</td>\n", " <td>Dvs</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>760959.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>0</td>\n", " <td>AP</td>\n", " <td>O</td>\n", " <td>67.0</td>\n", " <td>6737.0</td>\n", " <td>E</td>\n", " <td>SHSHF</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>18846</th>\n", " <td>18847</td>\n", " <td>WEST VIRGINIA</td>\n", " <td>WESTON-JANE LEW</td>\n", " <td>341SPCLL</td>\n", " <td>R</td>\n", " <td>UPPER DEVONIAN BRADFORD SANDSTONES AND SILTSTONES</td>\n", " <td>Dbs</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>760959.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>0</td>\n", " <td>AP</td>\n", " <td>O</td>\n", " <td>67.0</td>\n", " <td>6737.0</td>\n", " <td>E</td>\n", " <td>SHSHF</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>18847</th>\n", " <td>18848</td>\n", " <td>WEST VIRGINIA</td>\n", " <td>WESTON-JANE LEW</td>\n", " <td>341SPCLU</td>\n", " <td>R</td>\n", " <td>UPPER DEVONIAN BRADFORD SANDSTONES AND SILTSTONES</td>\n", " <td>Dbs</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>760959.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>0</td>\n", " <td>AP</td>\n", " <td>O</td>\n", " <td>67.0</td>\n", " <td>6737.0</td>\n", " <td>E</td>\n", " <td>SHSHF</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>18848</th>\n", " <td>18849</td>\n", " <td>WEST VIRGINIA</td>\n", " <td>WILBUR</td>\n", " <td>330MSSP</td>\n", " <td>A</td>\n", " <td>LOWER MISSISSIPPIAN BIG INJUN SANDSTONES</td>\n", " <td>Mbi</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>767795.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>0</td>\n", " <td>AP</td>\n", " <td>O</td>\n", " <td>67.0</td>\n", " <td>6725.0</td>\n", " <td>E</td>\n", " <td>DELTA</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>18849</th>\n", " <td>18850</td>\n", " <td>WEST VIRGINIA</td>\n", " <td>WILBUR</td>\n", " <td>337BGIJU</td>\n", " <td>A</td>\n", " <td>LOWER MISSISSIPPIAN BIG INJUN SANDSTONES</td>\n", " <td>Mbi</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>767795.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>1429</td>\n", " <td>AP</td>\n", " <td>G</td>\n", " <td>67.0</td>\n", " <td>6725.0</td>\n", " <td>E</td>\n", " <td>DELTA</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>18850</th>\n", " <td>18851</td>\n", " <td>WEST VIRGINIA</td>\n", " <td>WILBUR</td>\n", " <td>340DVNN</td>\n", " <td>A</td>\n", " <td>UPPER DEVONIAN ELK SANDSTONES</td>\n", " <td>Des</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>767795.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>0</td>\n", " <td>AP</td>\n", " <td>G</td>\n", " <td>67.0</td>\n", " <td>6718.0</td>\n", " <td>E</td>\n", " <td>SLBAS</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>18851</th>\n", " <td>18852</td>\n", " <td>WEST VIRGINIA</td>\n", " <td>WILBUR</td>\n", " <td>341BNSN</td>\n", " <td>A</td>\n", " <td>UPPER DEVONIAN ELK SANDSTONES</td>\n", " <td>Des</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>767795.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>0</td>\n", " <td>AP</td>\n", " <td>G</td>\n", " <td>67.0</td>\n", " <td>6737.0</td>\n", " <td>E</td>\n", " <td>SLBAS</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>18852</th>\n", " <td>18853</td>\n", " <td>WEST VIRGINIA</td>\n", " <td>WILBUR</td>\n", " <td>341RILY</td>\n", " <td>A</td>\n", " <td>UPPER DEVONIAN BRADFORD SANDSTONES AND SILTSTONES</td>\n", " <td>Dbs</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>767795.0</td>\n", " <td>...</td>\n", " <td>0.0</td>\n", " <td>0</td>\n", " <td>AP</td>\n", " <td>G</td>\n", " <td>67.0</td>\n", " <td>6737.0</td>\n", " <td>E</td>\n", " <td>SHSHF</td>\n", " <td>NaN</td>\n", " <td>5</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "<p>2633 rows × 187 columns</p>\n", "</div>" ], "text/plain": [ " LINKA STATE FLDNAME RESNAME R_STUDY \\\n", "453 454 KENTUCKY ADAMS 357CLNN A \n", "454 455 KENTUCKY ALBANY CONS. 365SBRK A \n", "455 456 KENTUCKY ALBANY CONS. 365SRVR A \n", "456 457 KENTUCKY ALBANY CONS. 368KNOX A \n", "457 458 KENTUCKY ALBANY NORTH 365TRNN A \n", "458 459 KENTUCKY ALBANY SOUTH 337FRPN A \n", "459 460 KENTUCKY ALLEN CITY DBS 327LEE A \n", "460 461 KENTUCKY ALLEN CITY DBS 332BGLM A \n", "461 462 KENTUCKY ALLEN CITY DBS 332MXON A \n", "462 463 KENTUCKY ALLEN CITY DBS 337BGIJ A \n", "463 464 KENTUCKY ALVA 341OHIO A \n", "464 465 KENTUCKY ALVIN NORTH DBS 332MXON A \n", "465 466 KENTUCKY ARGO DBS 332BGLM A \n", "466 467 KENTUCKY ARGO DBS 332MXON A \n", "467 468 KENTUCKY ARTEMUS-HIMYAR CONS. 332BGLM A \n", "468 469 KENTUCKY ARTEMUS-HIMYAR CONS. 332MXON A \n", "469 470 KENTUCKY ARTEMUS-HIMYAR CONS. 337BGIJ A \n", "470 471 KENTUCKY ARTEMUS-HIMYAR CONS. 341OHIO A \n", "471 472 KENTUCKY ARTEMUS-HIMYAR CONS. 355LCKP A \n", "472 473 KENTUCKY ARY DBS 332BGLM A \n", "473 474 KENTUCKY ARY DBS 355BGSX A \n", "474 475 KENTUCKY ASHER 341OHIO A \n", "475 476 KENTUCKY ASHLAND 327LEE A \n", "476 477 KENTUCKY ASHLAND 339BERE A \n", "477 478 KENTUCKY ASHLAND 341OHIO A \n", "478 479 KENTUCKY ASHLAND 357CLNN A \n", "479 480 KENTUCKY AUXIER DBS 355LCKP A \n", "480 481 KENTUCKY AVAWAM DBS 332BGLM A \n", "481 482 KENTUCKY BALLS FORK DBS 332BGLM A \n", "482 483 KENTUCKY BANNER SOUTH DBS 332BGLM A \n", "... ... ... ... ... ... \n", "18823 18824 WEST VIRGINIA TWENTY MILE CREEK EAST 330MSSP A \n", "18824 18825 WEST VIRGINIA TWENTY MILE CREEK EAST 331BGLM A \n", "18825 18826 WEST VIRGINIA TWENTY MILE CREEK EAST 331MXON A \n", "18826 18827 WEST VIRGINIA VADIS 330MSSP A \n", "18827 18828 WEST VIRGINIA VADIS 331BGIJG A \n", "18828 18829 WEST VIRGINIA VANDALIA 340DVNN A \n", "18829 18830 WEST VIRGINIA VANDALIA 340DVNN A \n", "18830 18831 WEST VIRGINIA VANDALIA 341BLTN A \n", "18831 18832 WEST VIRGINIA VANDALIA 341BNSN A \n", "18832 18833 WEST VIRGINIA WALTON (ROCK CREEK) 330MSSP A \n", "18833 18834 WEST VIRGINIA WALTON (ROCK CREEK) 337BGIJP A \n", "18834 18835 WEST VIRGINIA WESTON-JANE LEW 330MSSP A \n", "18835 18836 WEST VIRGINIA WESTON-JANE LEW 331BGIJG A \n", "18836 18837 WEST VIRGINIA WESTON-JANE LEW 340DVNN A \n", "18837 18838 WEST VIRGINIA WESTON-JANE LEW 340DVNN A \n", "18838 18839 WEST VIRGINIA WESTON-JANE LEW 341BLTNA R \n", "18839 18840 WEST VIRGINIA WESTON-JANE LEW 341BLTNB R \n", "18840 18841 WEST VIRGINIA WESTON-JANE LEW 341BLTNC R \n", "18841 18842 WEST VIRGINIA WESTON-JANE LEW 341BNSN A \n", "18842 18843 WEST VIRGINIA WESTON-JANE LEW 341FFTF A \n", "18843 18844 WEST VIRGINIA WESTON-JANE LEW 341FFTH A \n", "18844 18845 WEST VIRGINIA WESTON-JANE LEW 341FRTH A \n", "18845 18846 WEST VIRGINIA WESTON-JANE LEW 341GNTZ A \n", "18846 18847 WEST VIRGINIA WESTON-JANE LEW 341SPCLL R \n", "18847 18848 WEST VIRGINIA WESTON-JANE LEW 341SPCLU R \n", "18848 18849 WEST VIRGINIA WILBUR 330MSSP A \n", "18849 18850 WEST VIRGINIA WILBUR 337BGIJU A \n", "18850 18851 WEST VIRGINIA WILBUR 340DVNN A \n", "18851 18852 WEST VIRGINIA WILBUR 341BNSN A \n", "18852 18853 WEST VIRGINIA WILBUR 341RILY A \n", "\n", " PLAYNAME PLAYCOD SUBPLAYN \\\n", "453 LOWER SILURIAN CATARACT/MEDINA GROUP ('CLINTON... Scm NaN \n", "454 MIDDLE AND UPPER ORDOVICIAN BIOCLASTIC CARBONA... Obc NaN \n", "455 MIDDLE ORDOVICIAN FRACTURED CARBONATES MOf NaN \n", "456 CAMBRIAN-ORDOVICIAN KNOX GROUP UNCONFORMITY COk NaN \n", "457 MIDDLE ORDOVICIAN FRACTURED CARBONATES MOf NaN \n", "458 LOWER MISSISSIPPIAN FORT PAYNE CARBONATE MOUND... Mfp NaN \n", "459 LOWER AND MIDDLE PENNSYLVANIAN POTTSVILLE, NEW... Pps NaN \n", "460 UPPER MISSISSIPPIAN GREENBRIER-NEWMAN LIMESTONES Mgn NaN \n", "461 UPPER MISSISSIPPIAN MAUCH CHUNK GROUP AND EQUI... Mmc NaN \n", "462 LOWER MISSISSIPPIAN BIG INJUN SANDSTONES Mbi NaN \n", "463 UPPER DEVONIAN BLACK SHALES UDs NaN \n", "464 UPPER MISSISSIPPIAN MAUCH CHUNK GROUP AND EQUI... Mmc NaN \n", "465 UPPER MISSISSIPPIAN GREENBRIER-NEWMAN LIMESTONES Mgn NaN \n", "466 UPPER MISSISSIPPIAN MAUCH CHUNK GROUP AND EQUI... Mmc NaN \n", "467 UPPER MISSISSIPPIAN GREENBRIER-NEWMAN LIMESTONES Mgn NaN \n", "468 UPPER MISSISSIPPIAN MAUCH CHUNK GROUP AND EQUI... Mmc NaN \n", "469 LOWER MISSISSIPPIAN BIG INJUN SANDSTONES Mbi NaN \n", "470 UPPER DEVONIAN BLACK SHALES UDs NaN \n", "471 LOWER DEVONIAN-UPPER SILURIAN UNCONFORMITY PLAY DSu NaN \n", "472 UPPER MISSISSIPPIAN GREENBRIER-NEWMAN LIMESTONES Mgn NaN \n", "473 UPPER SILURIAN LOCKPORT DOLOMITE-KEEFER (BIG S... Sld NaN \n", "474 UPPER DEVONIAN BLACK SHALES UDs NaN \n", "475 LOWER AND MIDDLE PENNSYLVANIAN POTTSVILLE, NEW... Pps NaN \n", "476 LOWER MISSISSIPPIAN-UPPER DEVONIAN BEREA FLUVI... MDe NaN \n", "477 UPPER DEVONIAN BLACK SHALES UDs NaN \n", "478 LOWER SILURIAN CATARACT/MEDINA GROUP ('CLINTON... Scm NaN \n", "479 UPPER SILURIAN LOCKPORT DOLOMITE-KEEFER (BIG S... Sld NaN \n", "480 UPPER MISSISSIPPIAN GREENBRIER-NEWMAN LIMESTONES Mgn NaN \n", "481 UPPER MISSISSIPPIAN GREENBRIER-NEWMAN LIMESTONES Mgn NaN \n", "482 UPPER MISSISSIPPIAN GREENBRIER-NEWMAN LIMESTONES Mgn NaN \n", "... ... ... ... \n", "18823 UPPER MISSISSIPPIAN GREENBRIER-NEWMAN LIMESTONES Mgn NaN \n", "18824 UPPER MISSISSIPPIAN GREENBRIER-NEWMAN LIMESTONES Mgn NaN \n", "18825 UPPER MISSISSIPPIAN MAUCH CHUNK GROUP AND EQUI... Mmc NaN \n", "18826 UPPER MISSISSIPPIAN GREENBRIER-NEWMAN LIMESTONES Mgn NaN \n", "18827 UPPER MISSISSIPPIAN GREENBRIER-NEWMAN LIMESTONES Mgn NaN \n", "18828 UPPER DEVONIAN VENANGO SANDSTONES AND SILTSTONES Dvs NaN \n", "18829 UPPER DEVONIAN ELK SANDSTONES Des NaN \n", "18830 UPPER DEVONIAN BRADFORD SANDSTONES AND SILTSTONES Dbs NaN \n", "18831 UPPER DEVONIAN ELK SANDSTONES Des NaN \n", "18832 LOWER MISSISSIPPIAN BIG INJUN SANDSTONES Mbi NaN \n", "18833 LOWER MISSISSIPPIAN BIG INJUN SANDSTONES Mbi NaN \n", "18834 UPPER MISSISSIPPIAN GREENBRIER-NEWMAN LIMESTONES Mgn NaN \n", "18835 UPPER MISSISSIPPIAN GREENBRIER-NEWMAN LIMESTONES Mgn NaN \n", "18836 UPPER DEVONIAN VENANGO SANDSTONES AND SILTSTONES Dvs NaN \n", "18837 UPPER DEVONIAN ELK SANDSTONES Des NaN \n", "18838 UPPER DEVONIAN BRADFORD SANDSTONES AND SILTSTONES Dbs NaN \n", "18839 UPPER DEVONIAN BRADFORD SANDSTONES AND SILTSTONES Dbs NaN \n", "18840 UPPER DEVONIAN BRADFORD SANDSTONES AND SILTSTONES Dbs NaN \n", "18841 UPPER DEVONIAN ELK SANDSTONES Des NaN \n", "18842 UPPER DEVONIAN VENANGO SANDSTONES AND SILTSTONES Dvs NaN \n", "18843 UPPER DEVONIAN VENANGO SANDSTONES AND SILTSTONES Dvs NaN \n", "18844 UPPER DEVONIAN VENANGO SANDSTONES AND SILTSTONES Dvs NaN \n", "18845 UPPER DEVONIAN VENANGO SANDSTONES AND SILTSTONES Dvs NaN \n", "18846 UPPER DEVONIAN BRADFORD SANDSTONES AND SILTSTONES Dbs NaN \n", "18847 UPPER DEVONIAN BRADFORD SANDSTONES AND SILTSTONES Dbs NaN \n", "18848 LOWER MISSISSIPPIAN BIG INJUN SANDSTONES Mbi NaN \n", "18849 LOWER MISSISSIPPIAN BIG INJUN SANDSTONES Mbi NaN \n", "18850 UPPER DEVONIAN ELK SANDSTONES Des NaN \n", "18851 UPPER DEVONIAN ELK SANDSTONES Des NaN \n", "18852 UPPER DEVONIAN BRADFORD SANDSTONES AND SILTSTONES Dbs NaN \n", "\n", " SUBPLAY DOEFLD ... OTHER HEAT ATLASREG RTYPEGOR USGSPROV \\\n", "453 NaN 3326.0 ... 0.0 1026 AP G 67.0 \n", "454 NaN NaN ... 0.0 0 AP G 67.0 \n", "455 NaN NaN ... 0.0 0 AP G 67.0 \n", "456 NaN NaN ... 0.0 0 AP G 67.0 \n", "457 NaN 4645.0 ... 0.0 0 AP G 66.0 \n", "458 NaN 7356.0 ... 0.0 0 AP G 66.0 \n", "459 NaN 11642.0 ... 0.0 0 AP G 67.0 \n", "460 NaN 11642.0 ... 0.0 0 AP G 67.0 \n", "461 NaN 11642.0 ... 0.0 1167 AP G 67.0 \n", "462 NaN 11642.0 ... 0.0 1151 AP G 67.0 \n", "463 NaN 14296.0 ... 0.0 0 AP G 67.0 \n", "464 NaN NaN ... 0.0 1148 AP G 67.0 \n", "465 NaN 24081.0 ... 0.0 0 AP G 67.0 \n", "466 NaN 24081.0 ... 0.0 0 AP G 67.0 \n", "467 NaN 4655.0 ... 0.0 0 AP O 67.0 \n", "468 NaN 4655.0 ... 0.0 1107 AP O 67.0 \n", "469 NaN 4655.0 ... 0.0 0 AP G 67.0 \n", "470 NaN 4655.0 ... 0.0 0 AP G 67.0 \n", "471 NaN 4655.0 ... 0.0 1183 AP G 67.0 \n", "472 NaN 4656.0 ... 0.0 0 AP O 67.0 \n", "473 NaN 4656.0 ... 0.0 1061 AP G 67.0 \n", "474 NaN 27553.0 ... 0.0 1204 AP G 67.0 \n", "475 NaN 27770.0 ... 0.0 0 AP G 67.0 \n", "476 NaN 27770.0 ... 0.0 1083 AP O 67.0 \n", "477 NaN 27770.0 ... 0.0 0 AP G 67.0 \n", "478 NaN 27770.0 ... 0.0 1013 AP G 67.0 \n", "479 NaN NaN ... 0.0 990 AP G 67.0 \n", "480 NaN NaN ... 0.0 1144 AP O 67.0 \n", "481 NaN 4664.0 ... 0.0 0 AP O 67.0 \n", "482 NaN 38172.0 ... 0.0 1279 AP G 67.0 \n", "... ... ... ... ... ... ... ... ... \n", "18823 NaN 723299.0 ... 0.0 0 AP G 67.0 \n", "18824 NaN 723299.0 ... 0.0 0 AP G 67.0 \n", "18825 NaN 723299.0 ... 0.0 1091 AP G 67.0 \n", "18826 NaN 731953.0 ... 0.0 0 AP O 67.0 \n", "18827 NaN 731953.0 ... 0.0 0 AP O 67.0 \n", "18828 NaN 733875.0 ... 0.0 0 AP O 67.0 \n", "18829 NaN 733875.0 ... 0.0 0 AP O 67.0 \n", "18830 NaN 733875.0 ... 0.0 0 AP O 67.0 \n", "18831 NaN 733875.0 ... 0.0 1106 AP O 67.0 \n", "18832 NaN 747543.0 ... 0.0 0 AP O 67.0 \n", "18833 NaN 747543.0 ... 0.0 0 AP O 67.0 \n", "18834 NaN 760959.0 ... 0.0 0 AP O 67.0 \n", "18835 NaN 760959.0 ... 0.0 1168 AP O 67.0 \n", "18836 NaN 760959.0 ... 0.0 0 AP O 67.0 \n", "18837 NaN 760959.0 ... 0.0 0 AP G 67.0 \n", "18838 NaN 760959.0 ... 0.0 0 AP O 67.0 \n", "18839 NaN 760959.0 ... 0.0 0 AP O 67.0 \n", "18840 NaN 760959.0 ... 0.0 0 AP O 67.0 \n", "18841 NaN 760959.0 ... 0.2 1146 AP G 67.0 \n", "18842 NaN 760959.0 ... 0.0 0 AP O 67.0 \n", "18843 NaN 760959.0 ... 0.1 1156 AP O 67.0 \n", "18844 NaN 760959.0 ... 0.0 0 AP O 67.0 \n", "18845 NaN 760959.0 ... 0.0 0 AP O 67.0 \n", "18846 NaN 760959.0 ... 0.0 0 AP O 67.0 \n", "18847 NaN 760959.0 ... 0.0 0 AP O 67.0 \n", "18848 NaN 767795.0 ... 0.0 0 AP O 67.0 \n", "18849 NaN 767795.0 ... 0.0 1429 AP G 67.0 \n", "18850 NaN 767795.0 ... 0.0 0 AP G 67.0 \n", "18851 NaN 767795.0 ... 0.0 0 AP G 67.0 \n", "18852 NaN 767795.0 ... 0.0 0 AP G 67.0 \n", "\n", " USGSPLAY S_USGSPL PLAYDEPO TYPELOGF NEW COLUMN \n", "453 6728.0 E DELTA NaN 5 \n", "454 6703.0 E SHSHF NaN 5 \n", "455 6703.0 E SHSHF NaN 5 \n", "456 6703.0 E SHSHF NaN 5 \n", "457 6602.0 E SHSHF NaN 5 \n", "458 6605.0 E REEF NaN 5 \n", "459 6725.0 E DELTA NaN 5 \n", "460 6725.0 E SHSHF NaN 5 \n", "461 6725.0 E DELTA NaN 5 \n", "462 6725.0 E DELTA NaN 5 \n", "463 6740.0 E DELTA NaN 5 \n", "464 6725.0 E DELTA NaN 5 \n", "465 6725.0 E SHSHF NaN 5 \n", "466 6725.0 E DELTA NaN 5 \n", "467 6725.0 E SHSHF NaN 5 \n", "468 6725.0 E DELTA NaN 5 \n", "469 6725.0 E DELTA NaN 5 \n", "470 6741.0 E DELTA NaN 5 \n", "471 6717.0 E SHSHF NaN 5 \n", "472 6725.0 E SHSHF NaN 5 \n", "473 6715.0 E SHELF NaN 5 \n", "474 6740.0 E DELTA NaN 5 \n", "475 6725.0 E DELTA NaN 5 \n", "476 6725.0 E DELTA NaN 5 \n", "477 6740.0 E DELTA NaN 5 \n", "478 6728.0 E DELTA NaN 5 \n", "479 6715.0 E SHELF NaN 5 \n", "480 6725.0 E SHSHF NaN 5 \n", "481 6725.0 E SHSHF NaN 5 \n", "482 6725.0 E SHSHF NaN 5 \n", "... ... ... ... ... ... \n", "18823 6725.0 E SHSHF NaN 5 \n", "18824 6725.0 E SHSHF NaN 5 \n", "18825 6725.0 E DELTA NaN 5 \n", "18826 6725.0 E SHSHF NaN 5 \n", "18827 6725.0 E SHSHF NaN 5 \n", "18828 6718.0 E SHSHF NaN 5 \n", "18829 6718.0 E SLBAS NaN 5 \n", "18830 6737.0 E SHSHF NaN 5 \n", "18831 6737.0 E SLBAS NaN 5 \n", "18832 6725.0 E DELTA NaN 5 \n", "18833 6725.0 E DELTA NaN 5 \n", "18834 6725.0 E SHSHF NaN 5 \n", "18835 6725.0 E SHSHF NaN 5 \n", "18836 6718.0 E SHSHF NaN 5 \n", "18837 6718.0 E SLBAS NaN 5 \n", "18838 6737.0 E SHSHF NaN 5 \n", "18839 6737.0 E SHSHF NaN 5 \n", "18840 6737.0 E SHSHF NaN 5 \n", "18841 6737.0 E SLBAS NaN 5 \n", "18842 6737.0 E SHSHF NaN 5 \n", "18843 6737.0 E SHSHF NaN 5 \n", "18844 6737.0 E SHSHF NaN 5 \n", "18845 6737.0 E SHSHF NaN 5 \n", "18846 6737.0 E SHSHF NaN 5 \n", "18847 6737.0 E SHSHF NaN 5 \n", "18848 6725.0 E DELTA NaN 5 \n", "18849 6725.0 E DELTA NaN 5 \n", "18850 6718.0 E SLBAS NaN 5 \n", "18851 6737.0 E SLBAS NaN 5 \n", "18852 6737.0 E SHSHF NaN 5 \n", "\n", "[2633 rows x 187 columns]" ] }, "execution_count": 16, "metadata": {}, "output_type": "execute_result" } ], "source": [ "table.loc[table['LATITUDE'] > 10]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Exercise: Make them find out how to rename columns\n", "Exercise: (Usually we use Excel equations, now we are gonna practice this) Google how to add two columns together, and then create a new column with all these added values\n", "#Give them 5 mins for each excersice, help anyone around you\n", "#If you want to learn more, look it up at home on how to do other operators" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Lets make a histogram of average permeability (In column CN), use column name not CN\n", "Google this" ] }, { "cell_type": "code", "execution_count": 22, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "array([[<matplotlib.axes._subplots.AxesSubplot object at 0x113144710>]], dtype=object)" ] }, "execution_count": 22, "metadata": {}, "output_type": "execute_result" }, { "name": "stderr", "output_type": "stream", "text": [ "/Users/kishanramachandran/anaconda/lib/python3.5/site-packages/matplotlib/font_manager.py:273: UserWarning: Matplotlib is building the font cache using fc-list. This may take a moment.\n", " warnings.warn('Matplotlib is building the font cache using fc-list. This may take a moment.')\n", "/Users/kishanramachandran/anaconda/lib/python3.5/site-packages/matplotlib/font_manager.py:273: UserWarning: Matplotlib is building the font cache using fc-list. This may take a moment.\n", " warnings.warn('Matplotlib is building the font cache using fc-list. This may take a moment.')\n" ] }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAY0AAAEKCAYAAADuEgmxAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x111b53dd8>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "pd.DataFrame.hist(table,\"AVPERM\")" ] } ], "metadata": { "anaconda-cloud": {}, "kernelspec": { "display_name": "Python [Root]", "language": "python", "name": "Python [Root]" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.2" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
tensorflow/docs-l10n
site/ja/r1/tutorials/keras/overfit_and_underfit.ipynb
1
30708
{ "cells": [ { "cell_type": "markdown", "metadata": { "id": "fTFj8ft5dlbS" }, "source": [ "##### Copyright 2018 The TensorFlow Authors." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "cellView": "form", "id": "lzyBOpYMdp3F" }, "outputs": [], "source": [ "#@title Licensed under the Apache License, Version 2.0 (the \"License\");\n", "# you may not use this file except in compliance with the License.\n", "# You may obtain a copy of the License at\n", "#\n", "# https://www.apache.org/licenses/LICENSE-2.0\n", "#\n", "# Unless required by applicable law or agreed to in writing, software\n", "# distributed under the License is distributed on an \"AS IS\" BASIS,\n", "# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.\n", "# See the License for the specific language governing permissions and\n", "# limitations under the License." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "cellView": "form", "id": "m_x4KfSJ7Vt7" }, "outputs": [], "source": [ "#@title MIT License\n", "#\n", "# Copyright (c) 2017 François Chollet\n", "#\n", "# Permission is hereby granted, free of charge, to any person obtaining a\n", "# copy of this software and associated documentation files (the \"Software\"),\n", "# to deal in the Software without restriction, including without limitation\n", "# the rights to use, copy, modify, merge, publish, distribute, sublicense,\n", "# and/or sell copies of the Software, and to permit persons to whom the\n", "# Software is furnished to do so, subject to the following conditions:\n", "#\n", "# The above copyright notice and this permission notice shall be included in\n", "# all copies or substantial portions of the Software.\n", "#\n", "# THE SOFTWARE IS PROVIDED \"AS IS\", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR\n", "# IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,\n", "# FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL\n", "# THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER\n", "# LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING\n", "# FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER\n", "# DEALINGS IN THE SOFTWARE." ] }, { "cell_type": "markdown", "metadata": { "id": "C9HmC2T4ld5B" }, "source": [ "# 過学習と学習不足について知る" ] }, { "cell_type": "markdown", "metadata": { "id": "kRTxFhXAlnl1" }, "source": [ "<table class=\"tfo-notebook-buttons\" align=\"left\">\n", " <td>\n", " <a target=\"_blank\" href=\"https://colab.research.google.com/github/tensorflow/docs-l10n/blob/master/site/ja/r1/tutorials/keras/overfit_and_underfit.ipynb\"><img src=\"https://www.tensorflow.org/images/colab_logo_32px.png\" />Run in Google Colab</a>\n", " </td>\n", " <td>\n", " <a target=\"_blank\" href=\"https://github.com/tensorflow/docs-l10n/blob/master/site/ja/r1/tutorials/keras/overfit_and_underfit.ipynb\"><img src=\"https://www.tensorflow.org/images/GitHub-Mark-32px.png\" />View source on GitHub</a>\n", " </td>\n", "</table>" ] }, { "cell_type": "markdown", "metadata": { "id": "82CTPwRf9si8" }, "source": [ "Note: これらのドキュメントは私たちTensorFlowコミュニティが翻訳したものです。コミュニティによる 翻訳は**ベストエフォート**であるため、この翻訳が正確であることや[英語の公式ドキュメント](https://www.tensorflow.org/?hl=en)の 最新の状態を反映したものであることを保証することはできません。 この翻訳の品質を向上させるためのご意見をお持ちの方は、GitHubリポジトリ[tensorflow/docs](https://github.com/tensorflow/docs)にプルリクエストをお送りください。 コミュニティによる翻訳やレビューに参加していただける方は、 [docs-ja@tensorflow.org メーリングリスト](https://groups.google.com/a/tensorflow.org/forum/#!forum/docs-ja)にご連絡ください。" ] }, { "cell_type": "markdown", "metadata": { "id": "19rPukKZsPG6" }, "source": [ "いつものように、この例のプログラムは`tf.keras` APIを使用します。詳しくはTensorFlowの[Keras guide](https://www.tensorflow.org/r1/guide/keras)を参照してください。\n", "\n", "これまでの例、つまり、映画レビューの分類と燃費の推定では、検証用データでのモデルの正解率が、数エポックでピークを迎え、その後低下するという現象が見られました。\n", "\n", "言い換えると、モデルが訓練用データを**過学習**したと考えられます。過学習への対処の仕方を学ぶことは重要です。**訓練用データセット**で高い正解率を達成することは難しくありませんが、我々は、(これまで見たこともない)**テスト用データ**に汎化したモデルを開発したいのです。\n", "\n", "過学習の反対語は**学習不足**(underfitting)です。学習不足は、モデルがテストデータに対してまだ改善の余地がある場合に発生します。学習不足の原因は様々です。モデルが十分強力でないとか、正則化のしすぎだとか、単に訓練時間が短すぎるといった理由があります。学習不足は、訓練用データの中の関連したパターンを学習しきっていないということを意味します。\n", "\n", "モデルの訓練をやりすぎると、モデルは過学習を始め、訓練用データの中のパターンで、テストデータには一般的ではないパターンを学習します。我々は、過学習と学習不足の中間を目指す必要があります。これから見ていくように、ちょうどよいエポック数だけ訓練を行うというのは必要なスキルなのです。\n", "\n", "過学習を防止するための、最良の解決策は、より多くの訓練用データを使うことです。多くのデータで訓練を行えば行うほど、モデルは自然により汎化していく様になります。これが不可能な場合、次善の策は正則化のようなテクニックを使うことです。正則化は、モデルに保存される情報の量とタイプに制約を課すものです。ネットワークが少数のパターンしか記憶できなければ、最適化プロセスにより、最も主要なパターンのみを学習することになり、より汎化される可能性が高くなります。\n", "\n", "このノートブックでは、重みの正則化とドロップアウトという、よく使われる2つの正則化テクニックをご紹介します。これらを使って、IMDBの映画レビューを分類するノートブックの改善を図ります。" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "5pZ8A2liqvgk" }, "outputs": [], "source": [ "import tensorflow.compat.v1 as tf\n", "\n", "from tensorflow import keras\n", "\n", "import numpy as np\n", "import matplotlib.pyplot as plt\n", "\n", "print(tf.__version__)" ] }, { "cell_type": "markdown", "metadata": { "id": "1cweoTiruj8O" }, "source": [ "## IMDBデータセットのダウンロード\n", "\n", "以前のノートブックで使用したエンベディングの代わりに、ここでは文をマルチホットエンコードします。このモデルは、訓練用データセットをすぐに過学習します。このモデルを使って、過学習がいつ起きるかということと、どうやって過学習と戦うかをデモします。\n", "\n", "リストをマルチホットエンコードすると言うのは、0と1のベクトルにするということです。具体的にいうと、例えば`[3, 5]`というシーケンスを、インデックス3と5の値が1で、それ以外がすべて0の、10,000次元のベクトルに変換するということを意味します。" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "QpzE4iqZtJly" }, "outputs": [], "source": [ "NUM_WORDS = 10000\n", "\n", "(train_data, train_labels), (test_data, test_labels) = keras.datasets.imdb.load_data(num_words=NUM_WORDS)\n", "\n", "def multi_hot_sequences(sequences, dimension):\n", " # 形状が (len(sequences), dimension)ですべて0の行列を作る\n", " results = np.zeros((len(sequences), dimension))\n", " for i, word_indices in enumerate(sequences):\n", " results[i, word_indices] = 1.0 # 特定のインデックスに対してresults[i] を1に設定する\n", " return results\n", "\n", "\n", "train_data = multi_hot_sequences(train_data, dimension=NUM_WORDS)\n", "test_data = multi_hot_sequences(test_data, dimension=NUM_WORDS)" ] }, { "cell_type": "markdown", "metadata": { "id": "MzWVeXe3NBTn" }, "source": [ "結果として得られるマルチホットベクトルの1つを見てみましょう。単語のインデックスは頻度順にソートされています。このため、インデックスが0に近いほど1が多く出現するはずです。分布を見てみましょう。" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "71kr5rG4LkGM" }, "outputs": [], "source": [ "plt.plot(train_data[0])" ] }, { "cell_type": "markdown", "metadata": { "id": "lglk41MwvU5o" }, "source": [ "## 過学習のデモ\n", "\n", "過学習を防止するための最も単純な方法は、モデルのサイズ、すなわち、モデル内の学習可能なパラメータの数を小さくすることです(学習パラメータの数は、層の数と層ごとのユニット数で決まります)。ディープラーニングでは、モデルの学習可能なパラメータ数を、しばしばモデルの「キャパシティ」と呼びます。直感的に考えれば、パラメータ数の多いモデルほど「記憶容量」が大きくなり、訓練用のサンプルとその目的変数の間の辞書のようなマッピングをたやすく学習することができます。このマッピングには汎化能力がまったくなく、これまで見たことが無いデータを使って予測をする際には役に立ちません。\n", "\n", "ディープラーニングのモデルは訓練用データに適応しやすいけれど、本当のチャレレンジは汎化であって適応ではないということを、肝に銘じておく必要があります。\n", "\n", "一方、ネットワークの記憶容量が限られている場合、前述のようなマッピングを簡単に学習することはできません。損失を減らすためには、より予測能力が高い圧縮された表現を学習しなければなりません。同時に、モデルを小さくしすぎると、訓練用データに適応するのが難しくなります。「多すぎる容量」と「容量不足」の間にちょうどよい容量があるのです。\n", "\n", "残念ながら、(層の数や、層ごとの大きさといった)モデルの適切なサイズやアーキテクチャを決める魔法の方程式はありません。一連の異なるアーキテクチャを使って実験を行う必要があります。\n", "\n", "適切なモデルのサイズを見つけるには、比較的少ない層の数とパラメータから始めるのがベストです。それから、検証用データでの損失値の改善が見られなくなるまで、徐々に層の大きさを増やしたり、新たな層を加えたりします。映画レビューの分類ネットワークでこれを試してみましょう。\n", "\n", "比較基準として、```Dense```層だけを使ったシンプルなモデルを構築し、その後、それより小さいバージョンと大きいバージョンを作って比較します。" ] }, { "cell_type": "markdown", "metadata": { "id": "_ReKHdC2EgVu" }, "source": [ "### 比較基準を作る" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "QKgdXPx9usBa" }, "outputs": [], "source": [ "baseline_model = keras.Sequential([\n", " # `.summary` を見るために`input_shape`が必要\n", " keras.layers.Dense(16, activation=tf.nn.relu, input_shape=(NUM_WORDS,)),\n", " keras.layers.Dense(16, activation=tf.nn.relu),\n", " keras.layers.Dense(1, activation=tf.nn.sigmoid)\n", "])\n", "\n", "baseline_model.compile(optimizer='adam',\n", " loss='binary_crossentropy',\n", " metrics=['accuracy', 'binary_crossentropy'])\n", "\n", "baseline_model.summary()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "LqG3MXF5xSjR" }, "outputs": [], "source": [ "baseline_history = baseline_model.fit(train_data,\n", " train_labels,\n", " epochs=20,\n", " batch_size=512,\n", " validation_data=(test_data, test_labels),\n", " verbose=2)" ] }, { "cell_type": "markdown", "metadata": { "id": "L-DGRBbGxI6G" }, "source": [ "### より小さいモデルの構築" ] }, { "cell_type": "markdown", "metadata": { "id": "SrfoVQheYSO5" }, "source": [ "今作成したばかりの比較基準となるモデルに比べて隠れユニット数が少ないモデルを作りましょう。" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "jksi-XtaxDAh" }, "outputs": [], "source": [ "smaller_model = keras.Sequential([\n", " keras.layers.Dense(4, activation=tf.nn.relu, input_shape=(NUM_WORDS,)),\n", " keras.layers.Dense(4, activation=tf.nn.relu),\n", " keras.layers.Dense(1, activation=tf.nn.sigmoid)\n", "])\n", "\n", "smaller_model.compile(optimizer='adam',\n", " loss='binary_crossentropy',\n", " metrics=['accuracy', 'binary_crossentropy'])\n", "\n", "smaller_model.summary()" ] }, { "cell_type": "markdown", "metadata": { "id": "jbngCZliYdma" }, "source": [ "同じデータを使って訓練します。" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "Ofn1AwDhx-Fe" }, "outputs": [], "source": [ "smaller_history = smaller_model.fit(train_data,\n", " train_labels,\n", " epochs=20,\n", " batch_size=512,\n", " validation_data=(test_data, test_labels),\n", " verbose=2)" ] }, { "cell_type": "markdown", "metadata": { "id": "vIPuf23FFaVn" }, "source": [ "### より大きなモデルの構築\n", "\n", "練習として、より大きなモデルを作成し、どれほど急速に過学習が起きるかを見ることもできます。次はこのベンチマークに、この問題が必要とするよりはるかに容量の大きなネットワークを追加しましょう。" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "ghQwwqwqvQM9" }, "outputs": [], "source": [ "bigger_model = keras.models.Sequential([\n", " keras.layers.Dense(512, activation=tf.nn.relu, input_shape=(NUM_WORDS,)),\n", " keras.layers.Dense(512, activation=tf.nn.relu),\n", " keras.layers.Dense(1, activation=tf.nn.sigmoid)\n", "])\n", "\n", "bigger_model.compile(optimizer='adam',\n", " loss='binary_crossentropy',\n", " metrics=['accuracy','binary_crossentropy'])\n", "\n", "bigger_model.summary()" ] }, { "cell_type": "markdown", "metadata": { "id": "D-d-i5DaYmr7" }, "source": [ "このモデルもまた同じデータを使って訓練します。" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "U1A99dhqvepf" }, "outputs": [], "source": [ "bigger_history = bigger_model.fit(train_data, train_labels,\n", " epochs=20,\n", " batch_size=512,\n", " validation_data=(test_data, test_labels),\n", " verbose=2)" ] }, { "cell_type": "markdown", "metadata": { "id": "Fy3CMUZpzH3d" }, "source": [ "### 訓練時と検証時の損失をグラフにする\n", "\n", "<!--TODO(markdaoust): This should be a one-liner with tensorboard -->" ] }, { "cell_type": "markdown", "metadata": { "id": "HSlo1F4xHuuM" }, "source": [ "実線は訓練用データセットの損失、破線は検証用データセットでの損失です(検証用データでの損失が小さい方が良いモデルです)。これをみると、小さいネットワークのほうが比較基準のモデルよりも過学習が始まるのが遅いことがわかります(4エポックではなく6エポック後)。また、過学習が始まっても性能の低下がよりゆっくりしています。" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "0XmKDtOWzOpk" }, "outputs": [], "source": [ "def plot_history(histories, key='binary_crossentropy'):\n", " plt.figure(figsize=(16,10))\n", "\n", " for name, history in histories:\n", " val = plt.plot(history.epoch, history.history['val_'+key],\n", " '--', label=name.title()+' Val')\n", " plt.plot(history.epoch, history.history[key], color=val[0].get_color(),\n", " label=name.title()+' Train')\n", "\n", " plt.xlabel('Epochs')\n", " plt.ylabel(key.replace('_',' ').title())\n", " plt.legend()\n", "\n", " plt.xlim([0,max(history.epoch)])\n", "\n", "\n", "plot_history([('baseline', baseline_history),\n", " ('smaller', smaller_history),\n", " ('bigger', bigger_history)])" ] }, { "cell_type": "markdown", "metadata": { "id": "Bi6hBhdnSfjA" }, "source": [ "より大きなネットワークでは、すぐに、1エポックで過学習が始まり、その度合も強いことに注目してください。ネットワークの容量が大きいほど訓練用データをモデル化するスピードが早くなり(結果として訓練時の損失値が小さくなり)ますが、より過学習しやすく(結果として訓練時の損失値と検証時の損失値が大きく乖離しやすく)なります。" ] }, { "cell_type": "markdown", "metadata": { "id": "ASdv7nsgEFhx" }, "source": [ "## 戦略" ] }, { "cell_type": "markdown", "metadata": { "id": "4rHoVWcswFLa" }, "source": [ "### 重みの正則化を加える\n" ] }, { "cell_type": "markdown", "metadata": { "id": "kRxWepNawbBK" }, "source": [ "「オッカムの剃刀」の原則をご存知でしょうか。何かの説明が2つあるとすると、最も正しいと考えられる説明は、仮定の数が最も少ない「一番単純な」説明だというものです。この原則は、ニューラルネットワークを使って学習されたモデルにも当てはまります。ある訓練用データとネットワーク構造があって、そのデータを説明できる重みの集合が複数ある時(つまり、複数のモデルがある時)、単純なモデルのほうが複雑なものよりも過学習しにくいのです。\n", "\n", "ここで言う「単純なモデル」とは、パラメータ値の分布のエントロピーが小さいもの(あるいは、上記で見たように、そもそもパラメータの数が少ないもの)です。したがって、過学習を緩和するための一般的な手法は、重みが小さい値のみをとることで、重み値の分布がより整然となる(正則)様に制約を与えるものです。これを「重みの正則化」と呼ばれ、ネットワークの損失関数に、重みの大きさに関連するコストを加えることで行われます。このコストには2つの種類があります。\n", "\n", "* [L1正則化](https://developers.google.com/machine-learning/glossary/#L1_regularization) 重み係数の絶対値に比例するコストを加える(重みの「L1ノルム」と呼ばれる)。\n", "\n", "* [L2正則化](https://developers.google.com/machine-learning/glossary/#L2_regularization) 重み係数の二乗に比例するコストを加える(重み係数の二乗「L2ノルム」と呼ばれる)。L2正則化はニューラルネットワーク用語では重み減衰(Weight Decay)と呼ばれる。呼び方が違うので混乱しないように。重み減衰は数学的にはL2正則化と同義である。\n", "\n", "`tf.keras`では、重みの正則化をするために、重み正則化のインスタンスをキーワード引数として層に加えます。ここでは、L2正則化を追加してみましょう。" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "HFGmcwduwVyQ" }, "outputs": [], "source": [ "l2_model = keras.models.Sequential([\n", " keras.layers.Dense(16, kernel_regularizer=keras.regularizers.l2(0.001),\n", " activation=tf.nn.relu, input_shape=(NUM_WORDS,)),\n", " keras.layers.Dense(16, kernel_regularizer=keras.regularizers.l2(0.001),\n", " activation=tf.nn.relu),\n", " keras.layers.Dense(1, activation=tf.nn.sigmoid)\n", "])\n", "\n", "l2_model.compile(optimizer='adam',\n", " loss='binary_crossentropy',\n", " metrics=['accuracy', 'binary_crossentropy'])\n", "\n", "l2_model_history = l2_model.fit(train_data, train_labels,\n", " epochs=20,\n", " batch_size=512,\n", " validation_data=(test_data, test_labels),\n", " verbose=2)" ] }, { "cell_type": "markdown", "metadata": { "id": "bUUHoXb7w-_C" }, "source": [ "```l2(0.001)```というのは、層の重み行列の係数全てに対して```0.001 * 重み係数の値 **2```をネットワークの損失値合計に加えることを意味します。このペナルティは訓練時のみに加えられるため、このネットワークの損失値は、訓練時にはテスト時に比べて大きくなることに注意してください。\n", "\n", "L2正則化の影響を見てみましょう。" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "7wkfLyxBZdh_" }, "outputs": [], "source": [ "plot_history([('baseline', baseline_history),\n", " ('l2', l2_model_history)])" ] }, { "cell_type": "markdown", "metadata": { "id": "Kx1YHMsVxWjP" }, "source": [ "ご覧のように、L2正則化ありのモデルは比較基準のモデルに比べて過学習しにくくなっています。両方のモデルのパラメータ数は同じであるにもかかわらずです。" ] }, { "cell_type": "markdown", "metadata": { "id": "HmnBNOOVxiG8" }, "source": [ "### ドロップアウトを追加する\n", "\n", "ドロップアウトは、ニューラルネットワークの正則化テクニックとして最もよく使われる手法の一つです。この手法は、トロント大学のヒントンと彼の学生が開発したものです。ドロップアウトは層に適用するもので、訓練時に層から出力された特徴量に対してランダムに「ドロップアウト(つまりゼロ化)」を行うものです。例えば、ある層が訓練時にある入力サンプルに対して、普通は`[0.2, 0.5, 1.3, 0.8, 1.1]` というベクトルを出力するとします。ドロップアウトを適用すると、このベクトルは例えば`[0, 0.5, 1.3, 0, 1.1]`のようにランダムに散らばったいくつかのゼロを含むようになります。「ドロップアウト率」はゼロ化される特徴の割合で、通常は0.2から0.5の間に設定します。テスト時は、どのユニットもドロップアウトされず、代わりに出力値がドロップアウト率と同じ比率でスケールダウンされます。これは、訓練時に比べてたくさんのユニットがアクティブであることに対してバランスをとるためです。\n", "\n", "`tf.keras`では、Dropout層を使ってドロップアウトをネットワークに導入できます。ドロップアウト層は、その直前の層の出力に対してドロップアウトを適用します。\n", "\n", "それでは、IMDBネットワークに2つのドロップアウト層を追加しましょう。" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "OFEYvtrHxSWS" }, "outputs": [], "source": [ "dpt_model = keras.models.Sequential([\n", " keras.layers.Dense(16, activation=tf.nn.relu, input_shape=(NUM_WORDS,)),\n", " keras.layers.Dropout(rate=0.5),\n", " keras.layers.Dense(16, activation=tf.nn.relu),\n", " keras.layers.Dropout(rate=0.5),\n", " keras.layers.Dense(1, activation=tf.nn.sigmoid)\n", "])\n", "\n", "dpt_model.compile(optimizer='adam',\n", " loss='binary_crossentropy',\n", " metrics=['accuracy','binary_crossentropy'])\n", "\n", "dpt_model_history = dpt_model.fit(train_data, train_labels,\n", " epochs=20,\n", " batch_size=512,\n", " validation_data=(test_data, test_labels),\n", " verbose=2)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "SPZqwVchx5xp" }, "outputs": [], "source": [ "plot_history([('baseline', baseline_history),\n", " ('dropout', dpt_model_history)])" ] }, { "cell_type": "markdown", "metadata": { "id": "QioQ5e9GN0bM" }, "source": [ "ドロップアウトを追加することで、比較対象モデルより明らかに改善が見られます。\n", "\n", "まとめ:ニューラルネットワークにおいて過学習を防ぐ最も一般的な方法は次のとおりです。\n", "\n", "* 訓練データを増やす\n", "* ネットワークの容量をへらす\n", "* 重みの正則化を行う\n", "* ドロップアウトを追加する\n", "\n", "このガイドで触れていない2つの重要なアプローチがあります。データ拡張とバッチ正規化です。" ] } ], "metadata": { "accelerator": "GPU", "colab": { "collapsed_sections": [ "fTFj8ft5dlbS" ], "name": "overfit_and_underfit.ipynb", "toc_visible": true }, "kernelspec": { "display_name": "Python 3", "name": "python3" } }, "nbformat": 4, "nbformat_minor": 0 }
apache-2.0
ktaneishi/deepchem
examples/notebooks/Estimators.ipynb
2
17028
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "Using DeepChem with Tensorflow Data and Estimators\n", "-----------------------------------------------\n", "\n", "When DeepChem was first created, Tensorflow had no standard interface for datasets or models. We created the Dataset and Model classes to fill this hole. More recently, Tensorflow has added the `tf.data` module as a standard interface for datasets, and the `tf.estimator` module as a standard interface for models. To enable easy interoperability with other tools, we have added features to Dataset and Model to support these new standards.\n", "\n", "This example demonstrates how to use these features. Let's begin by loading a dataset and creating a model to analyze it. We'll use a simple MultitaskClassifier with one hidden layer." ] }, { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Loading dataset from disk.\n", "Loading dataset from disk.\n", "Loading dataset from disk.\n" ] } ], "source": [ "import deepchem as dc\n", "import tensorflow as tf\n", "import numpy as np\n", "\n", "tasks, datasets, transformers = dc.molnet.load_tox21()\n", "train_dataset, valid_dataset, test_dataset = datasets\n", "n_tasks = len(tasks)\n", "n_features = train_dataset.X.shape[1]\n", "\n", "model = dc.models.MultitaskClassifier(n_tasks, n_features, layer_sizes=[1000], dropouts=0.25)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We want to train the model using the training set, then evaluate it on the test set. As our evaluation metric we will use the ROC AUC, averaged over the 12 tasks included in the dataset. First let's see how to do this with the DeepChem API." ] }, { "cell_type": "code", "execution_count": 2, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "computed_metrics: [0.7081719239992621, 0.865129545326814, 0.836842947009276, 0.7701224617961064, 0.7081635765485087, 0.7911911911911912, 0.7207671300893743, 0.6592307518932563, 0.7976869777868352, 0.7409154581410679, 0.8243317675424011, 0.7112435328898743]\n", "{'mean-roc_auc_score': 0.7611497720178306}\n" ] } ], "source": [ "model.fit(train_dataset, nb_epoch=100)\n", "metric = dc.metrics.Metric(dc.metrics.roc_auc_score, np.mean)\n", "print(model.evaluate(test_dataset, [metric]))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Simple enough. Now let's see how to do the same thing with the Tensorflow APIs. Fair warning: this is going to take a lot more code!\n", "\n", "To begin with, Tensorflow doesn't allow a dataset to be passed directly to a model. Instead, you need to write an \"input function\" to construct a particular set of tensors and return them in a particular format. Fortunately, Dataset's `make_iterator()` method provides exactly the tensors we need in the form of a `tf.data.Iterator`. This allows our input function to be very simple." ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def input_fn(dataset, epochs):\n", " x, y, weights = dataset.make_iterator(batch_size=100, epochs=epochs).get_next()\n", " return {'x': x, 'weights': weights}, y" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Next, you have to use the functions in the `tf.feature_column` module to create an object representing each feature and weight column (but curiously, *not* the label column—don't ask me why!). These objects describe the data type and shape of each column, and give each one a name. The names must match the keys in the dict returned by the input function." ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": true }, "outputs": [], "source": [ "x_col = tf.feature_column.numeric_column('x', shape=(n_features,))\n", "weight_col = tf.feature_column.numeric_column('weights', shape=(n_tasks,))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Unlike DeepChem models, which allow arbitrary metrics to be passed to `evaluate()`, estimators require all metrics to be defined up front when you create the estimator. Unfortunately, Tensorflow doesn't have very good support for multitask models. It provides an AUC metric, but no easy way to average this metric over tasks. We therefore must create a separate metric for every task, then define our own metric function to compute the average of them." ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def mean_auc(labels, predictions, weights):\n", " metric_ops = []\n", " update_ops = []\n", " for i in range(n_tasks):\n", " metric, update = tf.metrics.auc(labels[:,i], predictions[:,i], weights[:,i])\n", " metric_ops.append(metric)\n", " update_ops.append(update)\n", " mean_metric = tf.reduce_mean(tf.stack(metric_ops))\n", " update_all = tf.group(*update_ops)\n", " return mean_metric, update_all" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Now we create our `Estimator` by calling `make_estimator()` on the DeepChem model. We provide as arguments the objects created above to represent the feature and weight columns, as well as our metric function." ] }, { "cell_type": "code", "execution_count": 6, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "INFO:tensorflow:Using default config.\n", "INFO:tensorflow:Using config: {'_model_dir': 'estimator', '_tf_random_seed': None, '_save_summary_steps': 100, '_save_checkpoints_steps': None, '_save_checkpoints_secs': 600, '_session_config': None, '_keep_checkpoint_max': 5, '_keep_checkpoint_every_n_hours': 10000, '_log_step_count_steps': 100, '_service': None, '_cluster_spec': <tensorflow.python.training.server_lib.ClusterSpec object at 0x12d39bef0>, '_task_type': 'worker', '_task_id': 0, '_master': '', '_is_chief': True, '_num_ps_replicas': 0, '_num_worker_replicas': 1}\n" ] } ], "source": [ "estimator = model.make_estimator(feature_columns=[x_col],\n", " weight_column=weight_col,\n", " metrics={'mean_auc': mean_auc},\n", " model_dir='estimator')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We are finally ready to train and evaluate it! Notice how the input function passed to each method is actually a lambda. This allows us to write a single function, then use it with different datasets and numbers of epochs." ] }, { "cell_type": "code", "execution_count": 7, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "INFO:tensorflow:Create CheckpointSaverHook.\n", "INFO:tensorflow:Saving checkpoints for 1 into estimator/model.ckpt.\n", "INFO:tensorflow:loss = 1716.8385, step = 1\n", "INFO:tensorflow:global_step/sec: 63.5117\n", "INFO:tensorflow:loss = 804.13416, step = 101 (1.576 sec)\n", "INFO:tensorflow:global_step/sec: 41.9688\n", "INFO:tensorflow:loss = 682.90265, step = 201 (2.383 sec)\n", "INFO:tensorflow:global_step/sec: 65.7841\n", "INFO:tensorflow:loss = 805.00336, step = 301 (1.520 sec)\n", "INFO:tensorflow:global_step/sec: 42.4989\n", "INFO:tensorflow:loss = 466.05975, step = 401 (2.353 sec)\n", "INFO:tensorflow:global_step/sec: 65.1989\n", "INFO:tensorflow:loss = 704.69446, step = 501 (1.534 sec)\n", "INFO:tensorflow:global_step/sec: 40.9395\n", "INFO:tensorflow:loss = 450.0899, step = 601 (2.443 sec)\n", "INFO:tensorflow:global_step/sec: 41.2419\n", "INFO:tensorflow:loss = 349.05804, step = 701 (2.424 sec)\n", "INFO:tensorflow:global_step/sec: 60.7104\n", "INFO:tensorflow:loss = 338.63837, step = 801 (1.647 sec)\n", "INFO:tensorflow:global_step/sec: 40.4742\n", "INFO:tensorflow:loss = 351.22452, step = 901 (2.471 sec)\n", "INFO:tensorflow:global_step/sec: 63.2702\n", "INFO:tensorflow:loss = 325.0889, step = 1001 (1.581 sec)\n", "INFO:tensorflow:global_step/sec: 42.8089\n", "INFO:tensorflow:loss = 334.04944, step = 1101 (2.336 sec)\n", "INFO:tensorflow:global_step/sec: 41.0803\n", "INFO:tensorflow:loss = 299.88806, step = 1201 (2.434 sec)\n", "INFO:tensorflow:global_step/sec: 62.1056\n", "INFO:tensorflow:loss = 301.3775, step = 1301 (1.610 sec)\n", "INFO:tensorflow:global_step/sec: 41.056\n", "INFO:tensorflow:loss = 345.18347, step = 1401 (2.436 sec)\n", "INFO:tensorflow:global_step/sec: 61.8764\n", "INFO:tensorflow:loss = 220.67397, step = 1501 (1.616 sec)\n", "INFO:tensorflow:global_step/sec: 39.5263\n", "INFO:tensorflow:loss = 232.79745, step = 1601 (2.529 sec)\n", "INFO:tensorflow:global_step/sec: 63.3011\n", "INFO:tensorflow:loss = 185.26181, step = 1701 (1.580 sec)\n", "INFO:tensorflow:global_step/sec: 40.9611\n", "INFO:tensorflow:loss = 188.3253, step = 1801 (2.441 sec)\n", "INFO:tensorflow:global_step/sec: 41.6845\n", "INFO:tensorflow:loss = 190.70108, step = 1901 (2.399 sec)\n", "INFO:tensorflow:global_step/sec: 64.629\n", "INFO:tensorflow:loss = 162.53293, step = 2001 (1.547 sec)\n", "INFO:tensorflow:global_step/sec: 42.2537\n", "INFO:tensorflow:loss = 161.35915, step = 2101 (2.367 sec)\n", "INFO:tensorflow:global_step/sec: 64.5807\n", "INFO:tensorflow:loss = 145.4078, step = 2201 (1.548 sec)\n", "INFO:tensorflow:global_step/sec: 41.9227\n", "INFO:tensorflow:loss = 120.111115, step = 2301 (2.385 sec)\n", "INFO:tensorflow:global_step/sec: 42.6787\n", "INFO:tensorflow:loss = 151.61371, step = 2401 (2.343 sec)\n", "INFO:tensorflow:global_step/sec: 63.3021\n", "INFO:tensorflow:loss = 136.98262, step = 2501 (1.580 sec)\n", "INFO:tensorflow:global_step/sec: 42.6588\n", "INFO:tensorflow:loss = 89.13097, step = 2601 (2.344 sec)\n", "INFO:tensorflow:global_step/sec: 64.7405\n", "INFO:tensorflow:loss = 101.06474, step = 2701 (1.545 sec)\n", "INFO:tensorflow:global_step/sec: 43.2237\n", "INFO:tensorflow:loss = 116.96815, step = 2801 (2.314 sec)\n", "INFO:tensorflow:global_step/sec: 43.4182\n", "INFO:tensorflow:loss = 84.83482, step = 2901 (2.303 sec)\n", "INFO:tensorflow:global_step/sec: 65.1042\n", "INFO:tensorflow:loss = 145.16194, step = 3001 (1.536 sec)\n", "INFO:tensorflow:global_step/sec: 42.3864\n", "INFO:tensorflow:loss = 92.99321, step = 3101 (2.359 sec)\n", "INFO:tensorflow:global_step/sec: 64.7556\n", "INFO:tensorflow:loss = 65.05712, step = 3201 (1.544 sec)\n", "INFO:tensorflow:global_step/sec: 42.6498\n", "INFO:tensorflow:loss = 78.92055, step = 3301 (2.345 sec)\n", "INFO:tensorflow:global_step/sec: 65.4527\n", "INFO:tensorflow:loss = 77.93735, step = 3401 (1.528 sec)\n", "INFO:tensorflow:global_step/sec: 42.8958\n", "INFO:tensorflow:loss = 57.02035, step = 3501 (2.332 sec)\n", "INFO:tensorflow:global_step/sec: 43.3849\n", "INFO:tensorflow:loss = 95.91443, step = 3601 (2.305 sec)\n", "INFO:tensorflow:global_step/sec: 65.1448\n", "INFO:tensorflow:loss = 75.03122, step = 3701 (1.535 sec)\n", "INFO:tensorflow:global_step/sec: 42.6941\n", "INFO:tensorflow:loss = 62.8435, step = 3801 (2.342 sec)\n", "INFO:tensorflow:global_step/sec: 65.2233\n", "INFO:tensorflow:loss = 45.883224, step = 3901 (1.533 sec)\n", "INFO:tensorflow:global_step/sec: 43.4815\n", "INFO:tensorflow:loss = 57.56656, step = 4001 (2.300 sec)\n", "INFO:tensorflow:global_step/sec: 41.5674\n", "INFO:tensorflow:loss = 70.33858, step = 4101 (2.406 sec)\n", "INFO:tensorflow:global_step/sec: 58.5978\n", "INFO:tensorflow:loss = 67.34745, step = 4201 (1.707 sec)\n", "INFO:tensorflow:global_step/sec: 39.8156\n", "INFO:tensorflow:loss = 46.03079, step = 4301 (2.511 sec)\n", "INFO:tensorflow:global_step/sec: 60.5059\n", "INFO:tensorflow:loss = 40.959454, step = 4401 (1.653 sec)\n", "INFO:tensorflow:global_step/sec: 41.7228\n", "INFO:tensorflow:loss = 36.393044, step = 4501 (2.397 sec)\n", "INFO:tensorflow:global_step/sec: 42.4976\n", "INFO:tensorflow:loss = 46.14415, step = 4601 (2.353 sec)\n", "INFO:tensorflow:global_step/sec: 66.1396\n", "INFO:tensorflow:loss = 41.93784, step = 4701 (1.512 sec)\n", "INFO:tensorflow:global_step/sec: 42.5402\n", "INFO:tensorflow:loss = 29.39001, step = 4801 (2.351 sec)\n", "INFO:tensorflow:global_step/sec: 65.0227\n", "INFO:tensorflow:loss = 29.608704, step = 4901 (1.538 sec)\n", "INFO:tensorflow:global_step/sec: 43.692\n", "INFO:tensorflow:loss = 43.265915, step = 5001 (2.289 sec)\n", "INFO:tensorflow:global_step/sec: 65.8827\n", "INFO:tensorflow:loss = 41.69668, step = 5101 (1.518 sec)\n", "INFO:tensorflow:global_step/sec: 42.4384\n", "INFO:tensorflow:loss = 28.208687, step = 5201 (2.356 sec)\n", "INFO:tensorflow:global_step/sec: 42.4864\n", "INFO:tensorflow:loss = 34.643417, step = 5301 (2.354 sec)\n", "INFO:tensorflow:global_step/sec: 66.223\n", "INFO:tensorflow:loss = 46.616447, step = 5401 (1.510 sec)\n", "INFO:tensorflow:global_step/sec: 42.0575\n", "INFO:tensorflow:loss = 42.339645, step = 5501 (2.378 sec)\n", "INFO:tensorflow:global_step/sec: 65.1812\n", "INFO:tensorflow:loss = 94.012146, step = 5601 (1.534 sec)\n", "INFO:tensorflow:global_step/sec: 43.1405\n", "INFO:tensorflow:loss = 25.879742, step = 5701 (2.318 sec)\n", "INFO:tensorflow:global_step/sec: 43.209\n", "INFO:tensorflow:loss = 35.351685, step = 5801 (2.314 sec)\n", "INFO:tensorflow:global_step/sec: 65.5692\n", "INFO:tensorflow:loss = 12.110611, step = 5901 (1.525 sec)\n", "INFO:tensorflow:global_step/sec: 42.3864\n", "INFO:tensorflow:loss = 19.612688, step = 6001 (2.359 sec)\n", "INFO:tensorflow:global_step/sec: 65.1961\n", "INFO:tensorflow:loss = 31.003126, step = 6101 (1.534 sec)\n", "INFO:tensorflow:global_step/sec: 42.9087\n", "INFO:tensorflow:loss = 21.030697, step = 6201 (2.330 sec)\n", "INFO:tensorflow:Saving checkpoints for 6300 into estimator/model.ckpt.\n", "INFO:tensorflow:Loss for final step: 19.216248.\n", "INFO:tensorflow:Starting evaluation at 2018-03-06-22:55:47\n", "INFO:tensorflow:Restoring parameters from estimator/model.ckpt-6300\n", "INFO:tensorflow:Finished evaluation at 2018-03-06-22:55:49\n", "INFO:tensorflow:Saving dict for global step 6300: global_step = 6300, loss = 6348.7153, mean_auc = 0.7047531\n", "{'loss': 6348.7153, 'mean_auc': 0.7047531, 'global_step': 6300}\n" ] } ], "source": [ "estimator.train(input_fn=lambda: input_fn(train_dataset, 100))\n", "print(estimator.evaluate(input_fn=lambda: input_fn(test_dataset, 1)))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "That's a lot of code for something DeepChem can do in three lines. The Tensorflow API is verbose and somewhat confusing. It has seemingly arbitrary limitations, like assuming a model will only ever have one output, and therefore only allowing one label. But for better or worse, it's a standard.\n", "\n", "Of course, if you just want to use a DeepChem model with a DeepChem dataset, there is no need for any of this. Just use the DeepChem API. But perhaps you want to use a DeepChem dataset with a model that has been implemented as an estimator. In that case, `Dataset.make_iterator()` allows you to easily do that. Or perhaps you have higher level workflow code that is written to work with estimators. In that case, `make_estimator()` allows DeepChem models to easily fit into that workflow." ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.0" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
greenelab/GCB535
15_Reproducibility/HW_Reproducible_Research.ipynb
1
16766
{ "cells": [ { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "# In-class: Reproducible Computational Research #" ] }, { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "***NOTE: Make sure your kernel is set to \"R (SageMath)\" so you can test these commands in your ipynb! (Kernel > Change kernel)***" ] }, { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "Today, you we will be covering several practical principles and guidelines to making your code reproducible.\n", "\n", "1. Making your code clear: Comments, variable names.\n", "2. Versioning and reusing code: Creating a new notebook\n", "3. Notebook documentation: Who, what, where, why, when.\n", "4. A little automation: Making your code \"generic\"\n", "\n", "Your homework will build upon these, to practice making a new pipeline (and writing it so that is clear to you)." ] }, { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "## Part 1: Making your code clear" ] }, { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "When writing code (or an analysis pipeline), it is essential that each part of what your pipeline is doing is clearly documented and understandable to someone who is knowledgable enough to read code. \n", "\n", "The best way that I think about this is the \"Future Self: 3 month from now\" principle. You will frequently find yourself in a position where you have generated what you *think* is a final result (for a scientific paper), only to realize 3 months later that you need to do something else (tweak the code, reanalyze data again, etc.).\n", "\n", "It is precisely this situation that leaving yourself 'clues' as to what you were doing (and thinking) at the time you were writing particular bits of code really help you quickly get back up to speed on what you were previously doing (hours instead of days; minutes, instead of hours), but also cofidence that you can reproduce exactly what you had done previously." ] }, { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "In all programming languages, you can add \"comments\" your code. In R, which we will focus on today, that can be done using the # symbol. \n", "\n", "For every block of code in R, it is very good practice to have comments describing what it does. For instance:" ] }, { "cell_type": "code", "execution_count": 0, "metadata": { "collapsed": false }, "outputs": [ ], "source": [ "# Prints the next even number after a\n", "a <- 9\n", "if(a %% 2 == 0) {\n", " print(a + 2)\n", "} else { \n", " print(a + 1) }" ] }, { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "**1. Now, look at the following 3 blocks of R code. For each block:**\n", "\n", "**a. Figure out what the following code blocks are doing, and add a comment describing, in human terms, what it is doing.**\n", "\n", "**b. In addition, rename any variables with sub-optimal names (i.e., those we named \"badvariable\")**\n", "\n", "Variables names should be short and descriptive when possible. For instance, a variable that contains a list of prime numbers could be called primes. However, if you have a variable that just stores the value 5, something simple, like \"a\" would be fine. In order to figure out what the code is doing, run the code blocks. If needed, you can replace the \"Hello Students\" strings or x value with whatever you think would be useful in helping you figure it out." ] }, { "cell_type": "code", "execution_count": 0, "metadata": { "collapsed": false }, "outputs": [ ], "source": [ "w = \"Hello Students\" \n", "s <- strsplit(w, \" \")\n", "substr(s[[1]], 1, 2)" ] }, { "cell_type": "code", "execution_count": 0, "metadata": { "collapsed": false }, "outputs": [ ], "source": [ "w = \"Hello Students\"\n", "badlynamedvariable1 <- c(\"a\", \"e\", \"i\", \"o\", \"u\")\n", "badlynamedvariable2 <- tolower(strsplit(w, \"\")[[1]])\n", "badlynamedvariable3 <- badlynamedvariable2[badlynamedvariable2 %in% badlynamedvariable1]\n", "print(badlynamedvariable3)" ] }, { "cell_type": "code", "execution_count": 0, "metadata": { "collapsed": false }, "outputs": [ ], "source": [ "x <- 18\n", "nums <- c(5, 10, 16, 19, 11)\n", "badlynamedvariable4 <- abs(nums - x)\n", "which.min(badlynamedvariable4)" ] }, { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "**2a. I have provided you a list of numbers in the variable \"mylist\". Write some code in R that calculates the sample standard deviation, by hand:**\n", "\n", "![Caption for the picture.](https://wikimedia.org/api/rest_v1/media/math/render/svg/1bffdcb1ecd0b326bb7ad67397b073af9c15fa6e)\n", "\n", "x_i: each *i* elements in your list\n", "\n", "xbar: the mean of your list \n", "\n", "N: the size of your list\n", "\n", "**After each block of code that you write, use code comments to document what is being done. **\n", "\n", "**2b. Savvy users will note that R has a built in function mean() and sd(). Use this function to check your work (with a comment stating as such!)**" ] }, { "cell_type": "code", "execution_count": 0, "metadata": { "collapsed": false }, "outputs": [ ], "source": [ "# We're giving you a list of numbers. Make sure to run this cell to have mylist available to you.\n", "mylist <- c(71, 27, 363, 12, 3, 976)" ] }, { "cell_type": "code", "execution_count": 0, "metadata": { "collapsed": false }, "outputs": [ ], "source": [ ] }, { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "## Part 2: Versioning and reusing code: Creating a new notebook" ] }, { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "In class, you have been working with notebooks that we have been providing you. \n", "\n", "But in reality, you will have to make notebooks entirely on your own, ones that execute the code that you want to run. Literally, a \"blank slate\". In this section, we'll walk you through the process of creating your own notebook, and good practices there." ] }, { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "**3a. Using CoCalc, create a new notebook. You can do this by clicking on the \"+ create\" button, name your workbook \"my_new_notebook\", and then click the \"Jupyter Notebook\" button.**\n", "\n", "**3b. We need to change a setting so that the code you input is recognized as R code, and processed by the notebook accordingly. To do this, select the \"kernel\" menu, then the option \"change kernel\" and select \"R (SageMath)\".**" ] }, { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "Your notebook consists of \"cells\" in which you can input information. These cells have specific types: For example, they can be set to process basic text (\"Markdown\"), or be set to execute computer code (\"Code\"). " ] }, { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "**3c. Change the first cell type to \"Markdown\". You can do this by click on the cell (so that the cursor is visible), then select \"Markdown\" from the drop-down box (found between the circular refresh and keyboard icons).**" ] }, { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "## Part 3: Notebook Documentation" ] }, { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "Each time you create a new notebook, computational pipeline, or code for analysis, it is important that you include the following details at the start of the document - the \"header\". There, you should provide:\n", "\n", "- A title for the workbook (ideally, the same name as the file) \n", "- Who wrote the document/code\n", "- When they created it\n", "- When it was last modified\n", "- What the code is designed to do, the objectives, and rationale (why)\n", "- List any data file that the code and pipeline was designed to use, or analyze.\n" ] }, { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "**4a. As the name of our first notebook implies, let's imagine that we are writing this book to calculate a mean and sample standard deviation for the list that we provided above. In cell that you just set to Markdown, add details into the document (title, your name, when created, the above information) to your notebook.**\n", "\n", "**4b. Next, create a new cell. You can do this by using \"Insert\" menu, and \"Insert cell below\".** Remember, you want to makes sure you add comments where you think they are appropriate. For example, what analysis are you trying to do? What results do you get and how you interpret them?\n", "\n", "There is no right way to organize or comment your code in jupyter notebooks, as long as it is easy to read and descriptive!\n", "\n", "**4c. Finally, copy the code you wrote in 2b into this new cell. Execute the code to make sure it works!**" ] }, { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "## Part 4: A little bit of automation" ] }, { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "Ok, so now you have code that will calculate a mean and sd for a given list of numbers. That's cool. But let's make it cooler.\n", "\n", "**5. Suppose that instead, your data is located in a file called \"mylist.csv\", a file that is separated by commas. How would you read that data into R, into a variable called \"mylist\"? Write your R code below.**\n", "\n", "Hint: Use the `as.numeric()` to convert the contents of the data in mylist to \"numbers\", e.g.\n", "\n", "`mylist <- as.numeric( read.table( ... ) ) `" ] }, { "cell_type": "code", "execution_count": 0, "metadata": { "collapsed": false }, "outputs": [ ], "source": [ ] }, { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "**6. Based on this, add a new block of code in your new notebook to calculate the mean and sample standard deviation from the file \"mylist.csv\". Create a new cell (\"Code\") to achieve this task.**\n", "\n", "Be sure to add R comments to your new code!" ] }, { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "Sometimes, you will want to run the same bit of code on a couple of data files. In the code we have written, this isn't very obviously amenable to this. \n", "\n", "What we'd like to do is start by providing the file name, and then the code will \"run\" using data contained in the file. That way, all we'd have to do is change the file name (at the start of the program). Or eventually, read the file from the command line (in UNIX).\n", "\n", "**7. Modify your code in your file in the following way:**\n", "\n", "**a. Copy your code into a new cell. **\n", "\n", "**b. Create a new variable called \"myfile\", and assign to it the name of your input file \"mylist.csv\".**\n", "\n", "**c. Change the `read.table()` function to refer to the variable myfile, rather than the name of the file.**\n", "\n", "**d. Execute your code to make sure it works!**" ] }, { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "# Homework assignment " ] }, { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "In the following homework assignment, imagine you are working on a gene expression dataset. You want to do some analysis with this dataset and publish a paper. To make sure someone else can reproduce your analysis and results, you want to generate a report with the code and the output results. You will do so using a jupyter notebook." ] }, { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "In this HW, you will use the programming language R, as you have been above. \n", "\n", "You will be doing a basic analysis of the `genes.table` dataset." ] }, { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "**1. Open the jupyter notebook you created in class (\"my_new_notebook.ipynb\"). Add a new section called \"Homework\", and include an appropriate header. Add your code and answers to the questions below to the Homework section. Make sure the kernel is set to R (SageMath)** " ] }, { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "**2: Create \"chunks\" (i.e., new cells) of R code that perform the following tasks.**\n", "\n", "**a. First, read in the `genes.table` file and stored into a variable. Use the `head()` function to print out the first few rows of the table and check if you correctly load the table. **\n", "\n", "** What are the columns? Write your answer in a markdown cell in your new notebook. **" ] }, { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "**3. Using the `hist()` function, create a new cell and write code to plot the distribution of abundance for each gene. Outside the code chunk, use comments to interpret what you observe from these plots. (For example, do they look normally distributed?) If you wanted to test for differences in expression between genes, which tests would you use for which comparisons? Why? (Hint: What does the t-test assume? What is an alternative to a t-test?). Write your answers in a markdown cell in your new notebook.**" ] }, { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "**4. Create a new cell, and use the approprite statistical test to compare the expression patterns of the following pairs: Hint: In R, the functions `t.test()` and `wilcox.test()` may be useful to you.**\n", "\n", "**a. geneA vs geneB**\n", "\n", "**b. geneA vs geneC**\n", "\n", "**c. geneB vs geneC**\n", "\n", "**d. geneB vs geneD**\n", "\n", "**Outside the code chunks, write down what statistical test you use in the analysis and what results you get. Write your answers in a markdown cell in your new notebook.**" ] }, { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "**5a. Now, make sure you hit the save button on your jupyter notebook!**\n", "\n", "**5b. Download your ipython notebook file as an html file. To accomplish this, go to the \"File\" menu and select \"Download as\", and select HTML.**\n", "\n", "Open in up in a web browser. As you can see, it looks very similar to the code in the jupyter notebook, except that you can not edit it.\n", "\n", "**5c. Next, upload the HTML back into your directory. .html files can be opened by any web browser. Therefore, this html file can be useful for sharing your results with anyone who does not have jupyter installed on their computer. You can also download jupyter notebooks as .pdf files if you have jupyter installed on your own computer. However, the pdf convertor does not always work on CoCalc. **" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "**6. Finally, create a new cell, and in R, call the `sessionInfo()` command. This command gives you a snapshot of the versions of libraries and various tools that you have installed (and run). Useful for reproducibility! **" ] } ], "metadata": { "kernelspec": { "display_name": "R (SageMath)", "language": "r", "name": "ir-sage" }, "language_info": { "codemirror_mode": "r", "file_extension": ".r", "mimetype": "text/x-r-source", "name": "R", "pygments_lexer": "r", "version": "3.3.2" }, "name": "HW_Reproducible_Research.ipynb" }, "nbformat": 4, "nbformat_minor": 0 }
bsd-3-clause
johntellsall/shotglass
jupyter/postgres-releases.ipynb
1
28372
{ "cells": [ { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [], "source": [ "%matplotlib inline\n", "\n", "# TODO: update Postgres Git :)" ] }, { "cell_type": "code", "execution_count": 28, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "raw: 476\n", "[('PG95-1_01', '1996-07-09'), ('PG95-1_08', '1996-10-04'), ('PG95-1_09', '1996-10-31'), ('REL2_0', '1996-12-09'), ('REL6_1', '1997-06-13'), ('REL_12_BETA1', '2019-05-20'), ('Release_1_0_2', '1996-08-02'), ('Release_2_0', '1996-08-14'), ('Release_2_0_0', '1996-08-17'), ('release-6-3', '1998-03-02')]\n", "\n", "rel: 34\n", "['REL2_0', 'REL6_1', 'REL6_2', 'REL6_3', 'REL6_5', 'REL7_0', 'REL7_1', 'REL7_2', 'REL7_3', 'REL7_4', 'REL_10_0', 'REL_10_1', 'REL_10_2', 'REL_10_3', 'REL_10_4', 'REL_10_5', 'REL_10_6', 'REL_10_7', 'REL_10_8', 'REL_10_BETA1', 'REL_10_BETA2', 'REL_10_BETA3', 'REL_10_BETA4', 'REL_10_RC1', 'REL_11_0', 'REL_11_1', 'REL_11_2', 'REL_11_3', 'REL_11_BETA1', 'REL_11_BETA2', 'REL_11_BETA3', 'REL_11_BETA4', 'REL_11_RC1', 'REL_12_BETA1']\n", "\n", "[datetime.datetime(1996, 12, 9, 0, 0), datetime.datetime(1997, 6, 13, 0, 0), datetime.datetime(1997, 10, 2, 0, 0)]\n" ] } ], "source": [ "import re\n", "import sqlite3\n", "from datetime import datetime\n", "\n", "import matplotlib.dates as mdates\n", "import matplotlib.pyplot as plt\n", "import numpy as np\n", "\n", "con = sqlite3.connect('main.db')\n", "\n", "project_name = 'postgres'\n", "\n", "sql = f'''\n", "select tag, date(creator_dt)\n", "from projects join releases on projects.id = releases.project_id\n", "where name = '{project_name}'\n", "\n", "'''\n", "# and tag like 'REL_%' and tag not like 'REL2%'\n", "# and tag not like 'release%'\n", "# and tag not like '%ALPHA%'\n", "# and tag not like '%beta%'\n", "# and tag not like '%RC%'\n", "raw_releases = con.execute(sql).fetchall()\n", "\n", "def is_interesting(rtag):\n", " major_minor = re.compile(r'^REL[0-9]_[0-9]+$')\n", " recent_mm = re.compile(r'^REL_1')\n", " return bool(major_minor.match(rtag) or recent_mm.match(rtag))\n", "\n", "# TODO: use semver library?\n", "def is_major(version):\n", " # note: \"REL\" prefix stripped\n", " major3 = re.compile(r'^[0-9]+.0.0$') # \"7.0.0\"\n", " major2 = re.compile(r'^[0-9]+.0$') # \"10.0\" (no micro)\n", " return bool(major2.match(version) or major3.match(version))\n", "\n", "def strip_prefix(rtag):\n", " return rtag.lstrip('REL_') # note: strip chars, not string\n", "\n", "print(f'raw: {len(raw_releases)}')\n", "print(raw_releases[:5] + raw_releases[-5:])\n", "print()\n", "\n", "# for row in raw_releases[:10] + raw_releases[-10:]:\n", "# print(f'{row[0]:10} {row[1]} {is_interesting(row[0])}')\n", "\n", "releases = list(rel for rel in raw_releases if is_interesting(rel[0]))\n", "print(f'rel: {len(releases)}')\n", "print([row[0] for row in releases])\n", "# print(releases[:5] + releases[-5:])\n", "print()\n", "\n", "names = [strip_prefix(row[0]) for row in releases]\n", "dates = [row[1] for row in releases]\n", "dates = [datetime.strptime(d, \"%Y-%m-%d\") for d in dates]\n", "print(dates[:3])" ] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[(datetime.datetime(1997, 6, 13, 0, 0), -5, '6_1'), (datetime.datetime(1997, 10, 2, 0, 0), 5, '6_2'), (datetime.datetime(1998, 3, 2, 0, 0), -3, '6_3')]\n", "6_1 False\n", "6_2 False\n", "6_3 False\n", "6_5 False\n", "7_0 True\n", "7_1 False\n", "7_2 False\n", "7_3 False\n", "7_4 False\n", "10_0 True\n", "10_1 False\n", "10_2 False\n", "10_3 False\n", "10_4 False\n", "10_5 False\n", "10_6 False\n", "10_7 False\n", "10_8 False\n", "11_0 True\n", "11_1 False\n", "11_2 False\n", "11_3 False\n" ] }, { "data": { "image/png": "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", "text/plain": [ "<Figure size 633.6x288 with 1 Axes>" ] }, "metadata": { "needs_background": "light" }, "output_type": "display_data" }, { "data": { "text/plain": [ "<Figure size 432x288 with 0 Axes>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "# Choose some nice levels\n", "levels = np.tile([-5, 5, -3, 3, -1, 1],\n", " int(np.ceil(len(dates)/6)))[:len(dates)]\n", "\n", "# Create figure and plot a stem plot with the date\n", "fig, ax = plt.subplots(figsize=(8.8, 4), constrained_layout=True)\n", "\n", "ax.vlines(dates, 0, levels, color=\"tab:red\") # The vertical stems.\n", "ax.plot(dates, np.zeros_like(dates), \"-o\",\n", " color=\"black\", markerfacecolor=\"white\") # Baseline and markers on it.\n", "\n", "releases = list(zip(dates, levels, names))\n", "print(releases[:3])\n", "\n", "# annotate minor releases with lines\n", "min_style = dict(textcoords=\"offset points\",\n", " horizontalalignment=\"right\")\n", "for a_date, a_level, name in releases:\n", " if is_major(name):\n", " continue\n", " ax.annotate(name, xy=(a_date, a_level),\n", " xytext=(-3, np.sign(a_level)*3),\n", " verticalalignment=\"bottom\" if a_level > 0 else \"top\",\n", " **min_style)\n", "\n", "# major release gets big blue circle\n", "major_style = dict(\n", " size=10, ha=\"center\", va=\"center\", # rotation=45, \n", " bbox=dict(boxstyle=\"circle,pad=0.3\", fc=\"cyan\", ec=\"b\", lw=2)\n", ")\n", "for a_date, a_level, name in releases:\n", " print(name, is_major(name))\n", " if not is_major(name):\n", " continue\n", " major_name = re.match('[0-9]+', name).group(0) # major version only\n", " t = ax.text(\n", " a_date, 0, major_name, \n", " **major_style)\n", "\n", "ax.xaxis.set_major_locator(mdates.MonthLocator(interval=12))\n", "ax.xaxis.set_major_formatter(mdates.DateFormatter(\"%Y\"))\n", "\n", "ax.set(title=f\"{project_name.title()} release dates\")\n", "\n", "# remove y axis and spines\n", "ax.yaxis.set_visible(False)\n", "ax.spines[[\"left\", \"top\", \"right\"]].set_visible(False)\n", "ax.margins(y=0.2)\n", "\n", "plt.show()\n", "\n", "plt.savefig(f\"{project_name}-releases.png\")" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.9.9" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
TheProgrammingDuck/Europa-Challenge
Site/WebWorldWindDocs.ipynb
1
39987
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "## The Foundations" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We decided to use the Django web framework for our site. We believed that it would give us the flexibility we needed to perform the backend python operations we needed. If the reader is not familiar with Django we recommend reading its documentation here: https://docs.djangoproject.com/en/1.11/before continuing on with this document. From here on out we assume a basic level of profiency with the framework as explaining its interacies would distract from the 'meat' of our application. Interpreting predictions produced by our model involved using Pythons 'csv' module and creating a dictionary containing lat, long and fire probability values using a CSV generated by the model named: 'svmoutput.csv' This dictionary could then be handed to app.html via Django. The action takes place in views.py:" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import csv\n", "import os\n", "from django.shortcuts import render\n", "def index(request):\n", " return render(request, 'WPSsite/index.html')\n", "\n", "def app(request):\n", " my_dict = {}\n", " station = []\n", " longs = []\n", " reader = csv.reader(open(os.path.dirname(os.path.realpath(__file__)) + '/svmoutput.csv','r'))\n", " stations = csv.reader(open(os.path.dirname(os.path.realpath(__file__)) + '/firestations.csv','r')) #LOCATION CSV\n", " \n", " #ADD IN LOGIC TO INCLUDE LOCATIONS HERE\n", " for row in reader:\n", " if row[0] == \"\":\n", " pass\n", " else:\n", " key = []\n", " key.append(\"{0:.4f}\".format(float(row[2])))\n", " key.append(\"{0:.4f}\".format(float(row[3])))\n", "\n", " perc = float(row[11])\n", "\n", " if repr(key) in my_dict:\n", " #pass\n", " my_dict[repr(key)].append(perc)\n", " else:\n", " my_dict[repr(key)] = [perc]\n", " \n", " \n", " return render(request, 'WPSsite/app.html', {'my_dict':my_dict})\n", "\n", "\n", "\n", "\n", "def outreach(request):\n", " return render(request, 'WPSsite/outreach.html')\n", "\n", "def docs(request):\n", " return render(request, 'WPSsite/docs.html')\n", "\n", "def mission(request):\n", " return render(request, 'WPSsite/mission.html')\n", "\n", "def team(request):\n", " return render(request, 'WPSsite/team.html')" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "PETE ADD IN NEW FIRESTATION LOGIC EXPLAIN IT AND THE OTHER STUFF" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "By including 'my_dict' as part of the return call to app.html we can use its contents in app.html via Django. More on that later. Lets now go through app.html." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## The App" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "{% extends \"WPSsite/header.html\" %}\n", "\n", "{% block content %}\n", "<head lang=\"en\">\n", " <script src=\"http://worldwindserver.net/webworldwind/worldwind.min.js\" type=\"text/javascript\"></script>\n", " <script src=\"https://cdnjs.cloudflare.com/ajax/libs/require.js/2.3.4/require.min.js\" type = \"text/javascript\"></script>\n", " <script type=\"text/javascript\" src=\"https://cdnjs.cloudflare.com/ajax/libs/fancybox/3.1.20/jquery.fancybox.js\"></script>\n", " <link rel=\"stylesheet\" href=\"https://cdnjs.cloudflare.com/ajax/libs/fancybox/3.1.20/jquery.fancybox.css\" type=\"text/css\" media=\"screen\"/>\n", "\n", "{% load staticfiles %}\n", "\n", " <style>\n", "\n", " .panels{\n", " /* Permalink - use to edit and share this gradient: http://colorzilla.com/gradient-editor/#ededf5+0,eeeef5+100 */\n", " background: rgb(237,237,245); /* Old browsers */\n", " background: -moz-linear-gradient(45deg, rgba(237,237,245,1) 0%, rgba(238,238,245,1) 100%); /* FF3.6-15 */\n", " background: -webkit-linear-gradient(45deg, rgba(237,237,245,1) 0%,rgba(238,238,245,1) 100%); /* Chrome10-25,Safari5.1-6 */\n", " background: linear-gradient(45deg, rgba(237,237,245,1) 0%,rgba(238,238,245,1) 100%); /* W3C, IE10+, FF16+, Chrome26+, Opera12+, Safari7+ */\n", " filter: progid:DXImageTransform.Microsoft.gradient( startColorstr='#ededf5', endColorstr='#eeeef5',GradientType=1 ); /* IE6-9 fallback on horizontal gradient */\n", " border-radius: 5px;\n", " }\n", "\n", " .apppanel{\n", " /* Permalink - use to edit and share this gradient: http://colorzilla.com/gradient-editor/#ffffff+0,f2f2f2+100 */\n", " background: #ffffff; /* Old browsers */\n", " background: -moz-linear-gradient(top, #ffffff 0%, #f2f2f2 100%); /* FF3.6-15 */\n", " background: -webkit-linear-gradient(top, #ffffff 0%,#f2f2f2 100%); /* Chrome10-25,Safari5.1-6 */\n", " background: linear-gradient(to bottom, #ffffff 0%,#f2f2f2 100%); /* W3C, IE10+, FF16+, Chrome26+, Opera12+, Safari7+ */\n", " filter: progid:DXImageTransform.Microsoft.gradient( startColorstr='#ffffff', endColorstr='#f2f2f2',GradientType=0 ); /* IE6-9 */\n", " border-radius: 5px;\n", " margin-left:10px;\n", " margin-right:10px;\n", " padding: 10px 10px 10px 10px;\n", " width:1024px;\n", " margin-bottom:5px;\n", " margin: 0 auto;\n", " }\n", "\n", " .legend{\n", " /* Permalink - use to edit and share this gradient: http://colorzilla.com/gradient-editor/#ffffff+0,f2f2f2+100 */\n", " background: #ffffff; /* Old browsers */\n", " background: -moz-linear-gradient(top, #ffffff 0%, #f2f2f2 100%); /* FF3.6-15 */\n", " background: -webkit-linear-gradient(top, #ffffff 0%,#f2f2f2 100%); /* Chrome10-25,Safari5.1-6 */\n", " background: linear-gradient(to bottom, #ffffff 0%,#f2f2f2 100%); /* W3C, IE10+, FF16+, Chrome26+, Opera12+, Safari7+ */\n", " filter: progid:DXImageTransform.Microsoft.gradient( startColorstr='#ffffff', endColorstr='#f2f2f2',GradientType=0 ); /* IE6-9 */\t\n", "\n", " border-radius: 5px;\n", " margin-left:10px;\n", " margin-right:10px;\n", " padding: 0px 10px 0px 10px;\n", " width:1024px;\n", " margin: 0 auto;\n", " }\n", "\n", " .fullwidth{\n", " margin-left:10px;\n", " margin-right:10px;\n", " }\n", "\n", " .webworldfull{\n", " margin-left:10px;\n", " margin-right:10px;\n", "\n", " }\n", "\n", " .wholeapp{\n", " border-radius: 5px;\n", " margin-left:10px;\n", " margin-right:10px;\n", " background-color:#e3e3e3;\n", " }\n", " \n", " #canvasOne{\n", " background-image: url(\"{% static 'img/starry.jpg' %}\");\n", " }\n", " \n", " button{\n", " height:34px;\n", " }\n", "\n", " </style>\n", "\n", "</head>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "PETE bRIEFLY EXPLAIN THIS" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Next buttons and the search box are defined:" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "<div style=\"display:inline-block; padding-right:50px;\">\n", " <button type=\"button\" id = \"button1\" class=\"btn btn-primary btn-md\">Today</button>\n", " <button type=\"button\" id = \"button2\" class=\"btn btn-primary btn-md\">Tomorrow</button>\n", " <button type=\"button\" id = \"button3\" class=\"btn btn-primary btn-md\">29th July</button>\n", " <button type=\"button\" id = \"button4\" class=\"btn btn-primary btn-md\">30th July</button>\n", " <button type=\"button\" id = \"button5\" class=\"btn btn-primary btn-md\">31st July</button>\n", " <button type=\"button\" id = \"button6\" class=\"btn btn-primary btn-md\">1st August</button>\n", "</div>\n", "\n", "<div class=\"input-group\" id=\"searchBox\" style=\"position:absolute; display:inline-block;\">\n", " <input type=\"text\" class=\"form-control\" placeholder=\"Location\" id=\"searchText\" style=\"width:475px; left:0%; border: 1px solid black; border-color: #2e6da4;\" />\n", " <span class=\"input-group-btn\">\n", " <button id=\"searchButton\" class=\"btn btn-primary\" type=\"button\">\n", " <span class=\"glyphicon glyphicon-search\"></span>\n", " </button>\n", " </span>\n", "</div>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Notice that the button initialisation text is static. Next comes Javascript that cirmcumvents this." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "<script>\n", " //on page ready set the dates for the buttons.\n", " $(document).ready(function(){\n", " var one = new Date();\n", " var two = new Date(one.getTime() + (24 * 60 * 60 * 1000));\n", " var three = new Date(two.getTime() + (24 * 60 * 60 * 1000));\n", " var four = new Date(three.getTime() + (24 * 60 * 60 * 1000));\n", " var five = new Date(four.getTime() + (24 * 60 * 60 * 1000));\n", " var six = new Date(five.getTime() + (24 * 60 * 60 * 1000));\n", "\n", " var month = new Array();\n", " month[0] = \"January\";\n", " month[1] = \"February\";\n", " month[2] = \"March\";\n", " month[3] = \"April\";\n", " month[4] = \"May\";\n", " month[5] = \"June\";\n", " month[6] = \"July\";\n", " month[7] = \"August\";\n", " month[8] = \"September\";\n", " month[9] = \"October\";\n", " month[10] = \"November\";\n", " month[11] = \"December\";\n", "\n", " $(\"#button1\").text(\"Today\");\n", " $(\"#button2\").text(\"Tomorrow\");\n", " $(\"#button3\").text(three.getDate() + \" \" + (month[three.getMonth()]).substring(0, 3));\n", " $(\"#button4\").text(four.getDate() + \" \" + (month[four.getMonth()]).substring(0, 3));\n", " $(\"#button5\").text(five.getDate() + \" \" + (month[five.getMonth()]).substring(0, 3));\n", " $(\"#button6\").text(six.getDate() + \" \" + (month[six.getMonth()]).substring(0, 3));\n", " });\n", " //create a 1 min timer before launching survey pop up \n", " setTimeout(function(){$( document ).ready(function() {\n", " $( \"#button7\" ).trigger( \"click\" );\n", "\n", "});\n", "\n", " },1000*60);\n", "</script>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Essentially Jquery is being used so that when the page is loaded by a browser the button text is being updated in line with the current date. In addition, A timer is being started with a 60 second countdown that leads to a popup window for our survey. We included this as to ascertain current users experience with our app. The next section contains the bulk of the application. We will go through it step by step." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "<script>\n", " //import prerequisite js files.\n", " require(['../../static/js/src/WorldWind','../../static/js/LayerManager'], function(ww, LayerManager) {\n", "\n", " var wwd = new WorldWind.WorldWindow(\"canvasOne\");\n", " var new_layers;\n", "\n", " // Define the event listener to initialize Web World Wind.\n", " // Tell World Wind to log only warnings.\n", " WorldWind.Logger.setLoggingLevel(WorldWind.Logger.LEVEL_WARNING);\n", "\n", " // Create the World Window.\n", " \n", "\n", " // Add imagery layers.\n", " var layers = [\n", " {layer: new WorldWind.BMNGLayer(), enabled: true},\n", " {layer: new WorldWind.BMNGLandsatLayer(), enabled: false},\n", " {layer: new WorldWind.BingAerialWithLabelsLayer(null), enabled: true},\n", " {layer: new WorldWind.CompassLayer(), enabled: true},\n", " {layer: new WorldWind.CoordinatesDisplayLayer(wwd), enabled: true},\n", " {layer: new WorldWind.ViewControlsLayer(wwd), enabled: true},\n", " {layer: new WorldWind.AtmosphereLayer(), enabled:true}\n", " ];\n", "\n", " var annotationsLayer = new WorldWind.RenderableLayer(\"Annotations\");\n", "\n", " for (var l = 0; l < layers.length; l++) {\n", " layers[l].layer.enabled = layers[l].enabled;\n", " wwd.addLayer(layers[l].layer);\n", " }\n", " //dont really need this. Kept it in for easy switching of annotation colour.\n", " var backgroundColors = [\n", " WorldWind.Color.RED,\n", " WorldWind.Color.GREEN,\n", " WorldWind.Color.MAGENTA,\n", " WorldWind.Color.BLUE,\n", " WorldWind.Color.DARK_GRAY,\n", " WorldWind.Color.BLACK,\n", " WorldWind.Color.BLACK,\n", " WorldWind.Color.RED,\n", " WorldWind.Color.BLACK,\n", " WorldWind.Color.BLACK,\n", " WorldWind.Color.BLACK];\n", " \n", "\n", "\n", " var placemark,\n", " placemarkAttributes = new WorldWind.PlacemarkAttributes(null),\n", " highlightAttributes,\n", " placemarkLayer1 = new WorldWind.RenderableLayer(\"Placemarks\"),\n", " placemarkLayer2 = new WorldWind.RenderableLayer(\"Placemarks\"),\n", " placemarkLayer3 = new WorldWind.RenderableLayer(\"Placemarks\"),\n", " placemarkLayer4 = new WorldWind.RenderableLayer(\"Placemarks\"),\n", " placemarkLayer5 = new WorldWind.RenderableLayer(\"Placemarks\"),\n", " placemarkLayer6 = new WorldWind.RenderableLayer(\"Placemarks\"),\n", " latitude,\n", " longitude;\n", "\n", " // Set up the common placemark attributes.\n", " placemarkAttributes.imageScale = 1;\n", " placemarkAttributes.imageOffset = new WorldWind.Offset(\n", " WorldWind.OFFSET_FRACTION, 0.5,\n", " WorldWind.OFFSET_FRACTION, 0.5);\n", " placemarkAttributes.imageColor = WorldWind.Color.WHITE;\n", " //variables for placemark classification.\n", " var low = [];\n", " var mid = [];\n", " var high = [];\n", " var placemarks = [];\n", " var Objs = [];\n", " var probabilities = [];" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Requirejs is used to load in external js files from our site js folder. In this case we are using WebWorldWind so we load in its initialisation file: WorldWind.js as well as LayerManager.js. Our LayerManager.js file is similar to the one that can be found at: https://webworldwind.org/examples/ but is slightly modified so that when the search bar is used it also zooms into the location rather than just finding it on the globe. The rest of the code is just initialsing the worldwindow, the globe and placemark related features." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "//Django cycle handles placemark generation and rendering.\t\t\n", " {% for key, value in my_dict.items %}\n", " //probs is an array of svm predictions.\t\t\t\n", " var probs = ['{{value.0}}','{{value.1}}','{{value.2}}','{{value.3}}','{{value.4}}','{{value.5}}'];\n", " var values = []\n", " //give a colour to a placemark based upon its predicted probability.\n", " for (var y = 0; y < probs.length; y=y+1){\n", " if(probs[y] < 0.20){\n", " values.push('rgba(34, 139, 34, 0)');\n", " }\n", " else if(probs[y] > 0.19 && probs[y] < 0.3){\n", " values.push('rgb(255, 214, 51)');\n", " }\n", " else if(probs[y] > 0.29 && probs[y] < 0.4){\n", " values.push('rgb(255, 167, 0)');\n", " }\n", " else if(probs[y] > 0.39 && probs[y] < 0.5){\n", " values.push('rgb(255, 131, 0)');\n", " }\n", " else if(probs[y] > 0.49 && probs[y] < 0.6){\n", " values.push('rgb(255, 84, 0)');\n", " }\n", " else if(probs[y] > 0.59 && probs[y] < 0.7){\n", " values.push('rgb(255, 42, 0)');\n", " }\n", " else if(probs[y] > 0.69 && probs[y] < 0.8){\n", " values.push('rgb(230, 0, 0)');\n", " }\n", " else if(probs[y] > 0.79 && probs[y] < 0.9){\n", " values.push('rgb(26, 0, 0)');\n", " }\n", " else{\n", " values.push('rgb(0, 0, 0)');\n", " }\n", " }\n", "\n", " for (var x = 0; x < values.length; x=x+1) {\n", " var canvas = document.createElement(\"canvas\"),\n", " ctx2d = canvas.getContext(\"2d\"),\n", " size = 64, c = size / 2 - 0.5, innerRadius = 2, outerRadius = 7;\n", "\n", " canvas.width = size;\n", " canvas.height = size;\n", "\n", " // Create the custom image for the placemark.\n", "\n", "\n", " var gradient = ctx2d.createRadialGradient(c, c, innerRadius, c, c, outerRadius);\n", " gradient.addColorStop(0, values[x]);\t\t\n", "\n", " ctx2d.fillStyle = gradient;\n", " ctx2d.arc(c, c, outerRadius, 0, 2 * Math.PI, false);\n", " ctx2d.fill();\n", "\n", "\n", " // Create the placemark.\n", " placemark = new WorldWind.Placemark(new WorldWind.Position({{key.2}}{{key.3}}{{key.4}}{{key.5}}{{key.6}}{{key.7}}{{key.8}},{{key.13}}{{key.14}}{{key.15}}{{key.16}}{{key.17}}{{key.18}}{{key.19}}{{key.20}}{{key.21}}, 1e2), false, null);\n", " placemark.altitudeMode = WorldWind.RELATIVE_TO_GROUND;\n", " //define how we wish annotations to appear.\n", " annotationAttributes = new WorldWind.AnnotationAttributes(null);\n", " annotationAttributes.cornerRadius = 14;\n", " annotationAttributes.backgroundColor = backgroundColors[4];\n", " annotationAttributes.textColor = new WorldWind.Color(1, 1, 1, 1);\n", " annotationAttributes.drawLeader = true;\n", " annotationAttributes.leaderGapWidth = 40;\n", " annotationAttributes.leaderGapHeight = 30;\n", " annotationAttributes.opacity = 1;\n", " annotationAttributes.scale = 1;\n", " annotationAttributes.width = 200;\n", " annotationAttributes.height = 150;\n", " annotationAttributes.textAttributes.color = WorldWind.Color.WHITE;\n", " annotationAttributes.insets = new WorldWind.Insets(10, 10, 10, 10);\n", " //annotation @ placemark location\n", " annotation = new WorldWind.Annotation(new WorldWind.Position({{key.2}}{{key.3}}{{key.4}}{{key.5}}{{key.6}}{{key.7}}{{key.8}},{{key.13}}{{key.14}}{{key.15}}{{key.16}}{{key.17}}{{key.18}}{{key.19}}{{key.20}}{{key.21}}, 1e2), annotationAttributes);\n", "\n", " annotation.enabled = false;\n", " //var counter = {{forloop.counter0}}\n", " {% autoescape off %}\n", " annotation.label = \"Lat: \"+ {{key.2}}{{key.3}}{{key.4}}{{key.5}}{{key.6}}{{key.7}}{{key.8}} + \"\\nLong: \" + {{key.13}}{{key.14}}{{key.15}}{{key.16}}{{key.17}}{{key.18}}{{key.19}}{{key.20}}{{key.21}} + '\\n Probability of fire: ' + ((parseFloat(probs[x])*100).toFixed(1)).toString() + \"%\" + \"\\n\\nNearest Fire Station: \\n\"; //ADD MYDICT LOCATION REF HERE\n", " {% endautoescape %}\n", " \n", " placemarks.push(placemark);\n", " probabilities.push(((parseFloat(probs[x])*100).toFixed(1)).toString());\n", "\n", " //generate placemark labels that give placemark probs and classify placemarks based on probs\n", " if (parseFloat(probs[x]) < 0.2){\n", " console.log(\"\");\n", " }\n", " else{\n", " placemark.label = ((parseFloat(probs[x])*100).toFixed(1)).toString() + \"%\";\n", "\n", " }\n", " \n", " if (probs[x] < 0.3){\n", "\n", " placemark.enabled =false;\n", "\n", " low.push(placemark);\n", " }\n", "\n", " else if(probs[x] > 0.3 && probs[x] < 0.5 ){\n", "\n", " placemark.enabled = false;\n", "\n", " mid.push(placemark);\n", "\n", "\n", " }\n", "\n", " else{\n", " placemark.enabled = false;\n", "\n", " high.push(placemark);\n", " placemark.enabled = false;\n", "\n", "\n", " }\n", "\n", " \n", "\n", "\n", " \n", " // Create the placemark attributes for the placemark.\n", " placemarkAttributes = new WorldWind.PlacemarkAttributes(placemarkAttributes);\n", " // Wrap the canvas created above in an ImageSource object to specify it as the placemark image source.\n", " placemarkAttributes.imageSource = new WorldWind.ImageSource(canvas);\n", " placemark.attributes = placemarkAttributes;\n", "\n", " // Create the highlight attributes for this placemark. Note that the normal attributes are specified as\n", " // the default highlight attributes so that all properties are identical except the image scale. You could\n", " // instead vary the color, image, or other property to control the highlight representation.\n", " highlightAttributes = new WorldWind.PlacemarkAttributes(placemarkAttributes);\n", " highlightAttributes.imageScale = 1.2;\n", " placemark.highlightAttributes = highlightAttributes;\n", "\n", " //create an object that we will use for associating annotations with placemarks. We need this later for picking.\n", " var Obj = new Object(); \n", " Obj.placemark = placemark; \n", " Obj.annotation = annotation; \n", "\n", " Obj.getPlacemark = function () { \n", " return this.placemark; \n", " };\n", "\n", " Obj.getAnnotation = function () { \n", " return this.annotation; \n", " };\n", "\n", " // if all the objects are in an array we can iterate through them easily.\n", " Objs.push(Obj)\n", "\n", "\n", " // Add the placemark to the layer.\n", " \n", " if(x==0){\n", " placemarkLayer1.addRenderable(placemark);\n", " }\n", " else if(x==1){\n", " placemarkLayer2.addRenderable(placemark);\n", " }\n", " else if(x==2){\n", " placemarkLayer3.addRenderable(placemark);\n", " }\n", " else if(x==3){\n", " placemarkLayer4.addRenderable(placemark);\n", " }\n", " else if(x==4){\n", " placemarkLayer5.addRenderable(placemark);\n", " }\n", " else if(x==5){\n", " placemarkLayer6.addRenderable(placemark);\n", " }\n", " else{\n", " console.log(\"ERROR\");\n", " }\n", "\n", " annotationsLayer.addRenderable(annotation);\n", " }\n", " \n", " \n", " \n", " {% endfor %}\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Here is where we use the dictionary my_dict we discussed earlier. This code creates custom placemarks whose colour is determined by the probability of fire in svmoutput.csv and whose location is determined by the lats and longs in the same file. Then annotations are added created and the placemarks are added to layers to be loaded according to which button is pressed by the user." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "new_layers = [placemarkLayer1,placemarkLayer2,placemarkLayer3,placemarkLayer4,placemarkLayer5,placemarkLayer6];\n", " wwd.addLayer(new_layers[0]);\n", " wwd.addLayer(annotationsLayer);\n", "\n", " var highlightedItems = [];\n", " var layerManger = new LayerManager(wwd);\n", "\n", " //Reveal all placemarks generated\n", " uncheckbox();\n", " //Activate highlight observation.\n", " highlight();\n", "\n", " //On button click show different day.\n", " $( \"#button1\" ).click(function() {\n", " dayLoaded(0)\n", " });\n", " $( \"#button2\" ).click(function() {\n", " dayLoaded(1)\n", " });\n", " $( \"#button3\" ).click(function() {\n", " dayLoaded(2)\n", " });\n", " $( \"#button4\" ).click(function() {\n", " dayLoaded(3)\n", " });\n", " $( \"#button5\" ).click(function() {\n", " dayLoaded(4)\n", " });\n", " $( \"#button6\" ).click(function() {\n", " dayLoaded(5)\n", " });" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The above code does much of the prepartion work for the viewer to use the app. It adds the generated layers to the globe and enables the placemarks to be seen (uncheckbox()). Highlighting functionality such as annotation box appearance is then enabled through highlight() and jquery waits to run the dayloaded() function which controls which placemark layer (each corresponding to a day) appears according to a button push. The next section of code controls placemark filtering based on checkboxes. It is omitted here to keep this documentation from becoming to long but if the reader is interested they can check out app.html in our source code. We will move onto the highlight() function and its dependencies." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "function highlight(){\n", " wwd.addEventListener(\"mousemove\", handlePick);\n", " \n", " }\n", " \n", " function handlePick(o) {\n", " // the mouse location.\n", " var x = o.clientX,\n", " y = o.clientY;\n", " \n", " var redrawRequired = highlightedItems.length > 0; // must redraw if we de-highlight previous shapes\n", "\n", " // De-highlight any previously highlighted shapes. Reset the label back to its original value.\n", " for (var h = 0; h < highlightedItems.length; h++) {\n", " for (var i = 0; i < Objs.length; i++){\n", " \n", " if(parseFloat(probabilities[i]) > 20 ){\n", " placemarks[i].label = probabilities[i] + \"%\";\n", " }\n", " }\n", " highlightedItems[h].highlighted = false;\n", " //make annotation invisible\n", " highlightedAnnotations[h].enabled = false;\n", " \n", " \n", " }\n", " highlightedItems = [];\n", " highlightedAnnotations = [];\n", "\n", " // Perform the pick. Must first convert from window coordinates to canvas coordinates, which are\n", " // relative to the upper left corner of the canvas rather than the upper left corner of the page.\n", " var pickList = wwd.pick(wwd.canvasCoordinates(x, y));\n", " if (pickList.objects.length > 0) {\n", " redrawRequired = true;\n", " }\n", "\n", " // Highlight the items picked by simply setting their highlight flag to true. \n", " if (pickList.objects.length > 0) {\n", " for (var p = 0; p < pickList.objects.length; p++) {\n", " if (!pickList.objects[p].isTerrain) {\n", " //iterate through our list of objects that associate placemarks and annotations \n", " for (var i = 0; i < Objs.length; i++){\n", " //temporarily scrap labels so they dont appear over the annotations\n", " placemarks[i].label = \"\";\n", " //this if statement tests which highlighted placemark is part of the object that associates placemarks and annotations \n", " if(Object.is(pickList.objects[p].userObject,Objs[i].getPlacemark())){\n", " \n", " //if highlightedplacemark is part of object then make the associated annotation visible.\n", " \n", " Objs[i].getAnnotation().enabled = true;\n", " highlightedAnnotations.push(Objs[i].getAnnotation());\n", " }\n", " }\n", "\n", " pickList.objects[p].userObject.highlighted = true;\n", " \n", " \n", "\n", " // Keep track of highlighted items in order to de-highlight them later.\n", " highlightedItems.push(pickList.objects[p].userObject);\n", " }\n", " }\n", " }\n", "\n", " // Update the window if we changed anything.\n", " if (redrawRequired) {\n", " wwd.redraw(); // redraw to make the highlighting changes take effect on the screen\n", " }\n", " }\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The basis for this section is based upon the annotation and picking examples at: https://webworldwind.org/examples/. Essentially what is going on is when a placemark is highlighted its corresponding annotation is enabled. At the same time the labels for every other placemark are set blank so as to ensure the clarity of the annotation. When its time to dehighlight the placemarks the labels are reset back to their initial value. Finally we will look at the dayloaded() function." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "//Adds and removes placemark layers depending on which button the user has clicked.\t\t\t\n", " function dayLoaded(day) {\n", " //remove all placemarks to stop duplicate layers from being added. \n", " wwd.removeLayer(new_layers[0]);\n", " wwd.removeLayer(new_layers[1]);\n", " wwd.removeLayer(new_layers[2]);\n", " wwd.removeLayer(new_layers[3]);\n", " wwd.removeLayer(new_layers[4]);\n", " wwd.removeLayer(new_layers[5]);\n", " wwd.removeLayer(annotationsLayer);\n", " \n", " if(day==0){\n", " wwd.addLayer(new_layers[0]);\n", " wwd.removeLayer(new_layers[1]);\n", " wwd.removeLayer(new_layers[2]);\n", " wwd.removeLayer(new_layers[3]);\n", " wwd.removeLayer(new_layers[4]);\n", " wwd.removeLayer(new_layers[5]);\n", " wwd.addLayer(annotationsLayer);\n", " }\n", " else if(day==1){\n", " wwd.removeLayer(new_layers[0]);\n", " wwd.addLayer(new_layers[1]);\n", " wwd.removeLayer(new_layers[2]);\n", " wwd.removeLayer(new_layers[3]);\n", " wwd.removeLayer(new_layers[4]);\n", " wwd.removeLayer(new_layers[5]);\n", " wwd.addLayer(annotationsLayer);\n", " }\n", " else if(day==2){\n", " wwd.removeLayer(new_layers[0]);\n", " wwd.removeLayer(new_layers[1]);\n", " wwd.addLayer(new_layers[2]);\n", " wwd.removeLayer(new_layers[3]);\n", " wwd.removeLayer(new_layers[4]);\n", " wwd.removeLayer(new_layers[5]);\n", " wwd.addLayer(annotationsLayer);\n", " }\n", " else if(day==3){\n", " wwd.removeLayer(new_layers[0]);\n", " wwd.removeLayer(new_layers[1]);\n", " wwd.removeLayer(new_layers[2]);\n", " wwd.addLayer(new_layers[3]);\n", " wwd.removeLayer(new_layers[4]);\n", " wwd.removeLayer(new_layers[5]);\n", " wwd.addLayer(annotationsLayer);\n", " }\n", " else if(day==4){\n", " wwd.removeLayer(new_layers[0]);\n", " wwd.removeLayer(new_layers[1]);\n", " wwd.removeLayer(new_layers[2]);\n", " wwd.removeLayer(new_layers[3]);\n", " wwd.addLayer(new_layers[4]);\n", " wwd.removeLayer(new_layers[5]);\n", " wwd.addLayer(annotationsLayer);\n", " }\n", " else if(day==5){\n", " wwd.removeLayer(new_layers[0]);\n", " wwd.removeLayer(new_layers[1]);\n", " wwd.removeLayer(new_layers[2]);\n", " wwd.removeLayer(new_layers[3]);\n", " wwd.removeLayer(new_layers[4]);\n", " wwd.addLayer(new_layers[5]);\n", " wwd.addLayer(annotationsLayer);\n", " }\n", " else{\n", " console.log(\"ERROR\");\n", " }\n", " }\n", " });\t\n", "</script>\n", "\n", "<br />\n", "<center>\n", "< 30%: <input type=\"checkbox\" id=\"check1\">\n", " 30-50%: <input type=\"checkbox\" id=\"check2\">\n", "> 50%: <input type=\"checkbox\" id=\"check3\">\n", "<br/>\n", "<input type=\"button\" id=\"btnCheck\" value = \"Check\" />\n", "<br/>\n", "Swiggity Swoo! If you are liking this app and want to help us out fill in the survey <a href=\"https://goo.gl/forms/uiuZsFpV8XU2ZiO32\" target=\"_blank\">here.</a> Thanks, it means alot!\n", "</center>\n", "<a id=\"various3\" href=\"https://goo.gl/forms/uiuZsFpV8XU2ZiO32\"><input id=\"button7\" type=\"button\" value=\"sneaky_button\"/></a>\n", "<script type=\"text/javascript\">document.getElementById('button7').style.visibility = 'hidden';</script>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "This function is called via button clicks. When that happens any existing placemark layer in the layerlist is removed and a new layer is added accoridng to which button was pressed. The final piece of html after this function are references to the survey that pops up. There is also a hyperlink on the page that takes clickers to the survey and that is implemented here." ] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.1" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
metpy/MetPy
v0.12/_downloads/e5685967297554788de3cf5858571b23/Natural_Neighbor_Verification.ipynb
1
13668
{ "cells": [ { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "%matplotlib inline" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "\nNatural Neighbor Verification\n=============================\n\nWalks through the steps of Natural Neighbor interpolation to validate that the algorithmic\napproach taken in MetPy is correct.\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Find natural neighbors visual test\n\nA triangle is a natural neighbor for a point if the\n`circumscribed circle <https://en.wikipedia.org/wiki/Circumscribed_circle>`_ of the\ntriangle contains that point. It is important that we correctly grab the correct triangles\nfor each point before proceeding with the interpolation.\n\nAlgorithmically:\n\n1. We place all of the grid points in a KDTree. These provide worst-case O(n) time\n complexity for spatial searches.\n\n2. We generate a `Delaunay Triangulation <https://docs.scipy.org/doc/scipy/\n reference/tutorial/spatial.html#delaunay-triangulations>`_\n using the locations of the provided observations.\n\n3. For each triangle, we calculate its circumcenter and circumradius. Using\n KDTree, we then assign each grid a triangle that has a circumcenter within a\n circumradius of the grid's location.\n\n4. The resulting dictionary uses the grid index as a key and a set of natural\n neighbor triangles in the form of triangle codes from the Delaunay triangulation.\n This dictionary is then iterated through to calculate interpolation values.\n\n5. We then traverse the ordered natural neighbor edge vertices for a particular\n grid cell in groups of 3 (n - 1, n, n + 1), and perform calculations to generate\n proportional polygon areas.\n\n Circumcenter of (n - 1), n, grid_location\n Circumcenter of (n + 1), n, grid_location\n\n Determine what existing circumcenters (ie, Delaunay circumcenters) are associated\n with vertex n, and add those as polygon vertices. Calculate the area of this polygon.\n\n6. Increment the current edges to be checked, i.e.:\n n - 1 = n, n = n + 1, n + 1 = n + 2\n\n7. Repeat steps 5 & 6 until all of the edge combinations of 3 have been visited.\n\n8. Repeat steps 4 through 7 for each grid cell.\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import matplotlib.pyplot as plt\nimport numpy as np\nfrom scipy.spatial import ConvexHull, Delaunay, delaunay_plot_2d, Voronoi, voronoi_plot_2d\nfrom scipy.spatial.distance import euclidean\n\nfrom metpy.interpolate import geometry\nfrom metpy.interpolate.points import natural_neighbor_point" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "For a test case, we generate 10 random points and observations, where the\nobservation values are just the x coordinate value times the y coordinate\nvalue divided by 1000.\n\nWe then create two test points (grid 0 & grid 1) at which we want to\nestimate a value using natural neighbor interpolation.\n\nThe locations of these observations are then used to generate a Delaunay triangulation.\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "np.random.seed(100)\n\npts = np.random.randint(0, 100, (10, 2))\nxp = pts[:, 0]\nyp = pts[:, 1]\nzp = (pts[:, 0] * pts[:, 0]) / 1000\n\ntri = Delaunay(pts)\n\nfig, ax = plt.subplots(1, 1, figsize=(15, 10))\nax.ishold = lambda: True # Work-around for Matplotlib 3.0.0 incompatibility\ndelaunay_plot_2d(tri, ax=ax)\n\nfor i, zval in enumerate(zp):\n ax.annotate('{} F'.format(zval), xy=(pts[i, 0] + 2, pts[i, 1]))\n\nsim_gridx = [30., 60.]\nsim_gridy = [30., 60.]\n\nax.plot(sim_gridx, sim_gridy, '+', markersize=10)\nax.set_aspect('equal', 'datalim')\nax.set_title('Triangulation of observations and test grid cell '\n 'natural neighbor interpolation values')\n\nmembers, circumcenters = geometry.find_natural_neighbors(tri, list(zip(sim_gridx, sim_gridy)))\n\nval = natural_neighbor_point(xp, yp, zp, (sim_gridx[0], sim_gridy[0]), tri, members[0],\n circumcenters)\nax.annotate('grid 0: {:.3f}'.format(val), xy=(sim_gridx[0] + 2, sim_gridy[0]))\n\nval = natural_neighbor_point(xp, yp, zp, (sim_gridx[1], sim_gridy[1]), tri, members[1],\n circumcenters)\nax.annotate('grid 1: {:.3f}'.format(val), xy=(sim_gridx[1] + 2, sim_gridy[1]))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Using the circumcenter and circumcircle radius information from\n:func:`metpy.interpolate.geometry.find_natural_neighbors`, we can visually\nexamine the results to see if they are correct.\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "def draw_circle(ax, x, y, r, m, label):\n th = np.linspace(0, 2 * np.pi, 100)\n nx = x + r * np.cos(th)\n ny = y + r * np.sin(th)\n ax.plot(nx, ny, m, label=label)\n\n\nfig, ax = plt.subplots(1, 1, figsize=(15, 10))\nax.ishold = lambda: True # Work-around for Matplotlib 3.0.0 incompatibility\ndelaunay_plot_2d(tri, ax=ax)\nax.plot(sim_gridx, sim_gridy, 'ks', markersize=10)\n\nfor i, (x_t, y_t) in enumerate(circumcenters):\n r = geometry.circumcircle_radius(*tri.points[tri.simplices[i]])\n if i in members[1] and i in members[0]:\n draw_circle(ax, x_t, y_t, r, 'm-', str(i) + ': grid 1 & 2')\n ax.annotate(str(i), xy=(x_t, y_t), fontsize=15)\n elif i in members[0]:\n draw_circle(ax, x_t, y_t, r, 'r-', str(i) + ': grid 0')\n ax.annotate(str(i), xy=(x_t, y_t), fontsize=15)\n elif i in members[1]:\n draw_circle(ax, x_t, y_t, r, 'b-', str(i) + ': grid 1')\n ax.annotate(str(i), xy=(x_t, y_t), fontsize=15)\n else:\n draw_circle(ax, x_t, y_t, r, 'k:', str(i) + ': no match')\n ax.annotate(str(i), xy=(x_t, y_t), fontsize=9)\n\nax.set_aspect('equal', 'datalim')\nax.legend()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "What?....the circle from triangle 8 looks pretty darn close. Why isn't\ngrid 0 included in that circle?\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "x_t, y_t = circumcenters[8]\nr = geometry.circumcircle_radius(*tri.points[tri.simplices[8]])\n\nprint('Distance between grid0 and Triangle 8 circumcenter:',\n euclidean([x_t, y_t], [sim_gridx[0], sim_gridy[0]]))\nprint('Triangle 8 circumradius:', r)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Lets do a manual check of the above interpolation value for grid 0 (southernmost grid)\nGrab the circumcenters and radii for natural neighbors\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "cc = np.array(circumcenters)\nr = np.array([geometry.circumcircle_radius(*tri.points[tri.simplices[m]]) for m in members[0]])\n\nprint('circumcenters:\\n', cc)\nprint('radii\\n', r)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Draw the natural neighbor triangles and their circumcenters. Also plot a `Voronoi diagram\n<https://docs.scipy.org/doc/scipy/reference/tutorial/spatial.html#voronoi-diagrams>`_\nwhich serves as a complementary (but not necessary)\nspatial data structure that we use here simply to show areal ratios.\nNotice that the two natural neighbor triangle circumcenters are also vertices\nin the Voronoi plot (green dots), and the observations are in the polygons (blue dots).\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "vor = Voronoi(list(zip(xp, yp)))\n\nfig, ax = plt.subplots(1, 1, figsize=(15, 10))\nax.ishold = lambda: True # Work-around for Matplotlib 3.0.0 incompatibility\nvoronoi_plot_2d(vor, ax=ax)\n\nnn_ind = np.array([0, 5, 7, 8])\nz_0 = zp[nn_ind]\nx_0 = xp[nn_ind]\ny_0 = yp[nn_ind]\n\nfor x, y, z in zip(x_0, y_0, z_0):\n ax.annotate('{}, {}: {:.3f} F'.format(x, y, z), xy=(x, y))\n\nax.plot(sim_gridx[0], sim_gridy[0], 'k+', markersize=10)\nax.annotate('{}, {}'.format(sim_gridx[0], sim_gridy[0]), xy=(sim_gridx[0] + 2, sim_gridy[0]))\nax.plot(cc[:, 0], cc[:, 1], 'ks', markersize=15, fillstyle='none',\n label='natural neighbor\\ncircumcenters')\n\nfor center in cc:\n ax.annotate('{:.3f}, {:.3f}'.format(center[0], center[1]),\n xy=(center[0] + 1, center[1] + 1))\n\ntris = tri.points[tri.simplices[members[0]]]\nfor triangle in tris:\n x = [triangle[0, 0], triangle[1, 0], triangle[2, 0], triangle[0, 0]]\n y = [triangle[0, 1], triangle[1, 1], triangle[2, 1], triangle[0, 1]]\n ax.plot(x, y, ':', linewidth=2)\n\nax.legend()\nax.set_aspect('equal', 'datalim')\n\n\ndef draw_polygon_with_info(ax, polygon, off_x=0, off_y=0):\n \"\"\"Draw one of the natural neighbor polygons with some information.\"\"\"\n pts = np.array(polygon)[ConvexHull(polygon).vertices]\n for i, pt in enumerate(pts):\n ax.plot([pt[0], pts[(i + 1) % len(pts)][0]],\n [pt[1], pts[(i + 1) % len(pts)][1]], 'k-')\n\n avex, avey = np.mean(pts, axis=0)\n ax.annotate('area: {:.3f}'.format(geometry.area(pts)), xy=(avex + off_x, avey + off_y),\n fontsize=12)\n\n\ncc1 = geometry.circumcenter((53, 66), (15, 60), (30, 30))\ncc2 = geometry.circumcenter((34, 24), (53, 66), (30, 30))\ndraw_polygon_with_info(ax, [cc[0], cc1, cc2])\n\ncc1 = geometry.circumcenter((53, 66), (15, 60), (30, 30))\ncc2 = geometry.circumcenter((15, 60), (8, 24), (30, 30))\ndraw_polygon_with_info(ax, [cc[0], cc[1], cc1, cc2], off_x=-9, off_y=3)\n\ncc1 = geometry.circumcenter((8, 24), (34, 24), (30, 30))\ncc2 = geometry.circumcenter((15, 60), (8, 24), (30, 30))\ndraw_polygon_with_info(ax, [cc[1], cc1, cc2], off_x=-15)\n\ncc1 = geometry.circumcenter((8, 24), (34, 24), (30, 30))\ncc2 = geometry.circumcenter((34, 24), (53, 66), (30, 30))\ndraw_polygon_with_info(ax, [cc[0], cc[1], cc1, cc2])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Put all of the generated polygon areas and their affiliated values in arrays.\nCalculate the total area of all of the generated polygons.\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "areas = np.array([60.434, 448.296, 25.916, 70.647])\nvalues = np.array([0.064, 1.156, 2.809, 0.225])\ntotal_area = np.sum(areas)\nprint(total_area)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "For each polygon area, calculate its percent of total area.\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "proportions = areas / total_area\nprint(proportions)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Multiply the percent of total area by the respective values.\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "contributions = proportions * values\nprint(contributions)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The sum of this array is the interpolation value!\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "interpolation_value = np.sum(contributions)\nfunction_output = natural_neighbor_point(xp, yp, zp, (sim_gridx[0], sim_gridy[0]), tri,\n members[0], circumcenters)\n\nprint(interpolation_value, function_output)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "The values are slightly different due to truncating the area values in\nthe above visual example to the 3rd decimal place.\n\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "plt.show()" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.7.6" } }, "nbformat": 4, "nbformat_minor": 0 }
bsd-3-clause
konkam/perceptron_guide
README.ipynb
1
22534
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Guide: quelques étapes pour programmer un perceptron\n", "\n", "\n", "## Préliminaire: charger des images en Python et les mettre sous forme de vecteur\n", "\n", "### Les images\n", "\n", "Avec votre éditeur d'images préféré, vous pouvez créer une image et la sauvegarder sous un certain format, c'est à dire une manière d'encoder l'image. Ici on prendra l'exemple du format **.png**\n", "\n", "Lorsque que vous sauvegardez, faites-attention au nombre de pixels de l'image, on veut ici des images qui ont toutes la meme taille (dans notre exemple 50x50).\n", "\n", "Voici un exemple d'image:\n", "![Un A en noir sur blanc d'une taille 50x50 pixels](training_set_perceptron/A1.png \"Logo Title Text 1\")\n", "\n", "\n", "Vous trouverez 8 exemples d'images dans le dossier [training_set_perceptron](training_set_perceptron), que vous pouvez télécharger. Je vous encourage à créer les votres.\n", "\n", "### Chargement des packages préalables" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "ExecuteTime": { "end_time": "2016-12-01T17:51:36.913523", "start_time": "2016-12-01T17:51:36.255360" }, "collapsed": true }, "outputs": [], "source": [ "from pylab import * #Pour tranformer python en une calculette scientifique\n", "from scipy import misc #Pour lire les images" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Chargement des images dans python\n" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "ExecuteTime": { "end_time": "2016-12-01T17:53:33.230000", "start_time": "2016-12-01T17:53:33.223855" }, "collapsed": true }, "outputs": [], "source": [ "def cv_image_vers_vecteur(image): #Pour convertir une image en vecteur, cela servira pour les opérations suivantes\n", " return ravel(image)\n", "\n", "def charge_l_image(nom_de_fichier):\n", " return misc.imread(nom_de_fichier, flatten=True, mode = \"L\")/255. #avec cela on convertit l'image en suite de 0 et de 1\n", "\n", "def charge_l_image_sous_forme_de_vecteur(nom_de_fichier):\n", " return cv_image_vers_vecteur(charge_l_image(nom_de_fichier))\n", "\n", "def charge_l_image_et_trace(nom_de_fichier_complet):\n", " imshow(charge_l_image(nom_de_fichier_complet))\n", " show()\n" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "ExecuteTime": { "end_time": "2016-12-01T17:51:38.427888", "start_time": "2016-12-01T17:51:38.388633" }, "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "array([[ 1., 1., 1., ..., 1., 1., 1.],\n", " [ 1., 1., 1., ..., 1., 1., 1.],\n", " [ 1., 1., 1., ..., 1., 1., 1.],\n", " ..., \n", " [ 1., 1., 1., ..., 1., 1., 1.],\n", " [ 1., 1., 1., ..., 1., 1., 1.],\n", " [ 1., 1., 1., ..., 1., 1., 1.]], dtype=float32)" ] }, "execution_count": 5, "metadata": {}, "output_type": "execute_result" } ], "source": [ "charge_l_image(\"training_set_perceptron/A1.png\")" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "ExecuteTime": { "end_time": "2016-12-01T17:54:07.608295", "start_time": "2016-12-01T17:54:07.603403" }, "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "(50, 50)" ] }, "execution_count": 11, "metadata": {}, "output_type": "execute_result" } ], "source": [ "shape(charge_l_image(\"training_set_perceptron/A1.png\"))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "On voit qu'une image est constituée de 50x50 = 2500 valeurs qui peuvent etre égales à 0 ou à 1." ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "ExecuteTime": { "end_time": "2016-12-01T18:01:27.605470", "start_time": "2016-12-01T18:01:27.593237" }, "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "array([ 1., 1., 1., ..., 1., 1., 1.], dtype=float32)" ] }, "execution_count": 12, "metadata": {}, "output_type": "execute_result" } ], "source": [ "charge_l_image_sous_forme_de_vecteur(\"training_set_perceptron/A1.png\")" ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "ExecuteTime": { "end_time": "2016-12-01T18:01:42.496877", "start_time": "2016-12-01T18:01:42.491398" }, "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "(2500,)" ] }, "execution_count": 13, "metadata": {}, "output_type": "execute_result" } ], "source": [ "shape(charge_l_image_sous_forme_de_vecteur(\"training_set_perceptron/A1.png\"))" ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "ExecuteTime": { "end_time": "2016-12-01T17:53:54.184045", "start_time": "2016-12-01T17:53:53.987757" }, "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAWEAAAFhCAYAAACh/xvXAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7fb9c89767b8>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "charge_l_image_et_trace(\"training_set_perceptron/A1.png\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Suite: liste des étapes pour programmer le perceptron:\n", "\n", "1. Constituer un **ensemble d'entrainement** qui contient des exemples et ce qui doit etre appris sur ces exemples. Dans le cas que je vous propose, ce serait tous les A avec le score +1 et tous les B avec le score -1. Encore une fois, n'hésitez pas à faire vos propres exemples. \n", "1. **Traitement de l'image par le neurone**\n", "Le neurone prend l'image sous la forme d'un vecteur en entrée, le multiplie par ses poids et regarde le signe du résultat.\n", "L'image d'entrée est bicolore, formée de 50 pixels. On peut donc représenter l'image par des 0 et des 1 pour chacune des couleurs, on peut la transformer en un vecteur de taille 2500 contenant des 0 et des 1.\n", "Si on appelle xi le vecteur de l'exemple, wi les poids du neurone, et $y$ le résultat du traitement, alors le traitement de l'image par le neurone peut s'écrire en équations:\n", "<img src=\"eq1.png\" width=\"200\">\n", "1. **Entrainement du neurone**\n", "On rappelle les étapes principales:\n", " - On commence par choisir des poids initiaux au hasard (ou bien tous à 0, qu'est-ce qui vous parait le plus cohérent ?) \n", " - Pour chaque exemple de notre ensemble d'entrainement:\n", " - on calcule le score prédit étant donné les poids actuels, le score qu'on aurait du trouver et l'erreur qui est la différence entre ces deux grandeurs\n", " - On met à jour les poids: nouveaux poids = poids actuels + erreur * entrée, \n", " - Et on recommence\n", "Soit en équations:\n", "Si les poids actuels sont wi(t), les poids mis à jour wi(t+1), le score de l'exemple k est sk, la prédiction du neurone yk et l'exemple k vectorisé est sous la forme xi,k, alors:\n", "<img src=\"eq2.png\" width=\"200\">\n", "Quand s'arreter ?\n", "Un critère peut etre de regarder quand le neurone cesse de progresser, c'est à dire que les erreurs qu'il fait cessent de diminuer. \n", "1. Une fois le neurone entrainé, on le **teste** sur quelques images" ] } ], "metadata": { "anaconda-cloud": {}, "kernelspec": { "display_name": "Python [default]", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.2" }, "toc": { "nav_menu": { "height": "96px", "width": "252px" }, "navigate_menu": true, "number_sections": true, "sideBar": true, "threshold": 4, "toc_cell": false, "toc_section_display": "block", "toc_window_display": false } }, "nbformat": 4, "nbformat_minor": 1 }
gpl-3.0
betoesquivel/onforums-application
testdataextractor/TestDataExtractor.ipynb
2
247174
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Clustering test data and evaluating clustering technique with it" ] }, { "cell_type": "code", "execution_count": 31, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "<comment bloggerid=\"epinoa\" id=\"c0\">\n", "<s id=\"s57\">[epinoa] So BT and Vodafone breached the Data Protection Act ? </s>\n", "<comment bloggerid=\"enfrance \" id=\"c1\">\n", "<s id=\"s58\">[enfrance ] Is this Atlantic Bridge in operation ? </s>\n", "</comment>\n", "<comment bloggerid=\"alex442\" id=\"c2\">\n", "<s id=\"s59\">[alex442] Human Rights ? </s>\n", "<s id=\"s60\">Aww , how quaint ... </s>\n", "</comment>\n", "<comment bloggerid=\"nitpiqin \" id=\"c3\">\n", "<s id=\"s61\">[nitpiqin ] That 's what we need to judge them and prosecute them with their own laws . </s>\n", "<s id=\"s62\">They are breaking their own laws . </s>\n", "</comment>\n", "<comment bloggerid=\"MirandaKeen \" id=\"c4\">\n", "<s id=\"s63\">[MirandaKeen ] In the near future , I hope . </s>\n", "</comment>\n", "<comment bloggerid=\"MirandaKeen \" id=\"c5\">\n", "<s id=\"s64\">[MirandaKeen ] And the Universal Declaration of Human RightsArticle 12No one shall be subjected to arbitrary interference with their privacy , family , home or correspondence , nor to attacks upon their honour and reputation . </s>\n", "<s id=\"s65\">Everyone has the right to the protection of the law against such interference and attacks . </s>\n", "</comment>\n", "</comment>\n" ] } ], "source": [ "from bs4 import BeautifulSoup\n", "\n", "f = open('../test_data/1957284403.ofs.gold.xml', 'r')\n", "article_text = f.read();\n", "soup = BeautifulSoup(article_text, \"lxml\")\n", "\n", "comment = {\n", " \"bloggerId\": \"author\",\n", " \"sentences\": [], # all sentences in a comment,\n", " \"parents\": [] # the order depends on how beautifulsoup gives me the parents\n", "}\n", "article = {\n", " \"sentences\": {}, # each sentence goes here, hashed by id\n", " \"comments\": {} # each comment goes here, hashed by id\n", "}\n", "commentsHTML = soup.findAll('comment')\n", "print commentsHTML[0]\n", "for c in commentsHTML:\n", " comment_sentences = []\n", " comment_parents = []\n", " " ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Can I extract just the sentence that belongs to the replied comment?" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "[<s id=\"s57\">[epinoa] So BT and Vodafone breached the Data Protection Act ? </s>]" ] }, "execution_count": 7, "metadata": {}, "output_type": "execute_result" } ], "source": [ "html = commentsHTML[0]\n", "comms = html.findAll('comment')\n", "first_comm_s = html.findAll('s', recursive=False)\n", "first_comm_s\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Can I extract all the comment tags, including the nested ones?\n", "\n", "Turns out the findAll is recursive and gets me every comment.\n", "From there, getting the parents is easy." ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "<comment bloggerid=\"MirandaKeen \" id=\"c4\">\n", "<s id=\"s63\">[MirandaKeen ] In the near future , I hope . </s>\n", "</comment>\n", "['c0']\n" ] } ], "source": [ "for c in commentsHTML:\n", " if c['id'] == \"c4\":\n", " print c\n", " print [p['id'] for p in c.findParents(\"comment\")]\n", " break" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Therefore, the function to extract the comments is:" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def parse_comments(comments):\n", " '''\n", " comment = {\n", " \"bloggerId\": \"author\",\n", " \"sentences\": [], # all sentences in a comment,\n", " \"parents\": [] # the order depends on how beautifulsoup gives me the parents\n", " }\n", " '''\n", " \n", " parsed_comments = {}\n", " for c in comments:\n", " comment = {}\n", " comment['bloggerId'] = c['bloggerid']\n", " \n", " comment['sentences_ids'] = [s['id'] for s in c.findAll('s', recursive=False)]\n", " comment['parents'] = [p['id'] for p in c.findParents(\"comment\")]\n", " parsed_comments[c['id']] = comment\n", " \n", " return parsed_comments" ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "{'comments': {'c0': {'bloggerId': 'epinoa',\n", " 'parents': [],\n", " 'sentences_ids': ['s57']},\n", " 'c1': {'bloggerId': 'enfrance ',\n", " 'parents': ['c0'],\n", " 'sentences_ids': ['s58']},\n", " 'c10': {'bloggerId': 'dennis79',\n", " 'parents': [],\n", " 'sentences_ids': ['s73', 's74', 's75', 's76', 's77']},\n", " 'c11': {'bloggerId': 'SpecialRX',\n", " 'parents': ['c10'],\n", " 'sentences_ids': ['s78', 's79']},\n", " 'c12': {'bloggerId': ' Malkatrinho',\n", " 'parents': ['c10'],\n", " 'sentences_ids': ['s80', 's81']},\n", " 'c13': {'bloggerId': 'timetorememberagain ',\n", " 'parents': [],\n", " 'sentences_ids': ['s82',\n", " 's83',\n", " 's84',\n", " 's85',\n", " 's86',\n", " 's87',\n", " 's88']},\n", " 'c14': {'bloggerId': 'AGrumpyGit ',\n", " 'parents': ['c13'],\n", " 'sentences_ids': ['s89']},\n", " 'c15': {'bloggerId': 'timetorememberagain ',\n", " 'parents': ['c13'],\n", " 'sentences_ids': ['s90',\n", " 's91',\n", " 's92',\n", " 's93',\n", " 's94',\n", " 's95']},\n", " 'c16': {'bloggerId': 'SamSSSS',\n", " 'parents': ['c13'],\n", " 'sentences_ids': ['s96', 's97', 's98']},\n", " 'c17': {'bloggerId': 'VaughnParadis ',\n", " 'parents': [],\n", " 'sentences_ids': ['s99', 's100', 's101', 's102']},\n", " 'c18': {'bloggerId': 'Snapshackle ',\n", " 'parents': ['c17'],\n", " 'sentences_ids': ['s103', 's104']},\n", " 'c19': {'bloggerId': ' OurPlanet ',\n", " 'parents': ['c17'],\n", " 'sentences_ids': ['s105', 's106', 's107']},\n", " 'c2': {'bloggerId': 'alex442',\n", " 'parents': ['c0'],\n", " 'sentences_ids': ['s59', 's60']},\n", " 'c20': {'bloggerId': ' Malkatrinho',\n", " 'parents': ['c17'],\n", " 'sentences_ids': ['s108']},\n", " 'c21': {'bloggerId': 'jonbryce ',\n", " 'parents': ['c17'],\n", " 'sentences_ids': ['s109', 's110', 's111', 's112']},\n", " 'c22': {'bloggerId': 'IronCurtain ',\n", " 'parents': [],\n", " 'sentences_ids': ['s113',\n", " 's114',\n", " 's115',\n", " 's116',\n", " 's117',\n", " 's118',\n", " 's119']},\n", " 'c23': {'bloggerId': 'enfrance ',\n", " 'parents': ['c22'],\n", " 'sentences_ids': ['s120',\n", " 's121',\n", " 's122',\n", " 's123',\n", " 's124',\n", " 's125',\n", " 's126',\n", " 's127',\n", " 's128',\n", " 's129',\n", " 's130']},\n", " 'c24': {'bloggerId': 'zacmcd ',\n", " 'parents': ['c22'],\n", " 'sentences_ids': ['s131', 's132', 's133']},\n", " 'c25': {'bloggerId': ' Haigin88 ',\n", " 'parents': ['c22'],\n", " 'sentences_ids': ['s134']},\n", " 'c26': {'bloggerId': 'kaneandabel ',\n", " 'parents': ['c22'],\n", " 'sentences_ids': ['s135',\n", " 's136',\n", " 's137',\n", " 's138',\n", " 's139']},\n", " 'c27': {'bloggerId': 'kaneandabel ',\n", " 'parents': ['c22'],\n", " 'sentences_ids': ['s140']},\n", " 'c28': {'bloggerId': 'ViktorBurakov',\n", " 'parents': [],\n", " 'sentences_ids': ['s141', 's142']},\n", " 'c29': {'bloggerId': 'jonwilde ',\n", " 'parents': ['c28'],\n", " 'sentences_ids': ['s143', 's144', 's145']},\n", " 'c3': {'bloggerId': 'nitpiqin ',\n", " 'parents': ['c0'],\n", " 'sentences_ids': ['s61', 's62']},\n", " 'c30': {'bloggerId': ' Malkatrinho',\n", " 'parents': ['c28'],\n", " 'sentences_ids': ['s146', 's147']},\n", " 'c31': {'bloggerId': ' Malkatrinho',\n", " 'parents': ['c28'],\n", " 'sentences_ids': ['s148', 's149', 's150']},\n", " 'c32': {'bloggerId': 'ScepticOptimist ',\n", " 'parents': ['c28'],\n", " 'sentences_ids': ['s151', 's152', 's153', 's154']},\n", " 'c33': {'bloggerId': 'gwelddycybydd ',\n", " 'parents': ['c28'],\n", " 'sentences_ids': ['s155', 's156']},\n", " 'c34': {'bloggerId': 'RandomAccountant ',\n", " 'parents': [],\n", " 'sentences_ids': ['s157', 's158']},\n", " 'c35': {'bloggerId': 'allislost ',\n", " 'parents': ['c34'],\n", " 'sentences_ids': ['s159']},\n", " 'c36': {'bloggerId': 'GreenRevolution ',\n", " 'parents': [],\n", " 'sentences_ids': ['s160', 's161', 's162']},\n", " 'c37': {'bloggerId': 'cockersf1 ',\n", " 'parents': [],\n", " 'sentences_ids': ['s163']},\n", " 'c38': {'bloggerId': 'brendon1 ',\n", " 'parents': ['c37'],\n", " 'sentences_ids': ['s164', 's165']},\n", " 'c39': {'bloggerId': 'zacmcd ',\n", " 'parents': ['c37'],\n", " 'sentences_ids': ['s166', 's167', 's168']},\n", " 'c4': {'bloggerId': 'MirandaKeen ',\n", " 'parents': ['c0'],\n", " 'sentences_ids': ['s63']},\n", " 'c40': {'bloggerId': ' TheSandbag',\n", " 'parents': ['c37'],\n", " 'sentences_ids': ['s169', 's170']},\n", " 'c41': {'bloggerId': 'nowwhataretheyupto',\n", " 'parents': [],\n", " 'sentences_ids': ['s171', 's172', 's173', 's174']},\n", " 'c42': {'bloggerId': 'Miss_Direction ',\n", " 'parents': [],\n", " 'sentences_ids': ['s175']},\n", " 'c43': {'bloggerId': 'elevengoalposts ',\n", " 'parents': ['c42'],\n", " 'sentences_ids': ['s176', 's177']},\n", " 'c44': {'bloggerId': 'Exodus20',\n", " 'parents': ['c42'],\n", " 'sentences_ids': ['s178', 's179']},\n", " 'c45': {'bloggerId': 'Exodus20',\n", " 'parents': ['c42'],\n", " 'sentences_ids': ['s180', 's181', 's182']},\n", " 'c46': {'bloggerId': 'Miss_Direction ',\n", " 'parents': ['c42'],\n", " 'sentences_ids': ['s183', 's184', 's185', 's186']},\n", " 'c47': {'bloggerId': 'amonduul ',\n", " 'parents': [],\n", " 'sentences_ids': ['s187']},\n", " 'c48': {'bloggerId': ' Derek Seymour ',\n", " 'parents': ['c47'],\n", " 'sentences_ids': ['s188']},\n", " 'c49': {'bloggerId': 'BStroszek ',\n", " 'parents': ['c47'],\n", " 'sentences_ids': ['s189']},\n", " 'c5': {'bloggerId': 'MirandaKeen ',\n", " 'parents': ['c0'],\n", " 'sentences_ids': ['s64', 's65']},\n", " 'c6': {'bloggerId': '00jebus ',\n", " 'parents': [],\n", " 'sentences_ids': ['s66']},\n", " 'c7': {'bloggerId': 'Bluestone ',\n", " 'parents': ['c6'],\n", " 'sentences_ids': ['s67', 's68']},\n", " 'c8': {'bloggerId': 'jonbryce ',\n", " 'parents': ['c6'],\n", " 'sentences_ids': ['s69', 's70']},\n", " 'c9': {'bloggerId': 'DavidMillipede ',\n", " 'parents': ['c6'],\n", " 'sentences_ids': ['s71', 's72']}},\n", " 'sentences': {'s0': u'BT and Vodafone among telecoms companies passing details to GCHQ',\n", " 's1': u\"Some of the world 's leading telecoms firms , including BT and Vodafone , are secretly collaborating with Britain 's spy agency GCHQ , and are passing on details of their customers ' phone calls , email messages and Facebook entries , documents leaked by the whistleblower Edward Snowden show . \",\n", " 's10': u'It gives top secret codenames for each firm , with BT ( \" Remedy \" ) , Verizon Business ( \" Dacron \" ) , and Vodafone Cable ( \" Gerontic\" ) . ',\n", " 's100': u'Best way to keep your information secure ? ',\n", " 's101': u'Use Vodafone . ',\n", " 's102': u\"You wo n't be in contact with anybody . \",\n", " 's103': u'[Snapshackle ] Agreed , 4G? ? ',\n", " 's104': u'3G would be nice , or even a fucking signal . ',\n", " 's105': u'[ OurPlanet ] That really made me laugh in a serious situation . ',\n", " 's106': u'Thanks VaughnParadisThe best antidote to this crap is to make them irrelevant and stupid looking . ',\n", " 's107': u'Fear only feeds these imbeciles egos . ',\n", " 's108': u\"[ Malkatrinho] that 's a lovely shade of green . \",\n", " 's109': u'[jonbryce ] This is the former Cable & Wireless division of Vodafone . ',\n", " 's11': u'The other firms include Global Crossing ( \" Pinnage \" ) , Level 3 ( \" Little \" ) , Viatel ( \"Vitreous \" ) and Interoute ( \" Streetcar \" ) . ',\n", " 's110': u'Lots of ISPs use them for their transatlantic traffic . ',\n", " 's111': u\"Those that do n't use one of the other ones listed . \",\n", " 's112': u'Level 3 is probably the biggest . ',\n", " 's113': u'[IronCurtain ] The Governments and the Corporations banding together . ',\n", " 's114': u'cui bonno ? ',\n", " 's115': u'you ? ',\n", " 's116': u'me ? ',\n", " 's117': u'Freedom ? ',\n", " 's118': u'Liberty ? ',\n", " 's119': u'not likely . ',\n", " 's12': u'The companies refused to comment on any specifics relating to Tempora , but several noted they were obliged to comply with UK and EU law . ',\n", " 's120': u'[enfrance ] If apathy is the order of the day here , perhaps pionting out that Vodaphone have cost each invidual in the UK so much as a result of its tax deal would that make people wake up ? ',\n", " 's121': u'Nah , I despair . ',\n", " 's122': u'And the likelyhood of anyone boycotting either BT or Vodaphone is nill except perhaps a few who will make no difference at all . ',\n", " 's123': u'How about a petition of some sort ? ',\n", " 's124': u\"Its late and I 'm too tired and hot to think of one that could do the subject justice . \",\n", " 's125': u'Anyone ? ',\n", " 's126': u'As for people thinking this does not affect them . ',\n", " 's127': u\"Even if you think you are doing nothing wrong what 's to stop you being framed for something by this information ? \",\n", " 's128': u'or mistaken for someone else or regarded as doing something which may be the first step to terrorism , like searching for pressure cookers on the net , buying a rucksack on the net and having a son with a lively interest in online news ? ',\n", " 's129': u'Just ask the New York family elsewhere in the Guardian . ',\n", " 's13': u'The revelations are likely to dismay GCHQ and Downing Street , who are fearful that BT and the other firms will suffer a backlash from customers furious that their private data and intimate emails have been secretly passed to a government spy agency . ',\n", " 's130': u'Perhaps we should all stop reading the news online just in case ! ',\n", " 's131': u'[zacmcd ] There is a petition to Stop Tempora , it takes a minute to sign ( but a confirmation email needs to be clicked ) . ',\n", " 's132': u'Also , minimise the use of these companies ; give them less money to undermine us with . ',\n", " 's133': u'You can make free 2048 bit encrypted VoIP calls using Yuilop save money and lower their turnover ... ',\n", " 's134': u'[ Haigin88 ] &quot ;Fascism should rightly be called Corporatism , as it is the merger of corporate and government power .&quot ; . Benito Mussolini ',\n", " 's135': u'[kaneandabel ] TThe question is when will the poeple fill the street like it happened before Iraq war , a million march in London would be a good idea now . ',\n", " 's136': u'Of course then we may have a false flag operation and then clamp on the screws ... with the orange alert worldwide , made just a day back by Gen Alexanders team . ',\n", " 's137': u'But otherwise , I see no chance of change . ',\n", " 's138': u'In Germany the protests are happening in ernst . ',\n", " 's139': u'Thousands fill German streets to protest Berlin\\u2019s NSA spying involvement ',\n", " 's14': u'In June a source with knowledge of intelligence said the companies had no choice but to co-operate in this operation . ',\n", " 's140': u'[kaneandabel ] ; ) I deserved that one ',\n", " 's141': u'[ViktorBurakov] To begin with I thought it all meant that they were paranoid , perpetually terrified of some bogeyman , imaginary or real . ',\n", " 's142': u\"Now though , I 'm convinced it 's something a lot more sinister \",\n", " 's143': u'[jonwilde ] Which , I guess , means that the terrorists have won . ',\n", " 's144': u\"I was n't nervous at all before I learnt how closely we were being monitored . \",\n", " 's145': u'What are those odds again against being a victim of a terrorist act ? ',\n", " 's146': u\"[ Malkatrinho] I think it 's more to do with incompetence and leakiness than it is part of some grand dastardly plan to provoke people . \",\n", " 's147': u\"They 'd much rather we stayed ignorant , compliant and biddable . \",\n", " 's148': u'[ Malkatrinho] They are terrified . ',\n", " 's149': u'Of what happens when enough people begin to protest about corruption , inequality , collapsing civil society , etc . ',\n", " 's15': u'They are forbidden from revealing the existence of warrants compelling them to allow GCHQ access to the cables . ',\n", " 's150': u\"They 're terrified that the same kind of mass protests that swept Brazil , Turkey , Bulgaria or the Middle East will eventually be provoked in the West . \",\n", " 's151': u'[ScepticOptimist ] And it is exactly the only way we can regain our freedom - a British spring ; mass protest & civil disobedience . ',\n", " 's152': u'Then we will see these fuckers true colours when they send the troops in an people are dragged bleeding off the streets . ',\n", " 's153': u'But they can not win if we all unite against them . ',\n", " 's154': u'History has shown time and time again that true power lies in the hands of the people . ',\n", " 's155': u'[gwelddycybydd ] I do believe that the government is trying to provoke confrontation.Their security forces ; state and private , are well trained and very well equipped.The ',\n", " 's156': u\"drip , drip , drip of corruption , cronyism , incompetence and naked self interest and frequently exposed by their 'friends ' in the mostly right leaning media seem to be designed to build pressure in the populace .Maybe , they want to put us in our place , once and for all . \",\n", " 's157': u'[RandomAccountant ] Welcome to 21st century Britain.Big ',\n", " 's158': u'Brother has arrived .29 years late . ',\n", " 's159': u'[allislost ] Been like this for an age , only now many more understand the plight of the many who have been hounded into submission and/or mental institutions . ',\n", " 's16': u\"Together , these seven companies operate a huge share of the high-capacity undersea fibre-optic cables that make up the backbone of the internet 's architecture . \",\n", " 's160': u'[GreenRevolution ] I am going to boycott both ! ',\n", " 's161': u'This disgraceful spying business has no end ! ',\n", " 's162': u'Shame on those who participate in this abhorent snooping . ',\n", " 's163': u'[cockersf1 ] Do you think this qould be a way of getting out of contracts with these companies ? ',\n", " 's164': u\"[brendon1 ] The problem is that most of the world 's Internet traffic goes via Level3 . \",\n", " 's165': u\"There 's no easy way of getting around that . \",\n", " 's166': u'[zacmcd ] Hard for the layman to know . ',\n", " 's167': u'SSL being secure would explain why they need backdoor access via Prism . ',\n", " 's168': u'There is also mention that encrypted mobile messaging apps pose a threat to their capabilities ... ',\n", " 's169': u\"[ TheSandbag] From the descriptions of tempora I 'm fairly sure they have broken SSL otherwise its pointless . \",\n", " 's17': u\"GCHQ 's mass tapping operation has been built up over the past five years by attaching intercept probes to the transatlantic cables where they land on British shores . \",\n", " 's170': u\"Large numbers of web apps and traffic is ssl encrypted now so why bother creating a massive fiber intercept program if you could n't see 60 % + of all the traffic and almost all of the communications data ? \",\n", " 's171': u'[nowwhataretheyupto] so this is why the government go easy on these toss pots not paying corporation tax . ',\n", " 's172': u'And they dare to call bank robbers criminals . ',\n", " 's173': u\"Jesus , there 's no f*cking morals with any of them . \",\n", " 's174': u\"What 's happened to these people , or are they so smacked off their tits to care ? . \",\n", " 's175': u'[Miss_Direction ] There are four points i would like to raise : 1 ) Even if the security services can be trusted with all the vast data they gather what happens if it falls into the wrong hands 2 ) GCHQ have been known in the past to target animals rights protestors , environmental organisations and Union groups therefore it is clear that they are already used as a political weapon against dissent 3 ) Democracy depends on transparency and accountability and this important element is being undermined at the highest levels for the benefit of corporate and political interests 4 ) Lastly i would like to know if the NSA and GCHQ actively targeted the Occupy movement and who authorised this action and what was their remit ',\n", " 's176': u\"[elevengoalposts ] ''GCHQ have been known in the past to target animals rights protestors , environmental organisations and Union groups .. . \",\n", " 's177': u\"'' You have first-hand , written evidence about that , or just assertions ? \",\n", " 's178': u'[Exodus20] Rights and laws are at best ideals , no more , no less . ',\n", " 's179': u'To those corrupted by greed and power , these are nothing more than sweets to quieten gullible and innocent children . ',\n", " 's18': u\"GCHQ 's station in Bude , north Cornwall , plays a role . \",\n", " 's180': u'[Exodus20] Even if the security services can be trusted with all the vast data they gather what happens if it falls into the wrong hands I share that sentiment and concern . ',\n", " 's181': u'Security services are necessary but i am afraid most , even all of them , sooner rather than later will become rogue , cancerous , arrogant corrupt and become the tools of suppression for politicians and big money . ',\n", " 's182': u'Most people do not appreciate the preciousness and precariousness of accountable democracy and responsibility freedom . ',\n", " 's183': u'[Miss_Direction ] The right to freedom of expression is recognized as a human right under Article 19 of the Universal Declaration of Human Rights and recognized in international human rights law in the International Covenant on Civil and Political Rights ( ICCPR ) . ',\n", " 's184': u'Article 19 of the ICCPR states that &quot ;everyone shall have the right to hold opinions without interference&quot ; and &quot ;everyone shall have the right to freedom of expression ; this right shall include freedom to seek , receive and impart information and ideas of all kinds , regardless of frontiers , either orally , in writing or in print , in the form of art , or through any other media of his choice&quot ; http ://en.wikipedia ',\n", " 's185': u'.org/wiki/ ',\n", " 's186': u'Freedom_of_speech Our basic right to freedom of speech should be upheld through international law as long as it does not harm or interfere with the liberty of others ... it therefore appears that we have lost sight of what is an important and we have a problem that requires fixing . ',\n", " 's187': u'[amonduul ] Ignore shockman - rightwing troll . ',\n", " 's188': u\"[ Derek Seymour ] This is not a right or left issue , so I 'm calling shockman a fascist troll \",\n", " 's189': u\"[BStroszek ] If you 're tired , why do n't you fuck off to bed , and spare us your drivel . \",\n", " 's19': u'The cables carry data to western Europe from telephone exchanges and internet servers in north America . ',\n", " 's2': u'BT , Vodafone Cable , and the American firm Verizon Business \\u2013 together with four other smaller providers \\u2013 have given GCHQ secret unlimited access to their network of undersea cables . ',\n", " 's20': u'This allows GCHQ and NSA analysts to search vast amounts of data on the activity of millions of internet users . ',\n", " 's21': u'Metadata \\u2013 the sites users visit , whom they email , and similar information \\u2013 is stored for up to 30 days , while the content of communications is typically stored for three days . ',\n", " 's22': u'GCHQ has the ability to tap cables carrying both internet data and phone calls . ',\n", " 's23': u'By last year GCHQ was handling 600m \"telephone events \" each day , had tapped more than 200 fibre-optic cables and was able to process data from at least 46 of them at a time . ',\n", " 's24': u'Each of the cables carries data at a rate of 10 gigabits per second , so the tapped cables had the capacity , in theory , to deliver more than 21 petabytes a day \\u2013 equivalent to sending all the information in all the books in the British Library 192 times every 24 hours . ',\n", " 's25': u'This operation is carried out under clandestine agreements with the seven companies , described in one document as \"intercept partners \" . ',\n", " 's26': u'The companies are paid for logistical and technical assistance . ',\n", " 's27': u'The identity of the companies allowing GCHQ to tap their cables was regarded as extremely sensitive within the agency . ',\n", " 's28': u'Though the Tempora programme itself was classified as top secret , the identities of the cable companies was even more secret , referred to as \"exceptionally controlled information \" , with the company names replaced with the codewords , such as \" GERONTIC\" , \" REMEDY\" and \" PINNAGE\" . ',\n", " 's29': u'However , some documents made it clear which codenames referred to which companies . ',\n", " 's3': u\"The cables carry much of the world 's phone calls and internet traffic . \",\n", " 's30': u'GCHQ also assigned the firms \" sensitive relationship teams \" . ',\n", " 's31': u'One document warns that if the names emerged it could cause \"high-level political fallout \" . ',\n", " 's32': u'Germans have been enraged by the revelations of spying by the National Security Agency and GCHQ after it emerged that both agencies were hoovering up German data as well . ',\n", " 's33': u'On Friday the S\\xfcddeutsche said it was now clear that private telecoms firms were far more deeply complicit in US-UK spying activities than had been previously thought . ',\n", " 's34': u'The source familiar with intelligence maintained in June that GCHQ was \" not looking at every piece of straw \" but was sifting a \"vast haystack of data \" for what he called \"needles \" . ',\n", " 's35': u'He added : \" If you had the impression we are reading millions of emails , we are not . ',\n", " 's36': u'There is no intention in this whole programme to use it for looking at UK domestic traffic \\u2013 British people talking to each other . ',\n", " 's37': u'\" The source said analysts used four criteria for determining what was examined : security , terror , organised crime and Britain \\'s economic wellbeing .\" ',\n", " 's38': u\"The vast majority of the data is discarded without being looked at \\u2026 we simply do n't have the resources . \",\n", " 's39': u'\" Nonetheless , the agency repeatedly referred to plans to expand this collection ability still further in the future . ',\n", " 's4': u\"In June the Guardian revealed details of GCHQ 's ambitious data-hoovering programmes , Mastering the Internet and Global Telecoms Exploitation , aimed at scooping up as much online and telephone traffic as possible . \",\n", " 's40': u'Once it is collected , analysts are able to search the information for emails , online chats and browsing histories using an interface called XKeyscore , uncovered in the Guardian on Wednesday . ',\n", " 's41': u'By May 2012 , 300 analysts from GCHQ and 250 NSA analysts had direct access to search and sift through the data collected under the Tempora program . ',\n", " 's42': u'Documents seen by the Guardian suggest some telecoms companies allowed GCHQ to access cables which they did not themselves own or operate , but only operated a landing station for . ',\n", " 's43': u'Such practices could raise alarm among other cable providers who do not co-operate with GCHQ programmes that their facilities are being used by the intelligence agency . ',\n", " 's44': u'Telecoms providers can be compelled to co-operate with requests from the government , relayed through ministers , under the 1984 Telecommunications Act , but privacy advocates have raised concerns that the firms are not doing enough to challenge orders enabling large-scale surveillance , or are co-operating to a degree beyond that required by law . ',\n", " 's45': u'\" We urgently need clarity on how close the relationship is between companies assisting with intelligence gathering and government , \" said Eric King , head of research for Privacy International . ',\n", " 's46': u'\" Were the companies strong-armed , or are they voluntary intercept partners ? ',\n", " 's47': u'\" Vodafone said it complied with the laws of all the countries in which its cables operate . ',\n", " 's48': u'\" Media reports on these matters have demonstrated a misunderstanding of the basic facts of European , German and UK legislation and of the legal obligations set out within every telecommunications operator \\'s licence \\u2026 Vodafone complies with the law in all of our countries of operation , \" said a spokesman . ',\n", " 's49': u'\" Vodafone does not disclose any customer data in any jurisdiction unless legally required to do so . ',\n", " 's5': u'It emerged GCHQ was able to tap into fibre-optic cables and store huge volumes of data for up to 30 days . ',\n", " 's50': u'Questions related to national security are a matter for governments not telecommunications operators . ',\n", " 's51': u'\" A spokeswoman for Interoute said : \" As with all communication providers in Europe we are required to comply with European and local laws including those on data protection and retention . ',\n", " 's52': u'From time to time we are presented with requests from authorities . ',\n", " 's53': u'When we receive such requests , they are processed by our legal and security teams and if valid , acted upon . ',\n", " 's54': u'\" A spokeswoman for Verizon said : \" Verizon continually takes steps to safeguard our customers \\' privacy . ',\n", " 's55': u'Verizon also complies with the law in every country in which we operate . ',\n", " 's56': u'\" BT declined to comment . ',\n", " 's57': u'[epinoa] So BT and Vodafone breached the Data Protection Act ? ',\n", " 's58': u'[enfrance ] Is this Atlantic Bridge in operation ? ',\n", " 's59': u'[alex442] Human Rights ? ',\n", " 's6': u'That operation , codenamed Tempora , has been running for 20 months . ',\n", " 's60': u'Aww , how quaint ... ',\n", " 's61': u\"[nitpiqin ] That 's what we need to judge them and prosecute them with their own laws . \",\n", " 's62': u'They are breaking their own laws . ',\n", " 's63': u'[MirandaKeen ] In the near future , I hope . ',\n", " 's64': u'[MirandaKeen ] And the Universal Declaration of Human RightsArticle 12No one shall be subjected to arbitrary interference with their privacy , family , home or correspondence , nor to attacks upon their honour and reputation . ',\n", " 's65': u'Everyone has the right to the protection of the law against such interference and attacks . ',\n", " 's66': u\"[00jebus ] So THATs how Vodafone get away with not paying any tax ( allegedly ) hmmm .... is there a telecom company that does n't pass on infomation ? \",\n", " 's67': u\"[Bluestone ] You scratch our backs , we 'll scratch yours - and bugger the proles ! \",\n", " 's68': u'Haw haw ! ',\n", " 's69': u'[jonbryce ] Vodafone Cable was Cable & Wireless until they took it over on 27 July 2012 . ',\n", " 's7': u\"On Friday Germany 's S\\xfcddeutsche newspaper published the most highly sensitive aspect of this operation \\u2013 the names of the commercial companies working secretly with GCHQ , and giving the agency access to their customers ' private communications . \",\n", " 's70': u'The tax settlement was in 2010 , so there is no link . ',\n", " 's71': u\"[DavidMillipede ] Probably not given that they have to comp'ly with secret government demands . \",\n", " 's72': u\"We need a revolution , and we need it now , to restore democracy to the people and not elitist wankers like this shabby government who could n't even win an election , yet take all the liberties they have been with public services . \",\n", " 's73': u'[dennis79] All of this is certainly not done to combat terrorism . ',\n", " 's74': u'Spying upon politics , economics , NGOs etc . ',\n", " 's75': u\"I 'm also pretty confident that a number of individuals are subjugated to more thorough investigation purely for state needs . \",\n", " 's76': u'States have always set up people , used people as fall guys , coerced and manipulated their subjects . ',\n", " 's77': u\"All this is far easier when in the possession of a very complete knowledge of someone 's life . \",\n", " 's78': u\"[SpecialRX] I suspect you 're right . \",\n", " 's79': u'Have a recommend on me . ',\n", " 's8': u'The paper said it had seen a copy of an internal GCHQ powerpoint presentation from 2009 discussing Tempora . ',\n", " 's80': u\"[ Malkatrinho] It has nothing to do with &quot;Terrorists&quot ; , you 're bang on . \",\n", " 's81': u\"It 's about keeping an eye on the general populace , which might start getting a little &quot ;uppity&quot ; when the consequences of climate change and economic collapse really start to kick in in around 10-15 years time ( if we 're being optimistic ) . \",\n", " 's82': u\"[timetorememberagain ] A spokeswoman for Verizon said : &quot ;Verizon continually takes steps to safeguard our customers ' privacy . \",\n", " 's83': u'Verizon also complies with the law in every country in which we operate .&quot ; This is a contradiction . ',\n", " 's84': u\"It sounds like they 're doing everything just right but in fact the two statements are mutually exclusive because They are forbidden from revealing the existence of warrants compelling them to allow GCHQ access to the cables . \",\n", " 's85': u\"So the government demands access to my ( and everyone else 's ) phone calls and the provider obeys without telling me but instead assures me they 're continually taking steps to safeguard my privacy . \",\n", " 's86': u'Sheer doublespeak and deception of the highest order . ',\n", " 's87': u'Recall Parliament now ! ',\n", " 's88': u'Demonstrate now ! ',\n", " 's89': u\"[AGrumpyGit ] Democracy does indeed work that way , but we 've living in a quasi-democracy for som time now , in which we all go through a meaningless but apparently necessary election system but we always end up with &quot ;leaders&quot ; that perpuate their hidden agenda . \",\n", " 's9': u'The document identified for the first time which telecoms companies are working with GCHQ \\'s \" special source \" team . ',\n", " 's90': u'[timetorememberagain ] It should have been debated in parliament.If the majority were in favour , the access would be granted.That is how democracy works . ',\n", " 's91': u'Quite so . ',\n", " 's92': u'Those among us who might previously have argued that at least we still live in a democracy , might now begin to question that assumption . ',\n", " 's93': u'With luck we might even stage mass demonstrations demanding our rights . ',\n", " 's94': u'Organise ! ',\n", " 's95': u'Resist ! ',\n", " 's96': u\"[SamSSSS] I do n't see why the existence of warrants for access had to be hidden from the public. \",\n", " 's97': u'It should have been debated in parliament . ',\n", " 's98': u'If the majority were in favour , the access would be granted.That is how democracy works . ',\n", " 's99': u\"[VaughnParadis ] I 'd be concerned about Vodafone passing on details of my communications , if Vodafone could provide me with a signal in the first place . \"}}\n", "50 comments parsed.\n", "190 sentences parsed.\n" ] } ], "source": [ "import json\n", "import pprint\n", "def parse_article(html):\n", " soup = BeautifulSoup(html, \"lxml\")\n", " \n", " sentences = soup.findAll('s')\n", " parsed_sentences = {}\n", " for s in sentences:\n", " parsed_sentences[s['id']] = s.get_text() \n", " \n", " parsed_comments = parse_comments(soup.findAll('comment'))\n", " \n", " article = {\n", " 'sentences': parsed_sentences,\n", " 'comments': parsed_comments\n", " }\n", "\n", " return article\n", "\n", "article = parse_article(article_text)\n", "pprint.pprint(article)\n", "json_article = json.dumps(article, indent=4)\n", "print len(article['comments'].values()), \" comments parsed.\"\n", "print len(article['sentences'].values()), \" sentences parsed.\"" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Clustering just the sentences" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Vectorizing the sentences (TFIDF)" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [ { "ename": "NameError", "evalue": "name 'np' is not defined", "output_type": "error", "traceback": [ "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", "\u001b[0;31mNameError\u001b[0m Traceback (most recent call last)", "\u001b[0;32m<ipython-input-11-2b18684ea7fc>\u001b[0m in \u001b[0;36m<module>\u001b[0;34m()\u001b[0m\n\u001b[1;32m 13\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 14\u001b[0m \u001b[0msentences_vectors\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mvectorizer\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mfit_transform\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0marticle\u001b[0m\u001b[0;34m[\u001b[0m\u001b[0;34m'sentences'\u001b[0m\u001b[0;34m]\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mvalues\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m---> 15\u001b[0;31m \u001b[0msorted_feature_indices\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mnp\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0margsort\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mvectorizer\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0midf_\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m[\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m-\u001b[0m\u001b[0;36m1\u001b[0m\u001b[0;34m]\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 16\u001b[0m \u001b[0mfeatures\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mvectorizer\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mget_feature_names\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 17\u001b[0m \u001b[0mtop_n_features\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0;36m20\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;31mNameError\u001b[0m: name 'np' is not defined" ] } ], "source": [ "from sklearn.feature_extraction.text import TfidfVectorizer\n", "import nltk.stem\n", "\n", "english_stemmer = nltk.stem.SnowballStemmer('english')\n", "\n", "class StemmedTfidfVectorizer(TfidfVectorizer):\n", " def build_analyzer(self):\n", " analyzer=super(StemmedTfidfVectorizer,self).build_analyzer()\n", " return lambda doc:(english_stemmer.stem(w) for w in analyzer(doc))\n", "\n", "vectorizer = StemmedTfidfVectorizer(min_df=1, stop_words='english',\n", " )\n", "\n", "sentences_vectors = vectorizer.fit_transform(article['sentences'].values())\n", "sorted_feature_indices = np.argsort(vectorizer.idf_)[::-1]\n", "features = vectorizer.get_feature_names()\n", "top_n_features = 20\n", "top_features = [features[i] for i in sorted_feature_indices[:top_n_features]]\n", "\n", "print \"%d features found\" % (len(features))\n", "print \"Top %d features:\" % (top_n_features)\n", "print top_features" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Dimensionality reduction and Normalization" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [], "source": [ "import gensim\n", "#Dimensionality reduction using LSI. Go from 6D to 2D.\n", "\n", "X = sentences_vectors.todense()\n", "dct = gensim.corpora.Dictionary(X)\n", "lsi_docs = {}\n", "num_topics = 500\n", "lsi_model = gensim.models.LsiModel(dct, num_topics=500)\n", "print lsi_model.shape\n", "print lsi_model[:50]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Clustering with MeanShift\n", "\n", "WHY ARE ALL VECTORS VALUED AT 0!???" ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "collapsed": false }, "outputs": [ { "ename": "NameError", "evalue": "name 'X' is not defined", "output_type": "error", "traceback": [ "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", "\u001b[0;31mNameError\u001b[0m Traceback (most recent call last)", "\u001b[0;32m<ipython-input-12-6fe3eeed26f8>\u001b[0m in \u001b[0;36m<module>\u001b[0;34m()\u001b[0m\n\u001b[1;32m 2\u001b[0m \u001b[0;32mfrom\u001b[0m \u001b[0msklearn\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mcluster\u001b[0m \u001b[0;32mimport\u001b[0m \u001b[0mMeanShift\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mestimate_bandwidth\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 3\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m----> 4\u001b[0;31m \u001b[0mbandwidth\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mestimate_bandwidth\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mX\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mquantile\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0;36m0.3\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 5\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 6\u001b[0m \u001b[0mms\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mMeanShift\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mbandwidth\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0mbandwidth\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mbin_seeding\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0mTrue\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;31mNameError\u001b[0m: name 'X' is not defined" ] } ], "source": [ "import numpy as np\n", "from sklearn.cluster import MeanShift, estimate_bandwidth\n", "\n", "bandwidth = estimate_bandwidth(X, quantile=0.3)\n", "\n", "ms = MeanShift(bandwidth=bandwidth, bin_seeding=True)\n", "ms.fit(X)\n", "labels = ms.labels_\n", "cluster_centers = ms.cluster_centers_\n", "\n", "labels_unique = np.unique(labels)\n", "n_clusters_ = len(labels_unique)\n", "\n", "print \"Number of estimated clusters : %d\" % n_clusters_\n", "\n", "# Plot result\n", "import matplotlib.pyplot as plt\n", "from itertools import cycle\n", "\n", "plt.figure(1)\n", "plt.clf()\n", "\n", "colors = cycle('bgrcmykbgrcmykbgrcmykbgrcmyk')\n", "for k, col in zip(range(n_clusters_), colors):\n", " my_members = labels == k\n", " cluster_center = cluster_centers[k]\n", " plt.plot(X[my_members, 0], X[my_members, 1], col + '.')\n", " plt.plot(cluster_center[0], cluster_center[1], 'o',\n", " markerfacecolor=col, markeredgecolor='k',\n", " markersize=14)\n", "\n", "plt.title('Estimated number of clusters: %d' % n_clusters_)\n", "plt.show()\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Using the same approach as a movie clusterer\n", "http://brandonrose.org/clustering" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Imports" ] }, { "cell_type": "code", "execution_count": 13, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import numpy as np\n", "import pandas as pd\n", "import nltk\n", "import re\n", "import os\n", "import codecs\n", "from sklearn import feature_extraction\n", "import mpld3" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Stopwords, stemming, and tokenizing" ] }, { "cell_type": "code", "execution_count": 14, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Done\n" ] } ], "source": [ "stopwords = nltk.corpus.stopwords.words('english')\n", "from nltk.stem.snowball import SnowballStemmer\n", "stemmer = SnowballStemmer(\"english\")\n", "print 'Done'" ] }, { "cell_type": "code", "execution_count": 15, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def tokenize_and_stem(sentences):\n", " tokens = [word for sent in sentences \n", " for word in nltk.word_tokenize(sent)]\n", " filtered_tokens = []\n", " for token in tokens:\n", " if re.search('[a-zA-Z]', token):\n", " filtered_tokens.append(token)\n", " stems = [stemmer.stem(t) for t in filtered_tokens]\n", " return stems\n", "\n", "def tokenize_only(sentences):\n", " tokens = [word.lower() for sent in sentences\n", " for word in nltk.word_tokenize(sent)]\n", " filtered_tokens = []\n", " for token in tokens:\n", " if re.search('[a-zA-Z]', token):\n", " filtered_tokens.append(token)\n", " return filtered_tokens" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Make vocabulary\n", "stemmmed and not-stemmed" ] }, { "cell_type": "code", "execution_count": 16, "metadata": { "collapsed": false }, "outputs": [], "source": [ "totalvocab_stemmed = []\n", "totalvocab_tokenized = []\n", "allwords_stemmed = tokenize_and_stem(article['sentences'].values())\n", "totalvocab_stemmed.extend(allwords_stemmed)\n", "\n", "allwords_tokenized = tokenize_only(article['sentences'].values())\n", "totalvocab_tokenized.extend(allwords_tokenized)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Pandas data frame to visualize the vocabulary" ] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "there are 3352 items in vocab_frame\n", "here are the first words in the vocabulary\n" ] }, { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>words</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>to</th>\n", " <td>to</td>\n", " </tr>\n", " <tr>\n", " <th>those</th>\n", " <td>those</td>\n", " </tr>\n", " <tr>\n", " <th>corrupt</th>\n", " <td>corrupted</td>\n", " </tr>\n", " <tr>\n", " <th>by</th>\n", " <td>by</td>\n", " </tr>\n", " <tr>\n", " <th>greed</th>\n", " <td>greed</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " words\n", "to to\n", "those those\n", "corrupt corrupted\n", "by by\n", "greed greed" ] }, "execution_count": 17, "metadata": {}, "output_type": "execute_result" } ], "source": [ "vocab_frame = pd.DataFrame({'words': totalvocab_tokenized},\n", " index = totalvocab_stemmed)\n", "print 'there are ' + str(vocab_frame.shape[0]) + ' items in vocab_frame'\n", "print 'here are the first words in the vocabulary'\n", "vocab_frame.head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### TF-IDF and document similarity" ] }, { "cell_type": "code", "execution_count": 18, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "CPU times: user 2.11 s, sys: 17.7 ms, total: 2.12 s\n", "Wall time: 2.13 s\n", "(190, 120)\n" ] } ], "source": [ "from sklearn.feature_extraction.text import TfidfVectorizer\n", "\n", "tfidf_vectorizer = TfidfVectorizer(max_df=0.8, max_features=20000,\n", " min_df=0.2, stop_words='english',\n", " use_idf=True, tokenizer=tokenize_and_stem,\n", " ngram_range=(1,3))\n", "%time tfidf_matrix = tfidf_vectorizer.fit_transform(article['sentences'].values())\n", "\n", "print tfidf_matrix.shape" ] }, { "cell_type": "code", "execution_count": 19, "metadata": { "collapsed": true }, "outputs": [], "source": [ "terms = tfidf_vectorizer.get_feature_names()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Cosine Similarity" ] }, { "cell_type": "code", "execution_count": 20, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[[ 0.00000000e+00 6.32368721e-01 4.41392655e-01 ..., 5.46565074e-01\n", " 4.36723114e-01 5.89894745e-01]\n", " [ 6.32368721e-01 0.00000000e+00 6.96169781e-01 ..., 6.60962208e-01\n", " 6.80822683e-01 7.49110606e-01]\n", " [ 4.41392655e-01 6.96169781e-01 -2.22044605e-16 ..., 5.77924745e-01\n", " 4.60548146e-01 6.25575149e-01]\n", " ..., \n", " [ 5.46565074e-01 6.60962208e-01 5.77924745e-01 ..., 3.33066907e-16\n", " 3.86541760e-01 4.06016946e-01]\n", " [ 4.36723114e-01 6.80822683e-01 4.60548146e-01 ..., 3.86541760e-01\n", " -2.22044605e-16 4.31044747e-01]\n", " [ 5.89894745e-01 7.49110606e-01 6.25575149e-01 ..., 4.06016946e-01\n", " 4.31044747e-01 0.00000000e+00]]\n" ] } ], "source": [ "from sklearn.metrics.pairwise import cosine_similarity\n", "dist = 1 - cosine_similarity(tfidf_matrix)\n", "dist_frame = pd.DataFrame(dist)\n", "print dist" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### K-means clustering" ] }, { "cell_type": "code", "execution_count": 21, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "CPU times: user 112 ms, sys: 2.98 ms, total: 115 ms\n", "Wall time: 119 ms\n" ] } ], "source": [ "from sklearn.cluster import KMeans\n", "\n", "num_clusters = 5\n", "km = KMeans(n_clusters=num_clusters)\n", "\n", "%time km.fit(tfidf_matrix)\n", "clusters = km.labels_.tolist()" ] }, { "cell_type": "code", "execution_count": 22, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "[3,\n", " 0,\n", " 1,\n", " 4,\n", " 1,\n", " 1,\n", " 1,\n", " 1,\n", " 3,\n", " 3,\n", " 2,\n", " 4,\n", " 4,\n", " 4,\n", " 4,\n", " 3,\n", " 2,\n", " 4,\n", " 3,\n", " 2,\n", " 4,\n", " 0,\n", " 1,\n", " 3,\n", " 4,\n", " 4,\n", " 0,\n", " 3,\n", " 1,\n", " 4,\n", " 0,\n", " 0,\n", " 0,\n", " 0,\n", " 4,\n", " 4,\n", " 4,\n", " 4,\n", " 4,\n", " 4,\n", " 4,\n", " 4,\n", " 2,\n", " 4,\n", " 4,\n", " 2,\n", " 2,\n", " 4,\n", " 4,\n", " 4,\n", " 0,\n", " 0,\n", " 0,\n", " 0,\n", " 0,\n", " 0,\n", " 1,\n", " 1,\n", " 1,\n", " 1,\n", " 4,\n", " 2,\n", " 3,\n", " 4,\n", " 1,\n", " 4,\n", " 4,\n", " 3,\n", " 2,\n", " 4,\n", " 2,\n", " 4,\n", " 4,\n", " 2,\n", " 3,\n", " 4,\n", " 3,\n", " 4,\n", " 1,\n", " 1,\n", " 4,\n", " 2,\n", " 3,\n", " 3,\n", " 0,\n", " 3,\n", " 0,\n", " 4,\n", " 0,\n", " 0,\n", " 4,\n", " 3,\n", " 4,\n", " 1,\n", " 0,\n", " 1,\n", " 2,\n", " 2,\n", " 0,\n", " 1,\n", " 3,\n", " 3,\n", " 0,\n", " 0,\n", " 4,\n", " 4,\n", " 4,\n", " 2,\n", " 1,\n", " 1,\n", " 2,\n", " 0,\n", " 1,\n", " 3,\n", " 3,\n", " 4,\n", " 4,\n", " 4,\n", " 4,\n", " 4,\n", " 1,\n", " 2,\n", " 3,\n", " 3,\n", " 3,\n", " 1,\n", " 1,\n", " 1,\n", " 1,\n", " 1,\n", " 3,\n", " 4,\n", " 4,\n", " 1,\n", " 3,\n", " 4,\n", " 4,\n", " 3,\n", " 0,\n", " 3,\n", " 3,\n", " 3,\n", " 3,\n", " 3,\n", " 2,\n", " 4,\n", " 1,\n", " 2,\n", " 4,\n", " 3,\n", " 3,\n", " 1,\n", " 2,\n", " 1,\n", " 4,\n", " 2,\n", " 4,\n", " 1,\n", " 3,\n", " 1,\n", " 4,\n", " 2,\n", " 4,\n", " 4,\n", " 4,\n", " 4,\n", " 4,\n", " 2,\n", " 4,\n", " 4,\n", " 1,\n", " 1,\n", " 4,\n", " 0,\n", " 1,\n", " 3,\n", " 2,\n", " 4,\n", " 3,\n", " 0,\n", " 4,\n", " 4,\n", " 4,\n", " 4,\n", " 4,\n", " 4,\n", " 1,\n", " 2,\n", " 4,\n", " 4]" ] }, "execution_count": 22, "metadata": {}, "output_type": "execute_result" } ], "source": [ "clusters" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Multidimensional scaling to plot?" ] }, { "cell_type": "code", "execution_count": 23, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import os\n", "import matplotlib.pyplot as plt\n", "import matplotlib as mpl\n", "from sklearn.manifold import MDS\n", "\n", "MDS()\n", "mds = MDS(n_components=2, dissimilarity=\"precomputed\", random_state=1)\n", "pos = mds.fit_transform(dist)\n", "\n", "xs, ys = pos[:,0], pos[:, 1]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "#### Plot" ] }, { "cell_type": "code", "execution_count": 24, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAA8MAAAIECAYAAAA97/yOAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x10a1fbed0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "cluster_colors = {0: '#1b9e77', 1: '#d95f02', 2: '#7570b3', 3: '#e7298a', 4: '#66a61e'}\n", "cluster_names = {0: 'C0',\n", " 1: 'C1',\n", " 2: 'C2',\n", " 3: 'C3',\n", " 4: 'C4'}\n", "\n", "# iPython now will show matplotlib plots inline\n", "%matplotlib inline\n", "\n", "df = pd.DataFrame(dict(x=xs, y=ys, label=clusters, title=[\"s{0}\".format(x) for x in range(190)]))\n", "\n", "groups = df.groupby('label')\n", "\n", "### set up the plot\n", "fig, ax = plt.subplots(figsize=(17,9))\n", "ax.margins(0.05)\n", "for name, group in groups:\n", " ax.plot(group.x, group.y, marker='o', linestyle='',\n", " ms=12, label=cluster_names[name], color=cluster_colors[name],\n", " mec='none')\n", " ax.set_aspect('auto')\n", " ax.tick_params(\\\n", " axis='x',\n", " which='both',\n", " bottom='off',\n", " top='off',\n", " labelbottom='off')\n", " ax.tick_params(\\\n", " axis='y',\n", " which='both',\n", " left='off',\n", " top='off',\n", " labelleft='off')\n", "ax.legend(numpoints=1)\n", "\n", "for i in range(len(df)):\n", " ax.text(df.ix[i]['x'], df.ix[i]['y'], df.ix[i]['title'],\n", " size=8)\n", " \n", "plt.show()\n" ] }, { "cell_type": "code", "execution_count": 25, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[ScepticOptimist ] And it is exactly the only way we can regain our freedom - a British spring ; mass protest & civil disobedience . \n", "Large numbers of web apps and traffic is ssl encrypted now so why bother creating a massive fiber intercept program if you could n't see 60 % + of all the traffic and almost all of the communications data ? \n", "[nowwhataretheyupto] so this is why the government go easy on these toss pots not paying corporation tax . \n", "[ Malkatrinho] that 's a lovely shade of green . \n" ] } ], "source": [ "print article['sentences']['s151']\n", "print article['sentences']['s170']\n", "print article['sentences']['s171']\n", "print article['sentences']['s108']" ] }, { "cell_type": "code", "execution_count": 26, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "With luck we might even stage mass demonstrations demanding our rights . \n", "They 're terrified that the same kind of mass protests that swept Brazil , Turkey , Bulgaria or the Middle East will eventually be provoked in the West . \n", "cui bonno ? \n", "Lots of ISPs use them for their transatlantic traffic . \n" ] } ], "source": [ "print article['sentences']['s93']\n", "print article['sentences']['s150']\n", "print article['sentences']['s114']\n", "print article['sentences']['s110']" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Hierarchical document clustering\n", "The *Ward clustering algorithm* !!!!" ] }, { "cell_type": "code", "execution_count": 27, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAABDAAAAWYCAYAAABArDYhAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nKXpL66/gAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x109a24350>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "from scipy.cluster.hierarchy import ward, dendrogram\n", "linkage_matrix = ward(dist) #define the linkage_matrix\n", "# using ward clustering pre-computed distances\n", "\n", "fig, ax = plt.subplots(figsize=(15,20)) # set size\n", "ax = dendrogram(linkage_matrix, orientation=\"right\", labels=[\"s{0}\".format(x) for x in range(190)])\n", "\n", "plt.tick_params(\\\n", " axis = 'x',\n", " which ='both',\n", " bottom ='off',\n", " top = 'off',\n", " labelbottom = 'off')\n", "\n", "plt.tight_layout()\n", "\n", "plt.savefig('ward_clusters.png', dpi=200) " ] }, { "cell_type": "code", "execution_count": 28, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>0</th>\n", " <th>1</th>\n", " <th>2</th>\n", " <th>3</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>188</th>\n", " <td>376</td>\n", " <td>377</td>\n", " <td>21.858239</td>\n", " <td>190</td>\n", " </tr>\n", " <tr>\n", " <th>187</th>\n", " <td>369</td>\n", " <td>375</td>\n", " <td>13.101199</td>\n", " <td>87</td>\n", " </tr>\n", " <tr>\n", " <th>186</th>\n", " <td>356</td>\n", " <td>373</td>\n", " <td>7.130920</td>\n", " <td>103</td>\n", " </tr>\n", " <tr>\n", " <th>185</th>\n", " <td>372</td>\n", " <td>374</td>\n", " <td>5.770700</td>\n", " <td>70</td>\n", " </tr>\n", " <tr>\n", " <th>184</th>\n", " <td>358</td>\n", " <td>371</td>\n", " <td>4.347340</td>\n", " <td>46</td>\n", " </tr>\n", " <tr>\n", " <th>183</th>\n", " <td>335</td>\n", " <td>370</td>\n", " <td>3.707320</td>\n", " <td>67</td>\n", " </tr>\n", " <tr>\n", " <th>182</th>\n", " <td>308</td>\n", " <td>368</td>\n", " <td>3.510684</td>\n", " <td>24</td>\n", " </tr>\n", " <tr>\n", " <th>181</th>\n", " <td>359</td>\n", " <td>362</td>\n", " <td>3.493877</td>\n", " <td>29</td>\n", " </tr>\n", " <tr>\n", " <th>180</th>\n", " <td>361</td>\n", " <td>366</td>\n", " <td>3.393611</td>\n", " <td>52</td>\n", " </tr>\n", " <tr>\n", " <th>179</th>\n", " <td>222</td>\n", " <td>367</td>\n", " <td>3.253098</td>\n", " <td>17</td>\n", " </tr>\n", " <tr>\n", " <th>178</th>\n", " <td>348</td>\n", " <td>364</td>\n", " <td>3.140669</td>\n", " <td>21</td>\n", " </tr>\n", " <tr>\n", " <th>177</th>\n", " <td>357</td>\n", " <td>365</td>\n", " <td>3.131984</td>\n", " <td>15</td>\n", " </tr>\n", " <tr>\n", " <th>176</th>\n", " <td>345</td>\n", " <td>363</td>\n", " <td>2.976825</td>\n", " <td>38</td>\n", " </tr>\n", " <tr>\n", " <th>175</th>\n", " <td>350</td>\n", " <td>352</td>\n", " <td>2.945812</td>\n", " <td>10</td>\n", " </tr>\n", " <tr>\n", " <th>174</th>\n", " <td>354</td>\n", " <td>360</td>\n", " <td>2.880574</td>\n", " <td>16</td>\n", " </tr>\n", " <tr>\n", " <th>173</th>\n", " <td>347</td>\n", " <td>349</td>\n", " <td>2.870923</td>\n", " <td>23</td>\n", " </tr>\n", " <tr>\n", " <th>172</th>\n", " <td>333</td>\n", " <td>341</td>\n", " <td>2.816104</td>\n", " <td>14</td>\n", " </tr>\n", " <tr>\n", " <th>171</th>\n", " <td>336</td>\n", " <td>343</td>\n", " <td>2.766368</td>\n", " <td>14</td>\n", " </tr>\n", " <tr>\n", " <th>170</th>\n", " <td>330</td>\n", " <td>344</td>\n", " <td>2.706653</td>\n", " <td>8</td>\n", " </tr>\n", " <tr>\n", " <th>169</th>\n", " <td>324</td>\n", " <td>353</td>\n", " <td>2.691439</td>\n", " <td>15</td>\n", " </tr>\n", " <tr>\n", " <th>168</th>\n", " <td>340</td>\n", " <td>355</td>\n", " <td>2.666661</td>\n", " <td>17</td>\n", " </tr>\n", " <tr>\n", " <th>167</th>\n", " <td>276</td>\n", " <td>342</td>\n", " <td>2.651612</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>166</th>\n", " <td>322</td>\n", " <td>328</td>\n", " <td>2.631879</td>\n", " <td>36</td>\n", " </tr>\n", " <tr>\n", " <th>165</th>\n", " <td>285</td>\n", " <td>351</td>\n", " <td>2.508269</td>\n", " <td>13</td>\n", " </tr>\n", " <tr>\n", " <th>164</th>\n", " <td>316</td>\n", " <td>337</td>\n", " <td>2.437192</td>\n", " <td>8</td>\n", " </tr>\n", " <tr>\n", " <th>163</th>\n", " <td>332</td>\n", " <td>334</td>\n", " <td>2.337388</td>\n", " <td>11</td>\n", " </tr>\n", " <tr>\n", " <th>162</th>\n", " <td>331</td>\n", " <td>338</td>\n", " <td>2.311653</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>161</th>\n", " <td>318</td>\n", " <td>329</td>\n", " <td>2.281644</td>\n", " <td>10</td>\n", " </tr>\n", " <tr>\n", " <th>160</th>\n", " <td>311</td>\n", " <td>346</td>\n", " <td>2.249182</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>159</th>\n", " <td>280</td>\n", " <td>303</td>\n", " <td>2.199540</td>\n", " <td>10</td>\n", " </tr>\n", " <tr>\n", " <th>...</th>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " </tr>\n", " <tr>\n", " <th>29</th>\n", " <td>170</td>\n", " <td>171</td>\n", " <td>1.132782</td>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>28</th>\n", " <td>6</td>\n", " <td>201</td>\n", " <td>1.127395</td>\n", " <td>3</td>\n", " </tr>\n", " <tr>\n", " <th>27</th>\n", " <td>14</td>\n", " <td>173</td>\n", " <td>1.126105</td>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>26</th>\n", " <td>92</td>\n", " <td>133</td>\n", " <td>1.108976</td>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>25</th>\n", " <td>129</td>\n", " <td>153</td>\n", " <td>1.108879</td>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>24</th>\n", " <td>17</td>\n", " <td>42</td>\n", " <td>1.105053</td>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>23</th>\n", " <td>97</td>\n", " <td>131</td>\n", " <td>1.101264</td>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>22</th>\n", " <td>38</td>\n", " <td>39</td>\n", " <td>1.073012</td>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>21</th>\n", " <td>77</td>\n", " <td>90</td>\n", " <td>1.051660</td>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>20</th>\n", " <td>198</td>\n", " <td>202</td>\n", " <td>1.043855</td>\n", " <td>5</td>\n", " </tr>\n", " <tr>\n", " <th>19</th>\n", " <td>107</td>\n", " <td>155</td>\n", " <td>1.040418</td>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>18</th>\n", " <td>80</td>\n", " <td>185</td>\n", " <td>1.029425</td>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>17</th>\n", " <td>136</td>\n", " <td>152</td>\n", " <td>1.028330</td>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>16</th>\n", " <td>35</td>\n", " <td>164</td>\n", " <td>1.018026</td>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>15</th>\n", " <td>16</td>\n", " <td>75</td>\n", " <td>1.015498</td>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>14</th>\n", " <td>169</td>\n", " <td>181</td>\n", " <td>1.007137</td>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>13</th>\n", " <td>67</td>\n", " <td>158</td>\n", " <td>1.002289</td>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>12</th>\n", " <td>43</td>\n", " <td>192</td>\n", " <td>0.969303</td>\n", " <td>3</td>\n", " </tr>\n", " <tr>\n", " <th>11</th>\n", " <td>74</td>\n", " <td>118</td>\n", " <td>0.961551</td>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>10</th>\n", " <td>3</td>\n", " <td>148</td>\n", " <td>0.959468</td>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>9</th>\n", " <td>126</td>\n", " <td>127</td>\n", " <td>0.955704</td>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>8</th>\n", " <td>34</td>\n", " <td>40</td>\n", " <td>0.926995</td>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>7</th>\n", " <td>66</td>\n", " <td>156</td>\n", " <td>0.924832</td>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>6</th>\n", " <td>79</td>\n", " <td>186</td>\n", " <td>0.902000</td>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td>85</td>\n", " <td>91</td>\n", " <td>0.881387</td>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>41</td>\n", " <td>115</td>\n", " <td>0.875310</td>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>49</td>\n", " <td>113</td>\n", " <td>0.842472</td>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>166</td>\n", " <td>188</td>\n", " <td>0.820532</td>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>12</td>\n", " <td>117</td>\n", " <td>0.716755</td>\n", " <td>2</td>\n", " </tr>\n", " <tr>\n", " <th>0</th>\n", " <td>13</td>\n", " <td>116</td>\n", " <td>0.688414</td>\n", " <td>2</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "<p>189 rows × 4 columns</p>\n", "</div>" ], "text/plain": [ " 0 1 2 3\n", "188 376 377 21.858239 190\n", "187 369 375 13.101199 87\n", "186 356 373 7.130920 103\n", "185 372 374 5.770700 70\n", "184 358 371 4.347340 46\n", "183 335 370 3.707320 67\n", "182 308 368 3.510684 24\n", "181 359 362 3.493877 29\n", "180 361 366 3.393611 52\n", "179 222 367 3.253098 17\n", "178 348 364 3.140669 21\n", "177 357 365 3.131984 15\n", "176 345 363 2.976825 38\n", "175 350 352 2.945812 10\n", "174 354 360 2.880574 16\n", "173 347 349 2.870923 23\n", "172 333 341 2.816104 14\n", "171 336 343 2.766368 14\n", "170 330 344 2.706653 8\n", "169 324 353 2.691439 15\n", "168 340 355 2.666661 17\n", "167 276 342 2.651612 5\n", "166 322 328 2.631879 36\n", "165 285 351 2.508269 13\n", "164 316 337 2.437192 8\n", "163 332 334 2.337388 11\n", "162 331 338 2.311653 5\n", "161 318 329 2.281644 10\n", "160 311 346 2.249182 5\n", "159 280 303 2.199540 10\n", ".. ... ... ... ...\n", "29 170 171 1.132782 2\n", "28 6 201 1.127395 3\n", "27 14 173 1.126105 2\n", "26 92 133 1.108976 2\n", "25 129 153 1.108879 2\n", "24 17 42 1.105053 2\n", "23 97 131 1.101264 2\n", "22 38 39 1.073012 2\n", "21 77 90 1.051660 2\n", "20 198 202 1.043855 5\n", "19 107 155 1.040418 2\n", "18 80 185 1.029425 2\n", "17 136 152 1.028330 2\n", "16 35 164 1.018026 2\n", "15 16 75 1.015498 2\n", "14 169 181 1.007137 2\n", "13 67 158 1.002289 2\n", "12 43 192 0.969303 3\n", "11 74 118 0.961551 2\n", "10 3 148 0.959468 2\n", "9 126 127 0.955704 2\n", "8 34 40 0.926995 2\n", "7 66 156 0.924832 2\n", "6 79 186 0.902000 2\n", "5 85 91 0.881387 2\n", "4 41 115 0.875310 2\n", "3 49 113 0.842472 2\n", "2 166 188 0.820532 2\n", "1 12 117 0.716755 2\n", "0 13 116 0.688414 2\n", "\n", "[189 rows x 4 columns]" ] }, "execution_count": 28, "metadata": {}, "output_type": "execute_result" } ], "source": [ "frame = pd.DataFrame(linkage_matrix)\n", "frame.sort_values(2,axis=0, ascending=False)\n" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "# Extracting the links" ] }, { "cell_type": "code", "execution_count": 65, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "140 valid links found!\n", "140 links parsed!\n" ] } ], "source": [ "soup = BeautifulSoup(article_text, \"lxml\")\n", "def is_valid_link(tag):\n", " if tag.name != 'link':\n", " return False\n", " link = tag\n", " l_conf = link['link_confidence']\n", " l_val = link['validation']\n", " arg = link.find_next_sibling('argument')\n", " sent = link.find_next_sibling('sentiment')\n", " a_val = arg['validation']\n", " s_val = sent['validation']\n", " a_conf = arg['val_confidence']\n", " s_conf = sent['val_confidence']\n", " args = [l_val, a_val, s_val, l_conf, a_conf, s_conf]\n", " return all(el == '1' or el == 'yes' for el in args)\n", "\n", "linksHTML = soup.findAll(lambda tag:is_valid_link(tag))\n", "\n", "print len(linksHTML), \"valid links found!\"\n", "\n", "parsed_links = []\n", "for link_html in linksHTML:\n", " arg_html = link_html.find_next_sibling('argument')\n", " sent_html = link_html.find_next_sibling('sentiment')\n", " link = {}\n", " link['id'] = link_html['id']\n", " link['art_sentence'] = link_html['art_sentence']\n", " link['com_sentence'] = link_html['com_sentence']\n", " link['confidence'] = link_html['link_confidence']\n", " link['validation'] = link_html['validation']\n", " \n", " arg = {}\n", " arg['label'] = arg_html['label']\n", " arg['confidence'] = arg_html['val_confidence']\n", " arg['validation'] = arg_html['validation']\n", " \n", " sent = {}\n", " sent['label'] = sent_html['label']\n", " sent['confidence'] = sent_html['val_confidence']\n", " sent['validation'] = sent_html['validation']\n", " \n", " link['argument'] = arg\n", " link['sentiment'] = sent\n", " parsed_links.append(link)\n", "\n", "# pprint.pprint(parsed_links, indent=4)\n", "print len(parsed_links),\"links parsed!\"\n", " " ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.9" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
ozorich/phys202-2015-work
assignments/midterm/ProjectEuler52.ipynb
1
5253
{ "cells": [ { "cell_type": "markdown", "metadata": { "nbgrader": {} }, "source": [ "# Project Euler: Problem 52" ] }, { "cell_type": "markdown", "metadata": { "nbgrader": {} }, "source": [ "https://projecteuler.net/problem=52\n", "\n", "It can be seen that the number, $125874$, and its double, $251748$, contain exactly the same digits, but in a different order.\n", "\n", "Find the smallest positive integer, $x$, such that $2x$, $3x$, $4x$, $5x$, and $6x$, contain the same digits." ] }, { "cell_type": "markdown", "metadata": { "nbgrader": {} }, "source": [ "First, write a function `same_digits(x,y)` that returns `True` if two integers `x` and `y` have the exact same set of digits and multiplicities and `False` if they have different digits." ] }, { "cell_type": "code", "execution_count": 14, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "'124578'" ] }, "execution_count": 14, "metadata": {}, "output_type": "execute_result" } ], "source": [ "def y_sorter(y):\n", " ysort=ysort=''.join(sorted(([i for i in str(y)])))\n", " return ysort\n", "y_sorter(125874)" ] }, { "cell_type": "code", "execution_count": 26, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "'124578'" ] }, "execution_count": 26, "metadata": {}, "output_type": "execute_result" } ], "source": [ "def x_sorter(x):\n", " xsort=''.join(sorted(([i for i in str(x)])))\n", " return xsort\n", "x_sorter(251748)\n", "\n" ] }, { "cell_type": "code", "execution_count": 27, "metadata": { "collapsed": false, "nbgrader": { "checksum": "aad5ed41801af39fc06f00c8d275a010", "solution": true } }, "outputs": [], "source": [ "def same_digits(x, y):\n", " \"\"\"Do the integers x and y have the same digits, regardless of order.\"\"\"\n", " xsort=x_sorter(x)\n", " ysort=x_sorter(y)\n", " if ysort==xsort:\n", " return True\n", " return False\n", " \n", " " ] }, { "cell_type": "code", "execution_count": 28, "metadata": { "collapsed": false, "deletable": false, "nbgrader": { "checksum": "dd0aff5d565bc794cee175aaa6c0cb3d", "grade": true, "grade_id": "projecteuler52a", "points": 4 } }, "outputs": [], "source": [ "assert same_digits('132', '321')\n", "assert not same_digits('123', '3')\n", "assert not same_digits('456', '0987654321')" ] }, { "cell_type": "markdown", "metadata": { "nbgrader": {} }, "source": [ "Now use the `same_digits` function to solve this Euler problem. As you work on this problem, be careful to debug and test your code on small integers before trying it on the full search." ] }, { "cell_type": "code", "execution_count": 49, "metadata": { "collapsed": false, "deletable": false, "nbgrader": { "checksum": "6cff4e8e53b15273846c3aecaea84a3d", "solution": true } }, "outputs": [ { "data": { "text/plain": [ "'No'" ] }, "execution_count": 49, "metadata": {}, "output_type": "execute_result" } ], "source": [ "def same_mult(x): \n", " one=same_digits(x,2*x)\n", " two=same_digits(3*x,4*x)\n", " three=same_digits(5*x,6*x)\n", "# print(one,two,three)\n", " if one==True and one==two and two==three:\n", " return True\n", " else:\n", " return 'No'\n", " \n", "same_mult(9999)\n" ] }, { "cell_type": "code", "execution_count": 59, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "'Fail'" ] }, "execution_count": 59, "metadata": {}, "output_type": "execute_result" } ], "source": [ "def final(x):\n", " for x in range(1,x):\n", " value=same_mult(x)\n", " if value==True:\n", " print(x)\n", " break\n", " else:\n", " return('Fail')\n", " \n", "\n", " " ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true, "deletable": false, "nbgrader": { "checksum": "dafbda681e8fb50925790dc1d0600750", "grade": true, "grade_id": "projecteuler52b", "points": 6 } }, "outputs": [], "source": [ "assert True # leave this cell to grade the solution" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.4.0" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
MonicaGutierrez/PracticalMachineLearningClass
exercises/06-Titanic_cross_validation.ipynb
1
43691
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Exercise 06\n", "\n", "## Data preparation and model evaluation exercise with Titanic data\n", "\n", "\n", "\n", "\n", "We'll be working with a dataset from Kaggle's Titanic competition: [data](https://github.com/justmarkham/DAT8/blob/master/data/titanic.csv), [data dictionary](https://www.kaggle.com/c/titanic/data)\n", "\n", "**Goal**: Predict survival based on passenger characteristics\n", "\n", "The sinking of the RMS Titanic is one of the most infamous shipwrecks in history. On April 15, 1912, during her maiden voyage, the Titanic sank after colliding with an iceberg, killing 1502 out of 2224 passengers and crew. This sensational tragedy shocked the international community and led to better safety regulations for ships.\n", "\n", "One of the reasons that the shipwreck led to such loss of life was that there were not enough lifeboats for the passengers and crew. Although there was some element of luck involved in surviving the sinking, some groups of people were more likely to survive than others, such as women, children, and the upper-class.\n", "\n", "In this challenge, we ask you to complete the analysis of what sorts of people were likely to survive. In particular, we ask you to apply the tools of machine learning to predict which passengers survived the tragedy.\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Read the data into Pandas" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Survived</th>\n", " <th>Pclass</th>\n", " <th>Name</th>\n", " <th>Sex</th>\n", " <th>Age</th>\n", " <th>SibSp</th>\n", " <th>Parch</th>\n", " <th>Ticket</th>\n", " <th>Fare</th>\n", " <th>Cabin</th>\n", " <th>Embarked</th>\n", " </tr>\n", " <tr>\n", " <th>PassengerId</th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>1</th>\n", " <td>0</td>\n", " <td>3</td>\n", " <td>Braund, Mr. Owen Harris</td>\n", " <td>male</td>\n", " <td>22</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>A/5 21171</td>\n", " <td>7.2500</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Cumings, Mrs. John Bradley (Florence Briggs Th...</td>\n", " <td>female</td>\n", " <td>38</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>PC 17599</td>\n", " <td>71.2833</td>\n", " <td>C85</td>\n", " <td>C</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>1</td>\n", " <td>3</td>\n", " <td>Heikkinen, Miss. Laina</td>\n", " <td>female</td>\n", " <td>26</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>STON/O2. 3101282</td>\n", " <td>7.9250</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Futrelle, Mrs. Jacques Heath (Lily May Peel)</td>\n", " <td>female</td>\n", " <td>35</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>113803</td>\n", " <td>53.1000</td>\n", " <td>C123</td>\n", " <td>S</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td>0</td>\n", " <td>3</td>\n", " <td>Allen, Mr. William Henry</td>\n", " <td>male</td>\n", " <td>35</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>373450</td>\n", " <td>8.0500</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " Survived Pclass \\\n", "PassengerId \n", "1 0 3 \n", "2 1 1 \n", "3 1 3 \n", "4 1 1 \n", "5 0 3 \n", "\n", " Name Sex Age \\\n", "PassengerId \n", "1 Braund, Mr. Owen Harris male 22 \n", "2 Cumings, Mrs. John Bradley (Florence Briggs Th... female 38 \n", "3 Heikkinen, Miss. Laina female 26 \n", "4 Futrelle, Mrs. Jacques Heath (Lily May Peel) female 35 \n", "5 Allen, Mr. William Henry male 35 \n", "\n", " SibSp Parch Ticket Fare Cabin Embarked \n", "PassengerId \n", "1 1 0 A/5 21171 7.2500 NaN S \n", "2 1 0 PC 17599 71.2833 C85 C \n", "3 0 0 STON/O2. 3101282 7.9250 NaN S \n", "4 1 0 113803 53.1000 C123 S \n", "5 0 0 373450 8.0500 NaN S " ] }, "execution_count": 1, "metadata": {}, "output_type": "execute_result" } ], "source": [ "import pandas as pd\n", "url = 'https://raw.githubusercontent.com/justmarkham/DAT8/master/data/titanic.csv'\n", "titanic = pd.read_csv(url, index_col='PassengerId')\n", "titanic.head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Exercise 6.1 \n", "\n", "Impute the missing values of the age and Embarked" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "Survived 0\n", "Pclass 0\n", "Name 0\n", "Sex 0\n", "Age 0\n", "SibSp 0\n", "Parch 0\n", "Ticket 0\n", "Fare 0\n", "Cabin 687\n", "Embarked 2\n", "dtype: int64" ] }, "execution_count": 2, "metadata": {}, "output_type": "execute_result" } ], "source": [ "titanic.Age.fillna(titanic.Age.median(), inplace=True)\n", "titanic.isnull().sum()" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "0 S\n", "dtype: object" ] }, "execution_count": 3, "metadata": {}, "output_type": "execute_result" } ], "source": [ "titanic.Embarked.mode()" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "Survived 0\n", "Pclass 0\n", "Name 0\n", "Sex 0\n", "Age 0\n", "SibSp 0\n", "Parch 0\n", "Ticket 0\n", "Fare 0\n", "Cabin 687\n", "Embarked 0\n", "dtype: int64" ] }, "execution_count": 4, "metadata": {}, "output_type": "execute_result" } ], "source": [ "titanic.Embarked.fillna('S', inplace=True)\n", "titanic.isnull().sum()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Exercise 6.3\n", "\n", "Convert the Sex and Embarked to categorical features" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Survived</th>\n", " <th>Pclass</th>\n", " <th>Name</th>\n", " <th>Sex</th>\n", " <th>Age</th>\n", " <th>SibSp</th>\n", " <th>Parch</th>\n", " <th>Ticket</th>\n", " <th>Fare</th>\n", " <th>Cabin</th>\n", " <th>Embarked</th>\n", " <th>Sex_Female</th>\n", " </tr>\n", " <tr>\n", " <th>PassengerId</th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>1</th>\n", " <td>0</td>\n", " <td>3</td>\n", " <td>Braund, Mr. Owen Harris</td>\n", " <td>male</td>\n", " <td>22</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>A/5 21171</td>\n", " <td>7.2500</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Cumings, Mrs. John Bradley (Florence Briggs Th...</td>\n", " <td>female</td>\n", " <td>38</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>PC 17599</td>\n", " <td>71.2833</td>\n", " <td>C85</td>\n", " <td>C</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>1</td>\n", " <td>3</td>\n", " <td>Heikkinen, Miss. Laina</td>\n", " <td>female</td>\n", " <td>26</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>STON/O2. 3101282</td>\n", " <td>7.9250</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Futrelle, Mrs. Jacques Heath (Lily May Peel)</td>\n", " <td>female</td>\n", " <td>35</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>113803</td>\n", " <td>53.1000</td>\n", " <td>C123</td>\n", " <td>S</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td>0</td>\n", " <td>3</td>\n", " <td>Allen, Mr. William Henry</td>\n", " <td>male</td>\n", " <td>35</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>373450</td>\n", " <td>8.0500</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>0</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " Survived Pclass \\\n", "PassengerId \n", "1 0 3 \n", "2 1 1 \n", "3 1 3 \n", "4 1 1 \n", "5 0 3 \n", "\n", " Name Sex Age \\\n", "PassengerId \n", "1 Braund, Mr. Owen Harris male 22 \n", "2 Cumings, Mrs. John Bradley (Florence Briggs Th... female 38 \n", "3 Heikkinen, Miss. Laina female 26 \n", "4 Futrelle, Mrs. Jacques Heath (Lily May Peel) female 35 \n", "5 Allen, Mr. William Henry male 35 \n", "\n", " SibSp Parch Ticket Fare Cabin Embarked \\\n", "PassengerId \n", "1 1 0 A/5 21171 7.2500 NaN S \n", "2 1 0 PC 17599 71.2833 C85 C \n", "3 0 0 STON/O2. 3101282 7.9250 NaN S \n", "4 1 0 113803 53.1000 C123 S \n", "5 0 0 373450 8.0500 NaN S \n", "\n", " Sex_Female \n", "PassengerId \n", "1 0 \n", "2 1 \n", "3 1 \n", "4 1 \n", "5 0 " ] }, "execution_count": 5, "metadata": {}, "output_type": "execute_result" } ], "source": [ "titanic['Sex_Female'] = titanic.Sex.map({'male':0, 'female':1})\n", "titanic.head()" ] }, { "cell_type": "code", "execution_count": 34, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Survived</th>\n", " <th>Pclass</th>\n", " <th>Name</th>\n", " <th>Sex</th>\n", " <th>Age</th>\n", " <th>SibSp</th>\n", " <th>Parch</th>\n", " <th>Ticket</th>\n", " <th>Fare</th>\n", " <th>Cabin</th>\n", " <th>Embarked</th>\n", " <th>Sex_Female</th>\n", " <th>Embarked_Q</th>\n", " <th>Embarked_S</th>\n", " <th>Embarked_Q</th>\n", " <th>Embarked_S</th>\n", " <th>Embarked_Q</th>\n", " <th>Embarked_S</th>\n", " </tr>\n", " <tr>\n", " <th>PassengerId</th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " <th></th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>1</th>\n", " <td>0</td>\n", " <td>3</td>\n", " <td>Braund, Mr. Owen Harris</td>\n", " <td>male</td>\n", " <td>22</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>A/5 21171</td>\n", " <td>7.2500</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Cumings, Mrs. John Bradley (Florence Briggs Th...</td>\n", " <td>female</td>\n", " <td>38</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>PC 17599</td>\n", " <td>71.2833</td>\n", " <td>C85</td>\n", " <td>C</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>0</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>1</td>\n", " <td>3</td>\n", " <td>Heikkinen, Miss. Laina</td>\n", " <td>female</td>\n", " <td>26</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>STON/O2. 3101282</td>\n", " <td>7.9250</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>1</td>\n", " <td>1</td>\n", " <td>Futrelle, Mrs. Jacques Heath (Lily May Peel)</td>\n", " <td>female</td>\n", " <td>35</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>113803</td>\n", " <td>53.1000</td>\n", " <td>C123</td>\n", " <td>S</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td>0</td>\n", " <td>3</td>\n", " <td>Allen, Mr. William Henry</td>\n", " <td>male</td>\n", " <td>35</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>373450</td>\n", " <td>8.0500</td>\n", " <td>NaN</td>\n", " <td>S</td>\n", " <td>0</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " <td>0</td>\n", " <td>1</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " Survived Pclass \\\n", "PassengerId \n", "1 0 3 \n", "2 1 1 \n", "3 1 3 \n", "4 1 1 \n", "5 0 3 \n", "\n", " Name Sex Age \\\n", "PassengerId \n", "1 Braund, Mr. Owen Harris male 22 \n", "2 Cumings, Mrs. John Bradley (Florence Briggs Th... female 38 \n", "3 Heikkinen, Miss. Laina female 26 \n", "4 Futrelle, Mrs. Jacques Heath (Lily May Peel) female 35 \n", "5 Allen, Mr. William Henry male 35 \n", "\n", " SibSp Parch Ticket Fare Cabin Embarked \\\n", "PassengerId \n", "1 1 0 A/5 21171 7.2500 NaN S \n", "2 1 0 PC 17599 71.2833 C85 C \n", "3 0 0 STON/O2. 3101282 7.9250 NaN S \n", "4 1 0 113803 53.1000 C123 S \n", "5 0 0 373450 8.0500 NaN S \n", "\n", " Sex_Female Embarked_Q Embarked_S Embarked_Q Embarked_S \\\n", "PassengerId \n", "1 0 0 1 0 1 \n", "2 1 0 0 0 0 \n", "3 1 0 1 0 1 \n", "4 1 0 1 0 1 \n", "5 0 0 1 0 1 \n", "\n", " Embarked_Q Embarked_S \n", "PassengerId \n", "1 0 1 \n", "2 0 0 \n", "3 0 1 \n", "4 0 1 \n", "5 0 1 " ] }, "execution_count": 34, "metadata": {}, "output_type": "execute_result" } ], "source": [ "embarkedummy = pd.get_dummies(titanic.Embarked, prefix='Embarked')\n", "embarkedummy.drop(embarkedummy.columns[0], axis=1, inplace=True)\n", "titanic = pd.concat([titanic, embarkedummy], axis=1)\n", "titanic.head()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Exercise 6.3 (2 points)\n", "\n", "From the set of features ['Pclass', 'Sex', 'Age', 'SibSp', 'Parch', 'Fare', 'Embarked']\n", "\n", "*Note, use the created categorical features for Sex and Embarked\n", "\n", "Select the features that maximize the **accuracy** the model using K-Fold cross-validation" ] }, { "cell_type": "code", "execution_count": 22, "metadata": { "collapsed": true }, "outputs": [], "source": [ "y = titanic['Survived']" ] }, { "cell_type": "code", "execution_count": 23, "metadata": { "collapsed": true }, "outputs": [], "source": [ "features = ['Pclass', 'Age', 'SibSp', 'Parch', 'Fare','Sex_Female', 'Embarked_Q', 'Embarked_S']" ] }, { "cell_type": "code", "execution_count": 24, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import numpy as np\n", "def comb(n,k) :\n", " return np.math.factorial(n) / (np.math.factorial(n-k) * np.math.factorial(k))" ] }, { "cell_type": "code", "execution_count": 25, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "255.0" ] }, "execution_count": 25, "metadata": {}, "output_type": "execute_result" } ], "source": [ "np.sum([comb(8,i) for i in range(0,8)])" ] }, { "cell_type": "code", "execution_count": 26, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "[('Pclass',),\n", " ('Age',),\n", " ('SibSp',),\n", " ('Parch',),\n", " ('Fare',),\n", " ('Sex_Female',),\n", " ('Embarked_Q',),\n", " ('Embarked_S',),\n", " ('Pclass', 'Age'),\n", " ('Pclass', 'SibSp'),\n", " ('Pclass', 'Parch'),\n", " ('Pclass', 'Fare'),\n", " ('Pclass', 'Sex_Female'),\n", " ('Pclass', 'Embarked_Q'),\n", " ('Pclass', 'Embarked_S'),\n", " ('Age', 'SibSp'),\n", " ('Age', 'Parch'),\n", " ('Age', 'Fare'),\n", " ('Age', 'Sex_Female'),\n", " ('Age', 'Embarked_Q'),\n", " ('Age', 'Embarked_S'),\n", " ('SibSp', 'Parch'),\n", " ('SibSp', 'Fare'),\n", " ('SibSp', 'Sex_Female'),\n", " ('SibSp', 'Embarked_Q'),\n", " ('SibSp', 'Embarked_S'),\n", " ('Parch', 'Fare'),\n", " ('Parch', 'Sex_Female'),\n", " ('Parch', 'Embarked_Q'),\n", " ('Parch', 'Embarked_S'),\n", " ('Fare', 'Sex_Female'),\n", " ('Fare', 'Embarked_Q'),\n", " ('Fare', 'Embarked_S'),\n", " ('Sex_Female', 'Embarked_Q'),\n", " ('Sex_Female', 'Embarked_S'),\n", " ('Embarked_Q', 'Embarked_S'),\n", " ('Pclass', 'Age', 'SibSp'),\n", " ('Pclass', 'Age', 'Parch'),\n", " ('Pclass', 'Age', 'Fare'),\n", " ('Pclass', 'Age', 'Sex_Female'),\n", " ('Pclass', 'Age', 'Embarked_Q'),\n", " ('Pclass', 'Age', 'Embarked_S'),\n", " ('Pclass', 'SibSp', 'Parch'),\n", " ('Pclass', 'SibSp', 'Fare'),\n", " ('Pclass', 'SibSp', 'Sex_Female'),\n", " ('Pclass', 'SibSp', 'Embarked_Q'),\n", " ('Pclass', 'SibSp', 'Embarked_S'),\n", " ('Pclass', 'Parch', 'Fare'),\n", " ('Pclass', 'Parch', 'Sex_Female'),\n", " ('Pclass', 'Parch', 'Embarked_Q'),\n", " ('Pclass', 'Parch', 'Embarked_S'),\n", " ('Pclass', 'Fare', 'Sex_Female'),\n", " ('Pclass', 'Fare', 'Embarked_Q'),\n", " ('Pclass', 'Fare', 'Embarked_S'),\n", " ('Pclass', 'Sex_Female', 'Embarked_Q'),\n", " ('Pclass', 'Sex_Female', 'Embarked_S'),\n", " ('Pclass', 'Embarked_Q', 'Embarked_S'),\n", " ('Age', 'SibSp', 'Parch'),\n", " ('Age', 'SibSp', 'Fare'),\n", " ('Age', 'SibSp', 'Sex_Female'),\n", " ('Age', 'SibSp', 'Embarked_Q'),\n", " ('Age', 'SibSp', 'Embarked_S'),\n", " ('Age', 'Parch', 'Fare'),\n", " ('Age', 'Parch', 'Sex_Female'),\n", " ('Age', 'Parch', 'Embarked_Q'),\n", " ('Age', 'Parch', 'Embarked_S'),\n", " ('Age', 'Fare', 'Sex_Female'),\n", " ('Age', 'Fare', 'Embarked_Q'),\n", " ('Age', 'Fare', 'Embarked_S'),\n", " ('Age', 'Sex_Female', 'Embarked_Q'),\n", " ('Age', 'Sex_Female', 'Embarked_S'),\n", " ('Age', 'Embarked_Q', 'Embarked_S'),\n", " ('SibSp', 'Parch', 'Fare'),\n", " ('SibSp', 'Parch', 'Sex_Female'),\n", " ('SibSp', 'Parch', 'Embarked_Q'),\n", " ('SibSp', 'Parch', 'Embarked_S'),\n", " ('SibSp', 'Fare', 'Sex_Female'),\n", " ('SibSp', 'Fare', 'Embarked_Q'),\n", " ('SibSp', 'Fare', 'Embarked_S'),\n", " ('SibSp', 'Sex_Female', 'Embarked_Q'),\n", " ('SibSp', 'Sex_Female', 'Embarked_S'),\n", " ('SibSp', 'Embarked_Q', 'Embarked_S'),\n", " ('Parch', 'Fare', 'Sex_Female'),\n", " ('Parch', 'Fare', 'Embarked_Q'),\n", " ('Parch', 'Fare', 'Embarked_S'),\n", " ('Parch', 'Sex_Female', 'Embarked_Q'),\n", " ('Parch', 'Sex_Female', 'Embarked_S'),\n", " ('Parch', 'Embarked_Q', 'Embarked_S'),\n", " ('Fare', 'Sex_Female', 'Embarked_Q'),\n", " ('Fare', 'Sex_Female', 'Embarked_S'),\n", " ('Fare', 'Embarked_Q', 'Embarked_S'),\n", " ('Sex_Female', 'Embarked_Q', 'Embarked_S'),\n", " ('Pclass', 'Age', 'SibSp', 'Parch'),\n", " ('Pclass', 'Age', 'SibSp', 'Fare'),\n", " ('Pclass', 'Age', 'SibSp', 'Sex_Female'),\n", " ('Pclass', 'Age', 'SibSp', 'Embarked_Q'),\n", " ('Pclass', 'Age', 'SibSp', 'Embarked_S'),\n", " ('Pclass', 'Age', 'Parch', 'Fare'),\n", " ('Pclass', 'Age', 'Parch', 'Sex_Female'),\n", " ('Pclass', 'Age', 'Parch', 'Embarked_Q'),\n", " ('Pclass', 'Age', 'Parch', 'Embarked_S'),\n", " ('Pclass', 'Age', 'Fare', 'Sex_Female'),\n", " ('Pclass', 'Age', 'Fare', 'Embarked_Q'),\n", " ('Pclass', 'Age', 'Fare', 'Embarked_S'),\n", " ('Pclass', 'Age', 'Sex_Female', 'Embarked_Q'),\n", " ('Pclass', 'Age', 'Sex_Female', 'Embarked_S'),\n", " ('Pclass', 'Age', 'Embarked_Q', 'Embarked_S'),\n", " ('Pclass', 'SibSp', 'Parch', 'Fare'),\n", " ('Pclass', 'SibSp', 'Parch', 'Sex_Female'),\n", " ('Pclass', 'SibSp', 'Parch', 'Embarked_Q'),\n", " ('Pclass', 'SibSp', 'Parch', 'Embarked_S'),\n", " ('Pclass', 'SibSp', 'Fare', 'Sex_Female'),\n", " ('Pclass', 'SibSp', 'Fare', 'Embarked_Q'),\n", " ('Pclass', 'SibSp', 'Fare', 'Embarked_S'),\n", " ('Pclass', 'SibSp', 'Sex_Female', 'Embarked_Q'),\n", " ('Pclass', 'SibSp', 'Sex_Female', 'Embarked_S'),\n", " ('Pclass', 'SibSp', 'Embarked_Q', 'Embarked_S'),\n", " ('Pclass', 'Parch', 'Fare', 'Sex_Female'),\n", " ('Pclass', 'Parch', 'Fare', 'Embarked_Q'),\n", " ('Pclass', 'Parch', 'Fare', 'Embarked_S'),\n", " ('Pclass', 'Parch', 'Sex_Female', 'Embarked_Q'),\n", " ('Pclass', 'Parch', 'Sex_Female', 'Embarked_S'),\n", " ('Pclass', 'Parch', 'Embarked_Q', 'Embarked_S'),\n", " ('Pclass', 'Fare', 'Sex_Female', 'Embarked_Q'),\n", " ('Pclass', 'Fare', 'Sex_Female', 'Embarked_S'),\n", " ('Pclass', 'Fare', 'Embarked_Q', 'Embarked_S'),\n", " ('Pclass', 'Sex_Female', 'Embarked_Q', 'Embarked_S'),\n", " ('Age', 'SibSp', 'Parch', 'Fare'),\n", " ('Age', 'SibSp', 'Parch', 'Sex_Female'),\n", " ('Age', 'SibSp', 'Parch', 'Embarked_Q'),\n", " ('Age', 'SibSp', 'Parch', 'Embarked_S'),\n", " ('Age', 'SibSp', 'Fare', 'Sex_Female'),\n", " ('Age', 'SibSp', 'Fare', 'Embarked_Q'),\n", " ('Age', 'SibSp', 'Fare', 'Embarked_S'),\n", " ('Age', 'SibSp', 'Sex_Female', 'Embarked_Q'),\n", " ('Age', 'SibSp', 'Sex_Female', 'Embarked_S'),\n", " ('Age', 'SibSp', 'Embarked_Q', 'Embarked_S'),\n", " ('Age', 'Parch', 'Fare', 'Sex_Female'),\n", " ('Age', 'Parch', 'Fare', 'Embarked_Q'),\n", " ('Age', 'Parch', 'Fare', 'Embarked_S'),\n", " ('Age', 'Parch', 'Sex_Female', 'Embarked_Q'),\n", " ('Age', 'Parch', 'Sex_Female', 'Embarked_S'),\n", " ('Age', 'Parch', 'Embarked_Q', 'Embarked_S'),\n", " ('Age', 'Fare', 'Sex_Female', 'Embarked_Q'),\n", " ('Age', 'Fare', 'Sex_Female', 'Embarked_S'),\n", " ('Age', 'Fare', 'Embarked_Q', 'Embarked_S'),\n", " ('Age', 'Sex_Female', 'Embarked_Q', 'Embarked_S'),\n", " ('SibSp', 'Parch', 'Fare', 'Sex_Female'),\n", " ('SibSp', 'Parch', 'Fare', 'Embarked_Q'),\n", " ('SibSp', 'Parch', 'Fare', 'Embarked_S'),\n", " ('SibSp', 'Parch', 'Sex_Female', 'Embarked_Q'),\n", " ('SibSp', 'Parch', 'Sex_Female', 'Embarked_S'),\n", " ('SibSp', 'Parch', 'Embarked_Q', 'Embarked_S'),\n", " ('SibSp', 'Fare', 'Sex_Female', 'Embarked_Q'),\n", " ('SibSp', 'Fare', 'Sex_Female', 'Embarked_S'),\n", " ('SibSp', 'Fare', 'Embarked_Q', 'Embarked_S'),\n", " ('SibSp', 'Sex_Female', 'Embarked_Q', 'Embarked_S'),\n", " ('Parch', 'Fare', 'Sex_Female', 'Embarked_Q'),\n", " ('Parch', 'Fare', 'Sex_Female', 'Embarked_S'),\n", " ('Parch', 'Fare', 'Embarked_Q', 'Embarked_S'),\n", " ('Parch', 'Sex_Female', 'Embarked_Q', 'Embarked_S'),\n", " ('Fare', 'Sex_Female', 'Embarked_Q', 'Embarked_S'),\n", " ('Pclass', 'Age', 'SibSp', 'Parch', 'Fare'),\n", " ('Pclass', 'Age', 'SibSp', 'Parch', 'Sex_Female'),\n", " ('Pclass', 'Age', 'SibSp', 'Parch', 'Embarked_Q'),\n", " ('Pclass', 'Age', 'SibSp', 'Parch', 'Embarked_S'),\n", " ('Pclass', 'Age', 'SibSp', 'Fare', 'Sex_Female'),\n", " ('Pclass', 'Age', 'SibSp', 'Fare', 'Embarked_Q'),\n", " ('Pclass', 'Age', 'SibSp', 'Fare', 'Embarked_S'),\n", " ('Pclass', 'Age', 'SibSp', 'Sex_Female', 'Embarked_Q'),\n", " ('Pclass', 'Age', 'SibSp', 'Sex_Female', 'Embarked_S'),\n", " ('Pclass', 'Age', 'SibSp', 'Embarked_Q', 'Embarked_S'),\n", " ('Pclass', 'Age', 'Parch', 'Fare', 'Sex_Female'),\n", " ('Pclass', 'Age', 'Parch', 'Fare', 'Embarked_Q'),\n", " ('Pclass', 'Age', 'Parch', 'Fare', 'Embarked_S'),\n", " ('Pclass', 'Age', 'Parch', 'Sex_Female', 'Embarked_Q'),\n", " ('Pclass', 'Age', 'Parch', 'Sex_Female', 'Embarked_S'),\n", " ('Pclass', 'Age', 'Parch', 'Embarked_Q', 'Embarked_S'),\n", " ('Pclass', 'Age', 'Fare', 'Sex_Female', 'Embarked_Q'),\n", " ('Pclass', 'Age', 'Fare', 'Sex_Female', 'Embarked_S'),\n", " ('Pclass', 'Age', 'Fare', 'Embarked_Q', 'Embarked_S'),\n", " ('Pclass', 'Age', 'Sex_Female', 'Embarked_Q', 'Embarked_S'),\n", " ('Pclass', 'SibSp', 'Parch', 'Fare', 'Sex_Female'),\n", " ('Pclass', 'SibSp', 'Parch', 'Fare', 'Embarked_Q'),\n", " ('Pclass', 'SibSp', 'Parch', 'Fare', 'Embarked_S'),\n", " ('Pclass', 'SibSp', 'Parch', 'Sex_Female', 'Embarked_Q'),\n", " ('Pclass', 'SibSp', 'Parch', 'Sex_Female', 'Embarked_S'),\n", " ('Pclass', 'SibSp', 'Parch', 'Embarked_Q', 'Embarked_S'),\n", " ('Pclass', 'SibSp', 'Fare', 'Sex_Female', 'Embarked_Q'),\n", " ('Pclass', 'SibSp', 'Fare', 'Sex_Female', 'Embarked_S'),\n", " ('Pclass', 'SibSp', 'Fare', 'Embarked_Q', 'Embarked_S'),\n", " ('Pclass', 'SibSp', 'Sex_Female', 'Embarked_Q', 'Embarked_S'),\n", " ('Pclass', 'Parch', 'Fare', 'Sex_Female', 'Embarked_Q'),\n", " ('Pclass', 'Parch', 'Fare', 'Sex_Female', 'Embarked_S'),\n", " ('Pclass', 'Parch', 'Fare', 'Embarked_Q', 'Embarked_S'),\n", " ('Pclass', 'Parch', 'Sex_Female', 'Embarked_Q', 'Embarked_S'),\n", " ('Pclass', 'Fare', 'Sex_Female', 'Embarked_Q', 'Embarked_S'),\n", " ('Age', 'SibSp', 'Parch', 'Fare', 'Sex_Female'),\n", " ('Age', 'SibSp', 'Parch', 'Fare', 'Embarked_Q'),\n", " ('Age', 'SibSp', 'Parch', 'Fare', 'Embarked_S'),\n", " ('Age', 'SibSp', 'Parch', 'Sex_Female', 'Embarked_Q'),\n", " ('Age', 'SibSp', 'Parch', 'Sex_Female', 'Embarked_S'),\n", " ('Age', 'SibSp', 'Parch', 'Embarked_Q', 'Embarked_S'),\n", " ('Age', 'SibSp', 'Fare', 'Sex_Female', 'Embarked_Q'),\n", " ('Age', 'SibSp', 'Fare', 'Sex_Female', 'Embarked_S'),\n", " ('Age', 'SibSp', 'Fare', 'Embarked_Q', 'Embarked_S'),\n", " ('Age', 'SibSp', 'Sex_Female', 'Embarked_Q', 'Embarked_S'),\n", " ('Age', 'Parch', 'Fare', 'Sex_Female', 'Embarked_Q'),\n", " ('Age', 'Parch', 'Fare', 'Sex_Female', 'Embarked_S'),\n", " ('Age', 'Parch', 'Fare', 'Embarked_Q', 'Embarked_S'),\n", " ('Age', 'Parch', 'Sex_Female', 'Embarked_Q', 'Embarked_S'),\n", " ('Age', 'Fare', 'Sex_Female', 'Embarked_Q', 'Embarked_S'),\n", " ('SibSp', 'Parch', 'Fare', 'Sex_Female', 'Embarked_Q'),\n", " ('SibSp', 'Parch', 'Fare', 'Sex_Female', 'Embarked_S'),\n", " ('SibSp', 'Parch', 'Fare', 'Embarked_Q', 'Embarked_S'),\n", " ('SibSp', 'Parch', 'Sex_Female', 'Embarked_Q', 'Embarked_S'),\n", " ('SibSp', 'Fare', 'Sex_Female', 'Embarked_Q', 'Embarked_S'),\n", " ('Parch', 'Fare', 'Sex_Female', 'Embarked_Q', 'Embarked_S'),\n", " ('Pclass', 'Age', 'SibSp', 'Parch', 'Fare', 'Sex_Female'),\n", " ('Pclass', 'Age', 'SibSp', 'Parch', 'Fare', 'Embarked_Q'),\n", " ('Pclass', 'Age', 'SibSp', 'Parch', 'Fare', 'Embarked_S'),\n", " ('Pclass', 'Age', 'SibSp', 'Parch', 'Sex_Female', 'Embarked_Q'),\n", " ('Pclass', 'Age', 'SibSp', 'Parch', 'Sex_Female', 'Embarked_S'),\n", " ('Pclass', 'Age', 'SibSp', 'Parch', 'Embarked_Q', 'Embarked_S'),\n", " ('Pclass', 'Age', 'SibSp', 'Fare', 'Sex_Female', 'Embarked_Q'),\n", " ('Pclass', 'Age', 'SibSp', 'Fare', 'Sex_Female', 'Embarked_S'),\n", " ('Pclass', 'Age', 'SibSp', 'Fare', 'Embarked_Q', 'Embarked_S'),\n", " ('Pclass', 'Age', 'SibSp', 'Sex_Female', 'Embarked_Q', 'Embarked_S'),\n", " ('Pclass', 'Age', 'Parch', 'Fare', 'Sex_Female', 'Embarked_Q'),\n", " ('Pclass', 'Age', 'Parch', 'Fare', 'Sex_Female', 'Embarked_S'),\n", " ('Pclass', 'Age', 'Parch', 'Fare', 'Embarked_Q', 'Embarked_S'),\n", " ('Pclass', 'Age', 'Parch', 'Sex_Female', 'Embarked_Q', 'Embarked_S'),\n", " ('Pclass', 'Age', 'Fare', 'Sex_Female', 'Embarked_Q', 'Embarked_S'),\n", " ('Pclass', 'SibSp', 'Parch', 'Fare', 'Sex_Female', 'Embarked_Q'),\n", " ('Pclass', 'SibSp', 'Parch', 'Fare', 'Sex_Female', 'Embarked_S'),\n", " ('Pclass', 'SibSp', 'Parch', 'Fare', 'Embarked_Q', 'Embarked_S'),\n", " ('Pclass', 'SibSp', 'Parch', 'Sex_Female', 'Embarked_Q', 'Embarked_S'),\n", " ('Pclass', 'SibSp', 'Fare', 'Sex_Female', 'Embarked_Q', 'Embarked_S'),\n", " ('Pclass', 'Parch', 'Fare', 'Sex_Female', 'Embarked_Q', 'Embarked_S'),\n", " ('Age', 'SibSp', 'Parch', 'Fare', 'Sex_Female', 'Embarked_Q'),\n", " ('Age', 'SibSp', 'Parch', 'Fare', 'Sex_Female', 'Embarked_S'),\n", " ('Age', 'SibSp', 'Parch', 'Fare', 'Embarked_Q', 'Embarked_S'),\n", " ('Age', 'SibSp', 'Parch', 'Sex_Female', 'Embarked_Q', 'Embarked_S'),\n", " ('Age', 'SibSp', 'Fare', 'Sex_Female', 'Embarked_Q', 'Embarked_S'),\n", " ('Age', 'Parch', 'Fare', 'Sex_Female', 'Embarked_Q', 'Embarked_S'),\n", " ('SibSp', 'Parch', 'Fare', 'Sex_Female', 'Embarked_Q', 'Embarked_S'),\n", " ('Pclass', 'Age', 'SibSp', 'Parch', 'Fare', 'Sex_Female', 'Embarked_Q'),\n", " ('Pclass', 'Age', 'SibSp', 'Parch', 'Fare', 'Sex_Female', 'Embarked_S'),\n", " ('Pclass', 'Age', 'SibSp', 'Parch', 'Fare', 'Embarked_Q', 'Embarked_S'),\n", " ('Pclass', 'Age', 'SibSp', 'Parch', 'Sex_Female', 'Embarked_Q', 'Embarked_S'),\n", " ('Pclass', 'Age', 'SibSp', 'Fare', 'Sex_Female', 'Embarked_Q', 'Embarked_S'),\n", " ('Pclass', 'Age', 'Parch', 'Fare', 'Sex_Female', 'Embarked_Q', 'Embarked_S'),\n", " ('Pclass',\n", " 'SibSp',\n", " 'Parch',\n", " 'Fare',\n", " 'Sex_Female',\n", " 'Embarked_Q',\n", " 'Embarked_S'),\n", " ('Age', 'SibSp', 'Parch', 'Fare', 'Sex_Female', 'Embarked_Q', 'Embarked_S'),\n", " ('Pclass',\n", " 'Age',\n", " 'SibSp',\n", " 'Parch',\n", " 'Fare',\n", " 'Sex_Female',\n", " 'Embarked_Q',\n", " 'Embarked_S')]" ] }, "execution_count": 26, "metadata": {}, "output_type": "execute_result" } ], "source": [ "import itertools\n", "\n", "possible_models = []\n", "for i in range(1,len(features)+1):\n", " possible_models.extend(list(itertools.combinations(features,i)))\n", "\n", "possible_models" ] }, { "cell_type": "code", "execution_count": 27, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import itertools\n", "\n", "possible_models = [] \n", "for i in range(1,len(features)+1):\n", " possible_models.extend(list(itertools.combinations(features,i)))" ] }, { "cell_type": "code", "execution_count": 29, "metadata": { "collapsed": false }, "outputs": [], "source": [ "from sklearn.linear_model import LogisticRegression\n", "from sklearn.cross_validation import cross_val_score\n", "\n", "Y = titanic.Survived\n", "\n", "resultado = pd.DataFrame(index=possible_models,columns=['presicion'])\n", "for i in range(len(possible_models)):\n", " X = titanic[list(possible_models[i])]\n", " reglogistica = LogisticRegression(C=1e9)\n", " resultado.iloc[i] = cross_val_score(reglogistica, X, Y, cv=10, scoring='accuracy').mean()" ] }, { "cell_type": "code", "execution_count": 30, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>presicion</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>(Pclass,)</th>\n", " <td>0.67927</td>\n", " </tr>\n", " <tr>\n", " <th>(Age,)</th>\n", " <td>0.61617</td>\n", " </tr>\n", " <tr>\n", " <th>(SibSp,)</th>\n", " <td>0.61617</td>\n", " </tr>\n", " <tr>\n", " <th>(Parch,)</th>\n", " <td>0.60833</td>\n", " </tr>\n", " <tr>\n", " <th>(Fare,)</th>\n", " <td>0.663487</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " presicion\n", "(Pclass,) 0.67927\n", "(Age,) 0.61617\n", "(SibSp,) 0.61617\n", "(Parch,) 0.60833\n", "(Fare,) 0.663487" ] }, "execution_count": 30, "metadata": {}, "output_type": "execute_result" } ], "source": [ "resultado.head()" ] }, { "cell_type": "code", "execution_count": 31, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>presicion</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>(Pclass, Age, SibSp, Sex_Female, Embarked_S)</th>\n", " <td>0.801369</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " presicion\n", "(Pclass, Age, SibSp, Sex_Female, Embarked_S) 0.801369" ] }, "execution_count": 31, "metadata": {}, "output_type": "execute_result" } ], "source": [ "resultado.sort_values('presicion',ascending=False).head(1)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Bonus Exercise 6.4 (3 points)\n", "\n", "Now which are the best set of features selected by AUC" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.1" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
Diyago/Machine-Learning-scripts
DEEP LEARNING/NLP/text analyses/NB-SVM strong linear baseline - classif.ipynb
1
13103
{ "cells": [ { "metadata": { "_cell_guid": "d3b04218-0413-4e6c-8751-5d8a404d73a9", "_uuid": "0bca9739b82d5d51e1229243e03ea1b6db35c17e" }, "cell_type": "markdown", "source": "## Introduction\n\nThis kernel shows how to use NBSVM (Naive Bayes - Support Vector Machine) to create a strong baseline for the [Toxic Comment Classification Challenge](https://www.kaggle.com/c/jigsaw-toxic-comment-classification-challenge) competition. NBSVM was introduced by Sida Wang and Chris Manning in the paper [Baselines and Bigrams: Simple, Good Sentiment and Topic Classification](https://nlp.stanford.edu/pubs/sidaw12_simple_sentiment.pdf). In this kernel, we use sklearn's logistic regression, rather than SVM, although in practice the two are nearly identical (sklearn uses the liblinear library behind the scenes).\n\nIf you're not familiar with naive bayes and bag of words matrices, I've made a preview available of one of fast.ai's upcoming *Practical Machine Learning* course videos, which introduces this topic. Here is a link to the section of the video which discusses this: [Naive Bayes video](https://youtu.be/37sFIak42Sc?t=3745)." }, { "metadata": { "collapsed": true, "_cell_guid": "ef06cd19-66b6-46bc-bf45-184e12d3f7d4", "_uuid": "cca038ca9424a3f66e10262fc9129de807b5f855", "trusted": false }, "cell_type": "code", "source": "import pandas as pd, numpy as np\nfrom sklearn.linear_model import LogisticRegression\nfrom sklearn.feature_extraction.text import CountVectorizer, TfidfVectorizer", "execution_count": null, "outputs": [] }, { "metadata": { "collapsed": true, "_cell_guid": "a494f561-0c2f-4a38-8973-6b60c22da357", "_uuid": "f70ebe669fcf6b434c595cf6fb7a76120bf7809c", "trusted": false }, "cell_type": "code", "source": "train = pd.read_csv('../input/train.csv')\ntest = pd.read_csv('../input/test.csv')\nsubm = pd.read_csv('../input/sample_submission.csv')", "execution_count": null, "outputs": [] }, { "metadata": { "_cell_guid": "3996a226-e1ca-4aa8-b39f-6524d4dadb07", "_uuid": "2c18461316f17d1d323b1959c8eb4e5448e8a44e" }, "cell_type": "markdown", "source": "## Looking at the data\n\nThe training data contains a row per comment, with an id, the text of the comment, and 6 different labels that we'll try to predict." }, { "metadata": { "scrolled": true, "collapsed": true, "_cell_guid": "5ddb337b-c9b2-4fec-9652-cb26769dc3c6", "_uuid": "5f5269c56ea6ded273881b0d4dcdb6af83a3e089", "trusted": false }, "cell_type": "code", "source": "train.head()", "execution_count": null, "outputs": [] }, { "metadata": { "_cell_guid": "b3b071fb-7a2c-4195-9817-b01983d11c0e", "_uuid": "004d2e823056e98afc5adaac433b7afbfe93b82d" }, "cell_type": "markdown", "source": "Here's a couple of examples of comments, one toxic, and one with no labels." }, { "metadata": { "collapsed": true, "_cell_guid": "d57f0b31-c09b-4305-a0b0-0b864e944fd1", "_uuid": "1ba9522a65227881a3a55aefaee9de93c4cfd792", "trusted": false }, "cell_type": "code", "source": "train['comment_text'][0]", "execution_count": null, "outputs": [] }, { "metadata": { "scrolled": true, "collapsed": true, "_cell_guid": "9caf5da3-33bb-422d-81c4-fef20fbda1a8", "_uuid": "b0d70e9d745411ea6228c95c5f19bd3a2ca6dd55", "trusted": false }, "cell_type": "code", "source": "train['comment_text'][2]", "execution_count": null, "outputs": [] }, { "metadata": { "_cell_guid": "2ea37597-02f7-43cf-ad16-a3d50aac1aba", "_uuid": "5c4c716de98a4b1c2ecc0e516e67813b4fc1473e" }, "cell_type": "markdown", "source": "The length of the comments varies a lot." }, { "metadata": { "collapsed": true, "_cell_guid": "fd3fe158-4d7f-4b30-ac15-42605240ea4f", "_uuid": "9c1a3f81397199fa250a2b642edc7fbc5f9f504e", "trusted": false }, "cell_type": "code", "source": "lens = train.comment_text.str.len()\nlens.mean(), lens.std(), lens.max()", "execution_count": null, "outputs": [] }, { "metadata": { "collapsed": true, "_cell_guid": "d2e55012-4736-425f-84f3-c148ac1f4852", "_uuid": "eb68f1c83a5ad11e652ca5f2150993a06d43edb4", "trusted": false }, "cell_type": "code", "source": "lens.hist();", "execution_count": null, "outputs": [] }, { "metadata": { "_cell_guid": "b8515824-b2dd-4c95-bbf9-dc74c80355db", "_uuid": "0151ab55887071aed82d297acb2c6545ed964c2b" }, "cell_type": "markdown", "source": "We'll create a list of all the labels to predict, and we'll also create a 'none' label so we can see how many comments have no labels. We can then summarize the dataset." }, { "metadata": { "collapsed": true, "_cell_guid": "c66f79d1-1d9f-4d94-82c1-8026af198f2a", "_uuid": "4ba6ef86c82f073bf411785d971a694348c3efa9", "trusted": false }, "cell_type": "code", "source": "label_cols = ['toxic', 'severe_toxic', 'obscene', 'threat', 'insult', 'identity_hate']\ntrain['none'] = 1-train[label_cols].max(axis=1)\ntrain.describe()", "execution_count": null, "outputs": [] }, { "metadata": { "collapsed": true, "_cell_guid": "9f6316e3-7e29-431b-abef-73acf4a08637", "_uuid": "b7b0d391248f929a026b16fc38936b7fc0176351", "trusted": false }, "cell_type": "code", "source": "len(train),len(test)", "execution_count": null, "outputs": [] }, { "metadata": { "_cell_guid": "1b221e62-e23f-422a-939d-6747edf2d613", "_uuid": "bfdcf59624717b37ca4ffc0c99d2c28a2d419b06" }, "cell_type": "markdown", "source": "There are a few empty comments that we need to get rid of, otherwise sklearn will complain." }, { "metadata": { "collapsed": true, "_cell_guid": "fdba531c-7ef2-4967-88e2-fc2b04f6f2ef", "_uuid": "1e1229f403225f1889c7a7b4fc9be90fda818af5", "trusted": false }, "cell_type": "code", "source": "COMMENT = 'comment_text'\ntrain[COMMENT].fillna(\"unknown\", inplace=True)\ntest[COMMENT].fillna(\"unknown\", inplace=True)", "execution_count": null, "outputs": [] }, { "metadata": { "_cell_guid": "480780f1-00c0-4f9a-81e5-fc1932516a80", "_uuid": "f2e77e8e6df5e29b620c7a2a0add1438c35af932" }, "cell_type": "markdown", "source": "## Building the model\n\nWe'll start by creating a *bag of words* representation, as a *term document matrix*. We'll use ngrams, as suggested in the NBSVM paper." }, { "metadata": { "collapsed": true, "_cell_guid": "b7f11db7-5c12-4eb8-9f2d-0323d629fed9", "_uuid": "b043a3fb66c443fab0129e863c134ec813dadb87", "trusted": false }, "cell_type": "code", "source": "import re, string\nre_tok = re.compile(f'([{string.punctuation}“”¨«»®´·º½¾¿¡§£₤‘’])')\ndef tokenize(s): return re_tok.sub(r' \\1 ', s).split()", "execution_count": null, "outputs": [] }, { "metadata": { "_cell_guid": "bfdebf11-133c-4b12-8664-8bf64757d6cc", "_uuid": "941759df15c71d42853515e4d1006f4ab000ce75" }, "cell_type": "markdown", "source": "It turns out that using TF-IDF gives even better priors than the binarized features used in the paper. I don't think this has been mentioned in any paper before, but it improves leaderboard score from 0.59 to 0.55." }, { "metadata": { "collapsed": true, "_cell_guid": "31ad6c98-d054-426c-b3bd-b3b18f52eb6f", "_uuid": "75f3f27d56fb2d7d539e65c292d9e77c92ceead3", "trusted": false }, "cell_type": "code", "source": "n = train.shape[0]\nvec = TfidfVectorizer(ngram_range=(1,2), tokenizer=tokenize,\n min_df=3, max_df=0.9, strip_accents='unicode', use_idf=1,\n smooth_idf=1, sublinear_tf=1 )\ntrn_term_doc = vec.fit_transform(train[COMMENT])\ntest_term_doc = vec.transform(test[COMMENT])", "execution_count": null, "outputs": [] }, { "metadata": { "_cell_guid": "4cf3ec26-8237-452b-90c9-831cb0297955", "_uuid": "6d215bc460e64d88b08f501d5c5a67c290e40635" }, "cell_type": "markdown", "source": "This creates a *sparse matrix* with only a small number of non-zero elements (*stored elements* in the representation below)." }, { "metadata": { "collapsed": true, "_cell_guid": "4c7bdbcc-4451-4477-944c-772e99bac777", "_uuid": "8816cc35f66b9fed9c12978fbdef5bb68fae10f4", "trusted": false }, "cell_type": "code", "source": "trn_term_doc, test_term_doc", "execution_count": null, "outputs": [] }, { "metadata": { "_cell_guid": "59131479-a861-4f46-add9-b2af09a51976", "_uuid": "5fc487461f4c6fdaea25f2cd471fc801856c6689" }, "cell_type": "markdown", "source": "Here's the basic naive bayes feature equation:" }, { "metadata": { "collapsed": true, "_cell_guid": "45fc6070-ba13-455b-9274-5c2611e2809c", "_uuid": "8b277f01cecd575ed4fcae2e630c0dd8ce979793", "trusted": false }, "cell_type": "code", "source": "def pr(y_i, y):\n p = x[y==y_i].sum(0)\n return (p+1) / ((y==y_i).sum()+1)", "execution_count": null, "outputs": [] }, { "metadata": { "collapsed": true, "_cell_guid": "2299d24b-5515-4d37-92d9-e7f6b16a290a", "_uuid": "926eaa2e40e588f4ef2b86e0a28f8e575c9ed5f4", "trusted": false }, "cell_type": "code", "source": "x = trn_term_doc\ntest_x = test_term_doc", "execution_count": null, "outputs": [] }, { "metadata": { "_cell_guid": "c0b494ac-0dfc-4faa-a909-0a6d7696d1fc", "_uuid": "dc5cafeab86d17ac4f036d58658437636a885a87" }, "cell_type": "markdown", "source": "Fit a model for one dependent at a time:" }, { "metadata": { "collapsed": true, "_cell_guid": "b756c889-a383-4952-9ee9-eca79fd3454f", "_uuid": "8652ab2f5f84e77fa395252be9b60be1e44fd583", "trusted": false }, "cell_type": "code", "source": "def get_mdl(y):\n y = y.values\n r = np.log(pr(1,y) / pr(0,y))\n m = LogisticRegression(C=4, dual=True)\n x_nb = x.multiply(r)\n return m.fit(x_nb, y), r", "execution_count": null, "outputs": [] }, { "metadata": { "collapsed": true, "_cell_guid": "33fd5f8c-adfc-45a1-9fde-1769a0993e76", "_uuid": "0fa103b5406aabdc36ea9ef21612d343e4982fc4", "trusted": false }, "cell_type": "code", "source": "preds = np.zeros((len(test), len(label_cols)))\n\nfor i, j in enumerate(label_cols):\n print('fit', j)\n m,r = get_mdl(train[j])\n preds[:,i] = m.predict_proba(test_x.multiply(r))[:,1]", "execution_count": null, "outputs": [] }, { "metadata": { "_cell_guid": "1a99c4d9-916f-4189-9a25-fedcb7700336", "_uuid": "5525045116474e6d12b6edc890250d30c0790f06" }, "cell_type": "markdown", "source": "And finally, create the submission file." }, { "metadata": { "collapsed": true, "_cell_guid": "bc6a4575-fbbb-47ea-81ac-91fa702dc194", "_uuid": "5dd033a93e6cf32cdbdaa0a8b05cd8d27de2b21d", "trusted": false }, "cell_type": "code", "source": "submid = pd.DataFrame({'id': subm[\"id\"]})\nsubmission = pd.concat([submid, pd.DataFrame(preds, columns = label_cols)], axis=1)\nsubmission.to_csv('submission.csv', index=False)", "execution_count": null, "outputs": [] }, { "metadata": { "collapsed": true, "_cell_guid": "1c345d02-b768-491c-8c03-8c3459a552a8", "_uuid": "adbbfb0156952a6a43833e337b8a418ccac257aa", "trusted": false }, "cell_type": "code", "source": "", "execution_count": null, "outputs": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "version": "3.6.4", "file_extension": ".py", "nbconvert_exporter": "python", "mimetype": "text/x-python", "codemirror_mode": { "version": 3, "name": "ipython" }, "pygments_lexer": "ipython3", "name": "python" } }, "nbformat": 4, "nbformat_minor": 1 }
apache-2.0
enakai00/jupyter_NikkeiLinux
No5/Figure11 - derivative_animation.ipynb
1
55915
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "**[4-1]** 動画作成用のモジュールをインポートして、動画を表示可能なモードにセットします。" ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import numpy as np\n", "import matplotlib.pyplot as plt\n", "import matplotlib.animation as animation\n", "%matplotlib nbagg" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**[4-2]** x=0.5における接線を描いて、その傾きを求める関数derivativeを定義します。" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [], "source": [ "def derivative(f, filename):\n", " fig = plt.figure(figsize=(4,4))\n", " images = []\n", " x0, d = 0.5, 0.5\n", "\n", " for _ in range(10):\n", " subplot = fig.add_subplot(1,1,1)\n", " subplot.set_xlim(0, 1)\n", " subplot.set_ylim(0, 1)\n", " slope = (f(x0+d)-f(x0)) / d\n", " linex = np.linspace(0, 1, 100)\n", " image0 = subplot.text(0.5, 8, ('slope = %f' % slope))\n", " image1, = subplot.plot(linex, f(linex), color='blue')\n", " image2 = subplot.scatter([x0,x0+d],[f(x0),f(x0+d)])\n", "\n", " def g(x):\n", " return f(x0) + slope * (x-x0)\n", " \n", " image3, = subplot.plot([0,1], [g(0),g(1)],\n", " linewidth=1, color='red')\n", " image4 = subplot.text(0.3, 1.05, ('slope = %f' % slope))\n", " images.append([image0, image1, image2, image3, image4])\n", " d *= 0.5\n", "\n", " ani = animation.ArtistAnimation(fig, images, interval=1000)\n", " ani.save(filename, writer='imagemagick', fps=1)\n", " return ani" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**[4-3]** 二次関数 y=x\\*x を用意して、関数derivativeを呼び出します。\n", "\n", "GIF動画ファイル「derivative01.gif」が作成されます。" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false, "scrolled": false }, "outputs": [ { "data": { "application/javascript": [ "/* Put everything inside the global mpl namespace */\n", "window.mpl = {};\n", "\n", "mpl.get_websocket_type = function() {\n", " if (typeof(WebSocket) !== 'undefined') {\n", " return WebSocket;\n", " } else if (typeof(MozWebSocket) !== 'undefined') {\n", " return MozWebSocket;\n", " } else {\n", " alert('Your browser does not have WebSocket support.' +\n", " 'Please try Chrome, Safari or Firefox ≥ 6. ' +\n", " 'Firefox 4 and 5 are also supported but you ' +\n", " 'have to enable WebSockets in about:config.');\n", " };\n", "}\n", "\n", "mpl.figure = function(figure_id, websocket, ondownload, parent_element) {\n", " this.id = figure_id;\n", "\n", " this.ws = websocket;\n", "\n", " this.supports_binary = (this.ws.binaryType != undefined);\n", "\n", " if (!this.supports_binary) {\n", " var warnings = document.getElementById(\"mpl-warnings\");\n", " if (warnings) {\n", " warnings.style.display = 'block';\n", " warnings.textContent = (\n", " \"This browser does not support binary websocket messages. \" +\n", " \"Performance may be slow.\");\n", " }\n", " }\n", "\n", " this.imageObj = new Image();\n", "\n", " this.context = undefined;\n", " this.message = undefined;\n", " this.canvas = undefined;\n", " this.rubberband_canvas = undefined;\n", " this.rubberband_context = undefined;\n", " this.format_dropdown = undefined;\n", "\n", " this.image_mode = 'full';\n", "\n", " this.root = $('<div/>');\n", " this._root_extra_style(this.root)\n", " this.root.attr('style', 'display: inline-block');\n", "\n", " $(parent_element).append(this.root);\n", "\n", " this._init_header(this);\n", " this._init_canvas(this);\n", " this._init_toolbar(this);\n", "\n", " var fig = this;\n", "\n", " this.waiting = false;\n", "\n", " this.ws.onopen = function () {\n", " fig.send_message(\"supports_binary\", {value: fig.supports_binary});\n", " fig.send_message(\"send_image_mode\", {});\n", " fig.send_message(\"refresh\", {});\n", " }\n", "\n", " this.imageObj.onload = function() {\n", " if (fig.image_mode == 'full') {\n", " // Full images could contain transparency (where diff images\n", " // almost always do), so we need to clear the canvas so that\n", " // there is no ghosting.\n", " fig.context.clearRect(0, 0, fig.canvas.width, fig.canvas.height);\n", " }\n", " fig.context.drawImage(fig.imageObj, 0, 0);\n", " };\n", "\n", " this.imageObj.onunload = function() {\n", " this.ws.close();\n", " }\n", "\n", " this.ws.onmessage = this._make_on_message_function(this);\n", "\n", " this.ondownload = ondownload;\n", "}\n", "\n", "mpl.figure.prototype._init_header = function() {\n", " var titlebar = $(\n", " '<div class=\"ui-dialog-titlebar ui-widget-header ui-corner-all ' +\n", " 'ui-helper-clearfix\"/>');\n", " var titletext = $(\n", " '<div class=\"ui-dialog-title\" style=\"width: 100%; ' +\n", " 'text-align: center; padding: 3px;\"/>');\n", " titlebar.append(titletext)\n", " this.root.append(titlebar);\n", " this.header = titletext[0];\n", "}\n", "\n", "\n", "\n", "mpl.figure.prototype._canvas_extra_style = function(canvas_div) {\n", "\n", "}\n", "\n", "\n", "mpl.figure.prototype._root_extra_style = function(canvas_div) {\n", "\n", "}\n", "\n", "mpl.figure.prototype._init_canvas = function() {\n", " var fig = this;\n", "\n", " var canvas_div = $('<div/>');\n", "\n", " canvas_div.attr('style', 'position: relative; clear: both; outline: 0');\n", "\n", " function canvas_keyboard_event(event) {\n", " return fig.key_event(event, event['data']);\n", " }\n", "\n", " canvas_div.keydown('key_press', canvas_keyboard_event);\n", " canvas_div.keyup('key_release', canvas_keyboard_event);\n", " this.canvas_div = canvas_div\n", " this._canvas_extra_style(canvas_div)\n", " this.root.append(canvas_div);\n", "\n", " var canvas = $('<canvas/>');\n", " canvas.addClass('mpl-canvas');\n", " canvas.attr('style', \"left: 0; top: 0; z-index: 0; outline: 0\")\n", "\n", " this.canvas = canvas[0];\n", " this.context = canvas[0].getContext(\"2d\");\n", "\n", " var rubberband = $('<canvas/>');\n", " rubberband.attr('style', \"position: absolute; left: 0; top: 0; z-index: 1;\")\n", "\n", " var pass_mouse_events = true;\n", "\n", " canvas_div.resizable({\n", " start: function(event, ui) {\n", " pass_mouse_events = false;\n", " },\n", " resize: function(event, ui) {\n", " fig.request_resize(ui.size.width, ui.size.height);\n", " },\n", " stop: function(event, ui) {\n", " pass_mouse_events = true;\n", " fig.request_resize(ui.size.width, ui.size.height);\n", " },\n", " });\n", "\n", " function mouse_event_fn(event) {\n", " if (pass_mouse_events)\n", " return fig.mouse_event(event, event['data']);\n", " }\n", "\n", " rubberband.mousedown('button_press', mouse_event_fn);\n", " rubberband.mouseup('button_release', mouse_event_fn);\n", " // Throttle sequential mouse events to 1 every 20ms.\n", " rubberband.mousemove('motion_notify', mouse_event_fn);\n", "\n", " rubberband.mouseenter('figure_enter', mouse_event_fn);\n", " rubberband.mouseleave('figure_leave', mouse_event_fn);\n", "\n", " canvas_div.on(\"wheel\", function (event) {\n", " event = event.originalEvent;\n", " event['data'] = 'scroll'\n", " if (event.deltaY < 0) {\n", " event.step = 1;\n", " } else {\n", " event.step = -1;\n", " }\n", " mouse_event_fn(event);\n", " });\n", "\n", " canvas_div.append(canvas);\n", " canvas_div.append(rubberband);\n", "\n", " this.rubberband = rubberband;\n", " this.rubberband_canvas = rubberband[0];\n", " this.rubberband_context = rubberband[0].getContext(\"2d\");\n", " this.rubberband_context.strokeStyle = \"#000000\";\n", "\n", " this._resize_canvas = function(width, height) {\n", " // Keep the size of the canvas, canvas container, and rubber band\n", " // canvas in synch.\n", " canvas_div.css('width', width)\n", " canvas_div.css('height', height)\n", "\n", " canvas.attr('width', width);\n", " canvas.attr('height', height);\n", "\n", " rubberband.attr('width', width);\n", " rubberband.attr('height', height);\n", " }\n", "\n", " // Set the figure to an initial 600x600px, this will subsequently be updated\n", " // upon first draw.\n", " this._resize_canvas(600, 600);\n", "\n", " // Disable right mouse context menu.\n", " $(this.rubberband_canvas).bind(\"contextmenu\",function(e){\n", " return false;\n", " });\n", "\n", " function set_focus () {\n", " canvas.focus();\n", " canvas_div.focus();\n", " }\n", "\n", " window.setTimeout(set_focus, 100);\n", "}\n", "\n", "mpl.figure.prototype._init_toolbar = function() {\n", " var fig = this;\n", "\n", " var nav_element = $('<div/>')\n", " nav_element.attr('style', 'width: 100%');\n", " this.root.append(nav_element);\n", "\n", " // Define a callback function for later on.\n", " function toolbar_event(event) {\n", " return fig.toolbar_button_onclick(event['data']);\n", " }\n", " function toolbar_mouse_event(event) {\n", " return fig.toolbar_button_onmouseover(event['data']);\n", " }\n", "\n", " for(var toolbar_ind in mpl.toolbar_items) {\n", " var name = mpl.toolbar_items[toolbar_ind][0];\n", " var tooltip = mpl.toolbar_items[toolbar_ind][1];\n", " var image = mpl.toolbar_items[toolbar_ind][2];\n", " var method_name = mpl.toolbar_items[toolbar_ind][3];\n", "\n", " if (!name) {\n", " // put a spacer in here.\n", " continue;\n", " }\n", " var button = $('<button/>');\n", " button.addClass('ui-button ui-widget ui-state-default ui-corner-all ' +\n", " 'ui-button-icon-only');\n", " button.attr('role', 'button');\n", " button.attr('aria-disabled', 'false');\n", " button.click(method_name, toolbar_event);\n", " button.mouseover(tooltip, toolbar_mouse_event);\n", "\n", " var icon_img = $('<span/>');\n", " icon_img.addClass('ui-button-icon-primary ui-icon');\n", " icon_img.addClass(image);\n", " icon_img.addClass('ui-corner-all');\n", "\n", " var tooltip_span = $('<span/>');\n", " tooltip_span.addClass('ui-button-text');\n", " tooltip_span.html(tooltip);\n", "\n", " button.append(icon_img);\n", " button.append(tooltip_span);\n", "\n", " nav_element.append(button);\n", " }\n", "\n", " var fmt_picker_span = $('<span/>');\n", "\n", " var fmt_picker = $('<select/>');\n", " fmt_picker.addClass('mpl-toolbar-option ui-widget ui-widget-content');\n", " fmt_picker_span.append(fmt_picker);\n", " nav_element.append(fmt_picker_span);\n", " this.format_dropdown = fmt_picker[0];\n", "\n", " for (var ind in mpl.extensions) {\n", " var fmt = mpl.extensions[ind];\n", " var option = $(\n", " '<option/>', {selected: fmt === mpl.default_extension}).html(fmt);\n", " fmt_picker.append(option)\n", " }\n", "\n", " // Add hover states to the ui-buttons\n", " $( \".ui-button\" ).hover(\n", " function() { $(this).addClass(\"ui-state-hover\");},\n", " function() { $(this).removeClass(\"ui-state-hover\");}\n", " );\n", "\n", " var status_bar = $('<span class=\"mpl-message\"/>');\n", " nav_element.append(status_bar);\n", " this.message = status_bar[0];\n", "}\n", "\n", "mpl.figure.prototype.request_resize = function(x_pixels, y_pixels) {\n", " // Request matplotlib to resize the figure. Matplotlib will then trigger a resize in the client,\n", " // which will in turn request a refresh of the image.\n", " this.send_message('resize', {'width': x_pixels, 'height': y_pixels});\n", "}\n", "\n", "mpl.figure.prototype.send_message = function(type, properties) {\n", " properties['type'] = type;\n", " properties['figure_id'] = this.id;\n", " this.ws.send(JSON.stringify(properties));\n", "}\n", "\n", "mpl.figure.prototype.send_draw_message = function() {\n", " if (!this.waiting) {\n", " this.waiting = true;\n", " this.ws.send(JSON.stringify({type: \"draw\", figure_id: this.id}));\n", " }\n", "}\n", "\n", "\n", "mpl.figure.prototype.handle_save = function(fig, msg) {\n", " var format_dropdown = fig.format_dropdown;\n", " var format = format_dropdown.options[format_dropdown.selectedIndex].value;\n", " fig.ondownload(fig, format);\n", "}\n", "\n", "\n", "mpl.figure.prototype.handle_resize = function(fig, msg) {\n", " var size = msg['size'];\n", " if (size[0] != fig.canvas.width || size[1] != fig.canvas.height) {\n", " fig._resize_canvas(size[0], size[1]);\n", " fig.send_message(\"refresh\", {});\n", " };\n", "}\n", "\n", "mpl.figure.prototype.handle_rubberband = function(fig, msg) {\n", " var x0 = msg['x0'];\n", " var y0 = fig.canvas.height - msg['y0'];\n", " var x1 = msg['x1'];\n", " var y1 = fig.canvas.height - msg['y1'];\n", " x0 = Math.floor(x0) + 0.5;\n", " y0 = Math.floor(y0) + 0.5;\n", " x1 = Math.floor(x1) + 0.5;\n", " y1 = Math.floor(y1) + 0.5;\n", " var min_x = Math.min(x0, x1);\n", " var min_y = Math.min(y0, y1);\n", " var width = Math.abs(x1 - x0);\n", " var height = Math.abs(y1 - y0);\n", "\n", " fig.rubberband_context.clearRect(\n", " 0, 0, fig.canvas.width, fig.canvas.height);\n", "\n", " fig.rubberband_context.strokeRect(min_x, min_y, width, height);\n", "}\n", "\n", "mpl.figure.prototype.handle_figure_label = function(fig, msg) {\n", " // Updates the figure title.\n", " fig.header.textContent = msg['label'];\n", "}\n", "\n", "mpl.figure.prototype.handle_cursor = function(fig, msg) {\n", " var cursor = msg['cursor'];\n", " switch(cursor)\n", " {\n", " case 0:\n", " cursor = 'pointer';\n", " break;\n", " case 1:\n", " cursor = 'default';\n", " break;\n", " case 2:\n", " cursor = 'crosshair';\n", " break;\n", " case 3:\n", " cursor = 'move';\n", " break;\n", " }\n", " fig.rubberband_canvas.style.cursor = cursor;\n", "}\n", "\n", "mpl.figure.prototype.handle_message = function(fig, msg) {\n", " fig.message.textContent = msg['message'];\n", "}\n", "\n", "mpl.figure.prototype.handle_draw = function(fig, msg) {\n", " // Request the server to send over a new figure.\n", " fig.send_draw_message();\n", "}\n", "\n", "mpl.figure.prototype.handle_image_mode = function(fig, msg) {\n", " fig.image_mode = msg['mode'];\n", "}\n", "\n", "mpl.figure.prototype.updated_canvas_event = function() {\n", " // Called whenever the canvas gets updated.\n", " this.send_message(\"ack\", {});\n", "}\n", "\n", "// A function to construct a web socket function for onmessage handling.\n", "// Called in the figure constructor.\n", "mpl.figure.prototype._make_on_message_function = function(fig) {\n", " return function socket_on_message(evt) {\n", " if (evt.data instanceof Blob) {\n", " /* FIXME: We get \"Resource interpreted as Image but\n", " * transferred with MIME type text/plain:\" errors on\n", " * Chrome. But how to set the MIME type? It doesn't seem\n", " * to be part of the websocket stream */\n", " evt.data.type = \"image/png\";\n", "\n", " /* Free the memory for the previous frames */\n", " if (fig.imageObj.src) {\n", " (window.URL || window.webkitURL).revokeObjectURL(\n", " fig.imageObj.src);\n", " }\n", "\n", " fig.imageObj.src = (window.URL || window.webkitURL).createObjectURL(\n", " evt.data);\n", " fig.updated_canvas_event();\n", " fig.waiting = false;\n", " return;\n", " }\n", " else if (typeof evt.data === 'string' && evt.data.slice(0, 21) == \"data:image/png;base64\") {\n", " fig.imageObj.src = evt.data;\n", " fig.updated_canvas_event();\n", " fig.waiting = false;\n", " return;\n", " }\n", "\n", " var msg = JSON.parse(evt.data);\n", " var msg_type = msg['type'];\n", "\n", " // Call the \"handle_{type}\" callback, which takes\n", " // the figure and JSON message as its only arguments.\n", " try {\n", " var callback = fig[\"handle_\" + msg_type];\n", " } catch (e) {\n", " console.log(\"No handler for the '\" + msg_type + \"' message type: \", msg);\n", " return;\n", " }\n", "\n", " if (callback) {\n", " try {\n", " // console.log(\"Handling '\" + msg_type + \"' message: \", msg);\n", " callback(fig, msg);\n", " } catch (e) {\n", " console.log(\"Exception inside the 'handler_\" + msg_type + \"' callback:\", e, e.stack, msg);\n", " }\n", " }\n", " };\n", "}\n", "\n", "// from http://stackoverflow.com/questions/1114465/getting-mouse-location-in-canvas\n", "mpl.findpos = function(e) {\n", " //this section is from http://www.quirksmode.org/js/events_properties.html\n", " var targ;\n", " if (!e)\n", " e = window.event;\n", " if (e.target)\n", " targ = e.target;\n", " else if (e.srcElement)\n", " targ = e.srcElement;\n", " if (targ.nodeType == 3) // defeat Safari bug\n", " targ = targ.parentNode;\n", "\n", " // jQuery normalizes the pageX and pageY\n", " // pageX,Y are the mouse positions relative to the document\n", " // offset() returns the position of the element relative to the document\n", " var x = e.pageX - $(targ).offset().left;\n", " var y = e.pageY - $(targ).offset().top;\n", "\n", " return {\"x\": x, \"y\": y};\n", "};\n", "\n", "/*\n", " * return a copy of an object with only non-object keys\n", " * we need this to avoid circular references\n", " * http://stackoverflow.com/a/24161582/3208463\n", " */\n", "function simpleKeys (original) {\n", " return Object.keys(original).reduce(function (obj, key) {\n", " if (typeof original[key] !== 'object')\n", " obj[key] = original[key]\n", " return obj;\n", " }, {});\n", "}\n", "\n", "mpl.figure.prototype.mouse_event = function(event, name) {\n", " var canvas_pos = mpl.findpos(event)\n", "\n", " if (name === 'button_press')\n", " {\n", " this.canvas.focus();\n", " this.canvas_div.focus();\n", " }\n", "\n", " var x = canvas_pos.x;\n", " var y = canvas_pos.y;\n", "\n", " this.send_message(name, {x: x, y: y, button: event.button,\n", " step: event.step,\n", " guiEvent: simpleKeys(event)});\n", "\n", " /* This prevents the web browser from automatically changing to\n", " * the text insertion cursor when the button is pressed. We want\n", " * to control all of the cursor setting manually through the\n", " * 'cursor' event from matplotlib */\n", " event.preventDefault();\n", " return false;\n", "}\n", "\n", "mpl.figure.prototype._key_event_extra = function(event, name) {\n", " // Handle any extra behaviour associated with a key event\n", "}\n", "\n", "mpl.figure.prototype.key_event = function(event, name) {\n", "\n", " // Prevent repeat events\n", " if (name == 'key_press')\n", " {\n", " if (event.which === this._key)\n", " return;\n", " else\n", " this._key = event.which;\n", " }\n", " if (name == 'key_release')\n", " this._key = null;\n", "\n", " var value = '';\n", " if (event.ctrlKey && event.which != 17)\n", " value += \"ctrl+\";\n", " if (event.altKey && event.which != 18)\n", " value += \"alt+\";\n", " if (event.shiftKey && event.which != 16)\n", " value += \"shift+\";\n", "\n", " value += 'k';\n", " value += event.which.toString();\n", "\n", " this._key_event_extra(event, name);\n", "\n", " this.send_message(name, {key: value,\n", " guiEvent: simpleKeys(event)});\n", " return false;\n", "}\n", "\n", "mpl.figure.prototype.toolbar_button_onclick = function(name) {\n", " if (name == 'download') {\n", " this.handle_save(this, null);\n", " } else {\n", " this.send_message(\"toolbar_button\", {name: name});\n", " }\n", "};\n", "\n", "mpl.figure.prototype.toolbar_button_onmouseover = function(tooltip) {\n", " this.message.textContent = tooltip;\n", "};\n", "mpl.toolbar_items = [[\"Home\", \"Reset original view\", \"fa fa-home icon-home\", \"home\"], [\"Back\", \"Back to previous view\", \"fa fa-arrow-left icon-arrow-left\", \"back\"], [\"Forward\", \"Forward to next view\", \"fa fa-arrow-right icon-arrow-right\", \"forward\"], [\"\", \"\", \"\", \"\"], [\"Pan\", \"Pan axes with left mouse, zoom with right\", \"fa fa-arrows icon-move\", \"pan\"], [\"Zoom\", \"Zoom to rectangle\", \"fa fa-square-o icon-check-empty\", \"zoom\"], [\"\", \"\", \"\", \"\"], [\"Download\", \"Download plot\", \"fa fa-floppy-o icon-save\", \"download\"]];\n", "\n", "mpl.extensions = [\"eps\", \"jpeg\", \"pdf\", \"png\", \"ps\", \"raw\", \"svg\", \"tif\"];\n", "\n", "mpl.default_extension = \"png\";var comm_websocket_adapter = function(comm) {\n", " // Create a \"websocket\"-like object which calls the given IPython comm\n", " // object with the appropriate methods. Currently this is a non binary\n", " // socket, so there is still some room for performance tuning.\n", " var ws = {};\n", "\n", " ws.close = function() {\n", " comm.close()\n", " };\n", " ws.send = function(m) {\n", " //console.log('sending', m);\n", " comm.send(m);\n", " };\n", " // Register the callback with on_msg.\n", " comm.on_msg(function(msg) {\n", " //console.log('receiving', msg['content']['data'], msg);\n", " // Pass the mpl event to the overriden (by mpl) onmessage function.\n", " ws.onmessage(msg['content']['data'])\n", " });\n", " return ws;\n", "}\n", "\n", "mpl.mpl_figure_comm = function(comm, msg) {\n", " // This is the function which gets called when the mpl process\n", " // starts-up an IPython Comm through the \"matplotlib\" channel.\n", "\n", " var id = msg.content.data.id;\n", " // Get hold of the div created by the display call when the Comm\n", " // socket was opened in Python.\n", " var element = $(\"#\" + id);\n", " var ws_proxy = comm_websocket_adapter(comm)\n", "\n", " function ondownload(figure, format) {\n", " window.open(figure.imageObj.src);\n", " }\n", "\n", " var fig = new mpl.figure(id, ws_proxy,\n", " ondownload,\n", " element.get(0));\n", "\n", " // Call onopen now - mpl needs it, as it is assuming we've passed it a real\n", " // web socket which is closed, not our websocket->open comm proxy.\n", " ws_proxy.onopen();\n", "\n", " fig.parent_element = element.get(0);\n", " fig.cell_info = mpl.find_output_cell(\"<div id='\" + id + \"'></div>\");\n", " if (!fig.cell_info) {\n", " console.error(\"Failed to find cell for figure\", id, fig);\n", " return;\n", " }\n", "\n", " var output_index = fig.cell_info[2]\n", " var cell = fig.cell_info[0];\n", "\n", "};\n", "\n", "mpl.figure.prototype.handle_close = function(fig, msg) {\n", " fig.root.unbind('remove')\n", "\n", " // Update the output cell to use the data from the current canvas.\n", " fig.push_to_output();\n", " var dataURL = fig.canvas.toDataURL();\n", " // Re-enable the keyboard manager in IPython - without this line, in FF,\n", " // the notebook keyboard shortcuts fail.\n", " IPython.keyboard_manager.enable()\n", " $(fig.parent_element).html('<img src=\"' + dataURL + '\">');\n", " fig.close_ws(fig, msg);\n", "}\n", "\n", "mpl.figure.prototype.close_ws = function(fig, msg){\n", " fig.send_message('closing', msg);\n", " // fig.ws.close()\n", "}\n", "\n", "mpl.figure.prototype.push_to_output = function(remove_interactive) {\n", " // Turn the data on the canvas into data in the output cell.\n", " var dataURL = this.canvas.toDataURL();\n", " this.cell_info[1]['text/html'] = '<img src=\"' + dataURL + '\">';\n", "}\n", "\n", "mpl.figure.prototype.updated_canvas_event = function() {\n", " // Tell IPython that the notebook contents must change.\n", " IPython.notebook.set_dirty(true);\n", " this.send_message(\"ack\", {});\n", " var fig = this;\n", " // Wait a second, then push the new image to the DOM so\n", " // that it is saved nicely (might be nice to debounce this).\n", " setTimeout(function () { fig.push_to_output() }, 1000);\n", "}\n", "\n", "mpl.figure.prototype._init_toolbar = function() {\n", " var fig = this;\n", "\n", " var nav_element = $('<div/>')\n", " nav_element.attr('style', 'width: 100%');\n", " this.root.append(nav_element);\n", "\n", " // Define a callback function for later on.\n", " function toolbar_event(event) {\n", " return fig.toolbar_button_onclick(event['data']);\n", " }\n", " function toolbar_mouse_event(event) {\n", " return fig.toolbar_button_onmouseover(event['data']);\n", " }\n", "\n", " for(var toolbar_ind in mpl.toolbar_items){\n", " var name = mpl.toolbar_items[toolbar_ind][0];\n", " var tooltip = mpl.toolbar_items[toolbar_ind][1];\n", " var image = mpl.toolbar_items[toolbar_ind][2];\n", " var method_name = mpl.toolbar_items[toolbar_ind][3];\n", "\n", " if (!name) { continue; };\n", "\n", " var button = $('<button class=\"btn btn-default\" href=\"#\" title=\"' + name + '\"><i class=\"fa ' + image + ' fa-lg\"></i></button>');\n", " button.click(method_name, toolbar_event);\n", " button.mouseover(tooltip, toolbar_mouse_event);\n", " nav_element.append(button);\n", " }\n", "\n", " // Add the status bar.\n", " var status_bar = $('<span class=\"mpl-message\" style=\"text-align:right; float: right;\"/>');\n", " nav_element.append(status_bar);\n", " this.message = status_bar[0];\n", "\n", " // Add the close button to the window.\n", " var buttongrp = $('<div class=\"btn-group inline pull-right\"></div>');\n", " var button = $('<button class=\"btn btn-mini btn-primary\" href=\"#\" title=\"Stop Interaction\"><i class=\"fa fa-power-off icon-remove icon-large\"></i></button>');\n", " button.click(function (evt) { fig.handle_close(fig, {}); } );\n", " button.mouseover('Stop Interaction', toolbar_mouse_event);\n", " buttongrp.append(button);\n", " var titlebar = this.root.find($('.ui-dialog-titlebar'));\n", " titlebar.prepend(buttongrp);\n", "}\n", "\n", "mpl.figure.prototype._root_extra_style = function(el){\n", " var fig = this\n", " el.on(\"remove\", function(){\n", "\tfig.close_ws(fig, {});\n", " });\n", "}\n", "\n", "mpl.figure.prototype._canvas_extra_style = function(el){\n", " // this is important to make the div 'focusable\n", " el.attr('tabindex', 0)\n", " // reach out to IPython and tell the keyboard manager to turn it's self\n", " // off when our div gets focus\n", "\n", " // location in version 3\n", " if (IPython.notebook.keyboard_manager) {\n", " IPython.notebook.keyboard_manager.register_events(el);\n", " }\n", " else {\n", " // location in version 2\n", " IPython.keyboard_manager.register_events(el);\n", " }\n", "\n", "}\n", "\n", "mpl.figure.prototype._key_event_extra = function(event, name) {\n", " var manager = IPython.notebook.keyboard_manager;\n", " if (!manager)\n", " manager = IPython.keyboard_manager;\n", "\n", " // Check for shift+enter\n", " if (event.shiftKey && event.which == 13) {\n", " this.canvas_div.blur();\n", " event.shiftKey = false;\n", " // Send a \"J\" for go to next cell\n", " event.which = 74;\n", " event.keyCode = 74;\n", " manager.command_mode();\n", " manager.handle_keydown(event);\n", " }\n", "}\n", "\n", "mpl.figure.prototype.handle_save = function(fig, msg) {\n", " fig.ondownload(fig, null);\n", "}\n", "\n", "\n", "mpl.find_output_cell = function(html_output) {\n", " // Return the cell and output element which can be found *uniquely* in the notebook.\n", " // Note - this is a bit hacky, but it is done because the \"notebook_saving.Notebook\"\n", " // IPython event is triggered only after the cells have been serialised, which for\n", " // our purposes (turning an active figure into a static one), is too late.\n", " var cells = IPython.notebook.get_cells();\n", " var ncells = cells.length;\n", " for (var i=0; i<ncells; i++) {\n", " var cell = cells[i];\n", " if (cell.cell_type === 'code'){\n", " for (var j=0; j<cell.output_area.outputs.length; j++) {\n", " var data = cell.output_area.outputs[j];\n", " if (data.data) {\n", " // IPython >= 3 moved mimebundle to data attribute of output\n", " data = data.data;\n", " }\n", " if (data['text/html'] == html_output) {\n", " return [cell, data, j];\n", " }\n", " }\n", " }\n", " }\n", "}\n", "\n", "// Register the function which deals with the matplotlib target/channel.\n", "// The kernel may be null if the page has been refreshed.\n", "if (IPython.notebook.kernel != null) {\n", " IPython.notebook.kernel.comm_manager.register_target('matplotlib', mpl.mpl_figure_comm);\n", "}\n" ], "text/plain": [ "<IPython.core.display.Javascript object>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/html": [ "<img src=\"data:image/png;base64,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\">" ], "text/plain": [ "<IPython.core.display.HTML object>" ] }, "metadata": {}, "output_type": "display_data" }, { "data": { "text/plain": [ "<matplotlib.animation.ArtistAnimation at 0x37777d0>" ] }, "execution_count": 3, "metadata": {}, "output_type": "execute_result" } ], "source": [ "def f(x):\n", " y = x*x\n", " return y\n", "\n", "derivative(f, 'derivative01.gif')" ] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.5" } }, "nbformat": 4, "nbformat_minor": 0 }
apache-2.0
glouppe/scikit-optimize
examples/strategy-comparison.ipynb
1
161729
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Comparing surrogate models\n", "\n", "Tim Head, July 2016." ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.figure.Figure at 0x7f607c455550>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "import numpy as np\n", "np.random.seed(123)\n", "\n", "%matplotlib inline\n", "import matplotlib.pyplot as plt\n", "plt.rcParams[\"figure.figsize\"] = (10, 6)\n", "plt.set_cmap(\"viridis\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Bayesian optimization or sequential model-based optimization uses a surrogate model\n", "to model the expensive to evaluate function `func`. There are several choices\n", "for what kind of surrogate model to use. This example compares the performance of:\n", "\n", "* gaussian processes,\n", "* extra trees, and\n", "* random forests \n", "\n", "as surrogate models. A purely random optimization strategy is used as a baseline.\n", "\n", "\n", "# Toy model\n", "\n", "We will use the `branin` function as toy model for the expensive function. In\n", "a real world application this function would be unknown and expensive to evaluate." ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": true }, "outputs": [], "source": [ "from skopt.benchmarks import branin as _branin\n", "\n", "def branin(x, noise_level=0.):\n", " return _branin(x) + noise_level * np.random.randn()" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": false }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAj8AAAF6CAYAAAD20Tl1AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f604ef689b0>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "from matplotlib.colors import LogNorm\n", "\n", "def plot_branin():\n", " fig, ax = plt.subplots()\n", "\n", " x1_values = np.linspace(-5, 10, 100)\n", " x2_values = np.linspace(0, 15, 100)\n", " x_ax, y_ax = np.meshgrid(x1_values, x2_values)\n", " vals = np.c_[x_ax.ravel(), y_ax.ravel()]\n", " fx = np.reshape([branin(val) for val in vals], (100, 100))\n", " \n", " cm = ax.pcolormesh(x_ax, y_ax, fx,\n", " norm=LogNorm(vmin=fx.min(), \n", " vmax=fx.max()))\n", "\n", " minima = np.array([[-np.pi, 12.275], [+np.pi, 2.275], [9.42478, 2.475]])\n", " ax.plot(minima[:, 0], minima[:, 1], \"r.\", markersize=14, lw=0, label=\"Minima\")\n", " \n", " cb = fig.colorbar(cm)\n", " cb.set_label(\"f(x)\")\n", " \n", " ax.legend(loc=\"best\", numpoints=1)\n", " \n", " ax.set_xlabel(\"X1\")\n", " ax.set_xlim([-5, 10])\n", " ax.set_ylabel(\"X2\")\n", " ax.set_ylim([0, 15])\n", " \n", "plot_branin()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "This shows the value of the two-dimensional branin function and the three minima.\n", "\n", "\n", "# Objective\n", "\n", "The objective of this example is to find one of these minima in as few iterations\n", "as possible. One iteration is defined as one call to the branin function.\n", "\n", "We will evaluate each model several times using a different seed for the\n", "random number generator. Then compare the average performance of these\n", "models. This makes the comparison more robust against models that get\n", "\"lucky\"." ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": false }, "outputs": [], "source": [ "from functools import partial\n", "from skopt import gp_minimize, forest_minimize, dummy_minimize\n", "\n", "func = partial(branin, noise_level=2.0)\n", "bounds = [(-5.0, 10.0), (0.0, 15.0)]\n", "x0 = [2.5, 7.5]\n", "n_calls = 80" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": false }, "outputs": [], "source": [ "def run(minimizer, n_iter=20):\n", " return [minimizer(func, bounds, x0=x0, n_calls=n_calls, random_state=n) \n", " for n in range(n_iter)]\n", "\n", "# Random search\n", "dummy_res = run(dummy_minimize) \n", "\n", "# Gaussian processes\n", "gp_res = run(gp_minimize)\n", "\n", "# Random forest\n", "rf_res = run(partial(forest_minimize, base_estimator=\"rf\"))\n", "\n", "# Extra trees \n", "et_res = run(partial(forest_minimize, base_estimator=\"et\"))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Note that this can take a few minutes." ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.axes._subplots.AxesSubplot at 0x7f60440ef1d0>" ] }, "execution_count": 6, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAnAAAAGLCAYAAABHrq/kAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f604ea21e10>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "from skopt.plots import plot_convergence\n", "\n", "plot_convergence((\"dummy_minimize\", dummy_res),\n", " (\"gp_minimize\", gp_res),\n", " (\"forest_minimize('rf')\", rf_res),\n", " (\"forest_minimize('et)\", et_res), \n", " true_minimum=0.397887, yscale=\"log\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "This plot shows the value of the minimum found (y axis) as a function of the number\n", "of iterations performed so far (x axis). The dashed red line indicates the\n", "true value of the minimum of the branin function.\n", "\n", "For the first ten iterations all methods perform equally well as they all start\n", "by creating ten random samples before fitting their respective model for the\n", "first time. After iteration ten the next point at which to evaluate `branin` is\n", "guided by the model, which is where differences start to appear.\n", "\n", "Each minimizer only has access to noisy observations of the objective\n", "function, so as time passes (more iterations) it will start observing values that\n", "are below the true value simply because they are fluctuations." ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.1" } }, "nbformat": 4, "nbformat_minor": 0 }
bsd-3-clause
NYUDataBootcamp/Projects
UG_S16/Jerry_Allen_Gender_Pay_Gap.ipynb
1
227767
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "**Gender Pay Gap Inequality in the U.S. and Potential Insights**\n", "\n", "A Research Project at NYU's Stern School of Buinsess — May 2016 \n", "Written by Jerry \"Joa\" Allen (joa218@nyu.edu)\n", "\n", "**Abstract** \n", "\n", "Although it has been a longstanding issue, the gender pay gap has been an especially touched upon topic in recent times. There's the well-quoted statistic stating women earn 77% as much as their male counterparts in exchange for equal work. However, this statistic is met with contention from various economists. Some claim that women having less pay for equal work is possibly true in certain cases, but it is not by and large the case. This paper is meant to provide insights as it pertains to potential drivers of the gender pay gap." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Acessing and Parsing the Data**\n", "\n", "I decided to access the 2014 American Time Use Study, which is the most recent year available. The dataset I manipulate is the ATUS Activity Summary File. In brief, this file mostly outlines how respondents spent their time as it pertains to various activities, ranging from sleep to eldercare. Moreover, the file also contains information regarding the sex (ie. unfortunately gender was unavailable) of the respondents, amongst other demographic information. What I am largely interested in is investigating gender equality (or lack thereof) when it comes to labor force status, hours worked, childcare, and eldercare. Moreover, I will also weigh in on the implications these insights have on the gender\n", "pay gap. With that in mind, I plan to produce figures which will concisely compare men and women along the variables mentioned above. \n", "\n", "In terms of accessing the data, it is available on http://www.bls.gov/tus/datafiles_2014.htm, and under the ATUS Activity Summary zip. Furthermore, descriptions of the column variables and their units of measurement can be found at http://www.bls.gov/tus/atuscpscodebk14.pdf and http://www.bls.gov/tus/atusintcodebk14.pdf." ] }, { "cell_type": "code", "execution_count": 14, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Python version: 3.5.1 |Anaconda 2.5.0 (x86_64)| (default, Dec 7 2015, 11:24:55) \n", "[GCC 4.2.1 (Apple Inc. build 5577)]\n", "Pandas version: 0.17.1\n", "Today: 2016-05-13\n" ] } ], "source": [ "import sys # system module\n", "import pandas as pd # data package\n", "import matplotlib.pyplot as plt # graphics module \n", "import datetime as dt # date and time module\n", "import numpy as np # foundation for Pandas\n", "import seaborn.apionly as sns # matplotlib graphics (no styling)\n", "\n", "\n", "# these lines make our graphics show up in the notebook\n", "%matplotlib inline \n", "\n", "# check versions (overkill, but why not?)\n", "print('Python version:', sys.version)\n", "print('Pandas version: ', pd.__version__)\n", "print('Today: ', dt.date.today())" ] }, { "cell_type": "code", "execution_count": 15, "metadata": { "collapsed": false }, "outputs": [], "source": [ "atus = (pd.read_csv('/Users/JOA/Documents/Academics/NYU/Spring 2016/Data_Bootcamp/atussum_2014/atussum_2014.dat'))" ] }, { "cell_type": "code", "execution_count": 16, "metadata": { "collapsed": false }, "outputs": [], "source": [ "atus['TESEX'] = atus['TESEX'].replace({1: 'Male', 2:'Female'})\n", "atus['TELFS'] = atus['TELFS'].replace({1: \"Employed(at work)\", 2: \"Employed(absent)\",\n", " 3:'Unemployed(on layoff)', 4: 'Unemployed(looking)',\n", " 5: \"Not in labor force\"})#TELFS refers to labor force status" ] }, { "cell_type": "code", "execution_count": 17, "metadata": { "collapsed": false }, "outputs": [], "source": [ "atus = atus.set_index('TESEX')\n", "atus.index.name = 'Sex'" ] }, { "cell_type": "code", "execution_count": 18, "metadata": { "collapsed": false }, "outputs": [], "source": [ "atus = atus[['TEHRUSLT', 'TELFS', 'TRERNWA', 'TRTEC', 'TRTHH']]\n", "atus = atus.replace(to_replace=[-1], value=[None]) # -1 represents blank answers\n", "atus = atus.replace(to_replace=[-2], value=[None]) # -2 represents a \"don't know\" answer\n", "atus = atus.replace(to_replace=[-3], value=[None]) # -3 represents a refuse to answer\n", "atus = atus.replace(to_replace=[-4], value=[None]) # -4 represents an \"hours vary\" answer that is of no use" ] }, { "cell_type": "code", "execution_count": 19, "metadata": { "collapsed": false }, "outputs": [], "source": [ "atus['TRERNWA'] = atus['TRERNWA']/100 #TRERNWA measures weekly income. The original values implied 2 decimal places" ] }, { "cell_type": "code", "execution_count": 20, "metadata": { "collapsed": false }, "outputs": [], "source": [ "atus = atus.rename(columns={'TEHRUSLT':'Hours Worked/Wk','TELFS':'Labor Force Status', 'TRERNWA':'Main Job Income/Wk'\n", " ,'TRTEC': 'Elderly Care (mins)','TRTHH':'Secondary Child Care (mins)'})" ] }, { "cell_type": "code", "execution_count": 21, "metadata": { "collapsed": false }, "outputs": [], "source": [ "atus['Sex'] = atus.index\n", "atus.columns = ['Hours Worked/Wk', 'Labor Force Status', 'Main Job Income/Wk',\n", " 'Elderly Care (mins)', 'Secondary Child Care (mins)', 'Sex'] #added in Sex as column for sns plot purposes" ] }, { "cell_type": "code", "execution_count": 22, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.text.Text at 0x119af1048>" ] }, "execution_count": 22, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAsoAAAF0CAYAAAA6vh/YAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nQFlEREREpMA/j6/27k+ECpoAAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x1199cd860>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "fig, ax = plt.subplots()\n", "fig.set_size_inches(11.7, 5.5)\n", "ax.set_title('Figure 1. Labor Force Status Count', weight = 'bold', fontsize = 17)\n", "sns.countplot(x= 'Labor Force Status', hue='Sex', data= atus)\n", "plt.xlabel('Labor Force Status',weight='bold',fontsize=13)\n", "plt.ylabel('Count',weight='bold', fontsize=13)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Labor Force Status**\n", "\n", "The notion of women making up to 23 less cents on the dollar than men has been challenged numerous times. Many claim, including Resident Fellow at the Harvard Institute of Politics, Karen Agness, that this statistic in manipulated and misled by popular media and the government. The extent of systemic discrimination on women in the U.S. suggested by this statistic is far from conclusive, as it does not take into account the many factors that are producing this number. Figure 1 illustrates the difference in labor force placement between men and women. It is worth noting that there were 20% more female respondents in this survey, such that the female count is inflated compared to that of males. Even when adjusting for greater number of female respondents, there is about 25% more females not in the labor force than males. Naturally, this kind of discrepancy in labor force status is likely to contribute to the overall gender pay gap we are witnessing in the U.S. Moreover, the number of men and women unemployed and looking are nearly the same. Although it may not debunk, this insight discredits the notion of systemic hiring discrimination considering there are more women not working, but there are not more women looking for a job. If there was systemic hiring discrimination against women, there would presumably be a greater share of women looking for a job than men." ] }, { "cell_type": "code", "execution_count": 23, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.text.Text at 0x11971acc0>" ] }, "execution_count": 23, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAswAAAIOCAYAAACs8VulAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x125ce6e48>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "fig, ax = plt.subplots()\n", "fig.set_size_inches(11.7, 8.27)\n", "ax.set_title('Figure 2. Income Per Week From Main Job', weight='bold', fontsize = 17)\n", "sns.set_style(\"whitegrid\")\n", "sns.violinplot(x='Sex',y='Main Job Income/Wk', data = atus)\n", "plt.xlabel('Sex',weight='bold',fontsize=13)\n", "plt.ylabel('Main Job Income/Wk ($)',weight='bold', fontsize=13)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Differences in Main Stream of Income** \n", "\n", "Figure 2 clearly illustrates men earning more income than women. There's a sizable share of women earning less than 500/week, while there are very few making more than 1500/week. On the other hand, the men's income is a more evenly distributed, as opposed to being as bottom heavy as women's income. The interquartile range of men is about 1000 compared to about 600 for women. Furthermore, the figure clearly portrays men having a lot more of an income upside, as the upper quartile of women is about 1000, while the upper quartile of men is about 1500 (ie. displayed in the black lines within the axes objects). This difference in income is just as stark, when observing the top earners between men and women, as the top earner for men (about 2900) is about 30% more than his women counterpart. If nothing else, this figure reinforces the fact that men make more money than women, and their income is more widely distributed. The below figures will provide potential drivers for this inequality as it pertains to differences in time use between men and women." ] }, { "cell_type": "code", "execution_count": 24, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.text.Text at 0x115ad16d8>" ] }, "execution_count": 24, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAsQAAAIOCAYAAAC/JhtRAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAFyNQgwAAABX+x+cztLl7kJK+AAAAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x11a5354a8>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "fig, ax = plt.subplots()\n", "fig.set_size_inches(11.7, 8.27)\n", "ax.set_title('Figure 3. Hours Worked Per Week', weight='bold',fontsize = 17)\n", "sns.set_style('whitegrid')\n", "sns.boxplot(x='Sex', y='Hours Worked/Wk', data= atus)\n", "plt.xlabel('Sex',weight='bold',fontsize=13)\n", "plt.ylabel('Hours Worked/Wk',weight='bold', fontsize=13)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Differences in Hours Worked**\n", "\n", "One obvious factor to investigate is the number of hours worked for both men and women. This will surely have an impact on the earnings for each sex. Figure 3 shows that males work considerably more hours than females. A clear indicator of this is the upper quartile for women being 40 hours/week is virtually equal to the lower quartile for men. It does not require statistical analysis to presume the more hours one works, the more income that person tends to earn. This perhaps explains, at least to some degree, the stark difference in incomes between men and women, shown in the Figure 2. However, the question remains what women are spending their time doing more than men if they are not working more hours than men. The implication is that women are enduring certain responsibilities (ie. more so than men) that take up their time, and this in turn has a negative impact on their income." ] }, { "cell_type": "code", "execution_count": 29, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.text.Text at 0x1222d20f0>" ] }, "execution_count": 29, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAuIAAAIOCAYAAAD9ZWjGAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nw8OJDb34jgAAAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x1222b3208>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "fig, ax = plt.subplots()\n", "fig.set_size_inches(11.7, 8.27)\n", "ax.set(xlim=(0, 1400))\n", "ax.set_title('Figure 4. Mins/Day Providing Secondary Child Care (<13y/o)', weight='bold', fontsize = 17)\n", "sns.violinplot(data= atus, x='Secondary Child Care (mins)', y='Sex')\n", "plt.xlabel('Secondary Child Care (Mins/Day)',weight='bold',fontsize=13)\n", "plt.ylabel('Sex',weight='bold', fontsize=13)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**The Differences in the Time Spent Providing Child Care**\n", "\n", "Secondary child care is referring to time spent looking after children, while taking on something else as a primary activity. In sum, it is keeping a watchful eye over children, without providing one's full and undivided attention. Harvard Economics Professor, Claudia Goldin postulated that women providing more family care is a potential reason for the pay gap. Moreover, she touched upon research that viably suggests that women value temporal flexibility more than men, while men value income more than women. Figure 4 displays that women provide secondary child care more than men, as over 25% provide more than 200 minutes/day of such care. The fat tail on blue object depicts that their is a great deal of women providing hundreds of minutes of child care each day. Resultantly, the women who have these responsibilities are presumably earning less income than men and women who do not." ] }, { "cell_type": "code", "execution_count": 26, "metadata": { "collapsed": false, "scrolled": true }, "outputs": [ { "data": { "text/plain": [ "<matplotlib.text.Text at 0x11975a860>" ] }, "execution_count": 26, "metadata": {}, "output_type": "execute_result" }, { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAArIAAAF0CAYAAADfINq0AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x119745668>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "fig, ax = plt.subplots()\n", "fig.set_size_inches(11.27, 5.5)\n", "ax.set(ylim=(0, 1400))\n", "ax.set_title(\"Figure 5. Mins/Day Providing Elderly Care\", weight='bold',fontsize = 17)\n", "sns.set_style(\"whitegrid\")\n", "sns.swarmplot(x='Sex', y='Elderly Care (mins)', data= atus)\n", "plt.xlabel('Sex',weight='bold',fontsize=13)\n", "plt.ylabel('Elderly Care (Mins/Day)',weight='bold', fontsize=13)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Differences in Time Spent Providing Elderly Care**\n", "\n", "Related to the Figure 4, Figure 5 depicts females providing considerably more eldercare (ie. unrelated to employment) than their male counterparts. Granted, there is 20% more female respondents in the survey which makes the size of the blue object more accentuated. Nevertheless, there is still about twice as many females providing elderly care than men when accounting for the difference in number of respondents. This data supports Goldin's postulate of the women earning less than men are more likely to provide family care than men." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Conclusion**\n", "\n", "Although their is still much more analysis that can be done, this paper at least sheds light on the fact that the gender pay gap issue is much more convoluted and nuanced than typically given credit for. Far too often, blanketed statements are made regarding females getting paid less than men, without evaluating any specific underlying reasons. Instead, it is prevalent practice to use the loaded word, \"discrimination,\" as reasoning for the matter. In fact, Former Director of the U.S. State Department, Anne-Marie Slaughter, finds that when you do not account for women with caregiving responsibilities, women earn only 5% less than men. \n", "\n", "Going forward, it would be worthwhile to further investigate the notion of women valuing temporal flexibility more than men, and men valuing income more than women. It would be interesting the further validate this assertion and learn if and how this disposition has changed over decades. If women are genetically predisposed to be more caregiving, then it may be a futile cause to completely close the gender pay gap given women would always tend to spend more time providing family care as opposed to earning income through working, compared to men. On the other hand, if women are at all pressured by social constructs to be more caregiving, then it would be worth thinking about potential solutions to eradicate this issue. In any case, it would be useful to further explore gender differences and inequities as a means to discover conclusive insights that can best mitigate any gender pay gap. " ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**References**\n", "\n", "Agness, Karen. \"Don't Buy Into The Gender Pay Gap Myth.\" Forbes. Forbes Magazine, 12 Apr. 2016. Web. 11 May 2016.\n", "\n", "Dubner, Stephen J. \"The True Story of the Gender Pay Gap - Freakonomics.\" Freakonomics. N.p., 7 Jan. 2016. Web. 11 May 2016.\n", "\n" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.1" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
zhuanxuhit/deep-learning
embeddings/.ipynb_checkpoints/Skip-Grams-Solution-checkpoint.ipynb
1
925622
{ "cells": [ { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "# Skip-gram word2vec\n", "\n", "In this notebook, I'll lead you through using TensorFlow to implement the word2vec algorithm using the skip-gram architecture. By implementing this, you'll learn about embedding words for use in natural language processing. This will come in handy when dealing with things like translations.\n", "\n", "## Readings\n", "\n", "Here are the resources I used to build this notebook. I suggest reading these either beforehand or while you're working on this material.\n", "\n", "* A really good [conceptual overview](http://mccormickml.com/2016/04/19/word2vec-tutorial-the-skip-gram-model/) of word2vec from Chris McCormick \n", "* [First word2vec paper](https://arxiv.org/pdf/1301.3781.pdf) from Mikolov et al.\n", "* [NIPS paper](http://papers.nips.cc/paper/5021-distributed-representations-of-words-and-phrases-and-their-compositionality.pdf) with improvements for word2vec also from Mikolov et al.\n", "* An [implementation of word2vec](http://www.thushv.com/natural_language_processing/word2vec-part-1-nlp-with-deep-learning-with-tensorflow-skip-gram/) from Thushan Ganegedara\n", "* TensorFlow [word2vec tutorial](https://www.tensorflow.org/tutorials/word2vec)\n", "\n", "## Word embeddings\n", "\n", "When you're dealing with language and words, you end up with tens of thousands of classes to predict, one for each word. Trying to one-hot encode these words is massively inefficient, you'll have one element set to 1 and the other 50,000 set to 0. The word2vec algorithm finds much more efficient representations by finding vectors that represent the words. These vectors also contain semantic information about the words. Words that show up in similar contexts, such as \"black\", \"white\", and \"red\" will have vectors near each other. There are two architectures for implementing word2vec, CBOW (Continuous Bag-Of-Words) and Skip-gram.\n", "\n", "<img src=\"assets/word2vec_architectures.png\" width=\"500\">\n", "\n", "In this implementation, we'll be using the skip-gram architecture because it performs better than CBOW. Here, we pass in a word and try to predict the words surrounding it in the text. In this way, we can train the network to learn representations for words that show up in similar contexts.\n", "\n", "First up, importing packages." ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [], "source": [ "import time\n", "\n", "import numpy as np\n", "import tensorflow as tf\n", "\n", "import utils" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Load the [text8 dataset](http://mattmahoney.net/dc/textdata.html), a file of cleaned up Wikipedia articles from Matt Mahoney. The next cell will download the data set to the `data` folder. Then you can extract it and delete the archive file to save storage space." ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": false }, "outputs": [ { "name": "stderr", "output_type": "stream", "text": [ "Text8 Dataset: 31.4MB [00:16, 1.88MB/s] \n" ] } ], "source": [ "from urllib.request import urlretrieve\n", "from os.path import isfile, isdir\n", "from tqdm import tqdm\n", "import zipfile\n", "\n", "dataset_folder_path = 'data'\n", "dataset_filename = 'text8.zip'\n", "dataset_name = 'Text8 Dataset'\n", "\n", "class DLProgress(tqdm):\n", " last_block = 0\n", "\n", " def hook(self, block_num=1, block_size=1, total_size=None):\n", " self.total = total_size\n", " self.update((block_num - self.last_block) * block_size)\n", " self.last_block = block_num\n", "\n", "if not isfile(dataset_filename):\n", " with DLProgress(unit='B', unit_scale=True, miniters=1, desc=dataset_name) as pbar:\n", " urlretrieve(\n", " 'http://mattmahoney.net/dc/text8.zip',\n", " dataset_filename,\n", " pbar.hook)\n", "\n", "if not isdir(dataset_folder_path):\n", " with zipfile.ZipFile(dataset_filename) as zip_ref:\n", " zip_ref.extractall(dataset_folder_path)\n", " \n", "with open('data/text8') as f:\n", " text = f.read()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Preprocessing\n", "\n", "Here I'm fixing up the text to make training easier. This comes from the `utils` module I wrote. The `preprocess` function coverts any punctuation into tokens, so a period is changed to ` <PERIOD> `. In this data set, there aren't any periods, but it will help in other NLP problems. I'm also removing all words that show up five or fewer times in the dataset. This will greatly reduce issues due to noise in the data and improve the quality of the vector representations. If you want to write your own functions for this stuff, go for it." ] }, { "cell_type": "code", "execution_count": 57, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "['anarchism', 'originated', 'as', 'a', 'term', 'of', 'abuse', 'first', 'used', 'against', 'early', 'working', 'class', 'radicals', 'including', 'the', 'diggers', 'of', 'the', 'english', 'revolution', 'and', 'the', 'sans', 'culottes', 'of', 'the', 'french', 'revolution', 'whilst']\n" ] } ], "source": [ "words = utils.preprocess(text)\n", "print(words[:30])" ] }, { "cell_type": "code", "execution_count": 58, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Total words: 16680599\n", "Unique words: 63641\n" ] } ], "source": [ "print(\"Total words: {}\".format(len(words)))\n", "print(\"Unique words: {}\".format(len(set(words))))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "And here I'm creating dictionaries to covert words to integers and backwards, integers to words. The integers are assigned in descending frequency order, so the most frequent word (\"the\") is given the integer 0 and the next most frequent is 1 and so on. The words are converted to integers and stored in the list `int_words`." ] }, { "cell_type": "code", "execution_count": 59, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [], "source": [ "vocab_to_int, int_to_vocab = utils.create_lookup_tables(words)\n", "int_words = [vocab_to_int[word] for word in words]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Subsampling\n", "\n", "Words that show up often such as \"the\", \"of\", and \"for\" don't provide much context to the nearby words. If we discard some of them, we can remove some of the noise from our data and in return get faster training and better representations. This process is called subsampling by Mikolov. For each word $w_i$ in the training set, we'll discard it with probability given by \n", "\n", "$$ P(w_i) = 1 - \\sqrt{\\frac{t}{f(w_i)}} $$\n", "\n", "where $t$ is a threshold parameter and $f(w_i)$ is the frequency of word $w_i$ in the total dataset.\n", "\n", "I'm going to leave this up to you as an exercise. Check out my solution to see how I did it.\n", "\n", "> **Exercise:** Implement subsampling for the words in `int_words`. That is, go through `int_words` and discard each word given the probablility $P(w_i)$ shown above. Note that $P(w_i)$ is that probability that a word is discarded. Assign the subsampled data to `train_words`." ] }, { "cell_type": "code", "execution_count": 60, "metadata": { "collapsed": false }, "outputs": [], "source": [ "from collections import Counter\n", "import random\n", "\n", "threshold = 1e-5\n", "word_counts = Counter(int_words)\n", "total_count = len(int_words)\n", "freqs = {word: count/total_count for word, count in word_counts.items()}\n", "p_drop = {word: 1 - np.sqrt(threshold/freqs[word]) for word in word_counts}\n", "train_words = [word for word in int_words if p_drop[word] < random.random()]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Making batches" ] }, { "cell_type": "markdown", "metadata": { "deletable": true, "editable": true }, "source": [ "Now that our data is in good shape, we need to get it into the proper form to pass it into our network. With the skip-gram architecture, for each word in the text, we want to grab all the words in a window around that word, with size $C$. \n", "\n", "From [Mikolov et al.](https://arxiv.org/pdf/1301.3781.pdf): \n", "\n", "\"Since the more distant words are usually less related to the current word than those close to it, we give less weight to the distant words by sampling less from those words in our training examples... If we choose $C = 5$, for each training word we will select randomly a number $R$ in range $< 1; C >$, and then use $R$ words from history and $R$ words from the future of the current word as correct labels.\"\n", "\n", "> **Exercise:** Implement a function `get_target` that receives a list of words, an index, and a window size, then returns a list of words in the window around the index. Make sure to use the algorithm described above, where you chose a random number of words to from the window." ] }, { "cell_type": "code", "execution_count": 61, "metadata": { "collapsed": true, "deletable": true, "editable": true }, "outputs": [], "source": [ "def get_target(words, idx, window_size=5):\n", " ''' Get a list of words in a window around an index. '''\n", " \n", " R = np.random.randint(1, window_size+1)\n", " start = idx - R if (idx - R) > 0 else 0\n", " stop = idx + R\n", " target_words = set(words[start:idx] + words[idx+1:stop+1])\n", " \n", " return list(target_words)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Here's a function that returns batches for our network. The idea is that it grabs `batch_size` words from a words list. Then for each of those words, it gets the target words in the window. I haven't found a way to pass in a random number of target words and get it to work with the architecture, so I make one row per input-target pair. This is a generator function by the way, helps save memory." ] }, { "cell_type": "code", "execution_count": 62, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [], "source": [ "def get_batches(words, batch_size, window_size=5):\n", " ''' Create a generator of word batches as a tuple (inputs, targets) '''\n", " \n", " n_batches = len(words)//batch_size\n", " \n", " # only full batches\n", " words = words[:n_batches*batch_size]\n", " \n", " for idx in range(0, len(words), batch_size):\n", " x, y = [], []\n", " batch = words[idx:idx+batch_size]\n", " for ii in range(len(batch)):\n", " batch_x = batch[ii]\n", " batch_y = get_target(batch, ii, window_size)\n", " y.extend(batch_y)\n", " x.extend([batch_x]*len(batch_y))\n", " yield x, y\n", " " ] }, { "cell_type": "markdown", "metadata": { "collapsed": false }, "source": [ "## Building the graph\n", "\n", "From Chris McCormick's blog, we can see the general structure of our network.\n", "![embedding_network](./assets/skip_gram_net_arch.png)\n", "\n", "The input words are passed in as one-hot encoded vectors. This will go into a hidden layer of linear units, then into a softmax layer. We'll use the softmax layer to make a prediction like normal.\n", "\n", "The idea here is to train the hidden layer weight matrix to find efficient representations for our words. This weight matrix is usually called the embedding matrix or embedding look-up table. We can discard the softmax layer becuase we don't really care about making predictions with this network. We just want the embedding matrix so we can use it in other networks we build from the dataset.\n", "\n", "I'm going to have you build the graph in stages now. First off, creating the `inputs` and `labels` placeholders like normal.\n", "\n", "> **Exercise:** Assign `inputs` and `labels` using `tf.placeholder`. We're going to be passing in integers, so set the data types to `tf.int32`. The batches we're passing in will have varying sizes, so set the batch sizes to [`None`]. To make things work later, you'll need to set the second dimension of `labels` to `None` or `1`." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "train_graph = tf.Graph()\n", "with train_graph.as_default():\n", " inputs = tf.placeholder(tf.int32, [None], name='inputs')\n", " labels = tf.placeholder(tf.int32, [None, None], name='labels')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Embedding\n", "\n" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "The embedding matrix has a size of the number of words by the number of neurons in the hidden layer. So, if you have 10,000 words and 300 hidden units, the matrix will have size $10,000 \\times 300$. Remember that we're using one-hot encoded vectors for our inputs. When you do the matrix multiplication of the one-hot vector with the embedding matrix, you end up selecting only one row out of the entire matrix:\n", "\n", "![one-hot matrix multiplication](assets/matrix_mult_w_one_hot.png)\n", "\n", "You don't actually need to do the matrix multiplication, you just need to select the row in the embedding matrix that corresponds to the input word. Then, the embedding matrix becomes a lookup table, you're looking up a vector the size of the hidden layer that represents the input word.\n", "\n", "<img src=\"assets/word2vec_weight_matrix_lookup_table.png\" width=500>\n", "\n", "\n", "> **Exercise:** Tensorflow provides a convenient function [`tf.nn.embedding_lookup`](https://www.tensorflow.org/api_docs/python/tf/nn/embedding_lookup) that does this lookup for us. You pass in the embedding matrix and a tensor of integers, then it returns rows in the matrix corresponding to those integers. Below, set the number of embedding features you'll use (200 is a good start), create the embedding matrix variable, and use `tf.nn.embedding_lookup` to get the embedding tensors. For the embedding matrix, I suggest you initialize it with a uniform random numbers between -1 and 1 using [tf.random_uniform](https://www.tensorflow.org/api_docs/python/tf/random_uniform)." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "n_vocab = len(int_to_vocab)\n", "n_embedding = 200 # Number of embedding features \n", "with train_graph.as_default():\n", " embedding = tf.Variable(tf.random_uniform((n_vocab, n_embedding), -1, 1))\n", " embed = tf.nn.embedding_lookup(embedding, inputs)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Negative sampling\n", "\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "For every example we give the network, we train it using the output from the softmax layer. That means for each input, we're making very small changes to millions of weights even though we only have one true example. This makes training the network very inefficient. We can approximate the loss from the softmax layer by only updating a small subset of all the weights at once. We'll update the weights for the correct label, but only a small number of incorrect labels. This is called [\"negative sampling\"](http://papers.nips.cc/paper/5021-distributed-representations-of-words-and-phrases-and-their-compositionality.pdf). Tensorflow has a convenient function to do this, [`tf.nn.sampled_softmax_loss`](https://www.tensorflow.org/api_docs/python/tf/nn/sampled_softmax_loss).\n", "\n", "> **Exercise:** Below, create weights and biases for the softmax layer. Then, use [`tf.nn.sampled_softmax_loss`](https://www.tensorflow.org/api_docs/python/tf/nn/sampled_softmax_loss) to calculate the loss. Be sure to read the documentation to figure out how it works." ] }, { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "ename": "NameError", "evalue": "name 'train_graph' is not defined", "output_type": "error", "traceback": [ "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", "\u001b[0;31mNameError\u001b[0m Traceback (most recent call last)", "\u001b[0;32m<ipython-input-1-2c848aa86763>\u001b[0m in \u001b[0;36m<module>\u001b[0;34m()\u001b[0m\n\u001b[1;32m 1\u001b[0m \u001b[0;31m# Number of negative labels to sample\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 2\u001b[0m \u001b[0mn_sampled\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0;36m100\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m----> 3\u001b[0;31m \u001b[0;32mwith\u001b[0m \u001b[0mtrain_graph\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mas_default\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 4\u001b[0m \u001b[0msoftmax_w\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mtf\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mVariable\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mtf\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mtruncated_normal\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mn_vocab\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mn_embedding\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mstddev\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0;36m0.1\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 5\u001b[0m \u001b[0msoftmax_b\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mtf\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mVariable\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mtf\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mzeros\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mn_vocab\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;31mNameError\u001b[0m: name 'train_graph' is not defined" ] } ], "source": [ "# Number of negative labels to sample\n", "n_sampled = 100\n", "with train_graph.as_default():\n", " softmax_w = tf.Variable(tf.truncated_normal((n_vocab, n_embedding), stddev=0.1))\n", " softmax_b = tf.Variable(tf.zeros(n_vocab))\n", " \n", " # Calculate the loss using negative sampling\n", " loss = tf.nn.sampled_softmax_loss(softmax_w, softmax_b, \n", " labels, embed,\n", " n_sampled, n_vocab)\n", " \n", " cost = tf.reduce_mean(loss)\n", " optimizer = tf.train.AdamOptimizer().minimize(cost)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Validation\n", "\n", "This code is from Thushan Ganegedara's implementation. Here we're going to choose a few common words and few uncommon words. Then, we'll print out the closest words to them. It's a nice way to check that our embedding table is grouping together words with similar semantic meanings." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "with train_graph.as_default():\n", " ## From Thushan Ganegedara's implementation\n", " valid_size = 16 # Random set of words to evaluate similarity on.\n", " valid_window = 100\n", " # pick 8 samples from (0,100) and (1000,1100) each ranges. lower id implies more frequent \n", " valid_examples = np.array(random.sample(range(valid_window), valid_size//2))\n", " valid_examples = np.append(valid_examples, \n", " random.sample(range(1000,1000+valid_window), valid_size//2))\n", "\n", " valid_dataset = tf.constant(valid_examples, dtype=tf.int32)\n", " \n", " # We use the cosine distance:\n", " norm = tf.sqrt(tf.reduce_sum(tf.square(embedding), 1, keep_dims=True))\n", " normalized_embedding = embedding / norm\n", " valid_embedding = tf.nn.embedding_lookup(normalized_embedding, valid_dataset)\n", " similarity = tf.matmul(valid_embedding, tf.transpose(normalized_embedding))" ] }, { "cell_type": "code", "execution_count": 18, "metadata": { "collapsed": true, "deletable": true, "editable": true }, "outputs": [], "source": [ "# If the checkpoints directory doesn't exist:\n", "!mkdir checkpoints" ] }, { "cell_type": "code", "execution_count": 67, "metadata": { "collapsed": false, "deletable": true, "editable": true, "scrolled": true }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Epoch 1/10 Iteration: 100 Avg. Training loss: 5.6559 0.1018 sec/batch\n", "Epoch 1/10 Iteration: 200 Avg. Training loss: 5.6093 0.1028 sec/batch\n", "Epoch 1/10 Iteration: 300 Avg. Training loss: 5.5315 0.1023 sec/batch\n", "Epoch 1/10 Iteration: 400 Avg. Training loss: 5.5730 0.1030 sec/batch\n", "Epoch 1/10 Iteration: 500 Avg. Training loss: 5.5062 0.1014 sec/batch\n", "Epoch 1/10 Iteration: 600 Avg. Training loss: 5.5396 0.1025 sec/batch\n", "Epoch 1/10 Iteration: 700 Avg. Training loss: 5.5646 0.1033 sec/batch\n", "Epoch 1/10 Iteration: 800 Avg. Training loss: 5.5273 0.1035 sec/batch\n", "Epoch 1/10 Iteration: 900 Avg. Training loss: 5.5067 0.1030 sec/batch\n", "Epoch 1/10 Iteration: 1000 Avg. Training loss: 5.4201 0.0999 sec/batch\n", "Nearest to for: hoffman, rogue, jehoiakim, montinari, aldington, silos, explains, ilayaraja,\n", "Nearest to would: louisiane, lampoon, albertina, bottle, olin, allahabad, disobey, tcl,\n", "Nearest to known: homicide, intervening, tori, satrapies, mated, rtgs, lodbrok, assistants,\n", "Nearest to used: contributing, brazil, institutionalization, ceilings, breed, gilchrist, superstitious, hawat,\n", "Nearest to at: squaresoft, taya, buffalo, ferraris, poststructuralism, osiris, bathory, fina,\n", "Nearest to such: expellees, wanderer, monopolistic, seldom, nanda, imperii, portnoy, heseltine,\n", "Nearest to called: ramp, philology, lacklustre, stoner, purification, nuisances, implementing, vegetative,\n", "Nearest to when: benguela, edinburgh, sul, tze, konkani, fo, gigue, iranic,\n", "Nearest to taking: leopards, arlene, disembodied, maharishi, offal, krulak, sidgwick, rational,\n", "Nearest to consists: lippe, karaca, anthropic, gramophone, squids, cbd, buildup, detox,\n", "Nearest to scale: exposed, shrek, allude, chappell, foretells, childe, sheltered, escola,\n", "Nearest to units: experimenter, lawn, fortieth, jagdish, mileposts, summit, danse, decorations,\n", "Nearest to ice: pediment, witnessing, staining, plasmodium, habibie, riggs, detection, reconstruction,\n", "Nearest to instance: caesarean, healthy, wong, resize, corals, movers, attitudes, buena,\n", "Nearest to channel: creditors, tritium, bouchard, mastercard, gli, dray, stringy, frees,\n", "Nearest to report: conscious, hellas, candlestick, midwinter, presidents, girls, bathyscaphe, haryana,\n", "Epoch 1/10 Iteration: 1100 Avg. Training loss: 5.4772 0.1044 sec/batch\n", "Epoch 1/10 Iteration: 1200 Avg. Training loss: 5.4192 0.1002 sec/batch\n", "Epoch 1/10 Iteration: 1300 Avg. Training loss: 5.3636 0.1020 sec/batch\n", "Epoch 1/10 Iteration: 1400 Avg. Training loss: 5.2318 0.1000 sec/batch\n", "Epoch 1/10 Iteration: 1500 Avg. Training loss: 5.1699 0.0994 sec/batch\n", "Epoch 1/10 Iteration: 1600 Avg. Training loss: 5.1744 0.0986 sec/batch\n", "Epoch 1/10 Iteration: 1700 Avg. Training loss: 5.1248 0.1007 sec/batch\n", "Epoch 1/10 Iteration: 1800 Avg. Training loss: 5.0379 0.1045 sec/batch\n", "Epoch 1/10 Iteration: 1900 Avg. Training loss: 4.9862 0.0994 sec/batch\n", "Epoch 1/10 Iteration: 2000 Avg. Training loss: 4.9961 0.0995 sec/batch\n", "Nearest to for: hoffman, rogue, explains, cited, dod, listed, census, oxford,\n", "Nearest to would: louisiane, still, bottle, nyquist, lampoon, introduced, disobey, feet,\n", "Nearest to known: homicide, intervening, tori, assistants, lodbrok, mated, millions, justified,\n", "Nearest to used: contributing, ceilings, institutionalization, brazil, pre, question, superstitious, incorporates,\n", "Nearest to at: squaresoft, help, taya, good, degree, their, melody, ferraris,\n", "Nearest to such: school, seldom, noise, distances, desired, wanderer, heseltine, next,\n", "Nearest to called: purification, implementing, industry, ramp, stoner, philology, cost, vegetative,\n", "Nearest to when: edinburgh, tze, preservation, sul, five, order, benguela, fo,\n", "Nearest to taking: rational, death, disembodied, countless, krulak, quaternions, carpal, audited,\n", "Nearest to consists: gramophone, karaca, whigs, squids, brighton, anthropic, heterosexuals, increase,\n", "Nearest to scale: exposed, formation, shrek, full, childe, sheltered, aggregated, speciation,\n", "Nearest to units: summit, begins, independent, dod, asserted, appoint, lawn, experimenter,\n", "Nearest to ice: pediment, witnessing, reconstruction, habibie, aiding, riggs, inflammable, detection,\n", "Nearest to instance: healthy, wong, census, attitudes, believed, buena, corals, husband,\n", "Nearest to channel: creditors, tritium, mastercard, bouchard, frees, stringy, bypassing, nietzsche,\n", "Nearest to report: conscious, presidents, hellas, but, girls, cooper, lineage, publishing,\n", "Epoch 1/10 Iteration: 2100 Avg. Training loss: 4.9267 0.0995 sec/batch\n", "Epoch 1/10 Iteration: 2200 Avg. Training loss: 4.9097 0.1014 sec/batch\n", "Epoch 1/10 Iteration: 2300 Avg. Training loss: 4.8684 0.1004 sec/batch\n", "Epoch 1/10 Iteration: 2400 Avg. Training loss: 4.8427 0.1060 sec/batch\n", "Epoch 1/10 Iteration: 2500 Avg. Training loss: 4.8111 0.1087 sec/batch\n", "Epoch 1/10 Iteration: 2600 Avg. Training loss: 4.8307 0.1029 sec/batch\n", "Epoch 1/10 Iteration: 2700 Avg. Training loss: 4.7947 0.1068 sec/batch\n", "Epoch 1/10 Iteration: 2800 Avg. Training loss: 4.8068 0.1025 sec/batch\n", "Epoch 1/10 Iteration: 2900 Avg. Training loss: 4.7837 0.1026 sec/batch\n", "Epoch 1/10 Iteration: 3000 Avg. Training loss: 4.7842 0.1076 sec/batch\n", "Nearest to for: hoffman, rogue, searchable, housed, cited, explains, dod, silos,\n", "Nearest to would: louisiane, still, concentrate, lampoon, disobey, nyquist, bottle, kaiju,\n", "Nearest to known: homicide, intervening, tori, millions, justified, mated, lodbrok, satrapies,\n", "Nearest to used: contributing, ceilings, brazil, institutionalization, breed, superstitious, incorporates, tends,\n", "Nearest to at: squaresoft, melody, ferraris, buffalo, competed, emi, taya, kids,\n", "Nearest to such: seldom, desired, school, noise, distances, wanderer, rays, unions,\n", "Nearest to called: ramp, philology, implementing, purification, industry, lacklustre, stoner, strategic,\n", "Nearest to when: edinburgh, attractive, preservation, fo, sul, itv, tze, scotland,\n", "Nearest to taking: rational, disembodied, india, death, arlene, exercised, quaternions, countless,\n", "Nearest to consists: gramophone, karaca, anthropic, brighton, buildup, whigs, squids, fascist,\n", "Nearest to scale: exposed, formation, coral, curved, childe, chappell, unusable, shrek,\n", "Nearest to units: lawn, summit, appoint, begins, dod, laid, independent, experimenter,\n", "Nearest to ice: witnessing, reconstruction, detection, pediment, aiding, inflammable, drugs, habibie,\n", "Nearest to instance: healthy, wong, buena, census, attitudes, implementations, caesarean, corals,\n", "Nearest to channel: creditors, tritium, mastercard, bouchard, frees, bypassing, nietzsche, dray,\n", "Nearest to report: conscious, presidents, hellas, cooper, ts, girls, isomorphism, credibility,\n", "Epoch 1/10 Iteration: 3100 Avg. Training loss: 4.7704 0.1056 sec/batch\n", "Epoch 1/10 Iteration: 3200 Avg. Training loss: 4.7655 0.1045 sec/batch\n", "Epoch 1/10 Iteration: 3300 Avg. Training loss: 4.7184 0.1032 sec/batch\n", "Epoch 1/10 Iteration: 3400 Avg. Training loss: 4.7202 0.1049 sec/batch\n", "Epoch 1/10 Iteration: 3500 Avg. Training loss: 4.7368 0.1028 sec/batch\n", "Epoch 1/10 Iteration: 3600 Avg. Training loss: 4.7046 0.1022 sec/batch\n", "Epoch 1/10 Iteration: 3700 Avg. Training loss: 4.6942 0.1021 sec/batch\n", "Epoch 1/10 Iteration: 3800 Avg. Training loss: 4.7397 0.1023 sec/batch\n", "Epoch 1/10 Iteration: 3900 Avg. Training loss: 4.7120 0.1021 sec/batch\n", "Epoch 1/10 Iteration: 4000 Avg. Training loss: 4.6501 0.1022 sec/batch\n", "Nearest to for: hoffman, rogue, searchable, housed, silos, cited, dod, jehoiakim,\n", "Nearest to would: louisiane, lampoon, concentrate, disobey, nyquist, still, albertina, bottle,\n", "Nearest to known: homicide, mated, tori, intervening, justified, satrapies, millions, lodbrok,\n", "Nearest to used: ceilings, contributing, institutionalization, brazil, breed, gilchrist, hawat, superstitious,\n", "Nearest to at: squaresoft, emi, buffalo, melody, worded, polls, competed, lander,\n", "Nearest to such: desired, seldom, distances, wanderer, noise, license, expellees, heseltine,\n", "Nearest to called: ramp, philology, implementing, purification, lacklustre, vegetative, industry, intimidated,\n", "Nearest to when: edinburgh, sul, preservation, fo, attractive, tze, launchers, benguela,\n", "Nearest to taking: leopards, maharishi, india, rational, forge, concordat, arlene, disembodied,\n", "Nearest to consists: gramophone, buildup, karaca, coronets, brighton, terminals, efficiencies, anthropic,\n", "Nearest to scale: exposed, chappell, childe, formation, allude, sheltered, embroiled, unusable,\n", "Nearest to units: lawn, experimenter, summit, typewriter, fortieth, torsion, independent, jagdish,\n", "Nearest to ice: witnessing, reconstruction, detection, pediment, habibie, aiding, pyotr, inflammable,\n", "Nearest to instance: healthy, wong, attitudes, resize, buena, implementations, synapses, census,\n", "Nearest to channel: creditors, tritium, mastercard, odor, frees, bouchard, dray, speculators,\n", "Nearest to report: conscious, candlestick, hellas, presidents, haight, credibility, cooper, isomorphism,\n", "Epoch 1/10 Iteration: 4100 Avg. Training loss: 4.6614 0.1032 sec/batch\n", "Epoch 1/10 Iteration: 4200 Avg. Training loss: 4.6734 0.1022 sec/batch\n", "Epoch 1/10 Iteration: 4300 Avg. Training loss: 4.6329 0.1024 sec/batch\n", "Epoch 1/10 Iteration: 4400 Avg. Training loss: 4.6284 0.1037 sec/batch\n", "Epoch 1/10 Iteration: 4500 Avg. Training loss: 4.6296 0.1047 sec/batch\n", "Epoch 1/10 Iteration: 4600 Avg. Training loss: 4.6149 0.1042 sec/batch\n", "Epoch 2/10 Iteration: 4700 Avg. Training loss: 4.5956 0.0812 sec/batch\n", "Epoch 2/10 Iteration: 4800 Avg. Training loss: 4.5381 0.1114 sec/batch\n", "Epoch 2/10 Iteration: 4900 Avg. Training loss: 4.5008 0.1046 sec/batch\n", "Epoch 2/10 Iteration: 5000 Avg. Training loss: 4.5004 0.1017 sec/batch\n", "Nearest to for: hoffman, rogue, searchable, housed, cited, explains, appropriately, silos,\n", "Nearest to would: lampoon, concentrate, disobey, nyquist, louisiane, albertina, still, bottle,\n", "Nearest to known: homicide, mated, assistants, satrapies, justified, tori, uppercase, rtgs,\n", "Nearest to used: ceilings, contributing, institutionalization, gilchrist, mollusks, breed, hawat, tends,\n", "Nearest to at: squaresoft, taya, emi, melody, buffalo, lander, awarding, polls,\n", "Nearest to such: desired, noise, distances, seldom, license, heseltine, expellees, plosives,\n", "Nearest to called: ramp, philology, lacklustre, purification, implementing, vegetative, bakunin, intimidated,\n", "Nearest to when: edinburgh, attractive, preservation, fo, sul, tze, launchers, ragga,\n", "Nearest to taking: leopards, arlene, rational, sidgwick, concordat, india, maharishi, representational,\n", "Nearest to consists: gramophone, efficiencies, karaca, buildup, coronets, coasts, terminals, anthropic,\n", "Nearest to scale: exposed, chappell, allude, formation, childe, fuse, aggregated, curved,\n", "Nearest to units: torsion, lawn, fortieth, experimenter, typewriter, overlordship, jagdish, latest,\n", "Nearest to ice: reconstruction, witnessing, detection, plasmodium, pinstripes, habibie, pediment, pyotr,\n", "Nearest to instance: healthy, resize, synapses, attitudes, lenses, wong, implementations, corals,\n", "Nearest to channel: tritium, creditors, mastercard, speculators, gli, dray, bouchard, frees,\n", "Nearest to report: candlestick, conscious, haight, hellas, presidents, leaped, credibility, cooper,\n", "Epoch 2/10 Iteration: 5100 Avg. Training loss: 4.5328 0.1027 sec/batch\n", "Epoch 2/10 Iteration: 5200 Avg. Training loss: 4.4976 0.1024 sec/batch\n", "Epoch 2/10 Iteration: 5300 Avg. Training loss: 4.4784 0.1023 sec/batch\n", "Epoch 2/10 Iteration: 5400 Avg. Training loss: 4.5429 0.1024 sec/batch\n", "Epoch 2/10 Iteration: 5500 Avg. Training loss: 4.5072 0.1021 sec/batch\n", "Epoch 2/10 Iteration: 5600 Avg. Training loss: 4.4743 0.1062 sec/batch\n", "Epoch 2/10 Iteration: 5700 Avg. Training loss: 4.4699 0.1040 sec/batch\n", "Epoch 2/10 Iteration: 5800 Avg. Training loss: 4.3911 0.1088 sec/batch\n", "Epoch 2/10 Iteration: 5900 Avg. Training loss: 4.4513 0.1101 sec/batch\n", "Epoch 2/10 Iteration: 6000 Avg. Training loss: 4.4301 0.1096 sec/batch\n", "Nearest to for: rogue, hoffman, searchable, appropriately, cited, meats, silos, housed,\n", "Nearest to would: disobey, nyquist, concentrate, lampoon, louisiane, whyte, still, albertina,\n", "Nearest to known: homicide, mated, satrapies, rtgs, justified, tori, ctor, millions,\n", "Nearest to used: ceilings, contributing, mollusks, institutionalization, hawat, user, breed, weight,\n", "Nearest to at: squaresoft, taya, emi, awarding, buffalo, melody, lander, polls,\n", "Nearest to such: desired, license, seldom, distances, noise, heseltine, plosives, consumers,\n", "Nearest to called: ramp, vegetative, lacklustre, philology, implementing, bakunin, supersessionism, purification,\n", "Nearest to when: edinburgh, fo, attractive, ragga, preservation, tze, be, benguela,\n", "Nearest to taking: leopards, arlene, rational, sidgwick, concordat, bhagavan, vicar, applause,\n", "Nearest to consists: efficiencies, gramophone, karaca, buildup, coasts, coronets, cbd, terminals,\n", "Nearest to scale: exposed, chappell, formation, allude, childe, curved, fuse, coral,\n", "Nearest to units: torsion, typewriter, fortieth, lawn, latest, experimenter, torrens, arched,\n", "Nearest to ice: reconstruction, detection, plasmodium, witnessing, staining, soils, pediment, habibie,\n", "Nearest to instance: healthy, resize, synapses, implementations, lenses, attitudes, spreads, what,\n", "Nearest to channel: tritium, speculators, creditors, dray, restructured, mastercard, gli, frees,\n", "Nearest to report: candlestick, haight, conscious, leaped, credibility, presidents, hellas, standish,\n", "Epoch 2/10 Iteration: 6100 Avg. Training loss: 4.4451 0.1131 sec/batch\n", "Epoch 2/10 Iteration: 6200 Avg. Training loss: 4.4053 0.1095 sec/batch\n", "Epoch 2/10 Iteration: 6300 Avg. Training loss: 4.4466 0.1095 sec/batch\n", "Epoch 2/10 Iteration: 6400 Avg. Training loss: 4.4000 0.1088 sec/batch\n", "Epoch 2/10 Iteration: 6500 Avg. Training loss: 4.4273 0.1082 sec/batch\n", "Epoch 2/10 Iteration: 6600 Avg. Training loss: 4.4487 0.1098 sec/batch\n", "Epoch 2/10 Iteration: 6700 Avg. Training loss: 4.3700 0.1094 sec/batch\n", "Epoch 2/10 Iteration: 6800 Avg. Training loss: 4.3856 0.1091 sec/batch\n", "Epoch 2/10 Iteration: 6900 Avg. Training loss: 4.4200 0.1091 sec/batch\n", "Epoch 2/10 Iteration: 7000 Avg. Training loss: 4.3654 0.1083 sec/batch\n", "Nearest to for: hoffman, rogue, searchable, cited, appropriately, silos, caller, jehoiakim,\n", "Nearest to would: disobey, nyquist, lampoon, concentrate, louisiane, whyte, still, olin,\n", "Nearest to known: mated, homicide, satrapies, tori, rtgs, assistants, grady, oak,\n", "Nearest to used: ceilings, mollusks, institutionalization, contributing, user, breed, gilchrist, negating,\n", "Nearest to at: squaresoft, taya, emi, awarding, room, bathory, berke, melody,\n", "Nearest to such: desired, license, noise, seldom, plosives, distances, itself, techniques,\n", "Nearest to called: ramp, vegetative, bakunin, lacklustre, philology, supersessionism, intimidated, sealand,\n", "Nearest to when: edinburgh, ragga, attractive, benguela, be, fo, preservation, launchers,\n", "Nearest to taking: leopards, rational, arlene, concordat, sidgwick, bhagavan, vicar, tents,\n", "Nearest to consists: karaca, gramophone, coasts, efficiencies, cbd, buildup, anthropic, eee,\n", "Nearest to scale: exposed, chappell, formation, childe, speciation, allude, curved, coral,\n", "Nearest to units: torsion, typewriter, fortieth, force, experimenter, arched, latest, teletype,\n", "Nearest to ice: reconstruction, detection, plasmodium, staining, soils, witnessing, pediment, robotics,\n", "Nearest to instance: synapses, resize, healthy, implementations, lenses, attitudes, spreads, krugerrand,\n", "Nearest to channel: tritium, speculators, creditors, curler, mastercard, restructured, dray, almohades,\n", "Nearest to report: candlestick, presidents, haight, leaped, conscious, standish, credibility, tillman,\n", "Epoch 2/10 Iteration: 7100 Avg. Training loss: 4.3969 0.1102 sec/batch\n", "Epoch 2/10 Iteration: 7200 Avg. Training loss: 4.3768 0.1086 sec/batch\n", "Epoch 2/10 Iteration: 7300 Avg. Training loss: 4.3602 0.1087 sec/batch\n", "Epoch 2/10 Iteration: 7400 Avg. Training loss: 4.3689 0.1125 sec/batch\n", "Epoch 2/10 Iteration: 7500 Avg. Training loss: 4.4073 0.1099 sec/batch\n", "Epoch 2/10 Iteration: 7600 Avg. Training loss: 4.3354 0.1114 sec/batch\n", "Epoch 2/10 Iteration: 7700 Avg. Training loss: 4.3640 0.1068 sec/batch\n", "Epoch 2/10 Iteration: 7800 Avg. Training loss: 4.3759 0.1094 sec/batch\n", "Epoch 2/10 Iteration: 7900 Avg. Training loss: 4.3205 0.1064 sec/batch\n", "Epoch 2/10 Iteration: 8000 Avg. Training loss: 4.3363 0.1084 sec/batch\n", "Nearest to for: hoffman, rogue, silos, searchable, housed, entities, appropriately, jehoiakim,\n", "Nearest to would: disobey, nyquist, lampoon, louisiane, zubaydah, habilis, concentrate, despaired,\n", "Nearest to known: satrapies, mated, oak, homicide, demographically, justified, conglomerates, uppercase,\n", "Nearest to used: ceilings, mollusks, institutionalization, gilchrist, bp, negating, nazca, contributing,\n", "Nearest to at: emi, awarding, taya, bathory, squaresoft, sharps, motivates, room,\n", "Nearest to such: desired, license, seldom, plosives, noise, assumes, techniques, furtherance,\n", "Nearest to called: ramp, vegetative, bakunin, lacklustre, reintroduce, philology, purification, supersessionism,\n", "Nearest to when: edinburgh, ragga, refuse, attractive, be, benguela, tze, fo,\n", "Nearest to taking: leopards, rational, concordat, sidgwick, arlene, anoxic, bhagavan, vicar,\n", "Nearest to consists: karaca, cbd, coasts, gramophone, brighton, eee, circumcising, efficiencies,\n", "Nearest to scale: exposed, chappell, formation, speciation, curved, allude, childe, coral,\n", "Nearest to units: torsion, fortieth, typewriter, force, arched, experimenter, latest, torrens,\n", "Nearest to ice: soils, plasmodium, reconstruction, staining, detection, golem, hartsfield, witnessing,\n", "Nearest to instance: synapses, resize, healthy, lenses, implementations, illogical, krugerrand, attitudes,\n", "Nearest to channel: speculators, tritium, curler, creditors, mastercard, restructured, almohades, odor,\n", "Nearest to report: haight, candlestick, presidents, leaped, corte, conscious, tillman, standish,\n", "Epoch 2/10 Iteration: 8100 Avg. Training loss: 4.3422 0.1105 sec/batch\n", "Epoch 2/10 Iteration: 8200 Avg. Training loss: 4.2877 0.1093 sec/batch\n", "Epoch 2/10 Iteration: 8300 Avg. Training loss: 4.3619 0.1113 sec/batch\n", "Epoch 2/10 Iteration: 8400 Avg. Training loss: 4.3875 0.1123 sec/batch\n", "Epoch 2/10 Iteration: 8500 Avg. Training loss: 4.3750 0.1136 sec/batch\n", "Epoch 2/10 Iteration: 8600 Avg. Training loss: 4.2679 0.1082 sec/batch\n", "Epoch 2/10 Iteration: 8700 Avg. Training loss: 4.3009 0.1120 sec/batch\n", "Epoch 2/10 Iteration: 8800 Avg. Training loss: 4.3798 0.1139 sec/batch\n", "Epoch 2/10 Iteration: 8900 Avg. Training loss: 4.2172 0.1133 sec/batch\n", "Epoch 2/10 Iteration: 9000 Avg. Training loss: 4.2966 0.1099 sec/batch\n", "Nearest to for: hoffman, rogue, searchable, silos, serrated, appropriately, emeryville, jehoiakim,\n", "Nearest to would: disobey, nyquist, habilis, whyte, zubaydah, despaired, replied, concentrate,\n", "Nearest to known: mated, satrapies, rtgs, uppercase, oak, homicide, demographically, very,\n", "Nearest to used: ceilings, mollusks, bp, comprehensible, institutionalization, gilchrist, nazca, negating,\n", "Nearest to at: emi, taya, bathory, squaresoft, awarding, motivates, room, summer,\n", "Nearest to such: desired, license, heseltine, furtherance, seldom, techniques, monopolistic, plosives,\n", "Nearest to called: ramp, vegetative, lacklustre, bakunin, philology, purification, supersessionism, reintroduce,\n", "Nearest to when: edinburgh, ragga, be, refuse, benguela, attractive, tze, bursa,\n", "Nearest to taking: leopards, rational, concordat, sidgwick, bhagavan, go, arlene, garis,\n", "Nearest to consists: eee, karaca, cbd, efficiencies, coasts, brighton, coronets, circumcising,\n", "Nearest to scale: exposed, chappell, formation, allude, curved, speciation, fuse, coral,\n", "Nearest to units: torsion, fortieth, typewriter, force, torrens, arched, teletype, experimenter,\n", "Nearest to ice: soils, plasmodium, reconstruction, staining, golem, detection, hartsfield, pyotr,\n", "Nearest to instance: synapses, resize, healthy, lenses, krugerrand, illogical, implementations, spreads,\n", "Nearest to channel: tritium, speculators, curler, mastercard, restructured, creditors, almohades, dray,\n", "Nearest to report: haight, leaped, candlestick, presidents, standish, corte, conscious, credibility,\n", "Epoch 2/10 Iteration: 9100 Avg. Training loss: 4.3073 0.1099 sec/batch\n", "Epoch 2/10 Iteration: 9200 Avg. Training loss: 4.3067 0.1088 sec/batch\n", "Epoch 3/10 Iteration: 9300 Avg. Training loss: 4.3305 0.0503 sec/batch\n", "Epoch 3/10 Iteration: 9400 Avg. Training loss: 4.2538 0.1096 sec/batch\n", "Epoch 3/10 Iteration: 9500 Avg. Training loss: 4.2195 0.1093 sec/batch\n", "Epoch 3/10 Iteration: 9600 Avg. Training loss: 4.2297 0.1091 sec/batch\n", "Epoch 3/10 Iteration: 9700 Avg. Training loss: 4.2225 0.1116 sec/batch\n", "Epoch 3/10 Iteration: 9800 Avg. Training loss: 4.2412 0.1091 sec/batch\n", "Epoch 3/10 Iteration: 9900 Avg. Training loss: 4.2439 0.1091 sec/batch\n", "Epoch 3/10 Iteration: 10000 Avg. Training loss: 4.1912 0.1096 sec/batch\n", "Nearest to for: rogue, hoffman, searchable, silos, caller, converged, appropriately, pokey,\n", "Nearest to would: disobey, nyquist, whyte, habilis, zubaydah, concentrate, lampoon, weaponry,\n", "Nearest to known: mated, rtgs, conglomerates, demographically, oak, uppercase, satrapies, assistants,\n", "Nearest to used: ceilings, mollusks, bp, negating, comprehensible, institutionalization, cages, bleaches,\n", "Nearest to at: emi, taya, bathory, awarding, room, summer, squaresoft, sharps,\n", "Nearest to such: license, desired, heseltine, plosives, afips, furtherance, expellees, techniques,\n", "Nearest to called: ramp, bakunin, philology, vegetative, lacklustre, supersessionism, purification, reintroduce,\n", "Nearest to when: edinburgh, ragga, refuse, benguela, attractive, remove, be, falklands,\n", "Nearest to taking: leopards, rational, concordat, go, sidgwick, garis, bhagavan, applause,\n", "Nearest to consists: eee, cbd, coasts, efficiencies, karaca, brighton, coronets, located,\n", "Nearest to scale: exposed, chappell, coral, allude, curved, formation, fuse, speciation,\n", "Nearest to units: torsion, fortieth, force, typewriter, teletype, torrens, pucker, arched,\n", "Nearest to ice: soils, plasmodium, staining, reconstruction, detection, golem, pyotr, pinstripes,\n", "Nearest to instance: resize, synapses, healthy, lenses, krugerrand, illogical, attitudes, caesarean,\n", "Nearest to channel: speculators, tritium, curler, mastercard, restructured, creditors, bypassing, almohades,\n", "Nearest to report: candlestick, standish, credibility, haight, leaped, presidents, conscious, corte,\n", "Epoch 3/10 Iteration: 10100 Avg. Training loss: 4.2465 0.1103 sec/batch\n", "Epoch 3/10 Iteration: 10200 Avg. Training loss: 4.2411 0.1091 sec/batch\n", "Epoch 3/10 Iteration: 10300 Avg. Training loss: 4.2232 0.1098 sec/batch\n", "Epoch 3/10 Iteration: 10400 Avg. Training loss: 4.1565 0.1094 sec/batch\n", "Epoch 3/10 Iteration: 10500 Avg. Training loss: 4.1659 0.1097 sec/batch\n", "Epoch 3/10 Iteration: 10600 Avg. Training loss: 4.1560 0.1100 sec/batch\n", "Epoch 3/10 Iteration: 10700 Avg. Training loss: 4.1616 0.1101 sec/batch\n", "Epoch 3/10 Iteration: 10800 Avg. Training loss: 4.1829 0.1101 sec/batch\n", "Epoch 3/10 Iteration: 10900 Avg. Training loss: 4.1989 0.1096 sec/batch\n", "Epoch 3/10 Iteration: 11000 Avg. Training loss: 4.1676 0.1097 sec/batch\n", "Nearest to for: hoffman, rogue, searchable, caller, silos, appropriately, typeface, converged,\n", "Nearest to would: disobey, nyquist, whyte, weaponry, habilis, zubaydah, concentrate, despaired,\n", "Nearest to known: rtgs, demographically, mated, satrapies, very, conical, usability, uppercase,\n", "Nearest to used: ceilings, mollusks, negating, bp, institutionalization, grams, cages, painstaking,\n", "Nearest to at: emi, taya, awarding, room, squaresoft, sharps, bathory, italia,\n", "Nearest to such: license, desired, plosives, techniques, heseltine, undercurrent, imperii, procedure,\n", "Nearest to called: vegetative, ramp, supersessionism, bakunin, sealand, philology, purification, reintroduce,\n", "Nearest to when: ragga, edinburgh, attractive, refuse, be, benguela, remove, falklands,\n", "Nearest to taking: leopards, rational, go, concordat, garis, sidgwick, carpal, anoxic,\n", "Nearest to consists: eee, cbd, coasts, located, condorcet, circumcising, gramophone, brighton,\n", "Nearest to scale: exposed, chappell, fuse, childe, curved, allude, formation, speciation,\n", "Nearest to units: torsion, force, fortieth, typewriter, teletype, latest, unit, prefixes,\n", "Nearest to ice: soils, plasmodium, staining, detection, reconstruction, pinstripes, fracture, golem,\n", "Nearest to instance: resize, synapses, lenses, implementations, healthy, illogical, oscillators, krugerrand,\n", "Nearest to channel: curler, speculators, tritium, restructured, creditors, bypassing, mastercard, dray,\n", "Nearest to report: credibility, presidents, candlestick, standish, leaped, haight, corte, conscious,\n", "Epoch 3/10 Iteration: 11100 Avg. Training loss: 4.1830 0.1103 sec/batch\n", "Epoch 3/10 Iteration: 11200 Avg. Training loss: 4.2133 0.1089 sec/batch\n", "Epoch 3/10 Iteration: 11300 Avg. Training loss: 4.1865 0.1096 sec/batch\n", "Epoch 3/10 Iteration: 11400 Avg. Training loss: 4.1479 0.1090 sec/batch\n", "Epoch 3/10 Iteration: 11500 Avg. Training loss: 4.2011 0.1093 sec/batch\n", "Epoch 3/10 Iteration: 11600 Avg. Training loss: 4.1720 0.1095 sec/batch\n", "Epoch 3/10 Iteration: 11700 Avg. Training loss: 4.2111 0.1095 sec/batch\n", "Epoch 3/10 Iteration: 11800 Avg. Training loss: 4.1659 0.1095 sec/batch\n", "Epoch 3/10 Iteration: 11900 Avg. Training loss: 4.1315 0.1091 sec/batch\n", "Epoch 3/10 Iteration: 12000 Avg. Training loss: 4.1508 0.1092 sec/batch\n", "Nearest to for: hoffman, rogue, given, searchable, silos, census, converged, caller,\n", "Nearest to would: disobey, habilis, nyquist, zubaydah, whyte, despaired, weaponry, preeminence,\n", "Nearest to known: rtgs, mated, satrapies, uppercase, usability, conical, very, oak,\n", "Nearest to used: ceilings, mollusks, bp, negating, institutionalization, decorator, supplementation, cirth,\n", "Nearest to at: emi, taya, awarding, habr, squaresoft, sharps, coronets, dini,\n", "Nearest to such: desired, techniques, plosives, license, pollutant, procedure, unfair, lysenkoism,\n", "Nearest to called: ramp, vegetative, supersessionism, bakunin, philology, sealand, reintroduce, denunciations,\n", "Nearest to when: ragga, edinburgh, attractive, be, refuse, benguela, bush, remove,\n", "Nearest to taking: leopards, rational, concordat, sidgwick, arlene, garis, carpal, anoxic,\n", "Nearest to consists: eee, cbd, coasts, gramophone, located, morisot, condorcet, brighton,\n", "Nearest to scale: exposed, chappell, curved, allude, formation, fuse, speciation, childe,\n", "Nearest to units: force, torsion, fortieth, typewriter, teletype, unit, prefixes, pucker,\n", "Nearest to ice: soils, staining, plasmodium, fracture, pinstripes, reconstruction, pyotr, louth,\n", "Nearest to instance: resize, lenses, synapses, implementations, illogical, healthy, krugerrand, oscillators,\n", "Nearest to channel: curler, tritium, speculators, restructured, mastercard, creditors, bypassing, almohades,\n", "Nearest to report: credibility, presidents, standish, candlestick, leaped, annotated, haight, serviced,\n", "Epoch 3/10 Iteration: 12100 Avg. Training loss: 4.1912 0.1103 sec/batch\n", "Epoch 3/10 Iteration: 12200 Avg. Training loss: 4.1658 0.1091 sec/batch\n", "Epoch 3/10 Iteration: 12300 Avg. Training loss: 4.1775 0.1089 sec/batch\n", "Epoch 3/10 Iteration: 12400 Avg. Training loss: 4.1726 0.1093 sec/batch\n", "Epoch 3/10 Iteration: 12500 Avg. Training loss: 4.1599 0.1099 sec/batch\n", "Epoch 3/10 Iteration: 12600 Avg. Training loss: 4.1498 0.1099 sec/batch\n", "Epoch 3/10 Iteration: 12700 Avg. Training loss: 4.1615 0.1097 sec/batch\n", "Epoch 3/10 Iteration: 12800 Avg. Training loss: 4.1188 0.1095 sec/batch\n", "Epoch 3/10 Iteration: 12900 Avg. Training loss: 4.1679 0.1098 sec/batch\n", "Epoch 3/10 Iteration: 13000 Avg. Training loss: 4.2005 0.1100 sec/batch\n", "Nearest to for: hoffman, rogue, emeryville, census, given, scriptwriter, searchable, converged,\n", "Nearest to would: disobey, habilis, despaired, zubaydah, amontillado, preeminence, whyte, replied,\n", "Nearest to known: satrapies, mated, rtgs, oak, grady, tori, demographically, usability,\n", "Nearest to used: ceilings, bp, negating, cirth, decorator, supplementation, comprehensible, hyphen,\n", "Nearest to at: emi, taya, italia, habr, bathory, dini, nde, awarding,\n", "Nearest to such: desired, unfair, expellees, eudicots, actus, nanda, plosives, license,\n", "Nearest to called: supersessionism, bakunin, reintroduce, excommunicating, faithless, denunciations, ramp, vegetative,\n", "Nearest to when: edinburgh, ragga, refuse, attractive, bush, be, benguela, convinced,\n", "Nearest to taking: leopards, rational, sidgwick, concordat, go, garis, anoxic, arlene,\n", "Nearest to consists: eee, cbd, condorcet, located, coasts, brighton, morisot, circumcising,\n", "Nearest to scale: exposed, chappell, allude, curved, fuse, speciation, hashes, sheltered,\n", "Nearest to units: force, torsion, fortieth, typewriter, teletype, unit, pucker, prefixes,\n", "Nearest to ice: staining, plasmodium, soils, pinstripes, pyotr, fracture, louth, golem,\n", "Nearest to instance: resize, synapses, lenses, illogical, implementations, unappreciated, healthy, krugerrand,\n", "Nearest to channel: curler, tritium, restructured, speculators, creditors, mastercard, bypassing, dray,\n", "Nearest to report: presidents, credibility, leaped, standish, candlestick, focusing, haight, corte,\n", "Epoch 3/10 Iteration: 13100 Avg. Training loss: 4.2402 0.1103 sec/batch\n", "Epoch 3/10 Iteration: 13200 Avg. Training loss: 4.1416 0.1096 sec/batch\n", "Epoch 3/10 Iteration: 13300 Avg. Training loss: 4.1287 0.1098 sec/batch\n", "Epoch 3/10 Iteration: 13400 Avg. Training loss: 4.1439 0.1095 sec/batch\n", "Epoch 3/10 Iteration: 13500 Avg. Training loss: 4.0455 0.1098 sec/batch\n", "Epoch 3/10 Iteration: 13600 Avg. Training loss: 4.1497 0.1102 sec/batch\n", "Epoch 3/10 Iteration: 13700 Avg. Training loss: 4.1528 0.1098 sec/batch\n", "Epoch 3/10 Iteration: 13800 Avg. Training loss: 4.1375 0.1094 sec/batch\n", "Epoch 4/10 Iteration: 13900 Avg. Training loss: 4.1982 0.0209 sec/batch\n", "Epoch 4/10 Iteration: 14000 Avg. Training loss: 4.1256 0.1089 sec/batch\n", "Nearest to for: hoffman, rogue, given, converged, searchable, scriptwriter, typeface, emeryville,\n", "Nearest to would: disobey, habilis, nyquist, whyte, zubaydah, busting, amontillado, gimme,\n", "Nearest to known: rtgs, very, perihelion, uppercase, satrapies, usability, fervour, conglomerates,\n", "Nearest to used: ceilings, bp, bleaches, cirth, negating, supplementation, institutionalization, stds,\n", "Nearest to at: emi, taya, travelling, seated, bathory, coronets, breach, awarding,\n", "Nearest to such: license, pollutant, techniques, desired, conceals, actus, procedure, unfair,\n", "Nearest to called: ramp, vegetative, supersessionism, reintroduce, faithless, ripples, sealand, joliot,\n", "Nearest to when: edinburgh, ragga, attractive, bush, refuse, be, benguela, bursa,\n", "Nearest to taking: leopards, rational, sidgwick, garis, anoxic, go, concordat, carpal,\n", "Nearest to consists: eee, cbd, located, brighton, condorcet, chamber, appoints, coasts,\n", "Nearest to scale: exposed, allude, curved, fuse, chappell, mellin, capricornus, gears,\n", "Nearest to units: force, torsion, fortieth, unit, prefixes, typewriter, teletype, pucker,\n", "Nearest to ice: staining, plasmodium, soils, pinstripes, pyotr, louth, hawk, golem,\n", "Nearest to instance: resize, synapses, illogical, lenses, krugerrand, healthy, unappreciated, oscillators,\n", "Nearest to channel: curler, creditors, tritium, dray, restructured, bypassing, mastercard, speculators,\n", "Nearest to report: credibility, presidents, leaped, standish, candlestick, annotated, haight, targeted,\n", "Epoch 4/10 Iteration: 14100 Avg. Training loss: 4.0816 0.1103 sec/batch\n", "Epoch 4/10 Iteration: 14200 Avg. Training loss: 4.1231 0.1090 sec/batch\n", "Epoch 4/10 Iteration: 14300 Avg. Training loss: 4.0923 0.1093 sec/batch\n", "Epoch 4/10 Iteration: 14400 Avg. Training loss: 4.0457 0.1082 sec/batch\n", "Epoch 4/10 Iteration: 14500 Avg. Training loss: 4.0987 0.1090 sec/batch\n", "Epoch 4/10 Iteration: 14600 Avg. Training loss: 4.0307 0.1086 sec/batch\n", "Epoch 4/10 Iteration: 14700 Avg. Training loss: 4.0652 0.1095 sec/batch\n", "Epoch 4/10 Iteration: 14800 Avg. Training loss: 4.0900 0.1090 sec/batch\n", "Epoch 4/10 Iteration: 14900 Avg. Training loss: 4.1109 0.1091 sec/batch\n", "Epoch 4/10 Iteration: 15000 Avg. Training loss: 4.0441 0.1098 sec/batch\n", "Nearest to for: rogue, given, converged, census, autrefois, hoffman, silos, searchable,\n", "Nearest to would: disobey, nyquist, habilis, whyte, gimme, busting, preeminence, amontillado,\n", "Nearest to known: rtgs, oak, usability, very, perihelion, mated, satrapies, fervour,\n", "Nearest to used: ceilings, bp, grams, alliances, pacemakers, stds, epoxy, mollusks,\n", "Nearest to at: emi, seated, travelling, aviators, coronets, taya, italia, awarding,\n", "Nearest to such: desired, license, undercurrent, hinges, pollutant, unfair, techniques, heseltine,\n", "Nearest to called: ramp, vegetative, supersessionism, reintroduce, sealand, denunciations, faithless, purification,\n", "Nearest to when: ragga, edinburgh, attractive, bush, be, refuse, benguela, remove,\n", "Nearest to taking: leopards, rational, garis, sidgwick, concordat, go, nba, anoxic,\n", "Nearest to consists: eee, cbd, located, chamber, coasts, twos, consist, morisot,\n", "Nearest to scale: exposed, allude, curved, capricornus, mellin, fuse, chappell, sheltered,\n", "Nearest to units: force, unit, torsion, fortieth, prefixes, teletype, typewriter, pucker,\n", "Nearest to ice: plasmodium, soils, staining, pinstripes, pyotr, louth, golem, gskola,\n", "Nearest to instance: resize, lenses, illogical, synapses, krugerrand, healthy, unappreciated, caesarean,\n", "Nearest to channel: curler, restructured, bypassing, creditors, dray, tritium, speculators, mastercard,\n", "Nearest to report: credibility, presidents, spirituality, leaped, focusing, standish, annotated, targeted,\n", "Epoch 4/10 Iteration: 15100 Avg. Training loss: 4.0226 0.1103 sec/batch\n", "Epoch 4/10 Iteration: 15200 Avg. Training loss: 4.0229 0.1098 sec/batch\n", "Epoch 4/10 Iteration: 15300 Avg. Training loss: 4.0029 0.1098 sec/batch\n", "Epoch 4/10 Iteration: 15400 Avg. Training loss: 4.0458 0.1080 sec/batch\n", "Epoch 4/10 Iteration: 15500 Avg. Training loss: 4.0678 0.0983 sec/batch\n", "Epoch 4/10 Iteration: 15600 Avg. Training loss: 4.0606 0.1029 sec/batch\n", "Epoch 4/10 Iteration: 15700 Avg. Training loss: 4.0898 0.1005 sec/batch\n", "Epoch 4/10 Iteration: 15800 Avg. Training loss: 4.1047 0.0983 sec/batch\n", "Epoch 4/10 Iteration: 15900 Avg. Training loss: 4.0668 0.1013 sec/batch\n", "Epoch 4/10 Iteration: 16000 Avg. Training loss: 4.0396 0.1101 sec/batch\n", "Nearest to for: given, census, hoffman, rogue, converged, parliamentary, autrefois, tomo,\n", "Nearest to would: disobey, whyte, nyquist, habilis, gimme, despaired, busting, relegated,\n", "Nearest to known: rtgs, banach, pisin, perihelion, oak, satrapies, mated, usability,\n", "Nearest to used: bp, ceilings, grams, cirth, stds, bleaches, pacemakers, primary,\n", "Nearest to at: emi, travelling, degree, taya, dominants, aviators, habr, awarding,\n", "Nearest to such: desired, actus, plosives, lysenkoism, hinges, license, pollutant, conceals,\n", "Nearest to called: supersessionism, reintroduce, denunciations, vegetative, faithless, ramp, core, sealand,\n", "Nearest to when: ragga, edinburgh, attractive, be, refuse, bush, remove, painda,\n", "Nearest to taking: rational, leopards, garis, sidgwick, concordat, go, anoxic, carpal,\n", "Nearest to consists: eee, chamber, cbd, located, consist, morisot, condorcet, coasts,\n", "Nearest to scale: exposed, mellin, allude, capricornus, fuse, childe, visualizing, curved,\n", "Nearest to units: force, unit, fortieth, torsion, prefixes, teletype, typewriter, pucker,\n", "Nearest to ice: plasmodium, staining, soils, pinstripes, louth, fracture, pyotr, detection,\n", "Nearest to instance: resize, synapses, lenses, implementations, unappreciated, illogical, caesarean, oscillators,\n", "Nearest to channel: curler, creditors, bypassing, restructured, mbit, tritium, dray, speculators,\n", "Nearest to report: credibility, presidents, leaped, standish, spirituality, focusing, annotated, candlestick,\n", "Epoch 4/10 Iteration: 16100 Avg. Training loss: 4.0831 0.1100 sec/batch\n", "Epoch 4/10 Iteration: 16200 Avg. Training loss: 4.0817 0.1094 sec/batch\n", "Epoch 4/10 Iteration: 16300 Avg. Training loss: 4.0709 0.1093 sec/batch\n", "Epoch 4/10 Iteration: 16400 Avg. Training loss: 4.0693 0.1013 sec/batch\n", "Epoch 4/10 Iteration: 16500 Avg. Training loss: 4.0710 0.1000 sec/batch\n", "Epoch 4/10 Iteration: 16600 Avg. Training loss: 4.0771 0.1090 sec/batch\n", "Epoch 4/10 Iteration: 16700 Avg. Training loss: 4.0465 0.1083 sec/batch\n", "Epoch 4/10 Iteration: 16800 Avg. Training loss: 4.0753 0.1018 sec/batch\n", "Epoch 4/10 Iteration: 16900 Avg. Training loss: 4.1115 0.1103 sec/batch\n", "Epoch 4/10 Iteration: 17000 Avg. Training loss: 4.0615 0.1194 sec/batch\n", "Nearest to for: given, scriptwriter, census, rogue, emeryville, hoffman, autrefois, converged,\n", "Nearest to would: disobey, nyquist, habilis, whyte, busting, gimme, despaired, maecenas,\n", "Nearest to known: satrapies, fervour, pisin, sixteenth, banach, with, perihelion, oak,\n", "Nearest to used: ceilings, cirth, bp, alliances, stds, grams, machining, hyphen,\n", "Nearest to at: emi, travelling, breach, dominants, taya, dini, bathory, degree,\n", "Nearest to such: plosives, pollutant, desired, hinges, lysenkoism, undercurrent, actus, characterised,\n", "Nearest to called: supersessionism, reintroduce, vegetative, denunciations, faithless, ramp, sealand, purification,\n", "Nearest to when: ragga, edinburgh, attractive, refuse, be, painda, bush, manor,\n", "Nearest to taking: leopards, rational, sidgwick, garis, concordat, templar, anoxic, carpal,\n", "Nearest to consists: eee, chamber, cbd, morisot, consist, located, brighton, trending,\n", "Nearest to scale: exposed, mellin, capricornus, allude, curved, regolith, fuse, speciation,\n", "Nearest to units: force, unit, fortieth, torsion, prefixes, typewriter, teletype, pucker,\n", "Nearest to ice: plasmodium, pinstripes, soils, pyotr, staining, louth, gory, fracture,\n", "Nearest to instance: synapses, lenses, resize, unappreciated, implementations, illogical, placed, oscillators,\n", "Nearest to channel: curler, restructured, creditors, mbit, bypassing, dray, dts, tritium,\n", "Nearest to report: presidents, credibility, annotated, standish, spirituality, leaped, focusing, targeted,\n", "Epoch 4/10 Iteration: 17100 Avg. Training loss: 4.0576 0.1166 sec/batch\n", "Epoch 4/10 Iteration: 17200 Avg. Training loss: 4.0014 0.1178 sec/batch\n", "Epoch 4/10 Iteration: 17300 Avg. Training loss: 4.0085 0.1100 sec/batch\n", "Epoch 4/10 Iteration: 17400 Avg. Training loss: 4.0609 0.1082 sec/batch\n", "Epoch 4/10 Iteration: 17500 Avg. Training loss: 4.0888 0.1111 sec/batch\n", "Epoch 4/10 Iteration: 17600 Avg. Training loss: 4.1041 0.1124 sec/batch\n", "Epoch 4/10 Iteration: 17700 Avg. Training loss: 4.1330 0.1147 sec/batch\n", "Epoch 4/10 Iteration: 17800 Avg. Training loss: 4.0638 0.1094 sec/batch\n", "Epoch 4/10 Iteration: 17900 Avg. Training loss: 4.0446 0.1126 sec/batch\n", "Epoch 4/10 Iteration: 18000 Avg. Training loss: 4.0699 0.1122 sec/batch\n", "Nearest to for: given, scriptwriter, rogue, census, autrefois, emeryville, converged, first,\n", "Nearest to would: disobey, whyte, habilis, nyquist, busting, gimme, relegated, maecenas,\n", "Nearest to known: satrapies, banach, rtgs, perihelion, pisin, quetzal, fervour, with,\n", "Nearest to used: ceilings, cirth, machining, bp, stds, alliances, ido, okinawan,\n", "Nearest to at: emi, travelling, breach, bathory, italia, dominants, dini, taya,\n", "Nearest to such: hinges, cc, actus, plosives, desired, conceals, license, eudicots,\n", "Nearest to called: supersessionism, reintroduce, ramp, faithless, denunciations, sealand, excommunicating, vegetative,\n", "Nearest to when: edinburgh, ragga, attractive, refuse, be, bush, remove, painda,\n", "Nearest to taking: rational, leopards, sidgwick, garis, anoxic, concordat, go, nba,\n", "Nearest to consists: eee, chamber, cbd, appoints, consist, morisot, located, condorcet,\n", "Nearest to scale: exposed, mellin, capricornus, allude, curved, fuse, regolith, speciation,\n", "Nearest to units: unit, force, fortieth, prefixes, torsion, si, typewriter, teletype,\n", "Nearest to ice: pinstripes, soils, louth, pyotr, plasmodium, staining, gory, rink,\n", "Nearest to instance: illogical, resize, lenses, unappreciated, synapses, oscillators, implementations, krugerrand,\n", "Nearest to channel: curler, restructured, dray, creditors, mbit, bypassing, mastercard, tritium,\n", "Nearest to report: presidents, credibility, spirituality, leaped, annotated, standish, focusing, reports,\n", "Epoch 4/10 Iteration: 18100 Avg. Training loss: 3.9760 0.1089 sec/batch\n", "Epoch 4/10 Iteration: 18200 Avg. Training loss: 4.0450 0.1039 sec/batch\n", "Epoch 4/10 Iteration: 18300 Avg. Training loss: 4.0234 0.1026 sec/batch\n", "Epoch 4/10 Iteration: 18400 Avg. Training loss: 4.0367 0.1004 sec/batch\n", "Epoch 4/10 Iteration: 18500 Avg. Training loss: 4.0817 0.1018 sec/batch\n", "Epoch 5/10 Iteration: 18600 Avg. Training loss: 4.0321 0.0936 sec/batch\n", "Epoch 5/10 Iteration: 18700 Avg. Training loss: 4.0089 0.1002 sec/batch\n", "Epoch 5/10 Iteration: 18800 Avg. Training loss: 3.9820 0.1098 sec/batch\n", "Epoch 5/10 Iteration: 18900 Avg. Training loss: 4.0002 0.1016 sec/batch\n", "Epoch 5/10 Iteration: 19000 Avg. Training loss: 3.9676 0.1011 sec/batch\n", "Nearest to for: given, scriptwriter, rogue, census, autrefois, converged, to, emeryville,\n", "Nearest to would: disobey, whyte, habilis, nyquist, maecenas, busting, gimme, relegated,\n", "Nearest to known: perihelion, rtgs, banach, satrapies, pisin, fervour, oak, quetzal,\n", "Nearest to used: ceilings, stds, cirth, machining, bp, alliances, grams, common,\n", "Nearest to at: emi, travelling, dominants, breach, italia, taya, bathory, seated,\n", "Nearest to such: hinges, actus, undercurrent, pollutant, lysenkoism, desired, cc, license,\n", "Nearest to called: supersessionism, reintroduce, keno, faithless, bother, sealand, vegetative, denunciations,\n", "Nearest to when: edinburgh, refuse, attractive, ragga, bush, be, remove, painda,\n", "Nearest to taking: leopards, garis, rational, sidgwick, go, anoxic, nba, boosts,\n", "Nearest to consists: eee, chamber, cbd, consist, located, morisot, twos, appoints,\n", "Nearest to scale: exposed, capricornus, curved, allude, mellin, regolith, fuse, gears,\n", "Nearest to units: unit, fortieth, prefixes, force, torsion, typewriter, si, irl,\n", "Nearest to ice: soils, pinstripes, plasmodium, louth, rink, pyotr, staining, joaquin,\n", "Nearest to instance: illogical, synapses, lenses, resize, krugerrand, healthy, placed, caesarean,\n", "Nearest to channel: curler, restructured, dray, creditors, bypassing, mastercard, wb, mbit,\n", "Nearest to report: credibility, spirituality, presidents, reports, annotated, standish, focusing, leaped,\n", "Epoch 5/10 Iteration: 19100 Avg. Training loss: 3.9968 0.1027 sec/batch\n", "Epoch 5/10 Iteration: 19200 Avg. Training loss: 3.9635 0.1035 sec/batch\n", "Epoch 5/10 Iteration: 19300 Avg. Training loss: 4.0181 0.1107 sec/batch\n", "Epoch 5/10 Iteration: 19400 Avg. Training loss: 4.0267 0.1175 sec/batch\n", "Epoch 5/10 Iteration: 19500 Avg. Training loss: 4.0411 0.1127 sec/batch\n", "Epoch 5/10 Iteration: 19600 Avg. Training loss: 3.9779 0.1149 sec/batch\n", "Epoch 5/10 Iteration: 19700 Avg. Training loss: 3.9253 0.1095 sec/batch\n", "Epoch 5/10 Iteration: 19800 Avg. Training loss: 3.9642 0.1090 sec/batch\n", "Epoch 5/10 Iteration: 19900 Avg. Training loss: 3.9214 0.1154 sec/batch\n", "Epoch 5/10 Iteration: 20000 Avg. Training loss: 3.9692 0.1104 sec/batch\n", "Nearest to for: given, census, to, scriptwriter, first, converged, emeryville, autrefois,\n", "Nearest to would: disobey, relegated, whyte, habilis, nyquist, capitalistic, busting, maecenas,\n", "Nearest to known: rtgs, banach, oak, perihelion, satrapies, with, nbi, hoosiers,\n", "Nearest to used: ceilings, grams, cirth, machining, bp, stds, nazca, epoxy,\n", "Nearest to at: emi, dominants, travelling, the, italia, degree, breach, surrounding,\n", "Nearest to such: undercurrent, actus, cc, hinges, license, lysenkoism, group, techniques,\n", "Nearest to called: supersessionism, vegetative, the, reintroduce, core, bother, denunciations, sealand,\n", "Nearest to when: edinburgh, ragga, attractive, be, refuse, remove, down, itv,\n", "Nearest to taking: leopards, rational, garis, go, anoxic, sidgwick, nba, carpal,\n", "Nearest to consists: eee, chamber, consist, located, cbd, morisot, leblanc, appoints,\n", "Nearest to scale: exposed, mellin, capricornus, allude, fuse, curved, townes, gears,\n", "Nearest to units: unit, force, prefixes, fortieth, torsion, typewriter, si, teletype,\n", "Nearest to ice: plasmodium, pinstripes, louth, soils, pyotr, staining, cools, rink,\n", "Nearest to instance: lenses, resize, placed, synapses, bookstore, illogical, oscillators, unappreciated,\n", "Nearest to channel: curler, restructured, dray, creditors, wb, channels, hearsay, dts,\n", "Nearest to report: credibility, presidents, spirituality, reports, annotated, standish, leaped, timeline,\n", "Epoch 5/10 Iteration: 20100 Avg. Training loss: 3.9983 0.1107 sec/batch\n", "Epoch 5/10 Iteration: 20200 Avg. Training loss: 3.9932 0.1185 sec/batch\n", "Epoch 5/10 Iteration: 20300 Avg. Training loss: 3.9784 0.1098 sec/batch\n", "Epoch 5/10 Iteration: 20400 Avg. Training loss: 3.9886 0.1104 sec/batch\n", "Epoch 5/10 Iteration: 20500 Avg. Training loss: 4.0409 0.1045 sec/batch\n", "Epoch 5/10 Iteration: 20600 Avg. Training loss: 3.9733 0.1048 sec/batch\n", "Epoch 5/10 Iteration: 20700 Avg. Training loss: 3.9866 0.1072 sec/batch\n", "Epoch 5/10 Iteration: 20800 Avg. Training loss: 4.0136 0.1085 sec/batch\n", "Epoch 5/10 Iteration: 20900 Avg. Training loss: 3.9813 0.1100 sec/batch\n", "Epoch 5/10 Iteration: 21000 Avg. Training loss: 4.0106 0.1119 sec/batch\n", "Nearest to for: given, census, scriptwriter, first, to, cited, autrefois, awards,\n", "Nearest to would: disobey, whyte, relegated, nyquist, maecenas, habilis, lege, forbid,\n", "Nearest to known: banach, rtgs, pisin, satrapies, nbi, hoosiers, sixteenth, perihelion,\n", "Nearest to used: cirth, bjarne, ceilings, alliances, grams, bp, machining, stds,\n", "Nearest to at: emi, travelling, dominants, degree, breach, their, the, awarding,\n", "Nearest to such: lysenkoism, actus, hinges, desired, cc, unfair, plosives, license,\n", "Nearest to called: supersessionism, bother, reintroduce, the, screenname, denunciations, ripples, core,\n", "Nearest to when: edinburgh, be, ragga, attractive, refuse, itv, retrospect, remove,\n", "Nearest to taking: rational, garis, leopards, go, sidgwick, anoxic, salim, nba,\n", "Nearest to consists: chamber, eee, consist, morisot, leblanc, cbd, located, hydrohalic,\n", "Nearest to scale: mellin, exposed, capricornus, townes, speciation, allude, fuse, curved,\n", "Nearest to units: unit, force, prefixes, fortieth, torsion, typewriter, si, kilogram,\n", "Nearest to ice: louth, pinstripes, rink, pyotr, plasmodium, staining, joaquin, sweden,\n", "Nearest to instance: lenses, bookstore, unappreciated, resize, illogical, synapses, placed, caesarean,\n", "Nearest to channel: curler, restructured, wb, dray, creditors, bandwidth, bypassing, mbit,\n", "Nearest to report: reports, credibility, presidents, spirituality, annotated, standish, leaped, timeline,\n", "Epoch 5/10 Iteration: 21100 Avg. Training loss: 3.9997 0.1121 sec/batch\n", "Epoch 5/10 Iteration: 21200 Avg. Training loss: 3.9752 0.1114 sec/batch\n", "Epoch 5/10 Iteration: 21300 Avg. Training loss: 4.0002 0.1109 sec/batch\n", "Epoch 5/10 Iteration: 21400 Avg. Training loss: 3.9800 0.1107 sec/batch\n", "Epoch 5/10 Iteration: 21500 Avg. Training loss: 4.0198 0.1114 sec/batch\n", "Epoch 5/10 Iteration: 21600 Avg. Training loss: 4.0034 0.1111 sec/batch\n", "Epoch 5/10 Iteration: 21700 Avg. Training loss: 3.9504 0.1112 sec/batch\n", "Epoch 5/10 Iteration: 21800 Avg. Training loss: 3.9446 0.1112 sec/batch\n", "Epoch 5/10 Iteration: 21900 Avg. Training loss: 3.9754 0.1101 sec/batch\n", "Epoch 5/10 Iteration: 22000 Avg. Training loss: 4.0392 0.1137 sec/batch\n", "Nearest to for: given, census, scriptwriter, first, to, emeryville, unusually, from,\n", "Nearest to would: disobey, relegated, whyte, nyquist, maecenas, habilis, in, lege,\n", "Nearest to known: satrapies, banach, rtgs, pisin, with, oak, yemenite, aalborg,\n", "Nearest to used: cirth, grams, machining, common, bp, ceilings, other, alliances,\n", "Nearest to at: emi, travelling, degree, dominants, the, breach, italia, their,\n", "Nearest to such: lysenkoism, cc, actus, hinges, license, desired, baa, undercurrent,\n", "Nearest to called: supersessionism, bother, reintroduce, denunciations, sealand, vegetative, ripples, faithless,\n", "Nearest to when: attractive, edinburgh, refuse, ragga, be, remove, painda, itv,\n", "Nearest to taking: rational, leopards, garis, go, sidgwick, anoxic, salim, kessinger,\n", "Nearest to consists: chamber, eee, consist, cbd, located, morisot, leblanc, sint,\n", "Nearest to scale: exposed, mellin, capricornus, speciation, accede, allude, gears, fuse,\n", "Nearest to units: unit, prefixes, force, fortieth, typewriter, si, torsion, irl,\n", "Nearest to ice: louth, rink, pinstripes, plasmodium, cools, pyotr, soils, staining,\n", "Nearest to instance: lenses, placed, illogical, synapses, unappreciated, bookstore, krugerrand, oscillators,\n", "Nearest to channel: curler, bandwidth, restructured, dray, wb, channels, mbit, dts,\n", "Nearest to report: reports, credibility, presidents, annotated, spirituality, standish, focusing, lebanon,\n", "Epoch 5/10 Iteration: 22100 Avg. Training loss: 3.9926 0.1178 sec/batch\n", "Epoch 5/10 Iteration: 22200 Avg. Training loss: 4.1086 0.1140 sec/batch\n", "Epoch 5/10 Iteration: 22300 Avg. Training loss: 4.0173 0.1238 sec/batch\n", "Epoch 5/10 Iteration: 22400 Avg. Training loss: 4.0545 0.1200 sec/batch\n", "Epoch 5/10 Iteration: 22500 Avg. Training loss: 3.9600 0.1167 sec/batch\n", "Epoch 5/10 Iteration: 22600 Avg. Training loss: 3.9318 0.1150 sec/batch\n", "Epoch 5/10 Iteration: 22700 Avg. Training loss: 3.9985 0.1157 sec/batch\n", "Epoch 5/10 Iteration: 22800 Avg. Training loss: 3.9130 0.1197 sec/batch\n", "Epoch 5/10 Iteration: 22900 Avg. Training loss: 3.9757 0.1174 sec/batch\n", "Epoch 5/10 Iteration: 23000 Avg. Training loss: 3.9773 0.1208 sec/batch\n", "Nearest to for: given, to, first, scriptwriter, census, the, from, have,\n", "Nearest to would: disobey, whyte, relegated, nyquist, busting, gimme, habilis, in,\n", "Nearest to known: banach, rtgs, satrapies, pisin, with, perihelion, usability, oak,\n", "Nearest to used: cirth, common, grams, machining, use, bp, ceilings, phenol,\n", "Nearest to at: travelling, degree, emi, the, dominants, breach, italia, awarding,\n", "Nearest to such: cc, multinationals, lysenkoism, unfair, senegal, group, undercurrent, actus,\n", "Nearest to called: the, supersessionism, bother, core, ripples, sealand, reintroduce, macedonian,\n", "Nearest to when: attractive, ragga, edinburgh, remove, be, refuse, itv, retrospect,\n", "Nearest to taking: go, garis, rational, sidgwick, leopards, salim, anoxic, nba,\n", "Nearest to consists: chamber, eee, consist, leblanc, morisot, cbd, located, appoints,\n", "Nearest to scale: mellin, exposed, townes, fuse, gears, curved, capricornus, allude,\n", "Nearest to units: unit, prefixes, fortieth, force, si, typewriter, torsion, irl,\n", "Nearest to ice: louth, rink, pyotr, pinstripes, plasmodium, joaquin, soils, gory,\n", "Nearest to instance: lenses, illogical, placed, synapses, bookstore, unappreciated, healthy, resize,\n", "Nearest to channel: dray, curler, wb, channels, dts, bandwidth, hearsay, restructured,\n", "Nearest to report: reports, credibility, presidents, annotated, spirituality, binge, standish, leaped,\n", "Epoch 5/10 Iteration: 23100 Avg. Training loss: 3.9697 0.1115 sec/batch\n", "Epoch 6/10 Iteration: 23200 Avg. Training loss: 3.9797 0.0768 sec/batch\n", "Epoch 6/10 Iteration: 23300 Avg. Training loss: 3.9693 0.1202 sec/batch\n", "Epoch 6/10 Iteration: 23400 Avg. Training loss: 3.9590 0.1265 sec/batch\n", "Epoch 6/10 Iteration: 23500 Avg. Training loss: 3.9599 0.1224 sec/batch\n", "Epoch 6/10 Iteration: 23600 Avg. Training loss: 3.8895 0.1215 sec/batch\n", "Epoch 6/10 Iteration: 23700 Avg. Training loss: 3.9265 0.1228 sec/batch\n", "Epoch 6/10 Iteration: 23800 Avg. Training loss: 3.9374 0.1243 sec/batch\n", "Epoch 6/10 Iteration: 23900 Avg. Training loss: 3.9506 0.1151 sec/batch\n", "Epoch 6/10 Iteration: 24000 Avg. Training loss: 3.9664 0.1254 sec/batch\n", "Nearest to for: given, first, to, scriptwriter, the, census, from, converged,\n", "Nearest to would: whyte, relegated, disobey, busting, in, habilis, gimme, maecenas,\n", "Nearest to known: rtgs, banach, hoosiers, pisin, nbi, oak, which, perihelion,\n", "Nearest to used: grams, cirth, common, epoxy, bp, use, machining, commonly,\n", "Nearest to at: travelling, the, emi, degree, dominants, their, breach, italia,\n", "Nearest to such: lysenkoism, group, cc, undercurrent, multinationals, actus, hinges, baa,\n", "Nearest to called: supersessionism, the, bother, reintroduce, denunciations, ripples, systematized, keno,\n", "Nearest to when: attractive, edinburgh, remove, ragga, refuse, bursa, painda, be,\n", "Nearest to taking: go, rational, garis, leopards, salim, sidgwick, anoxic, nba,\n", "Nearest to consists: chamber, consist, eee, located, leblanc, cbd, sint, hydrohalic,\n", "Nearest to scale: mellin, townes, exposed, capricornus, gears, diatonic, curved, allude,\n", "Nearest to units: unit, prefixes, fortieth, si, typewriter, force, torsion, irl,\n", "Nearest to ice: louth, rink, soils, joaquin, pyotr, pinstripes, plasmodium, cools,\n", "Nearest to instance: lenses, bookstore, illogical, placed, synapses, unappreciated, caesarean, healthy,\n", "Nearest to channel: curler, wb, dray, creditors, dts, channels, mbit, restructured,\n", "Nearest to report: reports, credibility, spirituality, annotated, presidents, standish, lebanon, binge,\n", "Epoch 6/10 Iteration: 24100 Avg. Training loss: 3.9397 0.1236 sec/batch\n", "Epoch 6/10 Iteration: 24200 Avg. Training loss: 3.9810 0.1160 sec/batch\n", "Epoch 6/10 Iteration: 24300 Avg. Training loss: 3.8346 0.1265 sec/batch\n", "Epoch 6/10 Iteration: 24400 Avg. Training loss: 3.9313 0.1289 sec/batch\n", "Epoch 6/10 Iteration: 24500 Avg. Training loss: 3.8972 0.1195 sec/batch\n", "Epoch 6/10 Iteration: 24600 Avg. Training loss: 3.8997 0.1186 sec/batch\n", "Epoch 6/10 Iteration: 24700 Avg. Training loss: 3.9321 0.1139 sec/batch\n", "Epoch 6/10 Iteration: 24800 Avg. Training loss: 3.9608 0.1289 sec/batch\n", "Epoch 6/10 Iteration: 24900 Avg. Training loss: 3.9414 0.1107 sec/batch\n", "Epoch 6/10 Iteration: 25000 Avg. Training loss: 3.9407 0.1113 sec/batch\n", "Nearest to for: given, to, first, the, scriptwriter, have, from, census,\n", "Nearest to would: relegated, whyte, disobey, busting, nyquist, in, habilis, coastlands,\n", "Nearest to known: rtgs, banach, hoosiers, with, which, pisin, charcoal, oak,\n", "Nearest to used: cirth, grams, common, epoxy, is, use, invented, commonly,\n", "Nearest to at: the, degree, travelling, emi, dominants, of, awarding, their,\n", "Nearest to such: cc, group, lysenkoism, hinges, multinationals, undercurrent, actus, baa,\n", "Nearest to called: the, supersessionism, core, bother, denunciations, keno, reintroduce, systematized,\n", "Nearest to when: attractive, be, edinburgh, remove, ragga, refuse, retrospect, itv,\n", "Nearest to taking: go, rational, leopards, garis, salim, sidgwick, anoxic, carpal,\n", "Nearest to consists: chamber, consist, eee, located, leblanc, calderon, sint, cbd,\n", "Nearest to scale: mellin, gears, townes, exposed, capricornus, diatonic, fuse, effects,\n", "Nearest to units: unit, prefixes, fortieth, si, force, typewriter, torsion, hubei,\n", "Nearest to ice: louth, rink, joaquin, pyotr, plasmodium, soils, pinstripes, cools,\n", "Nearest to instance: lenses, placed, bookstore, resize, synapses, unappreciated, jimbo, illogical,\n", "Nearest to channel: dts, creditors, mbit, curler, wb, bandwidth, channels, hearsay,\n", "Nearest to report: reports, credibility, annotated, presidents, spirituality, binge, standish, focusing,\n", "Epoch 6/10 Iteration: 25100 Avg. Training loss: 4.0258 0.1102 sec/batch\n", "Epoch 6/10 Iteration: 25200 Avg. Training loss: 3.9340 0.1118 sec/batch\n", "Epoch 6/10 Iteration: 25300 Avg. Training loss: 3.9212 0.1136 sec/batch\n", "Epoch 6/10 Iteration: 25400 Avg. Training loss: 3.9460 0.1095 sec/batch\n", "Epoch 6/10 Iteration: 25500 Avg. Training loss: 3.9257 0.1138 sec/batch\n", "Epoch 6/10 Iteration: 25600 Avg. Training loss: 3.9545 0.1245 sec/batch\n", "Epoch 6/10 Iteration: 25700 Avg. Training loss: 3.9430 0.1241 sec/batch\n", "Epoch 6/10 Iteration: 25800 Avg. Training loss: 3.9479 0.1211 sec/batch\n", "Epoch 6/10 Iteration: 25900 Avg. Training loss: 3.9151 0.1171 sec/batch\n", "Epoch 6/10 Iteration: 26000 Avg. Training loss: 3.9370 0.1135 sec/batch\n", "Nearest to for: given, first, to, scriptwriter, by, from, have, the,\n", "Nearest to would: in, disobey, whyte, relegated, preeminence, lege, nyquist, that,\n", "Nearest to known: banach, pisin, rtgs, hoosiers, satrapies, which, named, oak,\n", "Nearest to used: cirth, alliances, invented, machining, is, common, use, grams,\n", "Nearest to at: the, travelling, degree, emi, dominants, of, their, awarding,\n", "Nearest to such: group, cc, lysenkoism, hinges, unfair, actus, baa, multinationals,\n", "Nearest to called: supersessionism, bother, the, denunciations, core, sealand, reintroduce, anakkale,\n", "Nearest to when: attractive, edinburgh, refuse, ragga, be, remove, painda, itv,\n", "Nearest to taking: go, rational, sidgwick, garis, salim, leopards, carpal, dedicates,\n", "Nearest to consists: chamber, consist, eee, leblanc, calderon, morisot, sint, located,\n", "Nearest to scale: mellin, townes, exposed, capricornus, effects, accede, allude, correlations,\n", "Nearest to units: unit, prefixes, fortieth, si, force, typewriter, torsion, hubei,\n", "Nearest to ice: louth, rink, plasmodium, pyotr, joaquin, soils, cools, pinstripes,\n", "Nearest to instance: lenses, placed, resize, bookstore, unappreciated, illogical, synapses, consented,\n", "Nearest to channel: curler, creditors, mbit, dts, bandwidth, wb, dray, restructured,\n", "Nearest to report: reports, credibility, presidents, annotated, santer, haight, standish, lebanon,\n", "Epoch 6/10 Iteration: 26100 Avg. Training loss: 3.9495 0.1184 sec/batch\n", "Epoch 6/10 Iteration: 26200 Avg. Training loss: 3.9339 0.1132 sec/batch\n", "Epoch 6/10 Iteration: 26300 Avg. Training loss: 3.9436 0.1120 sec/batch\n", "Epoch 6/10 Iteration: 26400 Avg. Training loss: 3.9021 0.1305 sec/batch\n", "Epoch 6/10 Iteration: 26500 Avg. Training loss: 3.9170 0.1217 sec/batch\n", "Epoch 6/10 Iteration: 26600 Avg. Training loss: 3.9391 0.1154 sec/batch\n", "Epoch 6/10 Iteration: 26700 Avg. Training loss: 3.9181 0.1176 sec/batch\n", "Epoch 6/10 Iteration: 26800 Avg. Training loss: 4.0194 0.1174 sec/batch\n", "Epoch 6/10 Iteration: 26900 Avg. Training loss: 4.0194 0.1122 sec/batch\n", "Epoch 6/10 Iteration: 27000 Avg. Training loss: 3.9875 0.1128 sec/batch\n", "Nearest to for: given, first, scriptwriter, from, to, the, have, census,\n", "Nearest to would: disobey, relegated, whyte, in, lege, that, maecenas, coastlands,\n", "Nearest to known: hoosiers, banach, pisin, oak, with, named, nbi, millions,\n", "Nearest to used: cirth, invented, use, bunyan, commonly, machining, common, paused,\n", "Nearest to at: travelling, the, emi, degree, dominants, of, breach, leadbelly,\n", "Nearest to such: actus, cc, lysenkoism, unfair, hinges, baa, musical, plosives,\n", "Nearest to called: bother, supersessionism, the, anakkale, keno, denunciations, reintroduce, distinctive,\n", "Nearest to when: edinburgh, attractive, refuse, painda, remove, scotland, trouble, ragga,\n", "Nearest to taking: go, sidgwick, rational, salim, garis, leopards, anoxic, dedicates,\n", "Nearest to consists: chamber, consist, eee, leblanc, sint, calderon, morisot, located,\n", "Nearest to scale: mellin, diatonic, exposed, accede, effects, gears, capricornus, townes,\n", "Nearest to units: unit, prefixes, fortieth, si, force, typewriter, hubei, trucial,\n", "Nearest to ice: rink, louth, pyotr, joaquin, plasmodium, pinstripes, gory, soils,\n", "Nearest to instance: lenses, placed, illogical, bookstore, consented, unappreciated, philos, contacts,\n", "Nearest to channel: creditors, curler, channels, dray, restructured, hearsay, mbit, dts,\n", "Nearest to report: reports, credibility, presidents, annotated, santer, lebanon, standish, haight,\n", "Epoch 6/10 Iteration: 27100 Avg. Training loss: 3.9083 0.1172 sec/batch\n", "Epoch 6/10 Iteration: 27200 Avg. Training loss: 3.9032 0.1138 sec/batch\n", "Epoch 6/10 Iteration: 27300 Avg. Training loss: 3.9424 0.1262 sec/batch\n", "Epoch 6/10 Iteration: 27400 Avg. Training loss: 3.8443 0.1288 sec/batch\n", "Epoch 6/10 Iteration: 27500 Avg. Training loss: 3.9509 0.1284 sec/batch\n", "Epoch 6/10 Iteration: 27600 Avg. Training loss: 3.9196 0.1230 sec/batch\n", "Epoch 6/10 Iteration: 27700 Avg. Training loss: 3.9078 0.1216 sec/batch\n", "Epoch 7/10 Iteration: 27800 Avg. Training loss: 3.9767 0.0466 sec/batch\n", "Epoch 7/10 Iteration: 27900 Avg. Training loss: 3.8898 0.1218 sec/batch\n", "Epoch 7/10 Iteration: 28000 Avg. Training loss: 3.9203 0.1215 sec/batch\n", "Nearest to for: given, scriptwriter, first, to, the, census, have, from,\n", "Nearest to would: disobey, whyte, relegated, coastlands, lege, that, busting, atomic,\n", "Nearest to known: with, hoosiers, banach, named, pisin, which, rtgs, oak,\n", "Nearest to used: cirth, commonly, use, machining, stds, invented, netbios, is,\n", "Nearest to at: travelling, the, degree, dominants, emi, of, breach, leadbelly,\n", "Nearest to such: lysenkoism, multinationals, actus, group, unfair, hinges, cc, baa,\n", "Nearest to called: the, bother, supersessionism, anakkale, systematized, keno, denunciations, core,\n", "Nearest to when: attractive, refuse, edinburgh, painda, remove, be, scotland, trouble,\n", "Nearest to taking: go, rational, chinguetti, garis, nba, anoxic, boosts, salim,\n", "Nearest to consists: chamber, eee, consist, leblanc, located, sint, calderon, cbd,\n", "Nearest to scale: diatonic, mellin, gears, townes, effects, accede, fretting, capricornus,\n", "Nearest to units: unit, prefixes, fortieth, si, force, typewriter, kilogram, sumo,\n", "Nearest to ice: rink, louth, pyotr, plasmodium, joaquin, pinstripes, gory, zubr,\n", "Nearest to instance: lenses, placed, illogical, bookstore, resize, attitudes, oscillators, unappreciated,\n", "Nearest to channel: channels, curler, wb, creditors, dray, mbit, dts, hearsay,\n", "Nearest to report: reports, credibility, annotated, presidents, spirituality, standish, haight, comprehensive,\n", "Epoch 7/10 Iteration: 28100 Avg. Training loss: 3.8978 0.1224 sec/batch\n", "Epoch 7/10 Iteration: 28200 Avg. Training loss: 3.9022 0.1212 sec/batch\n", "Epoch 7/10 Iteration: 28300 Avg. Training loss: 3.9255 0.1210 sec/batch\n", "Epoch 7/10 Iteration: 28400 Avg. Training loss: 3.9095 0.1189 sec/batch\n", "Epoch 7/10 Iteration: 28500 Avg. Training loss: 3.8764 0.1190 sec/batch\n", "Epoch 7/10 Iteration: 28600 Avg. Training loss: 3.9017 0.1203 sec/batch\n", "Epoch 7/10 Iteration: 28700 Avg. Training loss: 3.9144 0.1210 sec/batch\n", "Epoch 7/10 Iteration: 28800 Avg. Training loss: 3.9431 0.1213 sec/batch\n", "Epoch 7/10 Iteration: 28900 Avg. Training loss: 3.8440 0.1219 sec/batch\n", "Epoch 7/10 Iteration: 29000 Avg. Training loss: 3.9068 0.1244 sec/batch\n", "Nearest to for: to, given, the, first, have, from, and, scriptwriter,\n", "Nearest to would: relegated, coastlands, disobey, that, whyte, in, habilis, lege,\n", "Nearest to known: with, hoosiers, pisin, banach, which, oak, named, rtgs,\n", "Nearest to used: use, cirth, commonly, is, grams, machining, epoxy, invented,\n", "Nearest to at: the, travelling, dominants, emi, of, degree, two, meeting,\n", "Nearest to such: multinationals, unfair, lysenkoism, group, pashtuns, many, actus, hinges,\n", "Nearest to called: the, supersessionism, bother, anakkale, core, denunciations, systematized, keno,\n", "Nearest to when: attractive, remove, refuse, retrospect, edinburgh, be, painda, itv,\n", "Nearest to taking: go, rational, salim, nba, chinguetti, anoxic, garis, levees,\n", "Nearest to consists: chamber, consist, eee, located, leblanc, calderon, sint, cbd,\n", "Nearest to scale: diatonic, mellin, capricornus, townes, suggests, motherhood, accede, effects,\n", "Nearest to units: unit, prefixes, fortieth, si, force, typewriter, dera, sumo,\n", "Nearest to ice: rink, louth, pyotr, plasmodium, joaquin, pinstripes, zubr, cools,\n", "Nearest to instance: placed, lenses, bookstore, resize, unappreciated, contacts, illogical, envisage,\n", "Nearest to channel: channels, curler, creditors, wb, dray, bandwidth, mbit, restructured,\n", "Nearest to report: reports, credibility, annotated, spirituality, presidents, comprehensive, focusing, html,\n", "Epoch 7/10 Iteration: 29100 Avg. Training loss: 3.8945 0.1254 sec/batch\n", "Epoch 7/10 Iteration: 29200 Avg. Training loss: 3.8284 0.1224 sec/batch\n", "Epoch 7/10 Iteration: 29300 Avg. Training loss: 3.8781 0.1231 sec/batch\n", "Epoch 7/10 Iteration: 29400 Avg. Training loss: 3.9094 0.1229 sec/batch\n", "Epoch 7/10 Iteration: 29500 Avg. Training loss: 3.8962 0.1207 sec/batch\n", "Epoch 7/10 Iteration: 29600 Avg. Training loss: 3.8959 0.1095 sec/batch\n", "Epoch 7/10 Iteration: 29700 Avg. Training loss: 3.9419 0.1060 sec/batch\n", "Epoch 7/10 Iteration: 29800 Avg. Training loss: 3.9093 0.1057 sec/batch\n", "Epoch 7/10 Iteration: 29900 Avg. Training loss: 3.8714 0.1004 sec/batch\n", "Epoch 7/10 Iteration: 30000 Avg. Training loss: 3.8931 0.1013 sec/batch\n", "Nearest to for: given, first, scriptwriter, to, the, have, census, from,\n", "Nearest to would: relegated, that, disobey, lege, whyte, coastlands, in, nyquist,\n", "Nearest to known: banach, with, pisin, which, hoosiers, rtgs, nbi, first,\n", "Nearest to used: is, use, commonly, cirth, netbios, invented, grams, common,\n", "Nearest to at: the, travelling, dominants, emi, of, degree, surrounding, aviators,\n", "Nearest to such: lysenkoism, unfair, cc, other, actus, hinges, desired, group,\n", "Nearest to called: the, supersessionism, bother, core, systematized, denunciations, rearranged, eusocial,\n", "Nearest to when: be, attractive, remove, edinburgh, refuse, trouble, itv, retrospect,\n", "Nearest to taking: go, rational, salim, xo, anoxic, garis, chinguetti, nba,\n", "Nearest to consists: chamber, consist, eee, leblanc, calderon, conscience, hydrohalic, located,\n", "Nearest to scale: diatonic, mellin, capricornus, suggests, townes, correlations, accede, motherhood,\n", "Nearest to units: unit, prefixes, fortieth, si, force, typewriter, dera, hubei,\n", "Nearest to ice: rink, louth, pyotr, plasmodium, joaquin, pinstripes, zubr, gory,\n", "Nearest to instance: placed, lenses, bookstore, contacts, envisage, geometrically, consented, illogical,\n", "Nearest to channel: creditors, curler, wb, hearsay, channels, transmitters, dts, mbit,\n", "Nearest to report: reports, credibility, annotated, spirituality, santer, presidents, comprehensive, lebanon,\n", "Epoch 7/10 Iteration: 30100 Avg. Training loss: 3.9198 0.1057 sec/batch\n", "Epoch 7/10 Iteration: 30200 Avg. Training loss: 3.9272 0.1015 sec/batch\n", "Epoch 7/10 Iteration: 30300 Avg. Training loss: 3.9112 0.1014 sec/batch\n", "Epoch 7/10 Iteration: 30400 Avg. Training loss: 3.8940 0.1035 sec/batch\n", "Epoch 7/10 Iteration: 30500 Avg. Training loss: 3.9486 0.1055 sec/batch\n", "Epoch 7/10 Iteration: 30600 Avg. Training loss: 3.9379 0.1060 sec/batch\n", "Epoch 7/10 Iteration: 30700 Avg. Training loss: 3.8933 0.1067 sec/batch\n", "Epoch 7/10 Iteration: 30800 Avg. Training loss: 3.8929 0.1102 sec/batch\n", "Epoch 7/10 Iteration: 30900 Avg. Training loss: 3.9001 0.1094 sec/batch\n", "Epoch 7/10 Iteration: 31000 Avg. Training loss: 3.8601 0.1133 sec/batch\n", "Nearest to for: given, the, to, first, scriptwriter, by, in, of,\n", "Nearest to would: relegated, that, disobey, coastlands, lege, whyte, in, maecenas,\n", "Nearest to known: with, which, first, banach, hoosiers, pisin, aalborg, millions,\n", "Nearest to used: use, cirth, commonly, common, invented, is, netbios, grams,\n", "Nearest to at: the, travelling, of, dominants, degree, emi, as, to,\n", "Nearest to such: lysenkoism, unfair, cc, hinges, group, plosives, other, baa,\n", "Nearest to called: the, bother, supersessionism, denunciations, anakkale, keno, distinctive, eusocial,\n", "Nearest to when: attractive, be, edinburgh, remove, scotland, trouble, refuse, painda,\n", "Nearest to taking: go, rational, anoxic, salim, xo, sidgwick, boosts, regrettable,\n", "Nearest to consists: chamber, consist, leblanc, eee, calderon, morisot, conscience, sint,\n", "Nearest to scale: diatonic, mellin, effects, capricornus, suggests, correlations, agglomeration, motherhood,\n", "Nearest to units: unit, prefixes, fortieth, si, force, typewriter, dera, hubei,\n", "Nearest to ice: rink, louth, joaquin, pyotr, plasmodium, zubr, sweden, soils,\n", "Nearest to instance: placed, bookstore, husband, lenses, contacts, pasts, wong, envisage,\n", "Nearest to channel: creditors, curler, hearsay, channels, dray, restructured, wb, mbit,\n", "Nearest to report: reports, credibility, santer, annotated, standish, presidents, spirituality, comprehensive,\n", "Epoch 7/10 Iteration: 31100 Avg. Training loss: 3.9213 0.1056 sec/batch\n", "Epoch 7/10 Iteration: 31200 Avg. Training loss: 3.8905 0.1058 sec/batch\n", "Epoch 7/10 Iteration: 31300 Avg. Training loss: 3.8990 0.1132 sec/batch\n", "Epoch 7/10 Iteration: 31400 Avg. Training loss: 3.9640 0.1252 sec/batch\n", "Epoch 7/10 Iteration: 31500 Avg. Training loss: 3.9684 0.1159 sec/batch\n", "Epoch 7/10 Iteration: 31600 Avg. Training loss: 3.9861 0.1196 sec/batch\n", "Epoch 7/10 Iteration: 31700 Avg. Training loss: 3.9020 0.1109 sec/batch\n", "Epoch 7/10 Iteration: 31800 Avg. Training loss: 3.8697 0.1079 sec/batch\n", "Epoch 7/10 Iteration: 31900 Avg. Training loss: 3.9195 0.1062 sec/batch\n", "Epoch 7/10 Iteration: 32000 Avg. Training loss: 3.7972 0.1137 sec/batch\n", "Nearest to for: given, to, the, first, scriptwriter, by, and, have,\n", "Nearest to would: that, relegated, coastlands, disobey, to, lege, in, busting,\n", "Nearest to known: with, which, hoosiers, pisin, first, banach, millions, aalborg,\n", "Nearest to used: use, commonly, common, cirth, netbios, is, bunyan, invented,\n", "Nearest to at: the, travelling, emi, of, degree, dominants, to, s,\n", "Nearest to such: unfair, cc, other, lysenkoism, group, pashtuns, hinges, multinationals,\n", "Nearest to called: the, supersessionism, bother, denunciations, anakkale, is, keno, instituted,\n", "Nearest to when: be, remove, attractive, edinburgh, trouble, refuse, painda, scotland,\n", "Nearest to taking: go, rational, salim, boosts, xo, anoxic, sidgwick, regrettable,\n", "Nearest to consists: chamber, consist, eee, appoints, leblanc, calderon, conscience, couturat,\n", "Nearest to scale: diatonic, mellin, effects, motherhood, suggests, capricornus, correlations, townes,\n", "Nearest to units: unit, prefixes, fortieth, si, force, typewriter, dera, kilogram,\n", "Nearest to ice: rink, louth, pyotr, joaquin, plasmodium, sweden, indoor, zubr,\n", "Nearest to instance: placed, lenses, bookstore, contacts, philos, illogical, envisage, kruskal,\n", "Nearest to channel: creditors, hearsay, curler, wb, channels, dray, mbit, bandwidth,\n", "Nearest to report: reports, credibility, annotated, santer, presidents, spirituality, haight, focusing,\n", "Epoch 7/10 Iteration: 32100 Avg. Training loss: 3.9153 0.1189 sec/batch\n", "Epoch 7/10 Iteration: 32200 Avg. Training loss: 3.9433 0.1161 sec/batch\n", "Epoch 7/10 Iteration: 32300 Avg. Training loss: 3.9029 0.1209 sec/batch\n", "Epoch 8/10 Iteration: 32400 Avg. Training loss: 3.9170 0.0138 sec/batch\n", "Epoch 8/10 Iteration: 32500 Avg. Training loss: 3.8952 0.1250 sec/batch\n", "Epoch 8/10 Iteration: 32600 Avg. Training loss: 3.8827 0.1306 sec/batch\n", "Epoch 8/10 Iteration: 32700 Avg. Training loss: 3.8966 0.1219 sec/batch\n", "Epoch 8/10 Iteration: 32800 Avg. Training loss: 3.9122 0.1221 sec/batch\n", "Epoch 8/10 Iteration: 32900 Avg. Training loss: 3.8753 0.1216 sec/batch\n", "Epoch 8/10 Iteration: 33000 Avg. Training loss: 3.8522 0.1206 sec/batch\n", "Nearest to for: to, given, the, and, first, by, in, have,\n", "Nearest to would: that, in, relegated, coastlands, to, disobey, whyte, lege,\n", "Nearest to known: which, first, with, hoosiers, most, millions, pisin, many,\n", "Nearest to used: use, commonly, common, is, netbios, cirth, other, for,\n", "Nearest to at: the, travelling, of, to, dominants, later, as, s,\n", "Nearest to such: other, group, lysenkoism, multinationals, unfair, hinges, cc, actus,\n", "Nearest to called: bother, the, supersessionism, is, denunciations, instituted, keno, ripples,\n", "Nearest to when: remove, be, attractive, edinburgh, refuse, painda, trouble, retrospect,\n", "Nearest to taking: go, salim, levees, boosts, xo, nba, anoxic, nsaids,\n", "Nearest to consists: chamber, consist, eee, conscience, sint, couturat, leblanc, calderon,\n", "Nearest to scale: diatonic, mellin, capricornus, motherhood, gears, suggests, agglomeration, tuning,\n", "Nearest to units: unit, prefixes, fortieth, si, typewriter, hubei, force, dera,\n", "Nearest to ice: rink, louth, pyotr, joaquin, plasmodium, sweden, gory, zubr,\n", "Nearest to instance: placed, bookstore, husband, lenses, illogical, attitudes, pasts, herders,\n", "Nearest to channel: creditors, wb, mbit, curler, channels, bandwidth, hearsay, transmitters,\n", "Nearest to report: reports, credibility, annotated, standish, spirituality, presidents, santer, focusing,\n", "Epoch 8/10 Iteration: 33100 Avg. Training loss: 3.8330 0.1218 sec/batch\n", "Epoch 8/10 Iteration: 33200 Avg. Training loss: 3.8716 0.1212 sec/batch\n", "Epoch 8/10 Iteration: 33300 Avg. Training loss: 3.8915 0.1208 sec/batch\n", "Epoch 8/10 Iteration: 33400 Avg. Training loss: 3.9107 0.1212 sec/batch\n", "Epoch 8/10 Iteration: 33500 Avg. Training loss: 3.8661 0.1210 sec/batch\n", "Epoch 8/10 Iteration: 33600 Avg. Training loss: 3.8355 0.1189 sec/batch\n", "Epoch 8/10 Iteration: 33700 Avg. Training loss: 3.8342 0.1208 sec/batch\n", "Epoch 8/10 Iteration: 33800 Avg. Training loss: 3.7842 0.1212 sec/batch\n", "Epoch 8/10 Iteration: 33900 Avg. Training loss: 3.8311 0.1226 sec/batch\n", "Epoch 8/10 Iteration: 34000 Avg. Training loss: 3.8845 0.1218 sec/batch\n", "Nearest to for: to, the, given, and, in, have, first, by,\n", "Nearest to would: that, relegated, to, in, with, coastlands, yet, accelerations,\n", "Nearest to known: with, which, first, hoosiers, most, many, millions, banach,\n", "Nearest to used: is, commonly, use, common, grams, for, other, cirth,\n", "Nearest to at: the, of, travelling, dominants, to, as, degree, two,\n", "Nearest to such: other, and, as, group, can, cc, exotic, actus,\n", "Nearest to called: the, is, supersessionism, bother, of, denunciations, a, rearranged,\n", "Nearest to when: be, remove, attractive, refuse, tire, initial, painda, headers,\n", "Nearest to taking: go, rational, levees, xo, nsaids, salim, boosts, nba,\n", "Nearest to consists: consist, chamber, calderon, eee, conscience, located, couturat, leblanc,\n", "Nearest to scale: diatonic, mellin, suggests, capricornus, motherhood, gears, townes, effects,\n", "Nearest to units: unit, prefixes, fortieth, si, typewriter, force, hubei, dera,\n", "Nearest to ice: rink, louth, pyotr, plasmodium, joaquin, sweden, detection, ussr,\n", "Nearest to instance: placed, bookstore, lenses, oscillators, resize, xa, philos, barcodes,\n", "Nearest to channel: creditors, channels, mbit, wb, curler, dts, restructured, dray,\n", "Nearest to report: reports, credibility, annotated, santer, presidents, standish, spirituality, focusing,\n", "Epoch 8/10 Iteration: 34100 Avg. Training loss: 3.8751 0.1228 sec/batch\n", "Epoch 8/10 Iteration: 34200 Avg. Training loss: 3.8528 0.1223 sec/batch\n", "Epoch 8/10 Iteration: 34300 Avg. Training loss: 3.9067 0.1178 sec/batch\n", "Epoch 8/10 Iteration: 34400 Avg. Training loss: 3.8909 0.1161 sec/batch\n", "Epoch 8/10 Iteration: 34500 Avg. Training loss: 3.8444 0.1158 sec/batch\n", "Epoch 8/10 Iteration: 34600 Avg. Training loss: 3.8552 0.1208 sec/batch\n", "Epoch 8/10 Iteration: 34700 Avg. Training loss: 3.8861 0.1260 sec/batch\n", "Epoch 8/10 Iteration: 34800 Avg. Training loss: 3.8621 0.1159 sec/batch\n", "Epoch 8/10 Iteration: 34900 Avg. Training loss: 3.8820 0.1110 sec/batch\n", "Epoch 8/10 Iteration: 35000 Avg. Training loss: 3.9116 0.1115 sec/batch\n", "Nearest to for: to, given, the, and, by, have, in, first,\n", "Nearest to would: that, to, relegated, in, accelerations, yet, than, it,\n", "Nearest to known: which, with, first, pisin, most, hoosiers, banach, millions,\n", "Nearest to used: is, use, common, commonly, cirth, occasionally, for, invented,\n", "Nearest to at: the, travelling, of, dominants, to, as, degree, s,\n", "Nearest to such: other, as, and, can, group, lysenkoism, cc, hinges,\n", "Nearest to called: the, bother, supersessionism, is, denunciations, rearranged, anakkale, timbres,\n", "Nearest to when: be, remove, attractive, painda, refuse, trouble, edinburgh, initial,\n", "Nearest to taking: go, rational, salim, levees, nsaids, xo, pia, regrettable,\n", "Nearest to consists: consist, chamber, calderon, conscience, leblanc, couturat, eee, sint,\n", "Nearest to scale: diatonic, mellin, suggests, capricornus, motherhood, trillions, correlations, effects,\n", "Nearest to units: unit, prefixes, fortieth, si, force, typewriter, hubei, dera,\n", "Nearest to ice: rink, louth, pyotr, joaquin, plasmodium, sweden, ussr, pontine,\n", "Nearest to instance: placed, bookstore, lenses, contacts, geometrically, pasts, oscillators, robby,\n", "Nearest to channel: creditors, curler, mbit, wb, restructured, dts, dray, channels,\n", "Nearest to report: reports, credibility, santer, annotated, focusing, html, standish, comprehensive,\n", "Epoch 8/10 Iteration: 35100 Avg. Training loss: 3.8544 0.1112 sec/batch\n", "Epoch 8/10 Iteration: 35200 Avg. Training loss: 3.8741 0.1111 sec/batch\n", "Epoch 8/10 Iteration: 35300 Avg. Training loss: 3.8893 0.1121 sec/batch\n", "Epoch 8/10 Iteration: 35400 Avg. Training loss: 3.8901 0.1112 sec/batch\n", "Epoch 8/10 Iteration: 35500 Avg. Training loss: 3.8736 0.1117 sec/batch\n", "Epoch 8/10 Iteration: 35600 Avg. Training loss: 3.8698 0.1114 sec/batch\n", "Epoch 8/10 Iteration: 35700 Avg. Training loss: 3.8237 0.1114 sec/batch\n", "Epoch 8/10 Iteration: 35800 Avg. Training loss: 3.8605 0.1120 sec/batch\n", "Epoch 8/10 Iteration: 35900 Avg. Training loss: 3.9338 0.1116 sec/batch\n", "Epoch 8/10 Iteration: 36000 Avg. Training loss: 3.8586 0.1116 sec/batch\n", "Nearest to for: given, the, to, and, in, first, scriptwriter, by,\n", "Nearest to would: that, to, in, relegated, coastlands, yet, lege, with,\n", "Nearest to known: which, with, first, hoosiers, millions, seventeenth, banach, pisin,\n", "Nearest to used: is, common, commonly, use, cirth, netbios, often, invented,\n", "Nearest to at: the, of, travelling, as, s, to, later, in,\n", "Nearest to such: other, as, lysenkoism, actus, cc, group, hinges, types,\n", "Nearest to called: bother, the, supersessionism, denunciations, keno, is, timbres, anakkale,\n", "Nearest to when: be, the, painda, edinburgh, remove, scotland, refuse, trouble,\n", "Nearest to taking: go, salim, pia, nsaids, xo, rational, levees, diva,\n", "Nearest to consists: consist, chamber, calderon, eee, sint, conscience, couturat, leblanc,\n", "Nearest to scale: diatonic, motherhood, capricornus, mellin, suggests, effects, correlations, trillions,\n", "Nearest to units: unit, prefixes, fortieth, si, typewriter, force, dera, hubei,\n", "Nearest to ice: rink, joaquin, louth, pyotr, plasmodium, sweden, ussr, hockey,\n", "Nearest to instance: placed, geometrically, bookstore, philos, oscillators, kruskal, pasts, lenses,\n", "Nearest to channel: creditors, mbit, channels, curler, wb, bandwidth, restructured, hearsay,\n", "Nearest to report: reports, credibility, santer, focusing, annotated, comprehensive, standish, html,\n", "Epoch 8/10 Iteration: 36100 Avg. Training loss: 3.9513 0.1133 sec/batch\n", "Epoch 8/10 Iteration: 36200 Avg. Training loss: 3.9537 0.1111 sec/batch\n", "Epoch 8/10 Iteration: 36300 Avg. Training loss: 3.8965 0.1114 sec/batch\n", "Epoch 8/10 Iteration: 36400 Avg. Training loss: 3.8243 0.1119 sec/batch\n", "Epoch 8/10 Iteration: 36500 Avg. Training loss: 3.8824 0.1117 sec/batch\n", "Epoch 8/10 Iteration: 36600 Avg. Training loss: 3.8074 0.1114 sec/batch\n", "Epoch 8/10 Iteration: 36700 Avg. Training loss: 3.8481 0.1124 sec/batch\n", "Epoch 8/10 Iteration: 36800 Avg. Training loss: 3.8889 0.1118 sec/batch\n", "Epoch 8/10 Iteration: 36900 Avg. Training loss: 3.8722 0.1119 sec/batch\n", "Epoch 8/10 Iteration: 37000 Avg. Training loss: 3.8919 0.1121 sec/batch\n", "Nearest to for: to, given, the, and, by, in, scriptwriter, have,\n", "Nearest to would: that, to, with, relegated, coastlands, lege, yet, maecenas,\n", "Nearest to known: which, with, most, hoosiers, many, the, first, pisin,\n", "Nearest to used: commonly, use, is, netbios, common, other, cirth, for,\n", "Nearest to at: the, travelling, to, as, dominants, s, of, emi,\n", "Nearest to such: as, other, many, group, and, exotic, pashtuns, cc,\n", "Nearest to called: the, bother, supersessionism, of, denunciations, keno, philology, systematized,\n", "Nearest to when: be, remove, attractive, was, painda, marysville, edinburgh, the,\n", "Nearest to taking: go, levees, xo, nsaids, nba, boosts, salim, pia,\n", "Nearest to consists: chamber, calderon, consist, conscience, couturat, eee, appoints, leblanc,\n", "Nearest to scale: diatonic, mellin, accidentals, motherhood, capricornus, suggests, gears, scales,\n", "Nearest to units: unit, prefixes, fortieth, si, force, typewriter, dera, kilogram,\n", "Nearest to ice: rink, joaquin, pyotr, louth, sweden, hockey, plasmodium, ussr,\n", "Nearest to instance: placed, bookstore, pasts, geometrically, oscillators, philos, kruskal, husband,\n", "Nearest to channel: creditors, mbit, curler, channels, wb, hearsay, bandwidth, dts,\n", "Nearest to report: reports, credibility, annotated, santer, focusing, standish, html, comprehensive,\n", "Epoch 9/10 Iteration: 37100 Avg. Training loss: 3.8941 0.0937 sec/batch\n", "Epoch 9/10 Iteration: 37200 Avg. Training loss: 3.8418 0.1114 sec/batch\n", "Epoch 9/10 Iteration: 37300 Avg. Training loss: 3.8491 0.1207 sec/batch\n", "Epoch 9/10 Iteration: 37400 Avg. Training loss: 3.8795 0.1237 sec/batch\n", "Epoch 9/10 Iteration: 37500 Avg. Training loss: 3.8064 0.1177 sec/batch\n", "Epoch 9/10 Iteration: 37600 Avg. Training loss: 3.8517 0.1224 sec/batch\n", "Epoch 9/10 Iteration: 37700 Avg. Training loss: 3.8122 0.1167 sec/batch\n", "Epoch 9/10 Iteration: 37800 Avg. Training loss: 3.8771 0.1231 sec/batch\n", "Epoch 9/10 Iteration: 37900 Avg. Training loss: 3.8810 0.1157 sec/batch\n", "Epoch 9/10 Iteration: 38000 Avg. Training loss: 3.8750 0.1181 sec/batch\n", "Nearest to for: the, to, and, in, given, by, first, a,\n", "Nearest to would: that, to, with, relegated, in, than, coastlands, asians,\n", "Nearest to known: which, most, with, hoosiers, first, and, many, name,\n", "Nearest to used: commonly, use, is, common, netbios, cirth, as, other,\n", "Nearest to at: the, of, two, as, and, travelling, to, s,\n", "Nearest to such: other, as, can, group, lysenkoism, exotic, many, american,\n", "Nearest to called: the, bother, supersessionism, hardin, is, of, anakkale, eusocial,\n", "Nearest to when: be, was, painda, attractive, initial, trouble, remove, but,\n", "Nearest to taking: go, pia, salim, xo, levees, nba, boosts, fugees,\n", "Nearest to consists: chamber, calderon, consist, conscience, couturat, eee, sint, appoints,\n", "Nearest to scale: diatonic, motherhood, capricornus, correlations, mellin, chords, gears, trillions,\n", "Nearest to units: unit, prefixes, fortieth, si, force, typewriter, hubei, dera,\n", "Nearest to ice: rink, joaquin, pyotr, louth, hockey, sweden, ussr, plasmodium,\n", "Nearest to instance: placed, bookstore, pasts, philos, accepts, geometrically, oscillators, kruskal,\n", "Nearest to channel: creditors, curler, wb, restructured, channels, mbit, dts, bandwidth,\n", "Nearest to report: reports, credibility, annotated, focusing, santer, standish, html, spirituality,\n", "Epoch 9/10 Iteration: 38100 Avg. Training loss: 3.8705 0.1189 sec/batch\n", "Epoch 9/10 Iteration: 38200 Avg. Training loss: 3.7634 0.1132 sec/batch\n", "Epoch 9/10 Iteration: 38300 Avg. Training loss: 3.8207 0.1136 sec/batch\n", "Epoch 9/10 Iteration: 38400 Avg. Training loss: 3.7974 0.1140 sec/batch\n", "Epoch 9/10 Iteration: 38500 Avg. Training loss: 3.8033 0.1138 sec/batch\n", "Epoch 9/10 Iteration: 38600 Avg. Training loss: 3.8553 0.1134 sec/batch\n", "Epoch 9/10 Iteration: 38700 Avg. Training loss: 3.8482 0.1135 sec/batch\n", "Epoch 9/10 Iteration: 38800 Avg. Training loss: 3.8287 0.1131 sec/batch\n", "Epoch 9/10 Iteration: 38900 Avg. Training loss: 3.9033 0.1122 sec/batch\n", "Epoch 9/10 Iteration: 39000 Avg. Training loss: 3.8907 0.1133 sec/batch\n", "Nearest to for: the, to, and, in, given, have, by, a,\n", "Nearest to would: to, that, relegated, with, than, coastlands, in, it,\n", "Nearest to known: which, most, with, hoosiers, first, banach, the, in,\n", "Nearest to used: commonly, is, use, common, for, occasionally, as, invented,\n", "Nearest to at: the, of, to, two, travelling, as, dominants, and,\n", "Nearest to such: as, other, and, can, many, exotic, lysenkoism, types,\n", "Nearest to called: the, is, bother, supersessionism, eusocial, of, rearranged, a,\n", "Nearest to when: be, was, attractive, remove, initial, edinburgh, painda, time,\n", "Nearest to taking: go, levees, pia, xo, nba, fugees, nsaids, boosts,\n", "Nearest to consists: consist, chamber, calderon, conscience, couturat, located, leblanc, eee,\n", "Nearest to scale: diatonic, suggests, trillions, motherhood, mellin, correlations, capricornus, effects,\n", "Nearest to units: unit, prefixes, fortieth, si, typewriter, force, hubei, dera,\n", "Nearest to ice: rink, pyotr, joaquin, louth, sweden, hockey, plasmodium, frozen,\n", "Nearest to instance: placed, geometrically, philos, bookstore, pasts, accepts, oscillators, contacts,\n", "Nearest to channel: curler, creditors, wb, restructured, channels, mbit, bandwidth, hearsay,\n", "Nearest to report: reports, credibility, focusing, annotated, santer, standish, binge, html,\n", "Epoch 9/10 Iteration: 39100 Avg. Training loss: 3.8177 0.1132 sec/batch\n", "Epoch 9/10 Iteration: 39200 Avg. Training loss: 3.8758 0.1144 sec/batch\n", "Epoch 9/10 Iteration: 39300 Avg. Training loss: 3.8498 0.1183 sec/batch\n", "Epoch 9/10 Iteration: 39400 Avg. Training loss: 3.8540 0.1166 sec/batch\n", "Epoch 9/10 Iteration: 39500 Avg. Training loss: 3.8741 0.1142 sec/batch\n", "Epoch 9/10 Iteration: 39600 Avg. Training loss: 3.8607 0.1127 sec/batch\n", "Epoch 9/10 Iteration: 39700 Avg. Training loss: 3.8709 0.1122 sec/batch\n", "Epoch 9/10 Iteration: 39800 Avg. Training loss: 3.8405 0.1132 sec/batch\n", "Epoch 9/10 Iteration: 39900 Avg. Training loss: 3.8565 0.1126 sec/batch\n", "Epoch 9/10 Iteration: 40000 Avg. Training loss: 3.8557 0.1125 sec/batch\n", "Nearest to for: given, the, to, in, by, and, of, have,\n", "Nearest to would: that, to, than, with, manorialism, coastlands, relegated, lege,\n", "Nearest to known: which, with, most, first, name, this, by, pisin,\n", "Nearest to used: is, use, commonly, common, other, for, as, occasionally,\n", "Nearest to at: the, of, travelling, dominants, to, two, as, in,\n", "Nearest to such: as, other, types, and, lysenkoism, exotic, many, american,\n", "Nearest to called: the, is, bother, of, supersessionism, rearranged, a, eusocial,\n", "Nearest to when: be, initial, the, attractive, painda, time, was, scotland,\n", "Nearest to taking: pia, go, levees, novels, xo, fugees, salim, neustria,\n", "Nearest to consists: consist, chamber, calderon, leblanc, conscience, located, couturat, composed,\n", "Nearest to scale: diatonic, suggests, correlations, capricornus, motherhood, trillions, mellin, effects,\n", "Nearest to units: unit, prefixes, fortieth, si, typewriter, dera, force, hubei,\n", "Nearest to ice: rink, pyotr, joaquin, louth, plasmodium, ussr, sweden, hockey,\n", "Nearest to instance: placed, geometrically, philos, accepts, kruskal, pasts, bookstore, barcodes,\n", "Nearest to channel: creditors, curler, mbit, bandwidth, wb, restructured, channels, broadcasts,\n", "Nearest to report: reports, credibility, santer, annotated, focusing, zangger, html, standish,\n", "Epoch 9/10 Iteration: 40100 Avg. Training loss: 3.8686 0.1133 sec/batch\n", "Epoch 9/10 Iteration: 40200 Avg. Training loss: 3.8666 0.1148 sec/batch\n", "Epoch 9/10 Iteration: 40300 Avg. Training loss: 3.8254 0.1171 sec/batch\n", "Epoch 9/10 Iteration: 40400 Avg. Training loss: 3.8455 0.1171 sec/batch\n", "Epoch 9/10 Iteration: 40500 Avg. Training loss: 3.8998 0.1156 sec/batch\n", "Epoch 9/10 Iteration: 40600 Avg. Training loss: 3.8319 0.1151 sec/batch\n", "Epoch 9/10 Iteration: 40700 Avg. Training loss: 3.9923 0.1180 sec/batch\n", "Epoch 9/10 Iteration: 40800 Avg. Training loss: 3.8747 0.1179 sec/batch\n", "Epoch 9/10 Iteration: 40900 Avg. Training loss: 3.8889 0.1259 sec/batch\n", "Epoch 9/10 Iteration: 41000 Avg. Training loss: 3.8198 0.1099 sec/batch\n", "Nearest to for: the, given, to, in, of, have, and, by,\n", "Nearest to would: that, to, coastlands, with, manorialism, relegated, yet, asians,\n", "Nearest to known: with, most, which, this, name, first, by, hoosiers,\n", "Nearest to used: commonly, is, use, common, for, invented, netbios, or,\n", "Nearest to at: the, of, travelling, as, and, where, dominants, to,\n", "Nearest to such: as, other, many, types, can, american, lysenkoism, dodging,\n", "Nearest to called: the, is, bother, of, supersessionism, hardin, a, eusocial,\n", "Nearest to when: be, painda, was, initial, remove, refuse, edinburgh, scotland,\n", "Nearest to taking: go, pia, levees, xo, fugees, novels, reestablishing, boosts,\n", "Nearest to consists: chamber, calderon, consist, conscience, leblanc, judicial, couturat, mayors,\n", "Nearest to scale: diatonic, suggests, mellin, correlations, capricornus, motherhood, trillions, accidentals,\n", "Nearest to units: unit, prefixes, fortieth, si, dera, force, typewriter, kilogram,\n", "Nearest to ice: rink, pyotr, hockey, joaquin, ussr, plasmodium, louth, sweden,\n", "Nearest to instance: placed, geometrically, philos, pasts, accepts, bookstore, kruskal, oscillators,\n", "Nearest to channel: creditors, curler, channels, restructured, mbit, hearsay, wb, bandwidth,\n", "Nearest to report: reports, credibility, santer, commission, annotated, zangger, focusing, binge,\n", "Epoch 9/10 Iteration: 41100 Avg. Training loss: 3.7843 0.1144 sec/batch\n", "Epoch 9/10 Iteration: 41200 Avg. Training loss: 3.8725 0.1137 sec/batch\n", "Epoch 9/10 Iteration: 41300 Avg. Training loss: 3.8033 0.1140 sec/batch\n", "Epoch 9/10 Iteration: 41400 Avg. Training loss: 3.8783 0.1153 sec/batch\n", "Epoch 9/10 Iteration: 41500 Avg. Training loss: 3.8427 0.1154 sec/batch\n", "Epoch 9/10 Iteration: 41600 Avg. Training loss: 3.8499 0.1160 sec/batch\n", "Epoch 10/10 Iteration: 41700 Avg. Training loss: 3.8824 0.0667 sec/batch\n", "Epoch 10/10 Iteration: 41800 Avg. Training loss: 3.8163 0.1239 sec/batch\n", "Epoch 10/10 Iteration: 41900 Avg. Training loss: 3.8315 0.1177 sec/batch\n", "Epoch 10/10 Iteration: 42000 Avg. Training loss: 3.8348 0.1208 sec/batch\n", "Nearest to for: the, to, given, and, in, a, by, as,\n", "Nearest to would: that, to, coastlands, with, relegated, than, lege, in,\n", "Nearest to known: most, which, with, the, by, first, name, in,\n", "Nearest to used: commonly, use, is, common, or, as, invented, cirth,\n", "Nearest to at: the, of, as, travelling, to, in, where, and,\n", "Nearest to such: as, other, types, can, any, and, lysenkoism, musical,\n", "Nearest to called: the, is, bother, of, a, supersessionism, systematized, hardin,\n", "Nearest to when: was, be, initial, the, painda, then, in, remove,\n", "Nearest to taking: levees, boosts, go, fugees, xo, pia, ukrainians, salim,\n", "Nearest to consists: chamber, consist, calderon, conscience, leblanc, couturat, sint, judicial,\n", "Nearest to scale: diatonic, capricornus, suggests, accidentals, mellin, motherhood, specifying, scales,\n", "Nearest to units: unit, prefixes, fortieth, si, measurement, kilogram, dera, force,\n", "Nearest to ice: rink, pyotr, joaquin, ussr, louth, hockey, plasmodium, sweden,\n", "Nearest to instance: placed, pasts, geometrically, bookstore, philos, herders, kruskal, oscillators,\n", "Nearest to channel: creditors, curler, channels, mbit, wb, hearsay, bandwidth, restructured,\n", "Nearest to report: reports, credibility, annotated, commission, focusing, santer, binge, zangger,\n", "Epoch 10/10 Iteration: 42100 Avg. Training loss: 3.8185 0.1217 sec/batch\n", "Epoch 10/10 Iteration: 42200 Avg. Training loss: 3.8360 0.1214 sec/batch\n", "Epoch 10/10 Iteration: 42300 Avg. Training loss: 3.8103 0.1212 sec/batch\n", "Epoch 10/10 Iteration: 42400 Avg. Training loss: 3.8191 0.1210 sec/batch\n", "Epoch 10/10 Iteration: 42500 Avg. Training loss: 3.8747 0.1212 sec/batch\n", "Epoch 10/10 Iteration: 42600 Avg. Training loss: 3.8540 0.1210 sec/batch\n", "Epoch 10/10 Iteration: 42700 Avg. Training loss: 3.8766 0.1211 sec/batch\n", "Epoch 10/10 Iteration: 42800 Avg. Training loss: 3.7192 0.1214 sec/batch\n", "Epoch 10/10 Iteration: 42900 Avg. Training loss: 3.8094 0.1219 sec/batch\n", "Epoch 10/10 Iteration: 43000 Avg. Training loss: 3.7974 0.1225 sec/batch\n", "Nearest to for: the, to, and, given, a, in, of, by,\n", "Nearest to would: that, to, relegated, than, coastlands, in, because, with,\n", "Nearest to known: most, which, with, by, in, first, the, this,\n", "Nearest to used: is, commonly, use, common, as, for, or, occasionally,\n", "Nearest to at: the, and, two, as, of, degree, in, s,\n", "Nearest to such: as, other, can, and, types, many, any, american,\n", "Nearest to called: is, the, a, of, bother, and, supersessionism, systematized,\n", "Nearest to when: be, initial, was, then, remove, time, the, before,\n", "Nearest to taking: go, pia, fugees, levees, nsaids, boosts, xo, ukrainians,\n", "Nearest to consists: consist, chamber, conscience, calderon, couturat, composed, leblanc, the,\n", "Nearest to scale: diatonic, suggests, motherhood, capricornus, mellin, accidentals, specifying, trillions,\n", "Nearest to units: unit, prefixes, fortieth, si, measurement, hubei, dera, kilogram,\n", "Nearest to ice: rink, pyotr, joaquin, ussr, plasmodium, detection, jabir, louth,\n", "Nearest to instance: placed, philos, geometrically, kruskal, pasts, accepts, xa, oscillators,\n", "Nearest to channel: creditors, wb, channels, hearsay, curler, mbit, restructured, carnivores,\n", "Nearest to report: reports, credibility, annotated, santer, focusing, commission, binge, html,\n", "Epoch 10/10 Iteration: 43100 Avg. Training loss: 3.7622 0.1223 sec/batch\n", "Epoch 10/10 Iteration: 43200 Avg. Training loss: 3.8084 0.1211 sec/batch\n", "Epoch 10/10 Iteration: 43300 Avg. Training loss: 3.8268 0.1220 sec/batch\n", "Epoch 10/10 Iteration: 43400 Avg. Training loss: 3.8140 0.1209 sec/batch\n", "Epoch 10/10 Iteration: 43500 Avg. Training loss: 3.8296 0.1220 sec/batch\n", "Epoch 10/10 Iteration: 43600 Avg. Training loss: 3.8960 0.1191 sec/batch\n", "Epoch 10/10 Iteration: 43700 Avg. Training loss: 3.8529 0.1213 sec/batch\n", "Epoch 10/10 Iteration: 43800 Avg. Training loss: 3.8322 0.1238 sec/batch\n", "Epoch 10/10 Iteration: 43900 Avg. Training loss: 3.8167 0.1228 sec/batch\n", "Epoch 10/10 Iteration: 44000 Avg. Training loss: 3.8544 0.1259 sec/batch\n", "Nearest to for: the, to, and, given, in, a, of, by,\n", "Nearest to would: that, to, than, relegated, in, coastlands, asians, it,\n", "Nearest to known: most, which, with, this, in, first, the, by,\n", "Nearest to used: is, commonly, use, common, occasionally, other, often, for,\n", "Nearest to at: the, of, as, degree, and, travelling, in, dominants,\n", "Nearest to such: as, other, can, and, any, types, the, american,\n", "Nearest to called: is, the, bother, a, of, systematized, rearranged, supersessionism,\n", "Nearest to when: be, initial, attractive, was, painda, time, tire, somehow,\n", "Nearest to taking: pia, go, fugees, levees, nsaids, reestablishing, boosts, nba,\n", "Nearest to consists: consist, chamber, conscience, calderon, leblanc, couturat, composed, hydrohalic,\n", "Nearest to scale: diatonic, suggests, capricornus, correlations, mellin, motherhood, trillions, townes,\n", "Nearest to units: unit, prefixes, measurement, fortieth, si, force, moller, remembrance,\n", "Nearest to ice: rink, pyotr, joaquin, ussr, plasmodium, sweden, jabir, frozen,\n", "Nearest to instance: placed, pasts, geometrically, accepts, kruskal, philos, barcodes, bookstore,\n", "Nearest to channel: creditors, wb, curler, channels, mbit, hearsay, bandwidth, broadcasts,\n", "Nearest to report: reports, credibility, santer, annotated, zangger, commission, binge, focusing,\n", "Epoch 10/10 Iteration: 44100 Avg. Training loss: 3.8485 0.1220 sec/batch\n", "Epoch 10/10 Iteration: 44200 Avg. Training loss: 3.8296 0.1186 sec/batch\n", "Epoch 10/10 Iteration: 44300 Avg. Training loss: 3.8256 0.1181 sec/batch\n", "Epoch 10/10 Iteration: 44400 Avg. Training loss: 3.8264 0.1154 sec/batch\n", "Epoch 10/10 Iteration: 44500 Avg. Training loss: 3.8798 0.1159 sec/batch\n", "Epoch 10/10 Iteration: 44600 Avg. Training loss: 3.8181 0.1083 sec/batch\n", "Epoch 10/10 Iteration: 44700 Avg. Training loss: 3.8231 0.1113 sec/batch\n", "Epoch 10/10 Iteration: 44800 Avg. Training loss: 3.8373 0.1067 sec/batch\n", "Epoch 10/10 Iteration: 44900 Avg. Training loss: 3.7952 0.1103 sec/batch\n", "Epoch 10/10 Iteration: 45000 Avg. Training loss: 3.8190 0.1097 sec/batch\n", "Nearest to for: the, to, in, given, of, by, and, a,\n", "Nearest to would: that, to, than, with, in, it, relegated, coastlands,\n", "Nearest to known: most, with, which, first, in, by, the, this,\n", "Nearest to used: is, use, common, commonly, other, often, for, to,\n", "Nearest to at: the, of, in, as, two, three, degree, and,\n", "Nearest to such: as, other, and, types, any, can, many, american,\n", "Nearest to called: is, the, bother, a, of, eusocial, identical, rearranged,\n", "Nearest to when: be, initial, the, attractive, remove, time, before, was,\n", "Nearest to taking: pia, go, nsaids, fugees, boosts, neustria, reestablishing, xo,\n", "Nearest to consists: consist, chamber, calderon, leblanc, conscience, composed, couturat, located,\n", "Nearest to scale: diatonic, suggests, capricornus, motherhood, correlations, mellin, trillions, accede,\n", "Nearest to units: unit, prefixes, fortieth, measurement, remembrance, force, si, dera,\n", "Nearest to ice: rink, pyotr, ussr, joaquin, sweden, hockey, plasmodium, louth,\n", "Nearest to instance: placed, pasts, geometrically, kruskal, philos, accepts, barcodes, xa,\n", "Nearest to channel: creditors, channels, curler, mbit, wb, bandwidth, hearsay, restructured,\n", "Nearest to report: reports, credibility, santer, annotated, zangger, commission, focusing, lists,\n", "Epoch 10/10 Iteration: 45100 Avg. Training loss: 3.8512 0.1079 sec/batch\n", "Epoch 10/10 Iteration: 45200 Avg. Training loss: 3.8194 0.1076 sec/batch\n", "Epoch 10/10 Iteration: 45300 Avg. Training loss: 3.9229 0.1111 sec/batch\n", "Epoch 10/10 Iteration: 45400 Avg. Training loss: 3.9125 0.1113 sec/batch\n", "Epoch 10/10 Iteration: 45500 Avg. Training loss: 3.8759 0.1216 sec/batch\n", "Epoch 10/10 Iteration: 45600 Avg. Training loss: 3.8293 0.1217 sec/batch\n", "Epoch 10/10 Iteration: 45700 Avg. Training loss: 3.8020 0.1224 sec/batch\n", "Epoch 10/10 Iteration: 45800 Avg. Training loss: 3.8479 0.1217 sec/batch\n", "Epoch 10/10 Iteration: 45900 Avg. Training loss: 3.7367 0.1218 sec/batch\n", "Epoch 10/10 Iteration: 46000 Avg. Training loss: 3.8804 0.1215 sec/batch\n", "Nearest to for: the, and, to, a, given, of, in, from,\n", "Nearest to would: that, to, than, coastlands, asians, relegated, with, because,\n", "Nearest to known: most, with, which, the, first, by, in, this,\n", "Nearest to used: commonly, is, use, common, or, often, other, as,\n", "Nearest to at: the, in, of, as, degree, s, to, two,\n", "Nearest to such: as, other, and, types, many, can, any, exotic,\n", "Nearest to called: the, is, of, a, bother, identical, rearranged, hardin,\n", "Nearest to when: be, the, was, initial, remove, laga, then, painda,\n", "Nearest to taking: pia, go, fugees, ukrainians, reestablishing, xo, malm, boosts,\n", "Nearest to consists: chamber, consist, calderon, leblanc, conscience, judicial, composed, couturat,\n", "Nearest to scale: diatonic, suggests, capricornus, accidentals, mellin, motherhood, trillions, accede,\n", "Nearest to units: unit, prefixes, measurement, fortieth, si, remembrance, force, dera,\n", "Nearest to ice: rink, pyotr, ussr, joaquin, hockey, sweden, louth, plasmodium,\n", "Nearest to instance: placed, pasts, geometrically, kruskal, philos, lenses, barcodes, oscillators,\n", "Nearest to channel: creditors, channels, curler, hearsay, mbit, wb, carnivores, bandwidth,\n", "Nearest to report: reports, credibility, annotated, commission, zangger, santer, focusing, lists,\n", "Epoch 10/10 Iteration: 46100 Avg. Training loss: 3.8255 0.1184 sec/batch\n", "Epoch 10/10 Iteration: 46200 Avg. Training loss: 3.8518 0.1119 sec/batch\n" ] } ], "source": [ "epochs = 10\n", "batch_size = 1000\n", "window_size = 10\n", "\n", "with train_graph.as_default():\n", " saver = tf.train.Saver()\n", "\n", "with tf.Session(graph=train_graph) as sess:\n", " iteration = 1\n", " loss = 0\n", " sess.run(tf.global_variables_initializer())\n", "\n", " for e in range(1, epochs+1):\n", " batches = get_batches(train_words, batch_size, window_size)\n", " start = time.time()\n", " for x, y in batches:\n", " \n", " feed = {inputs: x,\n", " labels: np.array(y)[:, None]}\n", " train_loss, _ = sess.run([cost, optimizer], feed_dict=feed)\n", " \n", " loss += train_loss\n", " \n", " if iteration % 100 == 0: \n", " end = time.time()\n", " print(\"Epoch {}/{}\".format(e, epochs),\n", " \"Iteration: {}\".format(iteration),\n", " \"Avg. Training loss: {:.4f}\".format(loss/100),\n", " \"{:.4f} sec/batch\".format((end-start)/100))\n", " loss = 0\n", " start = time.time()\n", " \n", " if iteration % 1000 == 0:\n", " # note that this is expensive (~20% slowdown if computed every 500 steps)\n", " sim = similarity.eval()\n", " for i in range(valid_size):\n", " valid_word = int_to_vocab[valid_examples[i]]\n", " top_k = 8 # number of nearest neighbors\n", " nearest = (-sim[i, :]).argsort()[1:top_k+1]\n", " log = 'Nearest to %s:' % valid_word\n", " for k in range(top_k):\n", " close_word = int_to_vocab[nearest[k]]\n", " log = '%s %s,' % (log, close_word)\n", " print(log)\n", " \n", " iteration += 1\n", " save_path = saver.save(sess, \"checkpoints/text8.ckpt\")\n", " embed_mat = sess.run(normalized_embedding)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Restore the trained network if you need to:" ] }, { "cell_type": "code", "execution_count": 20, "metadata": { "collapsed": false }, "outputs": [], "source": [ "with train_graph.as_default():\n", " saver = tf.train.Saver()\n", "\n", "with tf.Session(graph=train_graph) as sess:\n", " saver.restore(sess, tf.train.latest_checkpoint('checkpoints'))\n", " embed_mat = sess.run(embedding)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Visualizing the word vectors\n", "\n", "Below we'll use T-SNE to visualize how our high-dimensional word vectors cluster together. T-SNE is used to project these vectors into two dimensions while preserving local stucture. Check out [this post from Christopher Olah](http://colah.github.io/posts/2014-10-Visualizing-MNIST/) to learn more about T-SNE and other ways to visualize high-dimensional data." ] }, { "cell_type": "code", "execution_count": 115, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [], "source": [ "%matplotlib inline\n", "%config InlineBackend.figure_format = 'retina'\n", "\n", "import matplotlib.pyplot as plt\n", "from sklearn.manifold import TSNE" ] }, { "cell_type": "code", "execution_count": 138, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [], "source": [ "viz_words = 500\n", "tsne = TSNE()\n", "embed_tsne = tsne.fit_transform(embed_mat[:viz_words, :])" ] }, { "cell_type": "code", "execution_count": 139, "metadata": { "collapsed": false, "deletable": true, "editable": true }, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAABoEAAAYzCAYAAAA7x5RXAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAAAANJAQCAAAAAAAoIH+PwORGSPuq1oXAAAAAElFTkSuQmCC\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f5f8c12e358>" ] }, "metadata": { "image/png": { "height": 793, "width": 832 } }, "output_type": "display_data" } ], "source": [ "fig, ax = plt.subplots(figsize=(14, 14))\n", "for idx in range(viz_words):\n", " plt.scatter(*embed_tsne[idx, :], color='steelblue')\n", " plt.annotate(int_to_vocab[idx], (embed_tsne[idx, 0], embed_tsne[idx, 1]), alpha=0.7)" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.0" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
decisionstats/pythonfordatascience
regression.ipynb
1
26810
{ "cells": [ { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import pandas as pd" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import statsmodels.formula.api as sm" ] }, { "cell_type": "code", "execution_count": 4, "metadata": {}, "outputs": [], "source": [ "iris=pd.read_csv(\"http://vincentarelbundock.github.io/Rdatasets/csv/datasets/iris.csv\")" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": true }, "outputs": [], "source": [ "iris =iris.drop('Unnamed: 0', 1)" ] }, { "cell_type": "code", "execution_count": 7, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>Sepal.Length</th>\n", " <th>Sepal.Width</th>\n", " <th>Petal.Length</th>\n", " <th>Petal.Width</th>\n", " <th>Species</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>5.1</td>\n", " <td>3.5</td>\n", " <td>1.4</td>\n", " <td>0.2</td>\n", " <td>setosa</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>4.9</td>\n", " <td>3.0</td>\n", " <td>1.4</td>\n", " <td>0.2</td>\n", " <td>setosa</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>4.7</td>\n", " <td>3.2</td>\n", " <td>1.3</td>\n", " <td>0.2</td>\n", " <td>setosa</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>4.6</td>\n", " <td>3.1</td>\n", " <td>1.5</td>\n", " <td>0.2</td>\n", " <td>setosa</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>5.0</td>\n", " <td>3.6</td>\n", " <td>1.4</td>\n", " <td>0.2</td>\n", " <td>setosa</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " Sepal.Length Sepal.Width Petal.Length Petal.Width Species\n", "0 5.1 3.5 1.4 0.2 setosa\n", "1 4.9 3.0 1.4 0.2 setosa\n", "2 4.7 3.2 1.3 0.2 setosa\n", "3 4.6 3.1 1.5 0.2 setosa\n", "4 5.0 3.6 1.4 0.2 setosa" ] }, "execution_count": 7, "metadata": {}, "output_type": "execute_result" } ], "source": [ "iris.head()" ] }, { "cell_type": "code", "execution_count": 15, "metadata": {}, "outputs": [], "source": [ "iris.columns=['Sepal_Length', 'Sepal_Width', 'Petal_Length', 'Petal_Width',\n", " 'Species']" ] }, { "cell_type": "code", "execution_count": 16, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "Index(['Sepal_Length', 'Sepal_Width', 'Petal_Length', 'Petal_Width',\n", " 'Species'],\n", " dtype='object')" ] }, "execution_count": 16, "metadata": {}, "output_type": "execute_result" } ], "source": [ "iris.columns" ] }, { "cell_type": "code", "execution_count": 17, "metadata": {}, "outputs": [], "source": [ "result = sm.ols(formula=\"Sepal_Length ~ Petal_Length + Sepal_Width + Petal_Width + Species\", data=iris)\n" ] }, { "cell_type": "code", "execution_count": 18, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "<statsmodels.regression.linear_model.RegressionResultsWrapper at 0x9bafe10>" ] }, "execution_count": 18, "metadata": {}, "output_type": "execute_result" } ], "source": [ "result.fit()" ] }, { "cell_type": "code", "execution_count": 19, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<table class=\"simpletable\">\n", "<caption>OLS Regression Results</caption>\n", "<tr>\n", " <th>Dep. Variable:</th> <td>Sepal_Length</td> <th> R-squared: </th> <td> 0.867</td>\n", "</tr>\n", "<tr>\n", " <th>Model:</th> <td>OLS</td> <th> Adj. R-squared: </th> <td> 0.863</td>\n", "</tr>\n", "<tr>\n", " <th>Method:</th> <td>Least Squares</td> <th> F-statistic: </th> <td> 188.3</td>\n", "</tr>\n", "<tr>\n", " <th>Date:</th> <td>Mon, 13 Mar 2017</td> <th> Prob (F-statistic):</th> <td>2.67e-61</td>\n", "</tr>\n", "<tr>\n", " <th>Time:</th> <td>17:56:48</td> <th> Log-Likelihood: </th> <td> -32.558</td>\n", "</tr>\n", "<tr>\n", " <th>No. Observations:</th> <td> 150</td> <th> AIC: </th> <td> 77.12</td>\n", "</tr>\n", "<tr>\n", " <th>Df Residuals:</th> <td> 144</td> <th> BIC: </th> <td> 95.18</td>\n", "</tr>\n", "<tr>\n", " <th>Df Model:</th> <td> 5</td> <th> </th> <td> </td> \n", "</tr>\n", "<tr>\n", " <th>Covariance Type:</th> <td>nonrobust</td> <th> </th> <td> </td> \n", "</tr>\n", "</table>\n", "<table class=\"simpletable\">\n", "<tr>\n", " <td></td> <th>coef</th> <th>std err</th> <th>t</th> <th>P>|t|</th> <th>[95.0% Conf. Int.]</th> \n", "</tr>\n", "<tr>\n", " <th>Intercept</th> <td> 2.1713</td> <td> 0.280</td> <td> 7.760</td> <td> 0.000</td> <td> 1.618 2.724</td>\n", "</tr>\n", "<tr>\n", " <th>Species[T.versicolor]</th> <td> -0.7236</td> <td> 0.240</td> <td> -3.013</td> <td> 0.003</td> <td> -1.198 -0.249</td>\n", "</tr>\n", "<tr>\n", " <th>Species[T.virginica]</th> <td> -1.0235</td> <td> 0.334</td> <td> -3.067</td> <td> 0.003</td> <td> -1.683 -0.364</td>\n", "</tr>\n", "<tr>\n", " <th>Petal_Length</th> <td> 0.8292</td> <td> 0.069</td> <td> 12.101</td> <td> 0.000</td> <td> 0.694 0.965</td>\n", "</tr>\n", "<tr>\n", " <th>Sepal_Width</th> <td> 0.4959</td> <td> 0.086</td> <td> 5.761</td> <td> 0.000</td> <td> 0.326 0.666</td>\n", "</tr>\n", "<tr>\n", " <th>Petal_Width</th> <td> -0.3152</td> <td> 0.151</td> <td> -2.084</td> <td> 0.039</td> <td> -0.614 -0.016</td>\n", "</tr>\n", "</table>\n", "<table class=\"simpletable\">\n", "<tr>\n", " <th>Omnibus:</th> <td> 0.418</td> <th> Durbin-Watson: </th> <td> 1.966</td>\n", "</tr>\n", "<tr>\n", " <th>Prob(Omnibus):</th> <td> 0.811</td> <th> Jarque-Bera (JB): </th> <td> 0.572</td>\n", "</tr>\n", "<tr>\n", " <th>Skew:</th> <td>-0.060</td> <th> Prob(JB): </th> <td> 0.751</td>\n", "</tr>\n", "<tr>\n", " <th>Kurtosis:</th> <td> 2.722</td> <th> Cond. No. </th> <td> 94.0</td>\n", "</tr>\n", "</table>" ], "text/plain": [ "<class 'statsmodels.iolib.summary.Summary'>\n", "\"\"\"\n", " OLS Regression Results \n", "==============================================================================\n", "Dep. Variable: Sepal_Length R-squared: 0.867\n", "Model: OLS Adj. R-squared: 0.863\n", "Method: Least Squares F-statistic: 188.3\n", "Date: Mon, 13 Mar 2017 Prob (F-statistic): 2.67e-61\n", "Time: 17:56:48 Log-Likelihood: -32.558\n", "No. Observations: 150 AIC: 77.12\n", "Df Residuals: 144 BIC: 95.18\n", "Df Model: 5 \n", "Covariance Type: nonrobust \n", "=========================================================================================\n", " coef std err t P>|t| [95.0% Conf. Int.]\n", "-----------------------------------------------------------------------------------------\n", "Intercept 2.1713 0.280 7.760 0.000 1.618 2.724\n", "Species[T.versicolor] -0.7236 0.240 -3.013 0.003 -1.198 -0.249\n", "Species[T.virginica] -1.0235 0.334 -3.067 0.003 -1.683 -0.364\n", "Petal_Length 0.8292 0.069 12.101 0.000 0.694 0.965\n", "Sepal_Width 0.4959 0.086 5.761 0.000 0.326 0.666\n", "Petal_Width -0.3152 0.151 -2.084 0.039 -0.614 -0.016\n", "==============================================================================\n", "Omnibus: 0.418 Durbin-Watson: 1.966\n", "Prob(Omnibus): 0.811 Jarque-Bera (JB): 0.572\n", "Skew: -0.060 Prob(JB): 0.751\n", "Kurtosis: 2.722 Cond. No. 94.0\n", "==============================================================================\n", "\n", "Warnings:\n", "[1] Standard Errors assume that the covariance matrix of the errors is correctly specified.\n", "\"\"\"" ] }, "execution_count": 19, "metadata": {}, "output_type": "execute_result" } ], "source": [ "result.fit().summary()" ] }, { "cell_type": "code", "execution_count": 20, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "Intercept 2.171266\n", "Species[T.versicolor] -0.723562\n", "Species[T.virginica] -1.023498\n", "Petal_Length 0.829244\n", "Sepal_Width 0.495889\n", "Petal_Width -0.315155\n", "dtype: float64" ] }, "execution_count": 20, "metadata": {}, "output_type": "execute_result" } ], "source": [ "result.fit().params" ] }, { "cell_type": "code", "execution_count": 23, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<div>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>student_resid</th>\n", " <th>unadj_p</th>\n", " <th>bonf(p)</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>0.312689</td>\n", " <td>0.754973</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>0.473016</td>\n", " <td>0.636923</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>-0.240279</td>\n", " <td>0.810458</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>-0.956277</td>\n", " <td>0.340546</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>-0.178770</td>\n", " <td>0.858371</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td>0.036712</td>\n", " <td>0.970765</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>6</th>\n", " <td>-1.066895</td>\n", " <td>0.287817</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>7</th>\n", " <td>-0.125127</td>\n", " <td>0.900599</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>8</th>\n", " <td>-1.021792</td>\n", " <td>0.308605</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>9</th>\n", " <td>-0.068824</td>\n", " <td>0.945226</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>10</th>\n", " <td>0.703086</td>\n", " <td>0.483145</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>11</th>\n", " <td>-1.058507</td>\n", " <td>0.291609</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>12</th>\n", " <td>0.038433</td>\n", " <td>0.969396</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>13</th>\n", " <td>-0.792571</td>\n", " <td>0.429341</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>14</th>\n", " <td>2.431167</td>\n", " <td>0.016288</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>15</th>\n", " <td>0.778864</td>\n", " <td>0.437347</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>16</th>\n", " <td>1.142357</td>\n", " <td>0.255215</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>17</th>\n", " <td>0.416300</td>\n", " <td>0.677815</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>18</th>\n", " <td>1.089623</td>\n", " <td>0.277712</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>19</th>\n", " <td>-0.346136</td>\n", " <td>0.729749</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>20</th>\n", " <td>0.645498</td>\n", " <td>0.519639</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>21</th>\n", " <td>-0.078552</td>\n", " <td>0.937498</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>22</th>\n", " <td>-0.405720</td>\n", " <td>0.685554</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>23</th>\n", " <td>0.133053</td>\n", " <td>0.894339</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>24</th>\n", " <td>-1.904722</td>\n", " <td>0.058824</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>25</th>\n", " <td>0.255775</td>\n", " <td>0.798492</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>26</th>\n", " <td>-0.190906</td>\n", " <td>0.848870</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>27</th>\n", " <td>0.368833</td>\n", " <td>0.712798</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>28</th>\n", " <td>0.805113</td>\n", " <td>0.422091</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>29</th>\n", " <td>-1.063322</td>\n", " <td>0.289428</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>...</th>\n", " <td>...</td>\n", " <td>...</td>\n", " <td>...</td>\n", " </tr>\n", " <tr>\n", " <th>120</th>\n", " <td>0.540764</td>\n", " <td>0.589512</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>121</th>\n", " <td>-1.229878</td>\n", " <td>0.220762</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>122</th>\n", " <td>0.814912</td>\n", " <td>0.416478</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>123</th>\n", " <td>1.054229</td>\n", " <td>0.293556</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>124</th>\n", " <td>-0.491062</td>\n", " <td>0.624135</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>125</th>\n", " <td>0.191377</td>\n", " <td>0.848501</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>126</th>\n", " <td>0.833707</td>\n", " <td>0.405837</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>127</th>\n", " <td>-0.104393</td>\n", " <td>0.917003</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>128</th>\n", " <td>-0.388983</td>\n", " <td>0.697868</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>129</th>\n", " <td>0.878534</td>\n", " <td>0.381128</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>130</th>\n", " <td>1.353238</td>\n", " <td>0.178115</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>131</th>\n", " <td>0.653646</td>\n", " <td>0.514390</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>132</th>\n", " <td>-0.286276</td>\n", " <td>0.775081</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>133</th>\n", " <td>0.024680</td>\n", " <td>0.980344</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>134</th>\n", " <td>-1.876542</td>\n", " <td>0.062619</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>135</th>\n", " <td>2.474327</td>\n", " <td>0.014518</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>136</th>\n", " <td>-1.413807</td>\n", " <td>0.159592</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>137</th>\n", " <td>-0.924181</td>\n", " <td>0.356949</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>138</th>\n", " <td>-0.161519</td>\n", " <td>0.871913</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>139</th>\n", " <td>1.319446</td>\n", " <td>0.189129</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>140</th>\n", " <td>0.425193</td>\n", " <td>0.671335</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>141</th>\n", " <td>2.426542</td>\n", " <td>0.016488</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>142</th>\n", " <td>-1.048813</td>\n", " <td>0.296034</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>143</th>\n", " <td>-0.337894</td>\n", " <td>0.735939</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>144</th>\n", " <td>-0.077141</td>\n", " <td>0.938619</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>145</th>\n", " <td>1.606589</td>\n", " <td>0.110351</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>146</th>\n", " <td>1.215637</td>\n", " <td>0.226126</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>147</th>\n", " <td>0.602340</td>\n", " <td>0.547902</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>148</th>\n", " <td>-1.294716</td>\n", " <td>0.197505</td>\n", " <td>1.0</td>\n", " </tr>\n", " <tr>\n", " <th>149</th>\n", " <td>-1.321604</td>\n", " <td>0.188411</td>\n", " <td>1.0</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "<p>150 rows � 3 columns</p>\n", "</div>" ], "text/plain": [ " student_resid unadj_p bonf(p)\n", "0 0.312689 0.754973 1.0\n", "1 0.473016 0.636923 1.0\n", "2 -0.240279 0.810458 1.0\n", "3 -0.956277 0.340546 1.0\n", "4 -0.178770 0.858371 1.0\n", "5 0.036712 0.970765 1.0\n", "6 -1.066895 0.287817 1.0\n", "7 -0.125127 0.900599 1.0\n", "8 -1.021792 0.308605 1.0\n", "9 -0.068824 0.945226 1.0\n", "10 0.703086 0.483145 1.0\n", "11 -1.058507 0.291609 1.0\n", "12 0.038433 0.969396 1.0\n", "13 -0.792571 0.429341 1.0\n", "14 2.431167 0.016288 1.0\n", "15 0.778864 0.437347 1.0\n", "16 1.142357 0.255215 1.0\n", "17 0.416300 0.677815 1.0\n", "18 1.089623 0.277712 1.0\n", "19 -0.346136 0.729749 1.0\n", "20 0.645498 0.519639 1.0\n", "21 -0.078552 0.937498 1.0\n", "22 -0.405720 0.685554 1.0\n", "23 0.133053 0.894339 1.0\n", "24 -1.904722 0.058824 1.0\n", "25 0.255775 0.798492 1.0\n", "26 -0.190906 0.848870 1.0\n", "27 0.368833 0.712798 1.0\n", "28 0.805113 0.422091 1.0\n", "29 -1.063322 0.289428 1.0\n", ".. ... ... ...\n", "120 0.540764 0.589512 1.0\n", "121 -1.229878 0.220762 1.0\n", "122 0.814912 0.416478 1.0\n", "123 1.054229 0.293556 1.0\n", "124 -0.491062 0.624135 1.0\n", "125 0.191377 0.848501 1.0\n", "126 0.833707 0.405837 1.0\n", "127 -0.104393 0.917003 1.0\n", "128 -0.388983 0.697868 1.0\n", "129 0.878534 0.381128 1.0\n", "130 1.353238 0.178115 1.0\n", "131 0.653646 0.514390 1.0\n", "132 -0.286276 0.775081 1.0\n", "133 0.024680 0.980344 1.0\n", "134 -1.876542 0.062619 1.0\n", "135 2.474327 0.014518 1.0\n", "136 -1.413807 0.159592 1.0\n", "137 -0.924181 0.356949 1.0\n", "138 -0.161519 0.871913 1.0\n", "139 1.319446 0.189129 1.0\n", "140 0.425193 0.671335 1.0\n", "141 2.426542 0.016488 1.0\n", "142 -1.048813 0.296034 1.0\n", "143 -0.337894 0.735939 1.0\n", "144 -0.077141 0.938619 1.0\n", "145 1.606589 0.110351 1.0\n", "146 1.215637 0.226126 1.0\n", "147 0.602340 0.547902 1.0\n", "148 -1.294716 0.197505 1.0\n", "149 -1.321604 0.188411 1.0\n", "\n", "[150 rows x 3 columns]" ] }, "execution_count": 23, "metadata": {}, "output_type": "execute_result" } ], "source": [ "result.fit().outlier_test(method='bonf', alpha=0.05)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "anaconda-cloud": {}, "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.1" } }, "nbformat": 4, "nbformat_minor": 1 }
apache-2.0
probml/pyprobml
notebooks/misc/pixel_cnn_vq_vae.ipynb
1
2454065
null
mit
frainfreeze/studying
home/python/learningPython5thED/Learning python 5th ed..ipynb
1
28780
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Test your knowledge: Part II exercises\n", "## 1. The basics\n", "Run each of the following expressions, and try to\n", "explain what’s happening in each case. Note that the semicolon in some of these\n", "is being used as a statement separator, to squeeze multiple statements onto a single\n", "line: for example, X=1;X assigns and then prints a variable. Also remember that a comma between expressions usually builds a tuple, even if there are no enclosing parentheses: X,Y,Z\n", "is a three-item tuple, which Python prints back to you in parentheses." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "2 ** 16" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "2 / 5, 2 / 5.0" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "\"spam\" + \"eggs\"" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "S = \"ham\"\n", "\"eggs \" + S" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "S * 5" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "S[:0]" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "\"green %s and %s\" % (\"eggs\", S)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "'green {0} and {1}'.format('eggs', S)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "('x',)[0]" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "('x', 'y')[1]" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "L = [1,2,3] + [4,5,6]\n", "L, L[:], L[:0], L[-2], L[-2:]" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "([1,2,3] + [4,5,6])[2:4]" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "[L[2], L[3]]" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "L.reverse(); L" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "L.sort(); L" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "L.index(4)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "{'a':1, 'b':2}['b']" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "D = {'x':1, 'y':2, 'z':3}\n", "D['w'] = 0\n", "D" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "D['x'] + D['w']\n", "D" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "D[(1,2,3)] = 4\n", "D" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "list(D.keys()), list(D.values()), (1,2,3) in D" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "[[]], [\"\",[],(),{},None]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 2. Indexing and slicing\n", "At the interactive prompt, define a list named L that contains\n", "four strings or numbers (e.g., L=[0,1,2,3] ). Then, experiment with the following\n", "boundary cases. You may never see these cases in real programs (especially not in\n", "the bizarre ways they appear here!), but they are intended to make you think about\n", "the underlying model, and some may be useful in less artificial forms—slicing out\n", "of bounds can help, for example, if a sequence is as long as you expect:\n", "- What happens when you try to index out of bounds (e.g., L[4] )?\n", "- What about slicing out of bounds (e.g., L[−1000:100] )?\n", "- Finally, how does Python handle it if you try to extract a sequence in reverse,\n", "with the lower bound greater than the higher bound (e.g., L[3:1] )? Hint: try\n", "assigning to this slice ( L[3:1]=['?'] ), and see where the value is put. Do you\n", "think this may be the same phenomenon you saw when slicing out of bounds?" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 3. Indexing, slicing and del\n", "Define another list L with four items, and assign an empty\n", "list to one of its offsets (e.g., L[2]=[] ). What happens? Then, assign an empty list\n", "to a slice ( L[2:3]=[] ). What happens now? Recall that slice assignment deletes the\n", "slice and inserts the new value where it used to be.\n", "The del statement deletes offsets, keys, attributes, and names. Use it on your list\n", "to delete an item (e.g., del L[0] ). What happens if you delete an entire slice ( del\n", "L[1:] )? What happens when you assign a nonsequence to a slice ( L[1:2]=1 )?" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 4. Tuple assignment\n", "What do you think is happening to X and Y when you run following sequence?" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "X = 'spam'\n", "Y = 'eggs'\n", "X, Y = Y, X" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 5. Dictionary keys.\n", "You’ve learned that dictionaries aren’t accessed by offsets, so what’s going on here?" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "D = {}\n", "D[1] = 'a'\n", "D[2] = 'b'\n", "D" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Does the following shed any light on the subject? (Hint: strings, integers, and tuples\n", "share which type category?)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "D[(1, 2, 3)] = 'c'\n", "D" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 6. Dictionary indexing. \n", "Create a dictionary named D with three entries, for keys 'a' ,\n", "'b' , and 'c' . What happens if you try to index a nonexistent key ( D['d'] )? What\n", "does Python do if you try to assign to a nonexistent key 'd' (e.g., D['d']='spam' )?\n", "How does this compare to out-of-bounds assignments and references for lists?\n", "Does this sound like the rule for variable names?" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 7. Generic operations. \n", "Run interactive tests to answer the following questions:\n", "- What happens when you try to use the + operator on different/mixed types\n", "(e.g., string + list, list + tuple)?\n", "- Does + work when one of the operands is a dictionary?\n", "- Does the append method work for both lists and strings? How about using the\n", "keys method on lists? (Hint: what does append assume about its subject object?)\n", "- Finally, what type of object do you get back when you slice or concatenate two\n", "lists or two strings?" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 8. String indexing. \n", "Define a string S of four characters: S = \"spam\" . Then type the\n", "following expression: S[0][0][0][0][0] . Any clue as to what’s happening this time?\n", "(Hint: recall that a string is a collection of characters, but Python characters are\n", "one-character strings.) Does this indexing expression still work if you apply it to a\n", "list such as ['s', 'p', 'a', 'm'] ? Why?" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 9. Immutable types. \n", "Define a string S of four characters again: S = \"spam\" . Write an\n", "assignment that changes the string to \"slam\" , using only slicing and concatenation.\n", "Could you perform the same operation using just indexing and concatenation?\n", "How about index assignment?" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 10. Nesting. \n", "Write a data structure that represents your personal information: name\n", "(first, middle, last), age, job, address, email address, and phone number. You may\n", "build the data structure with any combination of built-in object types you like (lists,\n", "tuples, dictionaries, strings, numbers). Then, access the individual components of\n", "your data structures by indexing. Do some structures make more sense than others\n", "for this object?" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 11. Files\n", "Write a script that creates a new output file called myfile.txt and writes the\n", "string \"Hello file world!\" into it. Then write another script that opens my-\n", "file.txt and reads and prints its contents. Does the new file show up in the directory where you ran your\n", "scripts? What if you add a different directory path to the filename passed to open ?\n", "Note: file write methods do not add newline characters to your strings; add an\n", "explicit \\n at the end of the string if you want to fully terminate the line in the file." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "!ls" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Test your knowledge: Part III exercises\n", "## 1. Coding basic loops\n", "- Write a for loop that prints the ASCII code of each character in a string named S. Use the built-in function ord(character) to convert each character to an\n", "ASCII integer. This function technically returns a Unicode code point in\n", "Python 3.X, but if you restrict its content to ASCII characters, you’ll get back\n", "ASCII codes. (Test it interactively to see how it works.)\n", "- Next, change your loop to compute the sum of the ASCII codes of all the\n", "characters in a string.\n", "- Finally, modify your code again to return a new list that contains the ASCII\n", "codes of each character in the string. Does the expression map(ord, S) have a\n", "similar effect? How about [ord(c) for c in S] ? Why? (Hint: see Chapter 14.)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 2. Backslash characters\n", "What happens on your machine when you type the following\n", "code interactively?" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "for i in range(5):\n", " print('hello %d\\n\\a' % i, end=\"\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 3. Sorting dictionaries. \n", "In Chapter 8, we saw that dictionaries are unordered collections. Write a for loop that prints a dictionary’s items in sorted (ascending) order. (Hint: use the dictionary keys and list sort methods, or the newer sorted built-in function.)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 4. Program logic alternatives. \n", "Consider the following code, which uses a while loop and found flag to search a list of powers of 2 for the value of 2 raised to the fifth power (32).\n", "```python\n", "L = [1, 2, 4, 8, 16, 32, 64]\n", "X = 5\n", "\n", "found = False\n", "i = 0\n", "while not found and i < len(L):\n", " if 2 ** X == L[i]:\n", " found = True\n", " else:\n", " i = i+1\n", " \n", "if found:\n", " print('at index', i)\n", "else:\n", " print(X, 'not found') \n", "```\n", "\n", "As is, the example doesn’t follow normal Python coding techniques. Follow the steps outlined here to improve it:\n", "- First, rewrite this code with a while loop else clause to eliminate the found flag and final if statement.\n", "- Next, rewrite the example to use a for loop with an else clause, to eliminate the explicit list-indexing logic. (Hint: to get the index of an item, use the list index method— L.index(X) returns the offset of the first X in list L .)\n", "- Next, remove the loop completely by rewriting the example with a simple in operator membership expression. (See Chapter 8 for more details, or type this to test: 2 in [1,2,3] .)\n", "- Finally, use a for loop and the list append method to generate the powers-of-2 list ( L ) instead of hardcoding a list literal." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Deeper thoughts:\n", "- Do you think it would improve performance to move the 2 ** X expression outside the loops? How would you code that?\n", "- As we saw in exercise 1, Python includes a map(function, list) tool that can generate a powers-of-2 list, too: `map(lambda x: 2 ** x, range(7))`. Try typing this code interactively; we’ll meet lambda more formally in the next part of this book, especially in Chapter 19. Would a list comprehension help here (see Chapter 14)?" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 5. Code maintenance. \n", "If you haven’t already done so, experiment with making the code changes suggested in this chapter’s sidebar “Changing PyDoc’s Colors” on page 456. Much of the work of real software development is in changing existing code, so the sooner you begin doing so, the better. For reference, my edited copy of PyDoc is in the book’s examples package, named mypydoc.py; to see how it differs, you can run a file compare (fc on Windows) with the original pydoc.py in 3.3 (also included, lest it change radically in 3.4 as the sidebar describes). If PyDoc is more easily customized by the time you read these words, customize colors per its current convention instead; if this involves changing a CSS file, let’s\n", "hope the procedure will be well documented in Python’s manuals." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Test Your Knowledge: Part IV Exercises\n", "## 1. The basics. \n", "At the Python interactive prompt, write a function that prints its single\n", "argument to the screen and call it interactively, passing a variety of object types:\n", "string, integer, list, dictionary. Then, try calling it without passing any argument.\n", "What happens? What happens when you pass two arguments?" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 2. Arguments. \n", "Write a function called adder in a Python module file. The function\n", "should accept two arguments and return the sum (or concatenation) of the two.\n", "Then, add code at the bottom of the file to call the adder function with a variety of\n", "object types (two strings, two lists, two floating points), and run this file as a script\n", "from the system command line. Do you have to print the call statement results to\n", "see results on your screen?" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 3. varargs. \n", "Generalize the adder function you wrote in the last exercise to compute\n", "the sum of an arbitrary number of arguments, and change the calls to pass more\n", "or fewer than two arguments. What type is the return value sum? (Hints: a slice\n", "such as S[:0] returns an empty sequence of the same type as S , and the type built-\n", "in function can test types; but see the manually coded min examples in Chapter 18 for a simpler approach.) What happens if you pass in arguments of different\n", "types? What about passing in dictionaries?" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 4. Keywords. \n", "Change the adder function from exercise 2 to accept and sum/concatenate three arguments: def adder(good, bad, ugly). Now, provide default values\n", "for each argument, and experiment with calling the function interactively. Try\n", "passing one, two, three, and four arguments. Then, try passing keyword arguments. Does the call adder(ugly=1, good=2) work? Why? Finally, generalize the\n", "new adder to accept and sum/concatenate an arbitrary number of keyword arguments. This is similar to what you did in exercise 3, but you’ll need to iterate over\n", "a dictionary, not a tuple. (Hint: the dict.keys method returns a list you can step\n", "through with a for or while , but be sure to wrap it in a list call to index it in 3.X;\n", "dict.values may help here too.)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 5. Dictionary tools. \n", "Write a function called copyDict(dict) that copies its dictionary\n", "argument. It should return a new dictionary containing all the items in its argument. Use the dictionary keys method to iterate (or, in Python 2.2 and later, step\n", "over a dictionary’s keys without calling keys ). Copying sequences is easy ( X[:]\n", "makes a top-level copy); does this work for dictionaries, too? As explained in this\n", "exercise’s solution, because dictionaries now come with similar tools, this and the\n", "next exercise are just coding exercises but still serve as representative function\n", "examples." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 6. Dictionary tools. \n", "Write a function called addDict(dict1, dict2) that computes the\n", "union of two dictionaries. It should return a new dictionary containing all the items\n", "in both its arguments (which are assumed to be dictionaries). If the same key appears in both arguments, feel free to pick a value from either. Test your function\n", "by writing it in a file and running the file as a script. What happens if you pass lists\n", "instead of dictionaries? How could you generalize your function to handle this case,\n", "too? (Hint: see the type built-in function used earlier.) Does the order of the arguments passed in matter?" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 7. More argument-matching examples." ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "def f1(a, b): print(a, b) # Normal args\n", "def f2(a, *b): print(a, b) # Positional varargs\n", "def f3(a, **b): print(a, b) # Keyword varargs\n", "def f4(a, *b, **c): print(a, b, c) # Mixed modes\n", "def f5(a, b=2, c=3): print(a, b, c) # Defaults\n", "def f6(a, b=2, *c): print(a, b, c) # Defaults and positional varargs" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Test the following calls interactively, and try to explain each result; in some\n", "cases, you’ll probably need to fall back on the matching algorithm shown in Chapter 18. Do you think mixing matching modes is a good idea in general? Can you think of cases where it would be useful?" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "f1(1, 2)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "f1(b=2, a=1)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "f2(1, 2, 3)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "f3(1, x=2, y=3)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "f4(1, 2, 3, x=2, y=3)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "f5(1)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "f5(1, 4)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "f6(1)" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "f6(1, 3, 4)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 8. Primes revisited. \n", "Recall the following code snippet from Chapter 13, which simplistically determines whether a positive integer is prime:\n", "```python\n", "x = y // 2 # For some y > 1\n", "while x > 1:\n", " if y % x == 0: # Remainder\n", " print(y, 'has factor', x)\n", " break # Skip else\n", " x -= 1\n", "else: # Normal exit\n", " print(y, 'is prime')\n", "```\n", "Package this code as a reusable function, add some calls to the function. While you’re at it, experiment with replacing the first line’s // operator with / to see how true division changes the / operator in Python 3.X and breaks this code (refer back to Chapter 5 if you need a reminder). What can you do about negatives, and the values 0 and 1 ? How about speeding this up? Your outputs should look something like this:\n", "```\n", "13 is prime\n", "13.0 is prime\n", "15 has factor 5\n", "15.0 has factor 5.0\n", "```" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 9. Iterations and comprehensions. \n", "Write code to build a new list containing the square roots of all the numbers in this list: `[2, 4, 9, 16, 25]`. Code this as a for loop first,\n", "then as a map call, then as a list comprehension, and finally as a generator expression. Use the sqrt function in the built-in math module to do the calculation (i.e.,\n", "`import math` and say `math.sqrt(x)` ). Of the four, which approach do you like best?" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 10. Timing tools. \n", "In Chapter 5, we saw three ways to compute square roots:\n", "`math.sqrt(X)` , `X ** .5` , and `pow(X, .5)` . If your programs run a lot of these, their\n", "relative performance might become important. To see which is quickest, repurpose\n", "the timerseqs.py script we wrote in this chapter to time each of these three tools.\n", "Use the bestof or bestoftotal functions in one of this chapter’s timer modules to\n", "test (you can use either the original, the 3.X-only keyword-only variant, or the 2.X/\n", "3.X version, and may use Python’s timeit module as well). You might also want\n", "to repackage the testing code in this script for better reusability—by passing a test\n", "functions tuple to a general tester function, for example (for this exercise a copy-\n", "and-modify approach is fine). Which of the three square root tools seems to run\n", "fastest on your machine and Python in general? Finally, how might you go about\n", "interactively timing the speed of dictionary comprehensions versus for loops?\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 11. Recursive functions.\n", "Write a simple recursion function named countdown that prints\n", "numbers as it counts down to zero. For example, a call `countdown(5)` will print: `5\n", "4 3 2 1 stop`. There’s no obvious reason to code this with an explicit stack or\n", "queue, but what about a nonfunction approach? Would a generator make sense\n", "here?" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## 12. Computing factorials. \n", "Finally, a computer science classic (but demonstrative nonetheless). We employed the notion of factorials in Chapter 20’s coverage of permutations: N! , computed as N\\*(N-1)\\*(N-2)\\*...1 . For instance, 6! is 6\\*5\\*4*\\3\\*2\\*1 , or\n", "720 . Code and time four functions that, for a call fact(N) , each return N! . Code these four functions (1) as a recursive countdown per Chapter 19; (2) using the\n", "functional reduce call per Chapter 19; (3) with a simple iterative counter loop per\n", "Chapter 13; and (4) using the math.factorial library tool per Chapter 20. Use\n", "Chapter 21’s timeit to time each of your functions. What conclusions can you\n", "draw from your results?" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [] } ], "metadata": { "celltoolbar": "Raw Cell Format", "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.3" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
jaybo/Python-Notebooks
TEMCA/RectToPolar.ipynb
1
1539
{ "cells": [ { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "import sys, os\n", "import cv2\n", "import numpy as np\n", "\n", "cap = cv2.VideoCapture(0)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "x = range(0,5)\n", "y = range(0,12)\n", "\n", "\n", "\n", "ret, frame = cap.read()\n", "\n", "cv2.imshow(\"pic\", frame)\n", "cv2.waitKey(0)\n", "\n", "cv2.cartToPolar(5, 6, None, None, True)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "ret\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "frame\n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 2", "language": "python", "name": "python2" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 2 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython2", "version": "2.7.10" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
iancze/ScottiePippen
notebooks/Weighted Means.ipynb
1
2723
{ "cells": [ { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import numpy as np" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Order is Siess, Pisa, Dartmouth, Baraffe" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": true }, "outputs": [], "source": [ "ages = np.array([19., 18., 17., 19.])\n", "age_err = np.array([3, 2, 2., 2])" ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "collapsed": true }, "outputs": [], "source": [ "masses = np.array([2.7, 2.71, 2.60, 2.68])\n", "mass_err = np.array([0.06, 0.07, 0.07, 0.05])" ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": true }, "outputs": [], "source": [ "masses = np.array([2.74, 2.72, 2.66, 2.70])\n", "mass_err = np.array([0.07, 0.07, 0.07, 0.06])" ] }, { "cell_type": "code", "execution_count": 14, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def weighted_average(x, err):\n", " invar = 1/err**2\n", " \n", " denom = np.sum(invar)\n", " \n", " mean = np.sum(x * invar)/denom\n", " std = np.sqrt(1/denom)\n", " return (mean, std)\n", " " ] }, { "cell_type": "code", "execution_count": 15, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "(2.6757193568594695, 0.030345680168111514)\n" ] } ], "source": [ "print(weighted_average(masses, mass_err))" ] }, { "cell_type": "code", "execution_count": 16, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "(18.129032258064516, 1.0776318121606494)\n" ] } ], "source": [ "print(weighted_average(ages, age_err))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.4.3" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
sdpython/ensae_teaching_cs
_doc/notebooks/exams/td_note_2015.ipynb
1
44123
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# 1A.e - TD not\u00e9, 5 d\u00e9cembre 2014\n", "\n", "Parcours de chemins dans un graphe acyclique (arbre)." ] }, { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [ { "data": { "text/html": [ "<div id=\"my_id_menu_nb\">run previous cell, wait for 2 seconds</div>\n", "<script>\n", "function repeat_indent_string(n){\n", " var a = \"\" ;\n", " for ( ; n > 0 ; --n)\n", " a += \" \";\n", " return a;\n", "}\n", "var update_menu_string = function(begin, lfirst, llast, sformat, send, keep_item, begin_format, end_format) {\n", " var anchors = document.getElementsByClassName(\"section\");\n", " if (anchors.length == 0) {\n", " anchors = document.getElementsByClassName(\"text_cell_render rendered_html\");\n", " }\n", " var i,t;\n", " var text_menu = begin;\n", " var text_memo = \"<pre>\\nlength:\" + anchors.length + \"\\n\";\n", " var ind = \"\";\n", " var memo_level = 1;\n", " var href;\n", " var tags = [];\n", " var main_item = 0;\n", " var format_open = 0;\n", " for (i = 0; i <= llast; i++)\n", " tags.push(\"h\" + i);\n", "\n", " for (i = 0; i < anchors.length; i++) {\n", " text_memo += \"**\" + anchors[i].id + \"--\\n\";\n", "\n", " var child = null;\n", " for(t = 0; t < tags.length; t++) {\n", " var r = anchors[i].getElementsByTagName(tags[t]);\n", " if (r.length > 0) {\n", "child = r[0];\n", "break;\n", " }\n", " }\n", " if (child == null) {\n", " text_memo += \"null\\n\";\n", " continue;\n", " }\n", " if (anchors[i].hasAttribute(\"id\")) {\n", " // when converted in RST\n", " href = anchors[i].id;\n", " text_memo += \"#1-\" + href;\n", " // passer \u00e0 child suivant (le chercher)\n", " }\n", " else if (child.hasAttribute(\"id\")) {\n", " // in a notebook\n", " href = child.id;\n", " text_memo += \"#2-\" + href;\n", " }\n", " else {\n", " text_memo += \"#3-\" + \"*\" + \"\\n\";\n", " continue;\n", " }\n", " var title = child.textContent;\n", " var level = parseInt(child.tagName.substring(1,2));\n", "\n", " text_memo += \"--\" + level + \"?\" + lfirst + \"--\" + title + \"\\n\";\n", "\n", " if ((level < lfirst) || (level > llast)) {\n", " continue ;\n", " }\n", " if (title.endsWith('\u00b6')) {\n", " title = title.substring(0,title.length-1).replace(\"<\", \"&lt;\")\n", " .replace(\">\", \"&gt;\").replace(\"&\", \"&amp;\");\n", " }\n", " if (title.length == 0) {\n", " continue;\n", " }\n", "\n", " while (level < memo_level) {\n", " text_menu += end_format + \"</ul>\\n\";\n", " format_open -= 1;\n", " memo_level -= 1;\n", " }\n", " if (level == lfirst) {\n", " main_item += 1;\n", " }\n", " if (keep_item != -1 && main_item != keep_item + 1) {\n", " // alert(main_item + \" - \" + level + \" - \" + keep_item);\n", " continue;\n", " }\n", " while (level > memo_level) {\n", " text_menu += \"<ul>\\n\";\n", " memo_level += 1;\n", " }\n", " text_menu += repeat_indent_string(level-2);\n", " text_menu += begin_format + sformat.replace(\"__HREF__\", href).replace(\"__TITLE__\", title);\n", " format_open += 1;\n", " }\n", " while (1 < memo_level) {\n", " text_menu += end_format + \"</ul>\\n\";\n", " memo_level -= 1;\n", " format_open -= 1;\n", " }\n", " text_menu += send;\n", " //text_menu += \"\\n\" + text_memo;\n", "\n", " while (format_open > 0) {\n", " text_menu += end_format;\n", " format_open -= 1;\n", " }\n", " return text_menu;\n", "};\n", "var update_menu = function() {\n", " var sbegin = \"\";\n", " var sformat = '<a href=\"#__HREF__\">__TITLE__</a>';\n", " var send = \"\";\n", " var begin_format = '<li>';\n", " var end_format = '</li>';\n", " var keep_item = -1;\n", " var text_menu = update_menu_string(sbegin, 2, 4, sformat, send, keep_item,\n", " begin_format, end_format);\n", " var menu = document.getElementById(\"my_id_menu_nb\");\n", " menu.innerHTML=text_menu;\n", "};\n", "window.setTimeout(update_menu,2000);\n", " </script>" ], "text/plain": [ "<IPython.core.display.HTML object>" ] }, "execution_count": 2, "metadata": {}, "output_type": "execute_result" } ], "source": [ "from jyquickhelper import add_notebook_menu\n", "add_notebook_menu()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Apr\u00e8s chaque question, on v\u00e9rifie sur un petit exemple que cela fonctionne comme attendu." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Exercice 1\n", "\n", "Ce premier exercice aborde la probl\u00e8me d'un parcours de graphe non r\u00e9cursif.\n", "\n", "**Q1**" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def adjacence(N):\n", " # on cr\u00e9e uen matrice vide\n", " mat = [ [ 0 for j in range(N) ] for i in range(N) ]\n", " for i in range(0,N-1):\n", " mat[i][i+1] = 1\n", " return mat" ] }, { "cell_type": "code", "execution_count": 3, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "[[0, 1, 0, 0, 0, 0, 0],\n", " [0, 0, 1, 0, 0, 0, 0],\n", " [0, 0, 0, 1, 0, 0, 0],\n", " [0, 0, 0, 0, 1, 0, 0],\n", " [0, 0, 0, 0, 0, 1, 0],\n", " [0, 0, 0, 0, 0, 0, 1],\n", " [0, 0, 0, 0, 0, 0, 0]]" ] }, "execution_count": 4, "metadata": {}, "output_type": "execute_result" } ], "source": [ "mat = adjacence(7)\n", "mat" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Q2**\n", "\n", "Il faut ajouter 5 arcs au hasard en \u00e9vitant d'ajouter deux fois le m\u00eame." ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import random\n", "def ajoute_points(mat,nb=5):\n", " ajout = { }\n", " while len(ajout) < 5 :\n", " i,j = random.randint(0,len(mat)-1),random.randint(0,len(mat)-1)\n", " if i < j and (i,j) not in ajout:\n", " mat[i][j] = 1\n", " ajout[i,j] = 1" ] }, { "cell_type": "code", "execution_count": 5, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "[[0, 1, 0, 0, 0, 0, 1],\n", " [0, 0, 1, 0, 0, 0, 1],\n", " [0, 0, 0, 1, 1, 0, 0],\n", " [0, 0, 0, 0, 1, 1, 0],\n", " [0, 0, 0, 0, 0, 1, 0],\n", " [0, 0, 0, 0, 0, 0, 1],\n", " [0, 0, 0, 0, 0, 0, 0]]" ] }, "execution_count": 6, "metadata": {}, "output_type": "execute_result" } ], "source": [ "ajoute_points(mat)\n", "mat" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Q3**" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def successeurs(adj,i):\n", " ligne = adj[i]\n", " # dans l'expression suivante, \n", " # s est la valeur de la matrice (0 ou 1)\n", " # i l'indice\n", " return [ i for i,s in enumerate(ligne) if s == 1 ]" ] }, { "cell_type": "code", "execution_count": 7, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "[2, 6]" ] }, "execution_count": 8, "metadata": {}, "output_type": "execute_result" } ], "source": [ "successeurs(mat, 1)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Q4**" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def successeurs_dico(adj):\n", " return { i:successeurs(adj, i) for i in range(len(adj)) }" ] }, { "cell_type": "code", "execution_count": 9, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "{0: [1, 6], 1: [2, 6], 2: [3, 4], 3: [4, 5], 4: [5], 5: [6], 6: []}" ] }, "execution_count": 10, "metadata": {}, "output_type": "execute_result" } ], "source": [ "dico = successeurs_dico(mat)\n", "dico" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Q5**" ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def suites_chemin(chemin, dico):\n", " dernier = chemin[-1]\n", " res = [ ]\n", " for s in dico[dernier]:\n", " res.append ( chemin + [ s ] )\n", " return res" ] }, { "cell_type": "code", "execution_count": 11, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "[[0, 1, 2], [0, 1, 6]]" ] }, "execution_count": 12, "metadata": {}, "output_type": "execute_result" } ], "source": [ "suites_chemin( [ 0, 1 ], dico)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Q6**" ] }, { "cell_type": "code", "execution_count": 12, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def parcours(adj):\n", " dico = successeurs_dico(adj)\n", " chemins = [ [ 0 ]]\n", " resultat = [ ]\n", " while len(chemins) > 0 :\n", " chemins2 = []\n", " for chemin in chemins :\n", " res = suites_chemin(chemin, dico)\n", " if len(res) == 0:\n", " # chemin est un chemin qui ne peut \u00eatre continu\u00e9\n", " resultat.append ( chemin )\n", " else:\n", " chemins2.extend ( res ) \n", " chemins = chemins2\n", " return resultat" ] }, { "cell_type": "code", "execution_count": 13, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "[[0, 6],\n", " [0, 1, 6],\n", " [0, 1, 2, 3, 5, 6],\n", " [0, 1, 2, 4, 5, 6],\n", " [0, 1, 2, 3, 4, 5, 6]]" ] }, "execution_count": 14, "metadata": {}, "output_type": "execute_result" } ], "source": [ "parcours(mat)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Q7**" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "La diff\u00e9rence entre un parcours en profondeur et un parcours en largeur tient au fait qu'on pr\u00e9f\u00e8re d'abord explorer le successeur direct, puis le successeur direct plut\u00f4t que les voisins du successeurs directe. Dans le premier cas, on aboutit tr\u00e8s vite \u00e0 un chemin termin\u00e9. Dans le second cas, on obtient les chemins plut\u00f4t vers la fin de l'algorithme. Dans la version propos\u00e9e par l'algorithme, c'est un **parcours en largeur** qui est impl\u00e9ment\u00e9." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Q8**\n", "\n", "La matrice en question est la suivante (pour $N=7$) :" ] }, { "cell_type": "code", "execution_count": 14, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "[[0, 1, 1, 1, 1, 1, 1],\n", " [0, 0, 1, 1, 1, 1, 1],\n", " [0, 0, 0, 1, 1, 1, 1],\n", " [0, 0, 0, 0, 1, 1, 1],\n", " [0, 0, 0, 0, 0, 1, 1],\n", " [0, 0, 0, 0, 0, 0, 1],\n", " [0, 0, 0, 0, 0, 0, 0]]" ] }, "execution_count": 15, "metadata": {}, "output_type": "execute_result" } ], "source": [ "def adjacence8(N):\n", " # on cr\u00e9e uen matrice vide\n", " mat = [ [ 0 for j in range(N) ] for i in range(N) ]\n", " for i in range(0,N-1):\n", " for j in range(i+1,N):\n", " mat[i][j] = 1\n", " return mat\n", "\n", "adj = adjacence8(7)\n", "adj" ] }, { "cell_type": "code", "execution_count": 15, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "nombre 32\n" ] }, { "data": { "text/plain": [ "[[0, 6],\n", " [0, 1, 6],\n", " [0, 2, 6],\n", " [0, 3, 6],\n", " [0, 4, 6],\n", " [0, 5, 6],\n", " [0, 1, 2, 6],\n", " [0, 1, 3, 6],\n", " [0, 1, 4, 6],\n", " [0, 1, 5, 6],\n", " [0, 2, 3, 6],\n", " [0, 2, 4, 6],\n", " [0, 2, 5, 6],\n", " [0, 3, 4, 6],\n", " [0, 3, 5, 6],\n", " [0, 4, 5, 6],\n", " [0, 1, 2, 3, 6],\n", " [0, 1, 2, 4, 6],\n", " [0, 1, 2, 5, 6],\n", " [0, 1, 3, 4, 6],\n", " [0, 1, 3, 5, 6],\n", " [0, 1, 4, 5, 6],\n", " [0, 2, 3, 4, 6],\n", " [0, 2, 3, 5, 6],\n", " [0, 2, 4, 5, 6],\n", " [0, 3, 4, 5, 6],\n", " [0, 1, 2, 3, 4, 6],\n", " [0, 1, 2, 3, 5, 6],\n", " [0, 1, 2, 4, 5, 6],\n", " [0, 1, 3, 4, 5, 6],\n", " [0, 2, 3, 4, 5, 6],\n", " [0, 1, 2, 3, 4, 5, 6]]" ] }, "execution_count": 16, "metadata": {}, "output_type": "execute_result" } ], "source": [ "che = parcours(adj)\n", "print(\"nombre\",len(che))\n", "che" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "On fait une petite boucle pour intuiter le r\u00e9sultat :" ] }, { "cell_type": "code", "execution_count": 16, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "5 --> 8\n", "6 --> 16\n", "7 --> 32\n", "8 --> 64\n", "9 --> 128\n", "10 --> 256\n" ] } ], "source": [ "for i in range(5,11):\n", " adj = adjacence8(i)\n", " che = parcours(adj)\n", " print(i, \"-->\",len(che))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Cela ressemble beaucoup \u00e0 des puissances de deux. Cela sugg\u00e8re un raisonnement par r\u00e9currence. Chaque noeud $i$ est connect\u00e9 \u00e0 tous les suivantes $i+1$, $i+2$... On remarque que tous les chemins se termine par le dernier noeud $n$. Lorsqu'on ajoute le noeud $n+1$ au graphe, il sera le successeur de tous les autres. Pour un chemin donn\u00e9, on peut soit l'ajouter \u00e0 la fin, soit remplacer le dernier noeud $n$ par $n-1$. C'est ainsi qu'on multiplie par deux le nombre de chemins. S'il y a $n$ noeuds, on obtient $2^{n-2}$." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Exercice 2\n", "\n", "On suppose qu'on dispose d'un tableau de nombres non tri\u00e9. Ecrire une fonction qui retourne les trois \u00e9l\u00e9ments minimaux.\n", "\n", "La premi\u00e8re option consiste \u00e0 utiliser la fonction [sort](https://docs.python.org/3.4/library/stdtypes.html?highlight=list#list.sort). Celle-ci a un co\u00fbt de $O(n \\ln n)$ le programme est tr\u00e8s simple." ] }, { "cell_type": "code", "execution_count": 17, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "[-1, 1, 4]" ] }, "execution_count": 18, "metadata": {}, "output_type": "execute_result" } ], "source": [ "l = [ -1, 4, 6, 4, 1, 9, 5 ]\n", "l.sort()\n", "l[:3]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Le probl\u00e8me qu'on cherche \u00e0 r\u00e9soudre est plus simple puisqu'il s'agit de ne garder que les trois premiers \u00e9l\u00e9ments. On n'a pas besoin de trier la fin de la liste. L'id\u00e9e consiste \u00e0 parcourir le tableau et \u00e0 ne conserver que les trois premiers \u00e9l\u00e9ments. Si un \u00e9l\u00e9ment est plus grand que le troisi\u00e8me \u00e9l\u00e9ment, on ne s'en occupe pas." ] }, { "cell_type": "code", "execution_count": 18, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "[-1, 1, 4]" ] }, "execution_count": 19, "metadata": {}, "output_type": "execute_result" } ], "source": [ "def garde_3_element(tab):\n", " meilleur = [ ]\n", " for t in tab:\n", " if len(meilleur) < 3 :\n", " meilleur.append(t)\n", " meilleur.sort()\n", " elif t < meilleur[2] :\n", " meilleur[2] = t\n", " meilleur.sort()\n", " return meilleur\n", "\n", "garde_3_element(l)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "M\u00eame si on utilise un tri, le co\u00fbt est en en $O(n)$ car le tri op\u00e8re sur au plus trois \u00e9l\u00e9ments." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Exercice 3\n", "\n", "**Q1**" ] }, { "cell_type": "code", "execution_count": 19, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def word2dict(mot):\n", " return { i: mot[:i] for i in range(len(mot)+1) }" ] }, { "cell_type": "code", "execution_count": 20, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "({0: '', 1: 'm', 2: 'mo', 3: 'mot'},\n", " {0: '', 1: 'p', 2: 'py', 3: 'pyt', 4: 'pyth', 5: 'pytho', 6: 'python'})" ] }, "execution_count": 21, "metadata": {}, "output_type": "execute_result" } ], "source": [ "word2dict(\"mot\"), word2dict(\"python\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Q2**" ] }, { "cell_type": "code", "execution_count": 21, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def two_words2dict(d1,d2):\n", " return { (i,j): (d1[i],d2[j]) for i in d1 for j in d2 }" ] }, { "cell_type": "code", "execution_count": 22, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "{(1, 2): ('p', 'pi'),\n", " (3, 2): ('pyt', 'pi'),\n", " (0, 0): ('', ''),\n", " (5, 0): ('pytho', ''),\n", " (6, 4): ('python', 'pito'),\n", " (3, 0): ('pyt', ''),\n", " (0, 4): ('', 'pito'),\n", " (5, 4): ('pytho', 'pito'),\n", " (1, 4): ('p', 'pito'),\n", " (6, 0): ('python', ''),\n", " (5, 5): ('pytho', 'piton'),\n", " (1, 3): ('p', 'pit'),\n", " (0, 5): ('', 'piton'),\n", " (2, 1): ('py', 'p'),\n", " (5, 1): ('pytho', 'p'),\n", " (4, 2): ('pyth', 'pi'),\n", " (2, 5): ('py', 'piton'),\n", " (1, 0): ('p', ''),\n", " (6, 5): ('python', 'piton'),\n", " (3, 5): ('pyt', 'piton'),\n", " (0, 1): ('', 'p'),\n", " (5, 3): ('pytho', 'pit'),\n", " (4, 1): ('pyth', 'p'),\n", " (0, 2): ('', 'pi'),\n", " (3, 3): ('pyt', 'pit'),\n", " (1, 5): ('p', 'piton'),\n", " (3, 4): ('pyt', 'pito'),\n", " (6, 1): ('python', 'p'),\n", " (3, 1): ('pyt', 'p'),\n", " (5, 2): ('pytho', 'pi'),\n", " (4, 4): ('pyth', 'pito'),\n", " (1, 1): ('p', 'p'),\n", " (6, 3): ('python', 'pit'),\n", " (2, 0): ('py', ''),\n", " (6, 2): ('python', 'pi'),\n", " (4, 3): ('pyth', 'pit'),\n", " (2, 2): ('py', 'pi'),\n", " (4, 5): ('pyth', 'piton'),\n", " (2, 3): ('py', 'pit'),\n", " (4, 0): ('pyth', ''),\n", " (0, 3): ('', 'pit'),\n", " (2, 4): ('py', 'pito')}" ] }, "execution_count": 23, "metadata": {}, "output_type": "execute_result" } ], "source": [ "mot1 = \"python\"\n", "mot2 = \"piton\"\n", "d1 = word2dict(mot1)\n", "d2 = word2dict(mot2)\n", "vertices = two_words2dict(d1,d2)\n", "vertices" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Q3**\n", "\n", "Il y a autant d'\u00e9l\u00e9ments que $(len(mot1) +1)*(len(mot2)+1)$ puisqu'on fait une double boucle sur toutes les positions + 1 pour 0. Donc $(p+1)(q+1)$ si $p$ et $q$ sont les tailles des deux mots." ] }, { "cell_type": "code", "execution_count": 23, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "(42, 42)" ] }, "execution_count": 24, "metadata": {}, "output_type": "execute_result" } ], "source": [ "len(vertices),(len(mot1)+1)*(len(mot2)+1)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Q4**" ] }, { "cell_type": "code", "execution_count": 24, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def add_edge_hv(vertices):\n", " edges = { }\n", " for edge1 in vertices:\n", " i1,j1 = edge1\n", " for edge2 in vertices:\n", " i2,j2 = edge2\n", " if (i2-i1==1 and j1==j2) or (j2-j1==1 and i1==i2) :\n", " edges[ edge1,edge2 ] = 1\n", " return edges" ] }, { "cell_type": "code", "execution_count": 25, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "{((5, 5), (6, 5)): 1,\n", " ((2, 1), (3, 1)): 1,\n", " ((5, 0), (5, 1)): 1,\n", " ((1, 4), (2, 4)): 1,\n", " ((6, 2), (6, 3)): 1,\n", " ((0, 3), (1, 3)): 1,\n", " ((2, 1), (2, 2)): 1,\n", " ((5, 3), (6, 3)): 1,\n", " ((5, 4), (6, 4)): 1,\n", " ((1, 1), (2, 1)): 1,\n", " ((4, 0), (5, 0)): 1,\n", " ((1, 1), (1, 2)): 1,\n", " ((1, 0), (2, 0)): 1,\n", " ((2, 2), (2, 3)): 1,\n", " ((1, 5), (2, 5)): 1,\n", " ((4, 1), (5, 1)): 1,\n", " ((3, 3), (3, 4)): 1,\n", " ((1, 2), (2, 2)): 1,\n", " ((0, 4), (0, 5)): 1,\n", " ((1, 4), (1, 5)): 1,\n", " ((4, 5), (5, 5)): 1,\n", " ((3, 5), (4, 5)): 1,\n", " ((2, 4), (2, 5)): 1,\n", " ((4, 2), (4, 3)): 1,\n", " ((3, 0), (3, 1)): 1,\n", " ((4, 3), (5, 3)): 1,\n", " ((6, 1), (6, 2)): 1,\n", " ((5, 2), (6, 2)): 1,\n", " ((2, 5), (3, 5)): 1,\n", " ((0, 4), (1, 4)): 1,\n", " ((3, 3), (4, 3)): 1,\n", " ((1, 2), (1, 3)): 1,\n", " ((0, 1), (1, 1)): 1,\n", " ((4, 2), (5, 2)): 1,\n", " ((3, 1), (3, 2)): 1,\n", " ((2, 0), (2, 1)): 1,\n", " ((5, 1), (6, 1)): 1,\n", " ((2, 4), (3, 4)): 1,\n", " ((4, 0), (4, 1)): 1,\n", " ((3, 2), (4, 2)): 1,\n", " ((4, 4), (4, 5)): 1,\n", " ((1, 0), (1, 1)): 1,\n", " ((2, 3), (2, 4)): 1,\n", " ((3, 1), (4, 1)): 1,\n", " ((5, 2), (5, 3)): 1,\n", " ((6, 0), (6, 1)): 1,\n", " ((6, 3), (6, 4)): 1,\n", " ((2, 3), (3, 3)): 1,\n", " ((0, 2), (1, 2)): 1,\n", " ((4, 3), (4, 4)): 1,\n", " ((0, 0), (1, 0)): 1,\n", " ((4, 1), (4, 2)): 1,\n", " ((5, 4), (5, 5)): 1,\n", " ((1, 3), (1, 4)): 1,\n", " ((3, 4), (3, 5)): 1,\n", " ((3, 4), (4, 4)): 1,\n", " ((5, 0), (6, 0)): 1,\n", " ((0, 0), (0, 1)): 1,\n", " ((0, 1), (0, 2)): 1,\n", " ((4, 4), (5, 4)): 1,\n", " ((1, 3), (2, 3)): 1,\n", " ((2, 0), (3, 0)): 1,\n", " ((3, 0), (4, 0)): 1,\n", " ((0, 3), (0, 4)): 1,\n", " ((2, 2), (3, 2)): 1,\n", " ((3, 2), (3, 3)): 1,\n", " ((0, 5), (1, 5)): 1,\n", " ((5, 3), (5, 4)): 1,\n", " ((6, 4), (6, 5)): 1,\n", " ((0, 2), (0, 3)): 1,\n", " ((5, 1), (5, 2)): 1}" ] }, "execution_count": 26, "metadata": {}, "output_type": "execute_result" } ], "source": [ "edges = add_edge_hv(vertices)\n", "edges" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Q5**\n", "\n", "Pour chaque noeud, on ajoute deux arcs except\u00e9 les noeuds qui correspond \u00e0 la fin des mots. Donc $2(p+1)(q+1)-(p+1)-(q+1)=2pq+p+q$." ] }, { "cell_type": "code", "execution_count": 26, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "(71, 71)" ] }, "execution_count": 27, "metadata": {}, "output_type": "execute_result" } ], "source": [ "len(edges), 2*len(mot1)*len(mot2)+len(mot1)+len(mot2)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Q6**\n", "\n", "On s'inspire de la fonction pr\u00e9c\u00e9dente. Il serait plus efficace de les fusionner." ] }, { "cell_type": "code", "execution_count": 27, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def cout(m1,m2):\n", " c1 = m1[-1]\n", " c2 = m2[-1]\n", " if c1==c2 : return 0\n", " else : return 1\n", "\n", "def ajoute_diagonale(edges, vertices):\n", " # edges = { } # on n'ajoute surtout pas cette ligne, sinon c'est comme si on effa\u00e7ait tout ce que contient\n", " # edges\n", " for edge1 in vertices:\n", " i1,j1 = edge1\n", " for edge2 in vertices:\n", " i2,j2 = edge2\n", " if i2-i1==1 and j2-j1==1 :\n", " edges[ edge1,edge2 ] = cout (vertices [ edge2 ][0], vertices [ edge2 ][1] )" ] }, { "cell_type": "code", "execution_count": 28, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "{((2, 1), (3, 1)): 1,\n", " ((5, 0), (5, 1)): 1,\n", " ((5, 4), (6, 5)): 0,\n", " ((3, 3), (4, 4)): 1,\n", " ((6, 1), (6, 2)): 1,\n", " ((5, 4), (6, 4)): 1,\n", " ((2, 3), (3, 4)): 1,\n", " ((2, 0), (2, 1)): 1,\n", " ((0, 0), (1, 1)): 0,\n", " ((1, 1), (2, 1)): 1,\n", " ((3, 1), (4, 2)): 1,\n", " ((2, 4), (2, 5)): 1,\n", " ((0, 4), (0, 5)): 1,\n", " ((1, 4), (1, 5)): 1,\n", " ((3, 5), (4, 5)): 1,\n", " ((0, 4), (1, 5)): 1,\n", " ((0, 5), (1, 5)): 1,\n", " ((3, 0), (3, 1)): 1,\n", " ((5, 1), (6, 2)): 1,\n", " ((2, 2), (2, 3)): 1,\n", " ((0, 4), (1, 4)): 1,\n", " ((4, 0), (5, 1)): 1,\n", " ((3, 3), (4, 3)): 1,\n", " ((1, 2), (1, 3)): 1,\n", " ((1, 4), (2, 4)): 1,\n", " ((2, 4), (3, 4)): 1,\n", " ((0, 1), (1, 2)): 1,\n", " ((4, 4), (4, 5)): 1,\n", " ((2, 4), (3, 5)): 1,\n", " ((3, 1), (4, 1)): 1,\n", " ((3, 4), (3, 5)): 1,\n", " ((1, 1), (1, 2)): 1,\n", " ((2, 3), (3, 3)): 1,\n", " ((1, 4), (2, 5)): 1,\n", " ((0, 2), (1, 2)): 1,\n", " ((0, 0), (1, 0)): 1,\n", " ((5, 4), (5, 5)): 1,\n", " ((5, 2), (5, 3)): 1,\n", " ((2, 2), (3, 3)): 0,\n", " ((5, 0), (6, 0)): 1,\n", " ((3, 4), (4, 4)): 1,\n", " ((5, 1), (6, 1)): 1,\n", " ((0, 1), (1, 1)): 1,\n", " ((3, 0), (4, 0)): 1,\n", " ((0, 3), (0, 4)): 1,\n", " ((2, 2), (3, 2)): 1,\n", " ((3, 2), (4, 3)): 1,\n", " ((4, 2), (5, 2)): 1,\n", " ((5, 3), (5, 4)): 1,\n", " ((5, 0), (6, 1)): 1,\n", " ((6, 0), (6, 1)): 1,\n", " ((5, 2), (6, 2)): 1,\n", " ((5, 5), (6, 5)): 1,\n", " ((2, 0), (3, 1)): 1,\n", " ((4, 2), (5, 3)): 1,\n", " ((6, 2), (6, 3)): 1,\n", " ((4, 3), (5, 4)): 0,\n", " ((0, 2), (1, 3)): 1,\n", " ((5, 3), (6, 3)): 1,\n", " ((1, 5), (2, 5)): 1,\n", " ((4, 0), (5, 0)): 1,\n", " ((1, 0), (2, 1)): 1,\n", " ((1, 0), (2, 0)): 1,\n", " ((1, 3), (2, 4)): 1,\n", " ((2, 1), (3, 2)): 1,\n", " ((2, 1), (2, 2)): 1,\n", " ((1, 2), (2, 2)): 1,\n", " ((5, 3), (6, 4)): 1,\n", " ((4, 1), (5, 1)): 1,\n", " ((3, 1), (3, 2)): 1,\n", " ((0, 3), (1, 3)): 1,\n", " ((3, 4), (4, 5)): 1,\n", " ((2, 3), (2, 4)): 1,\n", " ((3, 0), (4, 1)): 1,\n", " ((4, 3), (5, 3)): 1,\n", " ((4, 0), (4, 1)): 1,\n", " ((6, 4), (6, 5)): 1,\n", " ((0, 3), (1, 4)): 1,\n", " ((5, 2), (6, 3)): 1,\n", " ((1, 0), (1, 1)): 1,\n", " ((6, 3), (6, 4)): 1,\n", " ((1, 1), (2, 2)): 1,\n", " ((4, 3), (4, 4)): 1,\n", " ((4, 4), (5, 5)): 1,\n", " ((3, 3), (3, 4)): 1,\n", " ((2, 5), (3, 5)): 1,\n", " ((4, 1), (4, 2)): 1,\n", " ((1, 3), (1, 4)): 1,\n", " ((4, 2), (4, 3)): 1,\n", " ((1, 2), (2, 3)): 1,\n", " ((0, 0), (0, 1)): 1,\n", " ((0, 1), (0, 2)): 1,\n", " ((4, 4), (5, 4)): 1,\n", " ((4, 1), (5, 2)): 1,\n", " ((1, 3), (2, 3)): 1,\n", " ((2, 0), (3, 0)): 1,\n", " ((3, 2), (3, 3)): 1,\n", " ((3, 2), (4, 2)): 1,\n", " ((4, 5), (5, 5)): 1,\n", " ((0, 2), (0, 3)): 1,\n", " ((5, 1), (5, 2)): 1}" ] }, "execution_count": 29, "metadata": {}, "output_type": "execute_result" } ], "source": [ "ajoute_diagonale(edges, vertices)\n", "edges" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Q7**\n", "\n", "L'algorithme du plus court chemin." ] }, { "cell_type": "code", "execution_count": 29, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def loop_on_edges(distance, edges):\n", " for edge,cout in edges.items() :\n", " v1,v2 = edge\n", " if v1 in distance and (v2 not in distance or distance[v2] > distance[v1] + cout) :\n", " distance[v2] = distance[v1] + cout" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Q8**\n", "\n", "La question \u00e9tait sans doute un peu mal pos\u00e9 car il est beaucoup plus facile pour la fonction ``loop_on_edges`` de savoir si le dictionnaire ``distance`` est modifi\u00e9 ou non. On la modifie pour qu'elle retourne le nombre de mises \u00e0 jour." ] }, { "cell_type": "code", "execution_count": 30, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def loop_on_edges(distance, edges):\n", " misejour = 0\n", " for edge,cout in edges.items() :\n", " v1,v2 = edge\n", " if v1 in distance and (v2 not in distance or distance[v2] > distance[v1] + cout) :\n", " distance[v2] = distance[v1] + cout\n", " misejour += 1\n", " return misejour" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Puis l'algorithme final :" ] }, { "cell_type": "code", "execution_count": 31, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def plus_court_chemin(edges):\n", " distance = { (0,0): 0 }\n", " m = 1\n", " while m > 0:\n", " m = loop_on_edges(distance, edges)\n", " return distance" ] }, { "cell_type": "code", "execution_count": 32, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "{(0, 1): 1,\n", " (3, 2): 2,\n", " (0, 0): 0,\n", " (5, 0): 5,\n", " (6, 4): 3,\n", " (3, 0): 3,\n", " (0, 4): 4,\n", " (5, 4): 2,\n", " (2, 1): 1,\n", " (6, 0): 6,\n", " (5, 5): 3,\n", " (2, 5): 4,\n", " (1, 3): 2,\n", " (2, 3): 2,\n", " (1, 4): 3,\n", " (2, 4): 3,\n", " (4, 2): 3,\n", " (1, 0): 1,\n", " (0, 3): 3,\n", " (6, 5): 2,\n", " (3, 5): 3,\n", " (1, 2): 1,\n", " (5, 1): 4,\n", " (5, 3): 3,\n", " (3, 3): 1,\n", " (1, 5): 4,\n", " (4, 1): 3,\n", " (6, 1): 5,\n", " (3, 1): 2,\n", " (5, 2): 4,\n", " (4, 4): 2,\n", " (1, 1): 0,\n", " (6, 3): 4,\n", " (2, 0): 2,\n", " (6, 2): 5,\n", " (4, 3): 2,\n", " (2, 2): 1,\n", " (4, 5): 3,\n", " (0, 5): 5,\n", " (4, 0): 4,\n", " (3, 4): 2,\n", " (0, 2): 2}" ] }, "execution_count": 33, "metadata": {}, "output_type": "execute_result" } ], "source": [ "resultat = plus_court_chemin(edges)\n", "resultat" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "**Q9**" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Comme on a tout fait avec ces deux mots, il suffit de prendre la bonne valeur dans le tableau distance :" ] }, { "cell_type": "code", "execution_count": 33, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "python piton\n" ] }, { "data": { "text/plain": [ "2" ] }, "execution_count": 34, "metadata": {}, "output_type": "execute_result" } ], "source": [ "print(mot1,mot2)\n", "resultat [ len(mot1), len(mot2) ]" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Exercice 4\n", "\n", "On a un tableau d'entiers ``l = [1, 8, 5, 7, 3, 6, 9]``. On veut placer les entiers pairs en premiers et les entiers impairs en derniers : ``8, 6, 1, 5, 7, 3, 9``. Ecrire une fonction qui fait cela.\n", "\n", "Le co\u00fbt d'un tri est de $O(n \\ln n)$. On construit d'abord le couple *(parit\u00e9, \u00e9l\u00e9ment)* pour chaque \u00e9l\u00e9ment puis on trie de table. C'est la solution la plus simple." ] }, { "cell_type": "code", "execution_count": 34, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "[6, 8, 1, 3, 5, 7, 9]" ] }, "execution_count": 35, "metadata": {}, "output_type": "execute_result" } ], "source": [ "l = [1, 8, 5, 7, 3, 6, 9]\n", "l2 = [ (i%2, i) for i in l]\n", "l2.sort()\n", "res = [ b for a,b in l2 ]\n", "res" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Dans cas pr\u00e9cis, on ne souhaite pas trier sur les nombres mais sur leur parit\u00e9. En quelque sorte, on ne s'int\u00e9resse pas de savoir dans quel ordre deux nombres pairs seront tri\u00e9s. Cela r\u00e9duit le nombre d'op\u00e9rations \u00e0 effectuer. Une id\u00e9e consiste \u00e0 parcourir le tableau par les deux bouts et \u00e0 \u00e9changer deux nombres d\u00e8s que leur parit\u00e9 sont mal class\u00e9es." ] }, { "cell_type": "code", "execution_count": 35, "metadata": {}, "outputs": [ { "data": { "text/plain": [ "[8, 6, 5, 3, 7, 9, 1]" ] }, "execution_count": 36, "metadata": {}, "output_type": "execute_result" } ], "source": [ "def trie_parite(l):\n", " i = 0\n", " j = len(l)-1\n", " while i < j :\n", " while i < j and l[i]%2 == 0 : i += 1\n", " while i < j and l[j]%2 == 1 : j -= 1\n", " if i < j:\n", " ech = l[i]\n", " l[i] = l[j]\n", " l[j] = ech\n", " i += 1\n", " j -= 1\n", " \n", "l = l.copy()\n", "trie_parite(l)\n", "l" ] }, { "cell_type": "code", "execution_count": 36, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.1" } }, "nbformat": 4, "nbformat_minor": 1 }
mit
muatik/my-coding-challenges
python/10daysOfStatistics/Day_4_Binomial_Distribution.ipynb
1
4058
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "## 10-days-of-statistics\n", "### Day 4: Binomial Distribution I\n", "https://www.hackerrank.com/challenges/s10-binomial-distribution-1" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "In this challenge, we learn about binomial distributions. Check out the Tutorial tab for learning materials!\n", "\n", "#### Task \n", "The ratio of boys to girls for babies born in Russia is . If there is child born per birth, what proportion of Russian families with exactly children will have at least boys?\n", "\n", "Write a program to compute the answer using the above parameters. Then print your result, rounded to a scale of decimal places (i.e., format).\n", "\n", "#### Input Format\n", "\n", "A single line containing the following values:\n", "\n", "1.09 1\n", "If you do not wish to read this information from stdin, you can hard-code it into your program.\n", "\n", "#### Output Format\n", "\n", "Print a single line denoting the answer, rounded to a scale of decimal places (i.e., format).\n" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import math" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "{'b': 0.521531100478469, 'g': 0.47846889952153115}" ] }, "execution_count": 7, "metadata": {}, "output_type": "execute_result" } ], "source": [ "def input():\n", " return \"1.09 1\"\n", "\n", "ratios = [float(i) for i in input().strip().split(\" \")]\n", "probs = {\"b\": ratios[0] /sum(ratios), \"g\": ratios[1] / sum(ratios)}\n", "probs" ] }, { "cell_type": "code", "execution_count": 96, "metadata": { "collapsed": false }, "outputs": [], "source": [ "def binomial_dist(x, n, p):\n", " q = 1.0 - p\n", " bernoulli = p**x * q**(n-x)\n", " combination = math.factorial(n) / (math.factorial(x) * math.factorial(n-x))\n", " return combination * bernoulli" ] }, { "cell_type": "code", "execution_count": 100, "metadata": { "collapsed": false }, "outputs": [ { "data": { "text/plain": [ "[(3, 0.14185279138557705),\n", " (4, 0.27148922037702816),\n", " (5, 0.32474861818449163),\n", " (6, 0.3107649426854674),\n", " (7, 0.2602104787217523),\n", " (8, 0.19920465240639484),\n", " (9, 0.1429701750553316),\n", " (10, 0.09772419951246358),\n", " (11, 0.06429238439350161),\n", " (12, 0.04101596954767829),\n", " (13, 0.025512384234320916),\n", " (14, 0.015536067889485214)]" ] }, "execution_count": 100, "metadata": {}, "output_type": "execute_result" } ], "source": [ "[(i, binomial_dist(4, 10, 0.5)) for i in range(3, 15)]" ] }, { "cell_type": "code", "execution_count": 109, "metadata": { "collapsed": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "0.696\n" ] } ], "source": [ "print(\"{0:.3f}\".format(sum([binomial_dist(i, 6, probs[\"b\"]) for i in range(3, 7)])))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.0" } }, "nbformat": 4, "nbformat_minor": 1 }
mit
jbwhit/WSP-312-Tips-and-Tricks
notebooks/07-Some_basics.ipynb
1
12537
{ "cells": [ { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true }, "outputs": [], "source": [ "from __future__ import absolute_import, division, print_function" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Github" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "https://github.com/jbwhit/OSCON-2015/commit/6750b962606db27f69162b802b5de4f84ac916d5" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## A few Python Basics" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# Create a [list] \n", "days = ['Monday', # multiple lines \n", " 'Tuesday', # acceptable \n", " 'Wednesday',\n", " 'Thursday',\n", " 'Friday',\n", " 'Saturday',\n", " 'Sunday', \n", " ] # trailing comma is fine!" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "days" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Simple for-loop\n", "for day in days:\n", " print(day)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Double for-loop\n", "for day in days:\n", " for letter in day:\n", " print(letter)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "print(days)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "print(*days)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Double for-loop\n", "for day in days:\n", " for letter in day:\n", " print(letter)\n", " print()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "for day in days:\n", " for letter in day:\n", " print(letter.lower())" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## List Comprehensions" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "length_of_days = [len(day) for day in days]\n", "length_of_days" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "letters = [letter for day in days\n", " for letter in day]" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "print(letters)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "letters = [letter for day in days for letter in day]\n", "print(letters)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "[num for num in xrange(10) if num % 2]" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "[num for num in xrange(10) if num % 2 else \"doesn't work\"]" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "[num if num % 2 else \"works\" for num in xrange(10)]" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "[num for num in xrange(10)]" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "sorted_letters = sorted([x.lower() for x in letters])\n", "print(sorted_letters)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "unique_sorted_letters = sorted(set(sorted_letters))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "print(\"There are\", len(unique_sorted_letters), \"unique letters in the days of the week.\")\n", "print(\"They are:\", ''.join(unique_sorted_letters))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "print(\"They are:\", '; '.join(unique_sorted_letters))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def first_three(input_string):\n", " \"\"\"Takes an input string and returns the first 3 characters.\"\"\"\n", " return input_string[:3] " ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import numpy as np" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# tab\n", "np.linspace()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "[first_three(day) for day in days]" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def last_N(input_string, number=2):\n", " \"\"\"Takes an input string and returns the last N characters.\"\"\"\n", " return input_string[-number:] " ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "[last_N(day, 4) for day in days if len(day) > 6]" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "from math import pi\n", "\n", "print([str(round(pi, i)) for i in xrange(2, 9)])" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "list_of_lists = [[i, round(pi, i)] for i in xrange(2, 9)]\n", "print(list_of_lists)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "for sublist in list_of_lists:\n", " print(sublist)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Let this be a warning to you!\n", "\n", "# If you see python code like the following in your work:\n", "\n", "for x in range(len(list_of_lists)):\n", " print(\"Decimals:\", list_of_lists[x][0], \"expression:\", list_of_lists[x][1])" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "print(list_of_lists)\n", "\n", "# Change it to look more like this: \n", "\n", "for decimal, rounded_pi in list_of_lists:\n", " print(\"Decimals:\", decimal, \"expression:\", rounded_pi)\n", " \n" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# enumerate if you really need the index\n", "\n", "for index, day in enumerate(days):\n", " print(index, day)\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Dictionaries\n", "\n", "Python dictionaries are awesome. They are [hash tables](https://en.wikipedia.org/wiki/Hash_table) and have a lot of neat CS properties. Learn and use them well." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "from IPython.display import IFrame, HTML\n", "HTML('<iframe src=https://en.wikipedia.org/wiki/Hash_table width=100% height=550></iframe>')" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [ "fellows = [\"Jonathan\", \"Alice\", \"Bob\"]\n", "universities = [\"UCSD\", \"UCSD\", \"Vanderbilt\"]" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "for x, y in zip(fellows, universities):\n", " print(x, y)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Don't do this\n", "{x: y for x, y in zip(fellows, universities)}" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "# Doesn't work like you might expect\n", "{zip(fellows, universities)}" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "dict(zip(fellows, universities))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "fellows" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "fellow_dict = {fellow.lower(): university \n", " for fellow, university in zip(fellows, universities)}" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "fellow_dict" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "fellow_dict['bob']" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "rounded_pi = {i:round(pi, i) for i in xrange(2, 9)}" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "rounded_pi[5]" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "sum([i ** 2 for i in range(10)])" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "sum(i ** 2 for i in range(10))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "huh = (i ** 2 for i in range(10))" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": false }, "outputs": [], "source": [ "huh.next()" ] }, { "cell_type": "markdown", "metadata": { "collapsed": true }, "source": [ "## Participate in StackOverflow\n", "\n", "An example: http://stackoverflow.com/questions/6605006/convert-pdf-to-image-with-high-resolution" ] } ], "metadata": { "anaconda-cloud": {}, "kernelspec": { "display_name": "Python [default]", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.2" } }, "nbformat": 4, "nbformat_minor": 0 }
mit
hchauvet/beampy
doc-src/auto_tutorials/positioning_system.ipynb
1
10921
{ "nbformat_minor": 0, "nbformat": 4, "cells": [ { "execution_count": null, "cell_type": "code", "source": [ "%matplotlib inline" ], "outputs": [], "metadata": { "collapsed": false } }, { "source": [ "\nBeampy Positioning system\n=========================\n\nBeampy has a positioning system that allows to make automatic, fixed or\nrelative positioning. The default behavior is set by the theme used in the\npresentation.\n\nThe default theme sets the coordinates to:\n\n* `x='center'` which means that element is centered in the horizontal direction\n* x element anchor is set to left, which means that the horizontal distance is\n computed between to left side of the slide and the left border of the element\n bounding-box.\n* `y='auto'` which means that elements are equally spaced on the vertical\n direction.\n* y element anchor is set to top, which means that the vertical distance is\n computed between the top of the slide and the top border of the element\n bounding-box.\n* The reference for computing coordinates as percent is the page or group width\n for both `x` and `y`.\n\nSlide coordinate system\n-----------------------\n\nThe origin of the coordinate coordinate system is the upper-left corner of the\nslide or the current group. And is positive when moving toward the bottom-right\ncorner.\n\n\n" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "from beampy import *\nfrom beampy.utils import bounding_box, draw_axes\n\ndoc = document(quiet=True)\n\nwith slide():\n draw_axes(show_ticks=True)\n t1 = text('This is the default theme behaviour')\n t2 = text('x are centered and y equally spaced')\n\n for t in [t1, t2]:\n t.add_border()\n\ndisplay_matplotlib(gcs())" ], "outputs": [], "metadata": { "collapsed": false } }, { "source": [ "Automatic positioning\n---------------------\n\nBeampy as some simple automatic positioning, which are 'centering' the Beampy\nmodule with `center`, and equally spaced distribution of Beampy modules that\nhave `auto` as coordinates\n\nCentering\n+++++++++\n\n" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "with slide():\n draw_axes()\n rectangle(x='center', y='center', width=400, height=200,\n color='lightgreen', edgecolor=None)\n text('x and y are centered for the text and the rectangle modules',\n x='center', y='center', width=350)\n\ndisplay_matplotlib(gcs())" ], "outputs": [], "metadata": { "collapsed": false } }, { "source": [ "Auto\n++++\n\nEqually spaced vertically\n~~~~~~~~~~~~~~~~~~~~~~~~~\n\n\n" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "with slide():\n draw_axes()\n for c in ['gold', 'crimson', 'orangered']:\n rectangle(x='center', y='auto', width=100, height=100,\n color=c, edgecolor=None)\n\ndisplay_matplotlib(gcs())" ], "outputs": [], "metadata": { "collapsed": false } }, { "source": [ "Equally spaced horizontally\n~~~~~~~~~~~~~~~~~~~~~~~~~~~\n\n\n" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "with slide():\n draw_axes()\n for c in ['gold', 'crimson', 'orangered']:\n rectangle(x='auto', y='center', width=100, height=100,\n color=c, edgecolor=None)\n\ndisplay_matplotlib(gcs())" ], "outputs": [], "metadata": { "collapsed": false } }, { "source": [ "Equally spaced in xy directions\n~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\n\n\n" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "with slide():\n draw_axes()\n for c in ['gold', 'crimson', 'orangered']:\n rectangle(x='auto', y='auto', width=100, height=100,\n color=c, edgecolor=None)\n\ndisplay_matplotlib(gcs())" ], "outputs": [], "metadata": { "collapsed": false } }, { "source": [ "Absolute positioning\n--------------------\n\nunits\n+++++\n\nAbsolute coordinates could be given as follow:\n\n* (int or float) <= 1.0, the position is a percent of the slide or group width\n for `x` and `y` (by default, but could be changed).\n* (int or float) > 1.0, the position is in pixels.\n* Given as a string, the position is in pixels or in the unit given just after,\n like '2cm'.\n\n<div class=\"alert alert-info\"><h4>Note</h4><p>For `y` < 1.0, the default will be changed in future version to be percent\n of the height. To already change this in your slide you could add just\n after importing Beampy:\n\n >>> DEFAULT_Y['unit'] = 'height'</p></div>\n\n\n" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "with slide():\n draw_axes()\n text('x and y relative to width', x=0.5, y=0.5)\n text('x and y relative to width, with aspect ratio for y', x=0.5,\n y=0.5*(3/4.), width=300)\n text('x and y given in pixels', x=100, y=100)\n text('x and y given in centimetres', x='2cm', y='5cm')\n\ndisplay_matplotlib(gcs())" ], "outputs": [], "metadata": { "collapsed": false } }, { "source": [ "Anchors\n+++++++\n\nWe could also change the anchor of the Beampy module using the `center`,\n`right`, `bottom` function in the coordinate.\n\n\n" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "with slide():\n draw_axes()\n\n t1 = text('Top-left absolute positioning $$x=x^2$$', x=400, y=100)\n\n t2 = text('Top-right absolute positioning $$x=x^2$$', x=right(400), y=200)\n\n t3 = text('Middle-middle absolute positioning $$x=x^2$$', x=center(400), y=center(300))\n\n t4 = text('Bottom-right absolute positioning $$x=x^2$$', x=right(0.5), y=bottom(0.6))\n\n for t in [t1, t2, t3, t4]:\n bounding_box(t)\n\ndisplay_matplotlib(gcs())" ], "outputs": [], "metadata": { "collapsed": false } }, { "source": [ "Relative positioning\n--------------------\n\nWhen a Beampy module as been placed on a slide, we could position an other\nelement relative to this first one. To do so Beampy module have methods to\nrefer to their anchors (module.left, module.right, module.top, module.bottom,\nmodule.center).\n\n\n" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "with slide():\n draw_axes()\n texts_width = 200\n\n r = rectangle(x='center', y='center', width=100, height=100,\n color='crimson', edgecolor=None)\n\n t1 = text('Centered 10 px below the rectangle', x=r.center+center(0),\n y=r.bottom+10, width=texts_width, align='center')\n\n t2 = text('Centered 10 px above the rectangle', x=r.center+center(0),\n y=r.top-bottom(10), width=texts_width, align='center')\n\n t3 = text('10 px left of the rectangle', x=r.left-right(10),\n y=r.center+center(10), width=texts_width, align='center')\n\n t4 = text('10 px right of the rectangle', x=r.right+10,\n y=r.center+center(10), width=texts_width, align='center')\n\n for t in [t1, t2, t3, t4]:\n bounding_box(t)\n\ndisplay_matplotlib(gcs())" ], "outputs": [], "metadata": { "collapsed": false } }, { "source": [ "An other way to do relative positioning is to use string as coordinate with\n'+' ot '-' before the shift and the unit. This will place the new Beampy\nModule relative to previous one.\n\n\n" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "with slide():\n draw_axes()\n\n text('text x=20, y=0.5cm', x='20', y='0.5cm')\n for i in range(2):\n text('text x=-0, y=+0.5cm', x='-0', y='+0.5cm')\n\n text('text x=25, y=0.3', x='25', y=0.3)\n for i in range(2):\n text('text x=+0, y=+0.5cm', x='+0', y='+0.5cm')\n\n text('text x=25, y=0.5', x='25', y=0.5)\n text('text x=+10, y=+0', x='+10', y='+0')\n text('text x=+10, y=-0', x='+10', y='-0')\n\ndisplay_matplotlib(gcs())" ], "outputs": [], "metadata": { "collapsed": false } }, { "source": [ "Coordinate as dictionary\n------------------------\n\nCoordinate could also be given as dictionary. The dictionary keys are the\nfollowing:\n\n* unit: ('px', 'pt', 'cm', 'width', 'height'), the width of the shift value.\n* shift: float value, the amount of shifting.\n* reference: ('slide' or 'relative') 'relative' is used to make relative\n positioning.\n* anchor: (top, bottom, left, right, middle) define the anchor position on the\n module bounding-box.\n* align: (left, right or center for x) and (top, bottom or center for y) is used\n to set the origin of slide axes.\n\n" ], "cell_type": "markdown", "metadata": {} }, { "execution_count": null, "cell_type": "code", "source": [ "with slide():\n draw_axes()\n\n t = text('centered text',\n x={'anchor':'middle', 'shift':0.5},\n y={'anchor':'middle', 'shift':0.5, 'unit':'height'})\n bounding_box(t)\n\n t = text('bottom right shift',\n x={'anchor':'right', 'shift':30, 'align':'right'},\n y={'anchor':'bottom', 'shift':30, 'align':'bottom'})\n bounding_box(t)\n\ndisplay_matplotlib(gcs())" ], "outputs": [], "metadata": { "collapsed": false } } ], "metadata": { "kernelspec": { "display_name": "Python 2", "name": "python2", "language": "python" }, "language_info": { "mimetype": "text/x-python", "nbconvert_exporter": "python", "name": "python", "file_extension": ".py", "version": "2.7.16", "pygments_lexer": "ipython2", "codemirror_mode": { "version": 2, "name": "ipython" } } } }
gpl-3.0
hktxt/MachineLearning
intro_to_pandas.ipynb
1
76373
{ "nbformat": 4, "nbformat_minor": 0, "metadata": { "colab": { "name": "intro_to_pandas.ipynb", "version": "0.3.2", "provenance": [], "collapsed_sections": [ "JndnmDMp66FL", "YHIWvc9Ms-Ll", "TJffr5_Jwqvd" ], "toc_visible": true, "include_colab_link": true } }, "cells": [ { "cell_type": "markdown", "metadata": { "id": "view-in-github", "colab_type": "text" }, "source": [ "[View in Colaboratory](https://colab.research.google.com/github/hktxt/MachineLearning/blob/master/intro_to_pandas.ipynb)" ] }, { "metadata": { "id": "JndnmDMp66FL", "colab_type": "text" }, "cell_type": "markdown", "source": [ "#### Copyright 2017 Google LLC." ] }, { "metadata": { "id": "hMqWDc_m6rUC", "colab_type": "code", "colab": {}, "cellView": "both" }, "cell_type": "code", "source": [ "# Licensed under the Apache License, Version 2.0 (the \"License\");\n", "# you may not use this file except in compliance with the License.\n", "# You may obtain a copy of the License at\n", "#\n", "# https://www.apache.org/licenses/LICENSE-2.0\n", "#\n", "# Unless required by applicable law or agreed to in writing, software\n", "# distributed under the License is distributed on an \"AS IS\" BASIS,\n", "# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.\n", "# See the License for the specific language governing permissions and\n", "# limitations under the License." ], "execution_count": 0, "outputs": [] }, { "metadata": { "id": "rHLcriKWLRe4", "colab_type": "text" }, "cell_type": "markdown", "source": [ " # Pandas 简介" ] }, { "metadata": { "id": "QvJBqX8_Bctk", "colab_type": "text" }, "cell_type": "markdown", "source": [ "**学习目标:**\n", " * 大致了解 *pandas* 库的 `DataFrame` 和 `Series` 数据结构\n", " * 存取和处理 `DataFrame` 和 `Series` 中的数据\n", " * 将 CSV 数据导入 pandas 库的 `DataFrame`\n", " * 对 `DataFrame` 重建索引来随机打乱数据" ] }, { "metadata": { "id": "TIFJ83ZTBctl", "colab_type": "text" }, "cell_type": "markdown", "source": [ " [*pandas*](http://pandas.pydata.org/) 是一种列存数据分析 API。它是用于处理和分析输入数据的强大工具,很多机器学习框架都支持将 *pandas* 数据结构作为输入。\n", "虽然全方位介绍 *pandas* API 会占据很长篇幅,但它的核心概念非常简单,我们会在下文中进行说明。有关更完整的参考,请访问 [*pandas* 文档网站](http://pandas.pydata.org/pandas-docs/stable/index.html),其中包含丰富的文档和教程资源。" ] }, { "metadata": { "id": "s_JOISVgmn9v", "colab_type": "text" }, "cell_type": "markdown", "source": [ " ## 基本概念\n", "\n", "以下行导入了 *pandas* API 并输出了相应的 API 版本:" ] }, { "metadata": { "id": "aSRYu62xUi3g", "colab_type": "code", "colab": { "base_uri": "https://localhost:8080/", "height": 35 }, "outputId": "cabd3c62-6ad1-4856-fc03-fa97821c9202" }, "cell_type": "code", "source": [ "from __future__ import print_function\n", "\n", "import pandas as pd\n", "pd.__version__" ], "execution_count": 2, "outputs": [ { "output_type": "execute_result", "data": { "text/plain": [ "u'0.22.0'" ] }, "metadata": { "tags": [] }, "execution_count": 2 } ] }, { "metadata": { "id": "daQreKXIUslr", "colab_type": "text" }, "cell_type": "markdown", "source": [ " *pandas* 中的主要数据结构被实现为以下两类:\n", "\n", " * **`DataFrame`**,您可以将它想象成一个关系型数据表格,其中包含多个行和已命名的列。\n", " * **`Series`**,它是单一列。`DataFrame` 中包含一个或多个 `Series`,每个 `Series` 均有一个名称。\n", "\n", "数据框架是用于数据操控的一种常用抽象实现形式。[Spark](https://spark.apache.org/) 和 [R](https://www.r-project.org/about.html) 中也有类似的实现。" ] }, { "metadata": { "id": "fjnAk1xcU0yc", "colab_type": "text" }, "cell_type": "markdown", "source": [ " 创建 `Series` 的一种方法是构建 `Series` 对象。例如:" ] }, { "metadata": { "id": "DFZ42Uq7UFDj", "colab_type": "code", "colab": { "base_uri": "https://localhost:8080/", "height": 89 }, "outputId": "af47197b-f7c4-4f70-c71f-9f43f82bc225" }, "cell_type": "code", "source": [ "pd.Series(['San Francisco', 'San Jose', 'Sacramento'])" ], "execution_count": 3, "outputs": [ { "output_type": "execute_result", "data": { "text/plain": [ "0 San Francisco\n", "1 San Jose\n", "2 Sacramento\n", "dtype: object" ] }, "metadata": { "tags": [] }, "execution_count": 3 } ] }, { "metadata": { "id": "U5ouUp1cU6pC", "colab_type": "text" }, "cell_type": "markdown", "source": [ " 您可以将映射 `string` 列名称的 `dict` 传递到它们各自的 `Series`,从而创建`DataFrame`对象。如果 `Series` 在长度上不一致,系统会用特殊的 [NA/NaN](http://pandas.pydata.org/pandas-docs/stable/missing_data.html) 值填充缺失的值。例如:" ] }, { "metadata": { "id": "avgr6GfiUh8t", "colab_type": "code", "colab": { "base_uri": "https://localhost:8080/", "height": 142 }, "outputId": "fddaa0f8-361e-4ef6-87a9-e65de5c8ffb7" }, "cell_type": "code", "source": [ "city_names = pd.Series(['San Francisco', 'San Jose', 'Sacramento'])\n", "population = pd.Series([852469, 1015785, 485199])\n", "\n", "pd.DataFrame({ 'City name': city_names, 'Population': population })" ], "execution_count": 4, "outputs": [ { "output_type": "execute_result", "data": { "text/html": [ "<div>\n", "<style scoped>\n", " .dataframe tbody tr th:only-of-type {\n", " vertical-align: middle;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: right;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>City name</th>\n", " <th>Population</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>San Francisco</td>\n", " <td>852469</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>San Jose</td>\n", " <td>1015785</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>Sacramento</td>\n", " <td>485199</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " City name Population\n", "0 San Francisco 852469\n", "1 San Jose 1015785\n", "2 Sacramento 485199" ] }, "metadata": { "tags": [] }, "execution_count": 4 } ] }, { "metadata": { "id": "oa5wfZT7VHJl", "colab_type": "text" }, "cell_type": "markdown", "source": [ " 但是在大多数情况下,您需要将整个文件加载到 `DataFrame` 中。下面的示例加载了一个包含加利福尼亚州住房数据的文件。请运行以下单元格以加载数据,并创建特征定义:" ] }, { "metadata": { "id": "av6RYOraVG1V", "colab_type": "code", "colab": { "base_uri": "https://localhost:8080/", "height": 297 }, "outputId": "e3ba7974-84e4-4a23-a58e-920fe0452396" }, "cell_type": "code", "source": [ "california_housing_dataframe = pd.read_csv(\"https://download.mlcc.google.com/mledu-datasets/california_housing_train.csv\", sep=\",\")\n", "california_housing_dataframe.describe()" ], "execution_count": 5, "outputs": [ { "output_type": "execute_result", "data": { "text/html": [ "<div>\n", "<style scoped>\n", " .dataframe tbody tr th:only-of-type {\n", " vertical-align: middle;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: right;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>longitude</th>\n", " <th>latitude</th>\n", " <th>housing_median_age</th>\n", " <th>total_rooms</th>\n", " <th>total_bedrooms</th>\n", " <th>population</th>\n", " <th>households</th>\n", " <th>median_income</th>\n", " <th>median_house_value</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>count</th>\n", " <td>17000.000000</td>\n", " <td>17000.000000</td>\n", " <td>17000.000000</td>\n", " <td>17000.000000</td>\n", " <td>17000.000000</td>\n", " <td>17000.000000</td>\n", " <td>17000.000000</td>\n", " <td>17000.000000</td>\n", " <td>17000.000000</td>\n", " </tr>\n", " <tr>\n", " <th>mean</th>\n", " <td>-119.562108</td>\n", " <td>35.625225</td>\n", " <td>28.589353</td>\n", " <td>2643.664412</td>\n", " <td>539.410824</td>\n", " <td>1429.573941</td>\n", " <td>501.221941</td>\n", " <td>3.883578</td>\n", " <td>207300.912353</td>\n", " </tr>\n", " <tr>\n", " <th>std</th>\n", " <td>2.005166</td>\n", " <td>2.137340</td>\n", " <td>12.586937</td>\n", " <td>2179.947071</td>\n", " <td>421.499452</td>\n", " <td>1147.852959</td>\n", " <td>384.520841</td>\n", " <td>1.908157</td>\n", " <td>115983.764387</td>\n", " </tr>\n", " <tr>\n", " <th>min</th>\n", " <td>-124.350000</td>\n", " <td>32.540000</td>\n", " <td>1.000000</td>\n", " <td>2.000000</td>\n", " <td>1.000000</td>\n", " <td>3.000000</td>\n", " <td>1.000000</td>\n", " <td>0.499900</td>\n", " <td>14999.000000</td>\n", " </tr>\n", " <tr>\n", " <th>25%</th>\n", " <td>-121.790000</td>\n", " <td>33.930000</td>\n", " <td>18.000000</td>\n", " <td>1462.000000</td>\n", " <td>297.000000</td>\n", " <td>790.000000</td>\n", " <td>282.000000</td>\n", " <td>2.566375</td>\n", " <td>119400.000000</td>\n", " </tr>\n", " <tr>\n", " <th>50%</th>\n", " <td>-118.490000</td>\n", " <td>34.250000</td>\n", " <td>29.000000</td>\n", " <td>2127.000000</td>\n", " <td>434.000000</td>\n", " <td>1167.000000</td>\n", " <td>409.000000</td>\n", " <td>3.544600</td>\n", " <td>180400.000000</td>\n", " </tr>\n", " <tr>\n", " <th>75%</th>\n", " <td>-118.000000</td>\n", " <td>37.720000</td>\n", " <td>37.000000</td>\n", " <td>3151.250000</td>\n", " <td>648.250000</td>\n", " <td>1721.000000</td>\n", " <td>605.250000</td>\n", " <td>4.767000</td>\n", " <td>265000.000000</td>\n", " </tr>\n", " <tr>\n", " <th>max</th>\n", " <td>-114.310000</td>\n", " <td>41.950000</td>\n", " <td>52.000000</td>\n", " <td>37937.000000</td>\n", " <td>6445.000000</td>\n", " <td>35682.000000</td>\n", " <td>6082.000000</td>\n", " <td>15.000100</td>\n", " <td>500001.000000</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " longitude latitude housing_median_age total_rooms \\\n", "count 17000.000000 17000.000000 17000.000000 17000.000000 \n", "mean -119.562108 35.625225 28.589353 2643.664412 \n", "std 2.005166 2.137340 12.586937 2179.947071 \n", "min -124.350000 32.540000 1.000000 2.000000 \n", "25% -121.790000 33.930000 18.000000 1462.000000 \n", "50% -118.490000 34.250000 29.000000 2127.000000 \n", "75% -118.000000 37.720000 37.000000 3151.250000 \n", "max -114.310000 41.950000 52.000000 37937.000000 \n", "\n", " total_bedrooms population households median_income \\\n", "count 17000.000000 17000.000000 17000.000000 17000.000000 \n", "mean 539.410824 1429.573941 501.221941 3.883578 \n", "std 421.499452 1147.852959 384.520841 1.908157 \n", "min 1.000000 3.000000 1.000000 0.499900 \n", "25% 297.000000 790.000000 282.000000 2.566375 \n", "50% 434.000000 1167.000000 409.000000 3.544600 \n", "75% 648.250000 1721.000000 605.250000 4.767000 \n", "max 6445.000000 35682.000000 6082.000000 15.000100 \n", "\n", " median_house_value \n", "count 17000.000000 \n", "mean 207300.912353 \n", "std 115983.764387 \n", "min 14999.000000 \n", "25% 119400.000000 \n", "50% 180400.000000 \n", "75% 265000.000000 \n", "max 500001.000000 " ] }, "metadata": { "tags": [] }, "execution_count": 5 } ] }, { "metadata": { "id": "WrkBjfz5kEQu", "colab_type": "text" }, "cell_type": "markdown", "source": [ " 上面的示例使用 `DataFrame.describe` 来显示关于 `DataFrame` 的有趣统计信息。另一个实用函数是 `DataFrame.head`,它显示 `DataFrame` 的前几个记录:" ] }, { "metadata": { "id": "s3ND3bgOkB5k", "colab_type": "code", "colab": { "base_uri": "https://localhost:8080/", "height": 204 }, "outputId": "0916b17e-cdf3-4f4b-fbd6-b546d65afff9" }, "cell_type": "code", "source": [ "california_housing_dataframe.head()" ], "execution_count": 6, "outputs": [ { "output_type": "execute_result", "data": { "text/html": [ "<div>\n", "<style scoped>\n", " .dataframe tbody tr th:only-of-type {\n", " vertical-align: middle;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: right;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>longitude</th>\n", " <th>latitude</th>\n", " <th>housing_median_age</th>\n", " <th>total_rooms</th>\n", " <th>total_bedrooms</th>\n", " <th>population</th>\n", " <th>households</th>\n", " <th>median_income</th>\n", " <th>median_house_value</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>-114.31</td>\n", " <td>34.19</td>\n", " <td>15.0</td>\n", " <td>5612.0</td>\n", " <td>1283.0</td>\n", " <td>1015.0</td>\n", " <td>472.0</td>\n", " <td>1.4936</td>\n", " <td>66900.0</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>-114.47</td>\n", " <td>34.40</td>\n", " <td>19.0</td>\n", " <td>7650.0</td>\n", " <td>1901.0</td>\n", " <td>1129.0</td>\n", " <td>463.0</td>\n", " <td>1.8200</td>\n", " <td>80100.0</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>-114.56</td>\n", " <td>33.69</td>\n", " <td>17.0</td>\n", " <td>720.0</td>\n", " <td>174.0</td>\n", " <td>333.0</td>\n", " <td>117.0</td>\n", " <td>1.6509</td>\n", " <td>85700.0</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>-114.57</td>\n", " <td>33.64</td>\n", " <td>14.0</td>\n", " <td>1501.0</td>\n", " <td>337.0</td>\n", " <td>515.0</td>\n", " <td>226.0</td>\n", " <td>3.1917</td>\n", " <td>73400.0</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>-114.57</td>\n", " <td>33.57</td>\n", " <td>20.0</td>\n", " <td>1454.0</td>\n", " <td>326.0</td>\n", " <td>624.0</td>\n", " <td>262.0</td>\n", " <td>1.9250</td>\n", " <td>65500.0</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " longitude latitude housing_median_age total_rooms total_bedrooms \\\n", "0 -114.31 34.19 15.0 5612.0 1283.0 \n", "1 -114.47 34.40 19.0 7650.0 1901.0 \n", "2 -114.56 33.69 17.0 720.0 174.0 \n", "3 -114.57 33.64 14.0 1501.0 337.0 \n", "4 -114.57 33.57 20.0 1454.0 326.0 \n", "\n", " population households median_income median_house_value \n", "0 1015.0 472.0 1.4936 66900.0 \n", "1 1129.0 463.0 1.8200 80100.0 \n", "2 333.0 117.0 1.6509 85700.0 \n", "3 515.0 226.0 3.1917 73400.0 \n", "4 624.0 262.0 1.9250 65500.0 " ] }, "metadata": { "tags": [] }, "execution_count": 6 } ] }, { "metadata": { "id": "w9-Es5Y6laGd", "colab_type": "text" }, "cell_type": "markdown", "source": [ " *pandas* 的另一个强大功能是绘制图表。例如,借助 `DataFrame.hist`,您可以快速了解一个列中值的分布:" ] }, { "metadata": { "id": "nqndFVXVlbPN", "colab_type": "code", "colab": { "base_uri": "https://localhost:8080/", "height": 398 }, "outputId": "05c0e8f6-0701-489f-844c-8948e268244f" }, "cell_type": "code", "source": [ "california_housing_dataframe.hist('housing_median_age')" ], "execution_count": 7, "outputs": [ { "output_type": "execute_result", "data": { "text/plain": [ "array([[<matplotlib.axes._subplots.AxesSubplot object at 0x7f124f508790>]],\n", " dtype=object)" ] }, "metadata": { "tags": [] }, "execution_count": 7 }, { "output_type": "display_data", "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAAAeoAAAFZCAYAAABXM2zhAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f124f60ac50>" ] }, "metadata": { "tags": [] } } ] }, { "metadata": { "id": "XtYZ7114n3b-", "colab_type": "text" }, "cell_type": "markdown", "source": [ " ## 访问数据\n", "\n", "您可以使用熟悉的 Python dict/list 指令访问 `DataFrame` 数据:" ] }, { "metadata": { "id": "_TFm7-looBFF", "colab_type": "code", "colab": { "base_uri": "https://localhost:8080/", "height": 107 }, "outputId": "900a37c6-06cd-413c-ea26-0f401fae0722" }, "cell_type": "code", "source": [ "cities = pd.DataFrame({ 'City name': city_names, 'Population': population })\n", "print(type(cities['City name']))\n", "cities['City name']" ], "execution_count": 8, "outputs": [ { "output_type": "stream", "text": [ "<class 'pandas.core.series.Series'>\n" ], "name": "stdout" }, { "output_type": "execute_result", "data": { "text/plain": [ "0 San Francisco\n", "1 San Jose\n", "2 Sacramento\n", "Name: City name, dtype: object" ] }, "metadata": { "tags": [] }, "execution_count": 8 } ] }, { "metadata": { "id": "V5L6xacLoxyv", "colab_type": "code", "colab": { "base_uri": "https://localhost:8080/", "height": 53 }, "outputId": "27a76249-9996-441e-d6b8-3454a688f861" }, "cell_type": "code", "source": [ "print(type(cities['City name'][1]))\n", "cities['City name'][1]" ], "execution_count": 9, "outputs": [ { "output_type": "stream", "text": [ "<type 'str'>\n" ], "name": "stdout" }, { "output_type": "execute_result", "data": { "text/plain": [ "'San Jose'" ] }, "metadata": { "tags": [] }, "execution_count": 9 } ] }, { "metadata": { "id": "gcYX1tBPugZl", "colab_type": "code", "colab": { "base_uri": "https://localhost:8080/", "height": 129 }, "outputId": "e9de88dd-e205-4579-e282-f92fa341aac4" }, "cell_type": "code", "source": [ "print(type(cities[0:2]))\n", "cities[0:2]" ], "execution_count": 10, "outputs": [ { "output_type": "stream", "text": [ "<class 'pandas.core.frame.DataFrame'>\n" ], "name": "stdout" }, { "output_type": "execute_result", "data": { "text/html": [ "<div>\n", "<style scoped>\n", " .dataframe tbody tr th:only-of-type {\n", " vertical-align: middle;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: right;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>City name</th>\n", " <th>Population</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>San Francisco</td>\n", " <td>852469</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>San Jose</td>\n", " <td>1015785</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " City name Population\n", "0 San Francisco 852469\n", "1 San Jose 1015785" ] }, "metadata": { "tags": [] }, "execution_count": 10 } ] }, { "metadata": { "id": "65g1ZdGVjXsQ", "colab_type": "text" }, "cell_type": "markdown", "source": [ " 此外,*pandas* 针对高级[索引和选择](http://pandas.pydata.org/pandas-docs/stable/indexing.html)提供了极其丰富的 API(数量过多,此处无法逐一列出)。" ] }, { "metadata": { "id": "RM1iaD-ka3Y1", "colab_type": "text" }, "cell_type": "markdown", "source": [ " ## 操控数据\n", "\n", "您可以向 `Series` 应用 Python 的基本运算指令。例如:" ] }, { "metadata": { "id": "XWmyCFJ5bOv-", "colab_type": "code", "colab": { "base_uri": "https://localhost:8080/", "height": 89 }, "outputId": "e1125508-1729-4b75-908d-50302ac8cb1b" }, "cell_type": "code", "source": [ "population / 1000." ], "execution_count": 11, "outputs": [ { "output_type": "execute_result", "data": { "text/plain": [ "0 852.469\n", "1 1015.785\n", "2 485.199\n", "dtype: float64" ] }, "metadata": { "tags": [] }, "execution_count": 11 } ] }, { "metadata": { "id": "TQzIVnbnmWGM", "colab_type": "text" }, "cell_type": "markdown", "source": [ " [NumPy](http://www.numpy.org/) 是一种用于进行科学计算的常用工具包。*pandas* `Series` 可用作大多数 NumPy 函数的参数:" ] }, { "metadata": { "id": "ko6pLK6JmkYP", "colab_type": "code", "colab": { "base_uri": "https://localhost:8080/", "height": 89 }, "outputId": "334eb08f-d9eb-4454-f0d8-9855b40b6a3c" }, "cell_type": "code", "source": [ "import numpy as np\n", "\n", "np.log(population)" ], "execution_count": 12, "outputs": [ { "output_type": "execute_result", "data": { "text/plain": [ "0 13.655892\n", "1 13.831172\n", "2 13.092314\n", "dtype: float64" ] }, "metadata": { "tags": [] }, "execution_count": 12 } ] }, { "metadata": { "id": "xmxFuQmurr6d", "colab_type": "text" }, "cell_type": "markdown", "source": [ " 对于更复杂的单列转换,您可以使用 `Series.apply`。像 Python [映射函数](https://docs.python.org/2/library/functions.html#map)一样,`Series.apply` 将以参数形式接受 [lambda 函数](https://docs.python.org/2/tutorial/controlflow.html#lambda-expressions),而该函数会应用于每个值。\n", "\n", "下面的示例创建了一个指明 `population` 是否超过 100 万的新 `Series`:" ] }, { "metadata": { "id": "Fc1DvPAbstjI", "colab_type": "code", "colab": { "base_uri": "https://localhost:8080/", "height": 89 }, "outputId": "336d1507-f3da-4fcd-9528-8b950ad5ead6" }, "cell_type": "code", "source": [ "population.apply(lambda val: val > 1000000)" ], "execution_count": 13, "outputs": [ { "output_type": "execute_result", "data": { "text/plain": [ "0 False\n", "1 True\n", "2 False\n", "dtype: bool" ] }, "metadata": { "tags": [] }, "execution_count": 13 } ] }, { "metadata": { "id": "ZeYYLoV9b9fB", "colab_type": "text" }, "cell_type": "markdown", "source": [ " \n", "`DataFrames` 的修改方式也非常简单。例如,以下代码向现有 `DataFrame` 添加了两个 `Series`:" ] }, { "metadata": { "id": "0gCEX99Hb8LR", "colab_type": "code", "colab": { "base_uri": "https://localhost:8080/", "height": 142 }, "outputId": "bed99bd2-44ce-40a8-ec2b-6f9e31e22931" }, "cell_type": "code", "source": [ "cities['Area square miles'] = pd.Series([46.87, 176.53, 97.92])\n", "cities['Population density'] = cities['Population'] / cities['Area square miles']\n", "cities" ], "execution_count": 14, "outputs": [ { "output_type": "execute_result", "data": { "text/html": [ "<div>\n", "<style scoped>\n", " .dataframe tbody tr th:only-of-type {\n", " vertical-align: middle;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: right;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>City name</th>\n", " <th>Population</th>\n", " <th>Area square miles</th>\n", " <th>Population density</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>San Francisco</td>\n", " <td>852469</td>\n", " <td>46.87</td>\n", " <td>18187.945381</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>San Jose</td>\n", " <td>1015785</td>\n", " <td>176.53</td>\n", " <td>5754.177760</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>Sacramento</td>\n", " <td>485199</td>\n", " <td>97.92</td>\n", " <td>4955.055147</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " City name Population Area square miles Population density\n", "0 San Francisco 852469 46.87 18187.945381\n", "1 San Jose 1015785 176.53 5754.177760\n", "2 Sacramento 485199 97.92 4955.055147" ] }, "metadata": { "tags": [] }, "execution_count": 14 } ] }, { "metadata": { "id": "6qh63m-ayb-c", "colab_type": "text" }, "cell_type": "markdown", "source": [ " ## 练习 1\n", "\n", "通过添加一个新的布尔值列(当且仅当以下*两项*均为 True 时为 True)修改 `cities` 表格:\n", "\n", " * 城市以圣人命名。\n", " * 城市面积大于 50 平方英里。\n", "\n", "**注意:**布尔值 `Series` 是使用“按位”而非传统布尔值“运算符”组合的。例如,执行*逻辑与*时,应使用 `&`,而不是 `and`。\n", "\n", "**提示:**\"San\" 在西班牙语中意为 \"saint\"。" ] }, { "metadata": { "id": "zCOn8ftSyddH", "colab_type": "code", "colab": { "base_uri": "https://localhost:8080/", "height": 142 }, "outputId": "9771d57d-f108-4699-ade6-48fdb751d5cd" }, "cell_type": "code", "source": [ "# Your code here\n", "cities['Is wide and has saint name'] = (cities['Area square miles'] > 50) & cities['City name'].apply(lambda name: name.startswith('San'))\n", "cities" ], "execution_count": 18, "outputs": [ { "output_type": "execute_result", "data": { "text/html": [ "<div>\n", "<style scoped>\n", " .dataframe tbody tr th:only-of-type {\n", " vertical-align: middle;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: right;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>City name</th>\n", " <th>Population</th>\n", " <th>Area square miles</th>\n", " <th>Population density</th>\n", " <th>Is wide has saint name</th>\n", " <th>Is wide and has saint name</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>San Francisco</td>\n", " <td>852469</td>\n", " <td>46.87</td>\n", " <td>18187.945381</td>\n", " <td>True</td>\n", " <td>False</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>San Jose</td>\n", " <td>1015785</td>\n", " <td>176.53</td>\n", " <td>5754.177760</td>\n", " <td>True</td>\n", " <td>True</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>Sacramento</td>\n", " <td>485199</td>\n", " <td>97.92</td>\n", " <td>4955.055147</td>\n", " <td>True</td>\n", " <td>False</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " City name Population Area square miles Population density \\\n", "0 San Francisco 852469 46.87 18187.945381 \n", "1 San Jose 1015785 176.53 5754.177760 \n", "2 Sacramento 485199 97.92 4955.055147 \n", "\n", " Is wide has saint name Is wide and has saint name \n", "0 True False \n", "1 True True \n", "2 True False " ] }, "metadata": { "tags": [] }, "execution_count": 18 } ] }, { "metadata": { "id": "YHIWvc9Ms-Ll", "colab_type": "text" }, "cell_type": "markdown", "source": [ " ### 解决方案\n", "\n", "点击下方,查看解决方案。" ] }, { "metadata": { "id": "T5OlrqtdtCIb", "colab_type": "code", "colab": { "base_uri": "https://localhost:8080/", "height": 142 }, "outputId": "303040cd-1f20-4244-fb54-74b10932a4ff" }, "cell_type": "code", "source": [ "cities['Is wide and has saint name'] = (cities['Area square miles'] > 50) & cities['City name'].apply(lambda name: name.startswith('San'))\n", "cities" ], "execution_count": 17, "outputs": [ { "output_type": "execute_result", "data": { "text/html": [ "<div>\n", "<style scoped>\n", " .dataframe tbody tr th:only-of-type {\n", " vertical-align: middle;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: right;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>City name</th>\n", " <th>Population</th>\n", " <th>Area square miles</th>\n", " <th>Population density</th>\n", " <th>Is wide has saint name</th>\n", " <th>Is wide and has saint name</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>San Francisco</td>\n", " <td>852469</td>\n", " <td>46.87</td>\n", " <td>18187.945381</td>\n", " <td>True</td>\n", " <td>False</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>San Jose</td>\n", " <td>1015785</td>\n", " <td>176.53</td>\n", " <td>5754.177760</td>\n", " <td>True</td>\n", " <td>True</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>Sacramento</td>\n", " <td>485199</td>\n", " <td>97.92</td>\n", " <td>4955.055147</td>\n", " <td>True</td>\n", " <td>False</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " City name Population Area square miles Population density \\\n", "0 San Francisco 852469 46.87 18187.945381 \n", "1 San Jose 1015785 176.53 5754.177760 \n", "2 Sacramento 485199 97.92 4955.055147 \n", "\n", " Is wide has saint name Is wide and has saint name \n", "0 True False \n", "1 True True \n", "2 True False " ] }, "metadata": { "tags": [] }, "execution_count": 17 } ] }, { "metadata": { "id": "f-xAOJeMiXFB", "colab_type": "text" }, "cell_type": "markdown", "source": [ " ## 索引\n", "`Series` 和 `DataFrame` 对象也定义了 `index` 属性,该属性会向每个 `Series` 项或 `DataFrame` 行赋一个标识符值。\n", "\n", "默认情况下,在构造时,*pandas* 会赋可反映源数据顺序的索引值。索引值在创建后是稳定的;也就是说,它们不会因为数据重新排序而发生改变。" ] }, { "metadata": { "id": "2684gsWNinq9", "colab_type": "code", "colab": { "base_uri": "https://localhost:8080/", "height": 35 }, "outputId": "a2423357-b50c-4fb2-ed02-4c2fe44d3217" }, "cell_type": "code", "source": [ "city_names.index" ], "execution_count": 19, "outputs": [ { "output_type": "execute_result", "data": { "text/plain": [ "RangeIndex(start=0, stop=3, step=1)" ] }, "metadata": { "tags": [] }, "execution_count": 19 } ] }, { "metadata": { "id": "F_qPe2TBjfWd", "colab_type": "code", "colab": { "base_uri": "https://localhost:8080/", "height": 35 }, "outputId": "a5abf888-db27-4cf2-efc8-58b372934670" }, "cell_type": "code", "source": [ "cities.index" ], "execution_count": 20, "outputs": [ { "output_type": "execute_result", "data": { "text/plain": [ "RangeIndex(start=0, stop=3, step=1)" ] }, "metadata": { "tags": [] }, "execution_count": 20 } ] }, { "metadata": { "id": "hp2oWY9Slo_h", "colab_type": "text" }, "cell_type": "markdown", "source": [ " 调用 `DataFrame.reindex` 以手动重新排列各行的顺序。例如,以下方式与按城市名称排序具有相同的效果:" ] }, { "metadata": { "id": "sN0zUzSAj-U1", "colab_type": "code", "colab": { "base_uri": "https://localhost:8080/", "height": 142 }, "outputId": "c74431ad-2838-4217-a4f5-0d0e47b5f34d" }, "cell_type": "code", "source": [ "cities.reindex([2, 0, 1])" ], "execution_count": 21, "outputs": [ { "output_type": "execute_result", "data": { "text/html": [ "<div>\n", "<style scoped>\n", " .dataframe tbody tr th:only-of-type {\n", " vertical-align: middle;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: right;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>City name</th>\n", " <th>Population</th>\n", " <th>Area square miles</th>\n", " <th>Population density</th>\n", " <th>Is wide has saint name</th>\n", " <th>Is wide and has saint name</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>2</th>\n", " <td>Sacramento</td>\n", " <td>485199</td>\n", " <td>97.92</td>\n", " <td>4955.055147</td>\n", " <td>True</td>\n", " <td>False</td>\n", " </tr>\n", " <tr>\n", " <th>0</th>\n", " <td>San Francisco</td>\n", " <td>852469</td>\n", " <td>46.87</td>\n", " <td>18187.945381</td>\n", " <td>True</td>\n", " <td>False</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>San Jose</td>\n", " <td>1015785</td>\n", " <td>176.53</td>\n", " <td>5754.177760</td>\n", " <td>True</td>\n", " <td>True</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " City name Population Area square miles Population density \\\n", "2 Sacramento 485199 97.92 4955.055147 \n", "0 San Francisco 852469 46.87 18187.945381 \n", "1 San Jose 1015785 176.53 5754.177760 \n", "\n", " Is wide has saint name Is wide and has saint name \n", "2 True False \n", "0 True False \n", "1 True True " ] }, "metadata": { "tags": [] }, "execution_count": 21 } ] }, { "metadata": { "id": "-GQFz8NZuS06", "colab_type": "text" }, "cell_type": "markdown", "source": [ " 重建索引是一种随机排列 `DataFrame` 的绝佳方式。在下面的示例中,我们会取用类似数组的索引,然后将其传递至 NumPy 的 `random.permutation` 函数,该函数会随机排列其值的位置。如果使用此重新随机排列的数组调用 `reindex`,会导致 `DataFrame` 行以同样的方式随机排列。\n", "尝试多次运行以下单元格!" ] }, { "metadata": { "id": "mF8GC0k8uYhz", "colab_type": "code", "colab": { "base_uri": "https://localhost:8080/", "height": 142 }, "outputId": "5c5b2bb8-c4b8-48e3-88c7-924b2723b401" }, "cell_type": "code", "source": [ "cities.reindex(np.random.permutation(cities.index))" ], "execution_count": 23, "outputs": [ { "output_type": "execute_result", "data": { "text/html": [ "<div>\n", "<style scoped>\n", " .dataframe tbody tr th:only-of-type {\n", " vertical-align: middle;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: right;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>City name</th>\n", " <th>Population</th>\n", " <th>Area square miles</th>\n", " <th>Population density</th>\n", " <th>Is wide has saint name</th>\n", " <th>Is wide and has saint name</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>San Francisco</td>\n", " <td>852469</td>\n", " <td>46.87</td>\n", " <td>18187.945381</td>\n", " <td>True</td>\n", " <td>False</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>Sacramento</td>\n", " <td>485199</td>\n", " <td>97.92</td>\n", " <td>4955.055147</td>\n", " <td>True</td>\n", " <td>False</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>San Jose</td>\n", " <td>1015785</td>\n", " <td>176.53</td>\n", " <td>5754.177760</td>\n", " <td>True</td>\n", " <td>True</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " City name Population Area square miles Population density \\\n", "0 San Francisco 852469 46.87 18187.945381 \n", "2 Sacramento 485199 97.92 4955.055147 \n", "1 San Jose 1015785 176.53 5754.177760 \n", "\n", " Is wide has saint name Is wide and has saint name \n", "0 True False \n", "2 True False \n", "1 True True " ] }, "metadata": { "tags": [] }, "execution_count": 23 } ] }, { "metadata": { "id": "fSso35fQmGKb", "colab_type": "text" }, "cell_type": "markdown", "source": [ " 有关详情,请参阅[索引文档](http://pandas.pydata.org/pandas-docs/stable/indexing.html#index-objects)。" ] }, { "metadata": { "id": "8UngIdVhz8C0", "colab_type": "text" }, "cell_type": "markdown", "source": [ " ## 练习 2\n", "\n", "`reindex` 方法允许使用未包含在原始 `DataFrame` 索引值中的索引值。请试一下,看看如果使用此类值会发生什么!您认为允许此类值的原因是什么?" ] }, { "metadata": { "id": "PN55GrDX0jzO", "colab_type": "code", "colab": { "base_uri": "https://localhost:8080/", "height": 173 }, "outputId": "d8cbd933-9644-4429-d999-752f00d20dda" }, "cell_type": "code", "source": [ "# Your code here\n", "cities.reindex([2, 0, 1, 3])" ], "execution_count": 24, "outputs": [ { "output_type": "execute_result", "data": { "text/html": [ "<div>\n", "<style scoped>\n", " .dataframe tbody tr th:only-of-type {\n", " vertical-align: middle;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: right;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>City name</th>\n", " <th>Population</th>\n", " <th>Area square miles</th>\n", " <th>Population density</th>\n", " <th>Is wide has saint name</th>\n", " <th>Is wide and has saint name</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>2</th>\n", " <td>Sacramento</td>\n", " <td>485199.0</td>\n", " <td>97.92</td>\n", " <td>4955.055147</td>\n", " <td>True</td>\n", " <td>False</td>\n", " </tr>\n", " <tr>\n", " <th>0</th>\n", " <td>San Francisco</td>\n", " <td>852469.0</td>\n", " <td>46.87</td>\n", " <td>18187.945381</td>\n", " <td>True</td>\n", " <td>False</td>\n", " </tr>\n", " <tr>\n", " <th>1</th>\n", " <td>San Jose</td>\n", " <td>1015785.0</td>\n", " <td>176.53</td>\n", " <td>5754.177760</td>\n", " <td>True</td>\n", " <td>True</td>\n", " </tr>\n", " <tr>\n", " <th>3</th>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " City name Population Area square miles Population density \\\n", "2 Sacramento 485199.0 97.92 4955.055147 \n", "0 San Francisco 852469.0 46.87 18187.945381 \n", "1 San Jose 1015785.0 176.53 5754.177760 \n", "3 NaN NaN NaN NaN \n", "\n", " Is wide has saint name Is wide and has saint name \n", "2 True False \n", "0 True False \n", "1 True True \n", "3 NaN NaN " ] }, "metadata": { "tags": [] }, "execution_count": 24 } ] }, { "metadata": { "id": "TJffr5_Jwqvd", "colab_type": "text" }, "cell_type": "markdown", "source": [ " ### 解决方案\n", "\n", "点击下方,查看解决方案。" ] }, { "metadata": { "id": "8oSvi2QWwuDH", "colab_type": "text" }, "cell_type": "markdown", "source": [ " 如果您的 `reindex` 输入数组包含原始 `DataFrame` 索引值中没有的值,`reindex` 会为此类“丢失的”索引添加新行,并在所有对应列中填充 `NaN` 值:" ] }, { "metadata": { "id": "yBdkucKCwy4x", "colab_type": "code", "colab": { "base_uri": "https://localhost:8080/", "height": 173 }, "outputId": "1afc5da9-761f-4050-8c20-cc581a2057d5" }, "cell_type": "code", "source": [ "cities.reindex([0, 4, 5, 2])" ], "execution_count": 25, "outputs": [ { "output_type": "execute_result", "data": { "text/html": [ "<div>\n", "<style scoped>\n", " .dataframe tbody tr th:only-of-type {\n", " vertical-align: middle;\n", " }\n", "\n", " .dataframe tbody tr th {\n", " vertical-align: top;\n", " }\n", "\n", " .dataframe thead th {\n", " text-align: right;\n", " }\n", "</style>\n", "<table border=\"1\" class=\"dataframe\">\n", " <thead>\n", " <tr style=\"text-align: right;\">\n", " <th></th>\n", " <th>City name</th>\n", " <th>Population</th>\n", " <th>Area square miles</th>\n", " <th>Population density</th>\n", " <th>Is wide has saint name</th>\n", " <th>Is wide and has saint name</th>\n", " </tr>\n", " </thead>\n", " <tbody>\n", " <tr>\n", " <th>0</th>\n", " <td>San Francisco</td>\n", " <td>852469.0</td>\n", " <td>46.87</td>\n", " <td>18187.945381</td>\n", " <td>True</td>\n", " <td>False</td>\n", " </tr>\n", " <tr>\n", " <th>4</th>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>5</th>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " <td>NaN</td>\n", " </tr>\n", " <tr>\n", " <th>2</th>\n", " <td>Sacramento</td>\n", " <td>485199.0</td>\n", " <td>97.92</td>\n", " <td>4955.055147</td>\n", " <td>True</td>\n", " <td>False</td>\n", " </tr>\n", " </tbody>\n", "</table>\n", "</div>" ], "text/plain": [ " City name Population Area square miles Population density \\\n", "0 San Francisco 852469.0 46.87 18187.945381 \n", "4 NaN NaN NaN NaN \n", "5 NaN NaN NaN NaN \n", "2 Sacramento 485199.0 97.92 4955.055147 \n", "\n", " Is wide has saint name Is wide and has saint name \n", "0 True False \n", "4 NaN NaN \n", "5 NaN NaN \n", "2 True False " ] }, "metadata": { "tags": [] }, "execution_count": 25 } ] }, { "metadata": { "id": "2l82PhPbwz7g", "colab_type": "text" }, "cell_type": "markdown", "source": [ " 这种行为是可取的,因为索引通常是从实际数据中提取的字符串(请参阅 [*pandas* reindex 文档](http://pandas.pydata.org/pandas-docs/stable/generated/pandas.DataFrame.reindex.html),查看索引值是浏览器名称的示例)。\n", "\n", "在这种情况下,如果允许出现“丢失的”索引,您将可以轻松使用外部列表重建索引,因为您不必担心会将输入清理掉。" ] } ] }
gpl-3.0
QuantStack/quantstack-talks
2019-06-26-GeoPython/notebooks/1.ipywidgets.ipynb
1
5750
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Repository: https://github.com/jupyter-widgets/ipywidgets\n", "# Installation: \n", "`conda install -c conda-forge ipywidgets`" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Simple slider for driving an integer value" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "from ipywidgets import IntSlider" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "slider = IntSlider()" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "slider" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "slider.value" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "slider.value = 20" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "slider" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Widgets protocol" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "<center><img src=\"src/widgets-arch.png\" width=\"50%\"></center>" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Drive a boolean value" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "from ipywidgets import Checkbox" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "checkbox = Checkbox(description='Check me')\n", "checkbox" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "checkbox.value" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "checkbox.value = True" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Link two widgets" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "from ipywidgets import IntText, IntSlider, link, HBox" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "text = IntText()\n", "\n", "slider = IntSlider()\n", "\n", "link((text, 'value'), (slider, 'value'))\n", "HBox([text, slider])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Observe changes on the widget model" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "from ipywidgets import ToggleButton" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "button = ToggleButton(description='Click me!', button_style='danger')\n", "\n", "def update_style(change):\n", " button.button_style = 'info' if change['new'] else 'danger'\n", "\n", "button.observe(update_style, 'value')\n", "button" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Variety of widgets in the core library" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "from ipywidgets import ColorPicker, DatePicker, IntProgress, Play, VBox, link" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "progress = IntProgress()\n", "play = Play()\n", "link((play, 'value'), (progress, 'value'))\n", "\n", "VBox([ColorPicker(value='red'), DatePicker(), progress, play])" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Media widgets" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Image widget" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "from ipywidgets import Image\n", "\n", "import numpy as np" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "image = Image.from_file(\"src/marie.png\")\n", "image" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Video widget" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "from ipywidgets import Video" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "video = Video.from_file('src/Big.Buck.Bunny.mp4')\n", "video" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Clean" ] }, { "cell_type": "code", "execution_count": null, "metadata": {}, "outputs": [], "source": [ "from ipywidgets import Widget\n", "Widget.close_all()" ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.7.3" } }, "nbformat": 4, "nbformat_minor": 2 }
bsd-3-clause
zzeleznick/cs194-final-proj
main/index.ipynb
1
11420642
null
mit
zhaoyan1117/gaussian-classifier
src/p4.ipynb
1
180747
{ "metadata": { "name": "", "signature": "sha256:acd18dbd6dfe8df45adf27942f9afec429d73f57117dd1e3340db8de287f0c75" }, "nbformat": 3, "nbformat_minor": 0, "worksheets": [ { "cells": [ { "cell_type": "heading", "level": 1, "metadata": {}, "source": [ "Import library" ] }, { "cell_type": "code", "collapsed": false, "input": [ "%matplotlib inline\n", "\n", "import os, time\n", "import csv\n", "import numpy as np\n", "from scipy import io\n", "from scipy.stats import multivariate_normal\n", "import matplotlib\n", "import matplotlib.pyplot as plt\n", "\n", "matplotlib.rcParams['xtick.direction'] = 'out'\n", "matplotlib.rcParams['ytick.direction'] = 'out'\n", "\n", "fig_directory = '../figures'\n", "if not os.path.exists(fig_directory):\n", " os.makedirs(fig_directory)\n", "\n", "save_fig = True\n", "fig_size = (6, 4.5)\n", "fig_dpi = 200" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 3 }, { "cell_type": "heading", "level": 1, "metadata": {}, "source": [ "Load data" ] }, { "cell_type": "code", "collapsed": false, "input": [ "train_data_path = \"../data/digit-dataset/train.mat\"\n", "train_data = io.loadmat(train_data_path)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 2 }, { "cell_type": "heading", "level": 1, "metadata": {}, "source": [ "Build data processing function" ] }, { "cell_type": "code", "collapsed": false, "input": [ "def process(image):\n", " raveled = image.ravel()\n", " norm = np.linalg.norm(raveled)\n", " if norm:\n", " return raveled / np.linalg.norm(raveled)\n", " else:\n", " return raveled" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 3 }, { "cell_type": "heading", "level": 1, "metadata": {}, "source": [ "Process data" ] }, { "cell_type": "code", "collapsed": false, "input": [ "assert len(train_data['train_image'][0][0]) == len(train_data['train_label'])\n", "train_data_size = len(train_data['train_image'][0][0])\n", "train_images = np.array([process(train_data['train_image'][:,:,i]) for i in xrange(train_data_size)])\n", "train_labels = np.array([train_data['train_label'][i][0] for i in xrange(train_data_size)])" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 4 }, { "cell_type": "heading", "level": 1, "metadata": {}, "source": [ "Group data based on label classes" ] }, { "cell_type": "code", "collapsed": false, "input": [ "grouped_train_images = {}\n", "for digit in range(10):\n", " grouped_train_images[digit] = train_images[train_labels == digit]" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 5 }, { "cell_type": "heading", "level": 1, "metadata": {}, "source": [ "MLE" ] }, { "cell_type": "code", "collapsed": false, "input": [ "distributions = {}\n", "covs = {}\n", "means = {}\n", "for digit in grouped_train_images:\n", " means[digit] = np.mean(grouped_train_images[digit], axis=0)\n", " covs[digit] = np.cov(grouped_train_images[digit], rowvar=0)\n", " adjusted_cov = covs[digit] + 0.001 * np.identity(covs[digit].shape[0])\n", " distributions[digit] = multivariate_normal(means[digit], adjusted_cov)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 6 }, { "cell_type": "heading", "level": 1, "metadata": {}, "source": [ "Prior distribution" ] }, { "cell_type": "code", "collapsed": false, "input": [ "prior = {}\n", "for digit in range(10):\n", " total_size = train_labels.size\n", " digit_size = train_labels[train_labels == digit].size\n", " prior[digit] = digit_size / float(total_size)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 7 }, { "cell_type": "heading", "level": 1, "metadata": {}, "source": [ "Plot heatmap of covariance matrix" ] }, { "cell_type": "code", "collapsed": false, "input": [ "def plot_cov_mat_heatmap(digit):\n", " fig = plt.figure()\n", " plt.grid()\n", " plt.imshow(covs[digit])\n", " cb = plt.colorbar()\n", " plt.show()\n", " fig.savefig('{0}/p4_heatmap_{1}.png'.format(fig_directory, digit), \n", " dpi=fig_dpi,\n", " bbox_inches='tight')" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 8 }, { "cell_type": "code", "collapsed": false, "input": [ "plot_cov_mat_heatmap(0)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAT0AAAEECAYAAABa7uP4AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\n5LBN4f8BZcwsH80BOGIAAAAASUVORK5CYII=\n", "text": [ "<matplotlib.figure.Figure at 0x110637d90>" ] } ], "prompt_number": 9 }, { "cell_type": "code", "collapsed": false, "input": [ "plot_cov_mat_heatmap(6)" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAT0AAAEECAYAAABa7uP4AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nQmCC\n", "text": [ "<matplotlib.figure.Figure at 0x10f099350>" ] } ], "prompt_number": 10 }, { "cell_type": "heading", "level": 1, "metadata": {}, "source": [ "Gaussian classifier using $\\Sigma_{i}$ for each class" ] }, { "cell_type": "code", "collapsed": false, "input": [ "from gaussian_classifier import GaussianClassifier" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 11 }, { "cell_type": "heading", "level": 1, "metadata": {}, "source": [ "Gaussian classifier using $\\Sigma_{overall}$" ] }, { "cell_type": "code", "collapsed": false, "input": [ "from gaussian_classifier import SameCovGaussianClassifier" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 12 }, { "cell_type": "heading", "level": 1, "metadata": {}, "source": [ "Train Classifier with different sizes" ] }, { "cell_type": "code", "collapsed": false, "input": [ "randomize_indices = np.random.permutation(train_data_size)\n", "data_sizes = [100, 200, 500, 1000, 2000, 5000, 10000, 30000, 60000]\n", "\n", "classifiers_by_sizes = {}\n", "same_sov_classifiers_by_sizes = {}\n", "\n", "for size in data_sizes:\n", " images = train_images[randomize_indices[:size]]\n", " labels = train_labels[randomize_indices[:size]]\n", " \n", " # Train $\\Sigma_{i}$ classifier\n", " classifier = GaussianClassifier()\n", " classifier.fit(images, labels)\n", " classifiers_by_sizes[size] = classifier\n", " \n", " # Train $\\Sigma_{overall}$ classifier\n", " same_cov_classifier = SameCovGaussianClassifier()\n", " same_cov_classifier.fit(images, labels)\n", " same_sov_classifiers_by_sizes[size] = same_cov_classifier" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "Finish training with data size 100 , takes 2.08 seconds\n", "Finish training with data size 100 , takes 0.89 seconds" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "Finish training with data size 200 , takes 1.8 seconds" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "Finish training with data size 200 , takes 0.87 seconds" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "Finish training with data size 500 , takes 1.89 seconds" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "Finish training with data size 500 , takes 1.0 seconds" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "Finish training with data size 1000 , takes 1.89 seconds" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "Finish training with data size 1000 , takes 1.04 seconds" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "Finish training with data size 2000 , takes 1.84 seconds" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "Finish training with data size 2000 , takes 1.16 seconds" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "Finish training with data size 5000 , takes 1.9 seconds" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "Finish training with data size 5000 , takes 1.1 seconds" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "Finish training with data size 10000 , takes 1.92 seconds" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "Finish training with data size 10000 , takes 1.16 seconds" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "Finish training with data size 30000 , takes 2.17 seconds" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "Finish training with data size 30000 , takes 1.53 seconds" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "Finish training with data size 60000 , takes 2.61 seconds" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "Finish training with data size 60000 , takes 1.83 seconds" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n" ] } ], "prompt_number": 13 }, { "cell_type": "heading", "level": 1, "metadata": {}, "source": [ "Load and prepare test data" ] }, { "cell_type": "code", "collapsed": false, "input": [ "test_data_path = \"../data/digit-dataset/test.mat\"\n", "test_data = io.loadmat(test_data_path)\n", "\n", "assert len(test_data['test_image'][0][0]) == len(test_data['test_label'])\n", "test_data_size = len(test_data['test_image'][0][0])\n", "test_images = np.array([process(test_data['test_image'][:,:,i]) for i in xrange(test_data_size)])\n", "test_labels = np.array([test_data['test_label'][i][0] for i in xrange(test_data_size)])" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 14 }, { "cell_type": "heading", "level": 1, "metadata": {}, "source": [ "Calculate correct rate" ] }, { "cell_type": "code", "collapsed": true, "input": [ "classifiers_cr = []\n", "same_cov_classifiers_cr = []\n", "\n", "for size in data_sizes:\n", " cr = classifiers_by_sizes[size].correct_rate(test_images, test_labels)\n", " sccr = same_sov_classifiers_by_sizes[size].correct_rate(test_images, test_labels)\n", " classifiers_cr.append(cr)\n", " same_cov_classifiers_cr.append(sccr)\n", " print \"Sigma_i: {0} | Sigma_overall: {1} | Size: {2}\".format(cr, sccr, size)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "Sigma_i: 0.44 | Sigma_overall: 0.17 | Size: 100\n", "Sigma_i: 0.73 | Sigma_overall: 0.15 | Size: 200" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "Sigma_i: 0.88 | Sigma_overall: 0.15 | Size: 500" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "Sigma_i: 0.89 | Sigma_overall: 0.1 | Size: 1000" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "Sigma_i: 0.87 | Sigma_overall: 0.09 | Size: 2000" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "Sigma_i: 0.67 | Sigma_overall: 0.09 | Size: 5000" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "Sigma_i: 0.75 | Sigma_overall: 0.15 | Size: 10000" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "Sigma_i: 0.71 | Sigma_overall: 0.09 | Size: 30000" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "Sigma_i: 0.65 | Sigma_overall: 0.09 | Size: 60000" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n" ] } ], "prompt_number": 15 }, { "cell_type": "code", "collapsed": false, "input": [ "# Plot correct rate of $\\Sigma_{i}$ classifier\n", "fig = plt.figure()\n", "plt.plot(data_sizes, classifiers_cr,\n", " color='red', marker='o', \n", " markerfacecolor='blue', linewidth=1.0)\n", "plt.xlabel('Training set size')\n", "plt.ylabel('Accuracy')\n", "plt.title('Sigma_i classifier')\n", "plt.show()\n", "fig.savefig('{0}/p4_accuracy_sigmai.png'.format(fig_directory), \n", " dpi=fig_dpi,\n", " bbox_inches='tight')\n", "\n", "# Plot correct rate of $\\Sigma_{overall}$ classifier\n", "fig = plt.figure()\n", "plt.plot(data_sizes, same_cov_classifiers_cr,\n", " color='red', marker='o', \n", " markerfacecolor='blue', linewidth=1.0)\n", "plt.xlabel('Training set size')\n", "plt.ylabel('Accuracy')\n", "plt.title('Sigma_overall classifier')\n", "plt.show()\n", "fig.savefig('{0}/p4_accuracy_sigma_overall.png'.format(fig_directory), \n", " dpi=fig_dpi,\n", " bbox_inches='tight')" ], "language": "python", "metadata": {}, "outputs": [ { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAZIAAAEdCAYAAAAmZOH3AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nTkSuQmCC\n", "text": [ "<matplotlib.figure.Figure at 0x110781b50>" ] }, { "metadata": {}, "output_type": "display_data", "png": "iVBORw0KGgoAAAANSUhEUgAAAZgAAAEdCAYAAAAxRnE+AAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAElFTkSuQmCC\n", "text": [ "<matplotlib.figure.Figure at 0x10c2bbfd0>" ] } ], "prompt_number": 16 }, { "cell_type": "heading", "level": 1, "metadata": {}, "source": [ "Load and prepare Kaggle data" ] }, { "cell_type": "code", "collapsed": false, "input": [ "kaggle_data_path = \"../data/digit-dataset/kaggle.mat\"\n", "kaggle_data = io.loadmat(kaggle_data_path)\n", "\n", "kaggle_data_size = len(kaggle_data['kaggle_image'][0][0])\n", "kaggle_images = np.array([process(kaggle_data['kaggle_image'][:,:,i]) for i in xrange(kaggle_data_size)])" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 17 }, { "cell_type": "heading", "level": 1, "metadata": {}, "source": [ "Build Kaggle classifier and predict results " ] }, { "cell_type": "code", "collapsed": false, "input": [ "kaggle_train_data_size = 1000\n", "\n", "kaggle_classifier = GaussianClassifier()\n", "kaggle_classifier.fit(train_images[randomize_indices[:kaggle_train_data_size]],\n", " train_labels[randomize_indices[:kaggle_train_data_size]])\n", "\n", "kaggle_classifier_cr = kaggle_classifier.correct_rate(test_images, test_labels)\n", "print \"Kaggle classifier has correct rate {0} with test data.\".format(kaggle_classifier_cr)\n", "\n", "kaggle_result = kaggle_classifier.predicate(kaggle_images)" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "Finish training with data size 1000 , takes 2.18 seconds\n", "Kaggle classifier has correct rate 0.89 with test data." ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n" ] } ], "prompt_number": 18 }, { "cell_type": "heading", "level": 1, "metadata": {}, "source": [ "Create output directory" ] }, { "cell_type": "code", "collapsed": false, "input": [ "output_dir = '../output'\n", "if not os.path.exists(output_dir):\n", " os.makedirs(output_dir)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 4 }, { "cell_type": "heading", "level": 1, "metadata": {}, "source": [ "Output result to csv file" ] }, { "cell_type": "code", "collapsed": false, "input": [ "kaggle_result_path = '{0}/p4_kaggle_result.csv'.format(output_dir)\n", "with open(kaggle_result_path, 'w') as fp:\n", " writer = csv.writer(fp, delimiter=',')\n", " writer.writerow(['Id', 'Category'])\n", " for i in xrange(len(kaggle_result)):\n", " writer.writerow([i+1, int(kaggle_result[i])])" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 19 }, { "cell_type": "heading", "level": 1, "metadata": {}, "source": [ "Kaggle for spam" ] }, { "cell_type": "code", "collapsed": false, "input": [ "spam_data_path = \"../data/spam-dataset/spam_data.mat\"\n", "spam_data = io.loadmat(spam_data_path)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 20 }, { "cell_type": "code", "collapsed": false, "input": [ "spam_training_data = spam_data['training_data']\n", "spam_training_labels = spam_data['training_labels'][0]\n", "assert len(spam_training_data) == len(spam_training_labels)\n", "spam_training_data_size = len(spam_training_data)\n", "spam_randomize_indices = np.random.permutation(spam_training_data_size)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 21 }, { "cell_type": "code", "collapsed": false, "input": [ "spam_validation_set_size = 1172\n", "spam_validation_set_data = spam_training_data[spam_randomize_indices[-spam_validation_set_size:]]\n", "spam_validation_set_labels = spam_training_labels[spam_randomize_indices[-spam_validation_set_size:]]" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 22 }, { "cell_type": "code", "collapsed": false, "input": [ "spam_data_sizes = [100, 200, 500, 1000, 2000, 3000, 4000]\n", "\n", "spam_classifiers_by_sizes = {}\n", "\n", "for size in spam_data_sizes:\n", " data = spam_training_data[spam_randomize_indices[:size]]\n", " labels = spam_training_labels[spam_randomize_indices[:size]]\n", " classifier = GaussianClassifier()\n", " classifier.fit(data, labels)\n", " spam_classifiers_by_sizes[size] = classifier" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "Finish training with data size 100 , takes 0.0 seconds\n", "Finish training with data size 200 , takes 0.0 seconds\n", "Finish training with data size 500 , takes 0.0 seconds\n", "Finish training with data size 1000 , takes 0.0 seconds\n", "Finish training with data size 2000 , takes 0.0 seconds\n", "Finish training with data size 3000 , takes 0.0 seconds\n", "Finish training with data size 4000 , takes 0.0 seconds\n" ] } ], "prompt_number": 23 }, { "cell_type": "code", "collapsed": false, "input": [ "best_cr = float('-inf')\n", "best_size = None\n", "for size in spam_data_sizes:\n", " cr = spam_classifiers_by_sizes[size].correct_rate(spam_validation_set_data, \n", " spam_validation_set_labels)\n", " print \"Correct rate: {0} | Size: {1}\".format(cr, size)\n", " if cr > best_cr:\n", " best_cr = cr\n", " best_size = size\n", "\n", "spam_kaggle_classifier = spam_classifiers_by_sizes[best_size]" ], "language": "python", "metadata": {}, "outputs": [ { "output_type": "stream", "stream": "stdout", "text": [ "Correct rate: 0.77 | Size: 100\n", "Correct rate: 0.78 | Size: 200\n", "Correct rate: 0.78 | Size: 500" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "Correct rate: 0.78 | Size: 1000\n", "Correct rate: 0.77 | Size: 2000" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n", "Correct rate: 0.78 | Size: 3000\n", "Correct rate: 0.78 | Size: 4000" ] }, { "output_type": "stream", "stream": "stdout", "text": [ "\n" ] } ], "prompt_number": 24 }, { "cell_type": "code", "collapsed": false, "input": [ "spam_kaggle_data = spam_data['test_data']\n", "spam_kaggle_result = spam_kaggle_classifier.predicate(spam_kaggle_data)" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 25 }, { "cell_type": "code", "collapsed": false, "input": [ "spam_kaggle_result_path = '{0}/p4_spam_kaggle_result.csv'.format(output_dir)\n", "with open(spam_kaggle_result_path, 'w') as fp:\n", " writer = csv.writer(fp, delimiter=',')\n", " writer.writerow(['Id', 'Category'])\n", " for i in xrange(len(spam_kaggle_result)):\n", " writer.writerow([i+1, int(spam_kaggle_result[i])])" ], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 26 }, { "cell_type": "code", "collapsed": false, "input": [], "language": "python", "metadata": {}, "outputs": [], "prompt_number": 26 } ], "metadata": {} } ] }
bsd-2-clause
Ric01/Uso-Google-Finance-Python3
Leer Precio Acciones Python 3.ipynb
1
31262
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Tutorial: Uso de la libreria de Google Finance en Python para leer datos de acciones" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Paso 1: Importar las librerias necesarias" ] }, { "cell_type": "code", "execution_count": 4, "metadata": {}, "outputs": [], "source": [ "from googlefinance import getQuotes \n", "import time \n", "import json \n", "import os \n", "import sys \n", "from IPython.display import clear_output" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Paso 2: Definir una funcion que imprime en formato JSON la informacion" ] }, { "cell_type": "code", "execution_count": 5, "metadata": {}, "outputs": [], "source": [ "def buscar_accion(nombre_accion):\n", " clear_output()\n", " os.system('cls' if os.name=='nt' else 'clear') \n", " print(json.dumps(getQuotes(nombre_accion), indent=2)) " ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Paso 3: Buscar informacion de la accion de Google (GOOG)" ] }, { "cell_type": "code", "execution_count": 6, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "[\n", " {\n", " \"ID\": \"22144\",\n", " \"StockSymbol\": \"AAPL\",\n", " \"Index\": \"NASDAQ\",\n", " \"LastTradePrice\": \"144.15\",\n", " \"LastTradeWithCurrency\": \"144.15\",\n", " \"LastTradeTime\": \"4:00PM EDT\",\n", " \"LastTradeDateTime\": \"2017-07-07T16:00:05Z\",\n", " \"LastTradeDateTimeLong\": \"Jul 7, 4:00PM EDT\"\n", " }\n", "]\n", "[\n", " {\n", " \"ID\": \"22144\",\n", " \"StockSymbol\": \"AAPL\",\n", " \"Index\": \"NASDAQ\",\n", " \"LastTradePrice\": \"144.15\",\n", " \"LastTradeWithCurrency\": \"144.15\",\n", " \"LastTradeTime\": \"4:00PM EDT\",\n", " \"LastTradeDateTime\": \"2017-07-07T16:00:05Z\",\n", " \"LastTradeDateTimeLong\": \"Jul 7, 4:00PM EDT\"\n", " }\n", "]\n", "[\n", " {\n", " \"ID\": \"22144\",\n", " \"StockSymbol\": \"AAPL\",\n", " \"Index\": \"NASDAQ\",\n", " \"LastTradePrice\": \"144.15\",\n", " \"LastTradeWithCurrency\": \"144.15\",\n", " \"LastTradeTime\": \"4:00PM EDT\",\n", " \"LastTradeDateTime\": \"2017-07-07T16:00:05Z\",\n", " \"LastTradeDateTimeLong\": \"Jul 7, 4:00PM EDT\"\n", " }\n", "]\n", "[\n", " {\n", " \"ID\": \"22144\",\n", " \"StockSymbol\": \"AAPL\",\n", " \"Index\": \"NASDAQ\",\n", " \"LastTradePrice\": \"144.15\",\n", " \"LastTradeWithCurrency\": \"144.15\",\n", " \"LastTradeTime\": \"4:00PM EDT\",\n", " \"LastTradeDateTime\": \"2017-07-07T16:00:05Z\",\n", " \"LastTradeDateTimeLong\": \"Jul 7, 4:00PM EDT\"\n", " }\n", "]\n", "[\n", " {\n", " \"ID\": \"22144\",\n", " \"StockSymbol\": \"AAPL\",\n", " \"Index\": \"NASDAQ\",\n", " \"LastTradePrice\": \"144.15\",\n", " \"LastTradeWithCurrency\": \"144.15\",\n", " \"LastTradeTime\": \"4:00PM EDT\",\n", " \"LastTradeDateTime\": \"2017-07-07T16:00:05Z\",\n", " \"LastTradeDateTimeLong\": \"Jul 7, 4:00PM EDT\"\n", " }\n", "]\n", "[\n", " {\n", " \"ID\": \"22144\",\n", " \"StockSymbol\": \"AAPL\",\n", " \"Index\": \"NASDAQ\",\n", " \"LastTradePrice\": \"144.15\",\n", " \"LastTradeWithCurrency\": \"144.15\",\n", " \"LastTradeTime\": \"4:00PM EDT\",\n", " \"LastTradeDateTime\": \"2017-07-07T16:00:05Z\",\n", " \"LastTradeDateTimeLong\": \"Jul 7, 4:00PM EDT\"\n", " }\n", "]\n", "[\n", " {\n", " \"ID\": \"22144\",\n", " \"StockSymbol\": \"AAPL\",\n", " \"Index\": \"NASDAQ\",\n", " \"LastTradePrice\": \"144.15\",\n", " \"LastTradeWithCurrency\": \"144.15\",\n", " \"LastTradeTime\": \"4:00PM EDT\",\n", " \"LastTradeDateTime\": \"2017-07-07T16:00:05Z\",\n", " \"LastTradeDateTimeLong\": \"Jul 7, 4:00PM EDT\"\n", " }\n", "]\n", "[\n", " {\n", " \"ID\": \"22144\",\n", " \"StockSymbol\": \"AAPL\",\n", " \"Index\": \"NASDAQ\",\n", " \"LastTradePrice\": \"144.15\",\n", " \"LastTradeWithCurrency\": \"144.15\",\n", " \"LastTradeTime\": \"4:00PM EDT\",\n", " \"LastTradeDateTime\": \"2017-07-07T16:00:05Z\",\n", " \"LastTradeDateTimeLong\": \"Jul 7, 4:00PM EDT\"\n", " }\n", "]\n", "[\n", " {\n", " \"ID\": \"22144\",\n", " \"StockSymbol\": \"AAPL\",\n", " \"Index\": \"NASDAQ\",\n", " \"LastTradePrice\": \"144.15\",\n", " \"LastTradeWithCurrency\": \"144.15\",\n", " \"LastTradeTime\": \"4:00PM EDT\",\n", " \"LastTradeDateTime\": \"2017-07-07T16:00:05Z\",\n", " \"LastTradeDateTimeLong\": \"Jul 7, 4:00PM EDT\"\n", " }\n", "]\n", "[\n", " {\n", " \"ID\": \"22144\",\n", " \"StockSymbol\": \"AAPL\",\n", " \"Index\": \"NASDAQ\",\n", " \"LastTradePrice\": \"144.15\",\n", " \"LastTradeWithCurrency\": \"144.15\",\n", " \"LastTradeTime\": \"4:00PM EDT\",\n", " \"LastTradeDateTime\": \"2017-07-07T16:00:05Z\",\n", " \"LastTradeDateTimeLong\": \"Jul 7, 4:00PM EDT\"\n", " }\n", "]\n", "[\n", " {\n", " \"ID\": \"22144\",\n", " \"StockSymbol\": \"AAPL\",\n", " \"Index\": \"NASDAQ\",\n", " \"LastTradePrice\": \"144.15\",\n", " \"LastTradeWithCurrency\": \"144.15\",\n", " \"LastTradeTime\": \"4:00PM EDT\",\n", " \"LastTradeDateTime\": \"2017-07-07T16:00:05Z\",\n", " \"LastTradeDateTimeLong\": \"Jul 7, 4:00PM EDT\"\n", " }\n", "]\n", "[\n", " {\n", " \"ID\": \"22144\",\n", " \"StockSymbol\": \"AAPL\",\n", " \"Index\": \"NASDAQ\",\n", " \"LastTradePrice\": \"144.15\",\n", " \"LastTradeWithCurrency\": \"144.15\",\n", " \"LastTradeTime\": \"4:00PM EDT\",\n", " \"LastTradeDateTime\": \"2017-07-07T16:00:05Z\",\n", " \"LastTradeDateTimeLong\": \"Jul 7, 4:00PM EDT\"\n", " }\n", "]\n", "[\n", " {\n", " \"ID\": \"22144\",\n", " \"StockSymbol\": \"AAPL\",\n", " \"Index\": \"NASDAQ\",\n", " \"LastTradePrice\": \"144.15\",\n", " \"LastTradeWithCurrency\": \"144.15\",\n", " \"LastTradeTime\": \"4:00PM EDT\",\n", " \"LastTradeDateTime\": \"2017-07-07T16:00:05Z\",\n", " \"LastTradeDateTimeLong\": \"Jul 7, 4:00PM EDT\"\n", " }\n", "]\n", "[\n", " {\n", " \"ID\": \"22144\",\n", " \"StockSymbol\": \"AAPL\",\n", " \"Index\": \"NASDAQ\",\n", " \"LastTradePrice\": \"144.15\",\n", " \"LastTradeWithCurrency\": \"144.15\",\n", " \"LastTradeTime\": \"4:00PM EDT\",\n", " \"LastTradeDateTime\": \"2017-07-07T16:00:05Z\",\n", " \"LastTradeDateTimeLong\": \"Jul 7, 4:00PM EDT\"\n", " }\n", "]\n", "[\n", " {\n", " \"ID\": \"22144\",\n", " \"StockSymbol\": \"AAPL\",\n", " \"Index\": \"NASDAQ\",\n", " \"LastTradePrice\": \"144.15\",\n", " \"LastTradeWithCurrency\": \"144.15\",\n", " \"LastTradeTime\": \"4:00PM EDT\",\n", " \"LastTradeDateTime\": \"2017-07-07T16:00:05Z\",\n", " \"LastTradeDateTimeLong\": \"Jul 7, 4:00PM EDT\"\n", " }\n", "]\n", "[\n", " {\n", " \"ID\": \"22144\",\n", " \"StockSymbol\": \"AAPL\",\n", " \"Index\": \"NASDAQ\",\n", " \"LastTradePrice\": \"144.15\",\n", " \"LastTradeWithCurrency\": \"144.15\",\n", " \"LastTradeTime\": \"4:00PM EDT\",\n", " \"LastTradeDateTime\": \"2017-07-07T16:00:05Z\",\n", " \"LastTradeDateTimeLong\": \"Jul 7, 4:00PM EDT\"\n", " }\n", "]\n", "[\n", " {\n", " \"ID\": \"22144\",\n", " \"StockSymbol\": \"AAPL\",\n", " \"Index\": \"NASDAQ\",\n", " \"LastTradePrice\": \"144.15\",\n", " \"LastTradeWithCurrency\": \"144.15\",\n", " \"LastTradeTime\": \"4:00PM EDT\",\n", " \"LastTradeDateTime\": \"2017-07-07T16:00:05Z\",\n", " \"LastTradeDateTimeLong\": \"Jul 7, 4:00PM EDT\"\n", " }\n", "]\n", "[\n", " {\n", " \"ID\": \"22144\",\n", " \"StockSymbol\": \"AAPL\",\n", " \"Index\": \"NASDAQ\",\n", " \"LastTradePrice\": \"144.15\",\n", " \"LastTradeWithCurrency\": \"144.15\",\n", " \"LastTradeTime\": \"4:00PM EDT\",\n", " \"LastTradeDateTime\": \"2017-07-07T16:00:05Z\",\n", " \"LastTradeDateTimeLong\": \"Jul 7, 4:00PM EDT\"\n", " }\n", "]\n", "[\n", " {\n", " \"ID\": \"22144\",\n", " \"StockSymbol\": \"AAPL\",\n", " \"Index\": \"NASDAQ\",\n", " \"LastTradePrice\": \"144.15\",\n", " \"LastTradeWithCurrency\": \"144.15\",\n", " \"LastTradeTime\": \"4:00PM EDT\",\n", " \"LastTradeDateTime\": \"2017-07-07T16:00:05Z\",\n", " \"LastTradeDateTimeLong\": \"Jul 7, 4:00PM EDT\"\n", " }\n", "]\n", "[\n", " {\n", " \"ID\": \"22144\",\n", " \"StockSymbol\": \"AAPL\",\n", " \"Index\": \"NASDAQ\",\n", " \"LastTradePrice\": \"144.15\",\n", " \"LastTradeWithCurrency\": \"144.15\",\n", " \"LastTradeTime\": \"4:00PM EDT\",\n", " \"LastTradeDateTime\": \"2017-07-07T16:00:05Z\",\n", " \"LastTradeDateTimeLong\": \"Jul 7, 4:00PM EDT\"\n", " }\n", "]\n", "[\n", " {\n", " \"ID\": \"22144\",\n", " \"StockSymbol\": \"AAPL\",\n", " \"Index\": \"NASDAQ\",\n", " \"LastTradePrice\": \"144.15\",\n", " \"LastTradeWithCurrency\": \"144.15\",\n", " \"LastTradeTime\": \"4:00PM EDT\",\n", " \"LastTradeDateTime\": \"2017-07-07T16:00:05Z\",\n", " \"LastTradeDateTimeLong\": \"Jul 7, 4:00PM EDT\"\n", " }\n", "]\n", "[\n", " {\n", " \"ID\": \"22144\",\n", " \"StockSymbol\": \"AAPL\",\n", " \"Index\": \"NASDAQ\",\n", " \"LastTradePrice\": \"144.15\",\n", " \"LastTradeWithCurrency\": \"144.15\",\n", " \"LastTradeTime\": \"4:00PM EDT\",\n", " \"LastTradeDateTime\": \"2017-07-07T16:00:05Z\",\n", " \"LastTradeDateTimeLong\": \"Jul 7, 4:00PM EDT\"\n", " }\n", "]\n", "[\n", " {\n", " \"ID\": \"22144\",\n", " \"StockSymbol\": \"AAPL\",\n", " \"Index\": \"NASDAQ\",\n", " \"LastTradePrice\": \"144.15\",\n", " \"LastTradeWithCurrency\": \"144.15\",\n", " \"LastTradeTime\": \"4:00PM EDT\",\n", " \"LastTradeDateTime\": \"2017-07-07T16:00:05Z\",\n", " \"LastTradeDateTimeLong\": \"Jul 7, 4:00PM EDT\"\n", " }\n", "]\n", "[\n", " {\n", " \"ID\": \"22144\",\n", " \"StockSymbol\": \"AAPL\",\n", " \"Index\": \"NASDAQ\",\n", " \"LastTradePrice\": \"144.15\",\n", " \"LastTradeWithCurrency\": \"144.15\",\n", " \"LastTradeTime\": \"4:00PM EDT\",\n", " \"LastTradeDateTime\": \"2017-07-07T16:00:05Z\",\n", " \"LastTradeDateTimeLong\": \"Jul 7, 4:00PM EDT\"\n", " }\n", "]\n", "[\n", " {\n", " \"ID\": \"22144\",\n", " \"StockSymbol\": \"AAPL\",\n", " \"Index\": \"NASDAQ\",\n", " \"LastTradePrice\": \"144.15\",\n", " \"LastTradeWithCurrency\": \"144.15\",\n", " \"LastTradeTime\": \"4:00PM EDT\",\n", " \"LastTradeDateTime\": \"2017-07-07T16:00:05Z\",\n", " \"LastTradeDateTimeLong\": \"Jul 7, 4:00PM EDT\"\n", " }\n", "]\n", "[\n", " {\n", " \"ID\": \"22144\",\n", " \"StockSymbol\": \"AAPL\",\n", " \"Index\": \"NASDAQ\",\n", " \"LastTradePrice\": \"144.15\",\n", " \"LastTradeWithCurrency\": \"144.15\",\n", " \"LastTradeTime\": \"4:00PM EDT\",\n", " \"LastTradeDateTime\": \"2017-07-07T16:00:05Z\",\n", " \"LastTradeDateTimeLong\": \"Jul 7, 4:00PM EDT\"\n", " }\n", "]\n", "[\n", " {\n", " \"ID\": \"22144\",\n", " \"StockSymbol\": \"AAPL\",\n", " \"Index\": \"NASDAQ\",\n", " \"LastTradePrice\": \"144.15\",\n", " \"LastTradeWithCurrency\": \"144.15\",\n", " \"LastTradeTime\": \"4:00PM EDT\",\n", " \"LastTradeDateTime\": \"2017-07-07T16:00:05Z\",\n", " \"LastTradeDateTimeLong\": \"Jul 7, 4:00PM EDT\"\n", " }\n", "]\n", "[\n", " {\n", " \"ID\": \"22144\",\n", " \"StockSymbol\": \"AAPL\",\n", " \"Index\": \"NASDAQ\",\n", " \"LastTradePrice\": \"144.15\",\n", " \"LastTradeWithCurrency\": \"144.15\",\n", " \"LastTradeTime\": \"4:00PM EDT\",\n", " \"LastTradeDateTime\": \"2017-07-07T16:00:05Z\",\n", " \"LastTradeDateTimeLong\": \"Jul 7, 4:00PM EDT\"\n", " }\n", "]\n", "[\n", " {\n", " \"ID\": \"22144\",\n", " \"StockSymbol\": \"AAPL\",\n", " \"Index\": \"NASDAQ\",\n", " \"LastTradePrice\": \"144.15\",\n", " \"LastTradeWithCurrency\": \"144.15\",\n", " \"LastTradeTime\": \"4:00PM EDT\",\n", " \"LastTradeDateTime\": \"2017-07-07T16:00:05Z\",\n", " \"LastTradeDateTimeLong\": \"Jul 7, 4:00PM EDT\"\n", " }\n", "]\n" ] }, { "name": "stdout", "output_type": "stream", "text": [ "[\n", " {\n", " \"ID\": \"22144\",\n", " \"StockSymbol\": \"AAPL\",\n", " \"Index\": \"NASDAQ\",\n", " \"LastTradePrice\": \"144.15\",\n", " \"LastTradeWithCurrency\": \"144.15\",\n", " \"LastTradeTime\": \"4:00PM EDT\",\n", " \"LastTradeDateTime\": \"2017-07-07T16:00:05Z\",\n", " \"LastTradeDateTimeLong\": \"Jul 7, 4:00PM EDT\"\n", " }\n", "]\n", "[\n", " {\n", " \"ID\": \"22144\",\n", " \"StockSymbol\": \"AAPL\",\n", " \"Index\": \"NASDAQ\",\n", " \"LastTradePrice\": \"144.15\",\n", " \"LastTradeWithCurrency\": \"144.15\",\n", " \"LastTradeTime\": \"4:00PM EDT\",\n", " \"LastTradeDateTime\": \"2017-07-07T16:00:05Z\",\n", " \"LastTradeDateTimeLong\": \"Jul 7, 4:00PM EDT\"\n", " }\n", "]\n" ] }, { "ename": "KeyboardInterrupt", "evalue": "", "output_type": "error", "traceback": [ "\u001b[0;31m---------------------------------------------------------------------------\u001b[0m", "\u001b[0;31mKeyboardInterrupt\u001b[0m Traceback (most recent call last)", "\u001b[0;32m<ipython-input-6-0a2339af71ad>\u001b[0m in \u001b[0;36m<module>\u001b[0;34m()\u001b[0m\n\u001b[0;32m----> 1\u001b[0;31m \u001b[0mbuscar_accion\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m\"AAPL\"\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m", "\u001b[0;32m<ipython-input-5-e4ddd9edd382>\u001b[0m in \u001b[0;36mbuscar_accion\u001b[0;34m(nombre_accion)\u001b[0m\n\u001b[1;32m 2\u001b[0m \u001b[0;32mwhile\u001b[0m \u001b[0;32mTrue\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 3\u001b[0m \u001b[0mos\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0msystem\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m'cls'\u001b[0m \u001b[0;32mif\u001b[0m \u001b[0mos\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mname\u001b[0m\u001b[0;34m==\u001b[0m\u001b[0;34m'nt'\u001b[0m \u001b[0;32melse\u001b[0m \u001b[0;34m'clear'\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m----> 4\u001b[0;31m \u001b[0mprint\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mjson\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mdumps\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mgetQuotes\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mnombre_accion\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mindent\u001b[0m\u001b[0;34m=\u001b[0m\u001b[0;36m2\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 5\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/Users/ricardosuarez/anaconda3/lib/python3.6/site-packages/googlefinance/__init__.py\u001b[0m in \u001b[0;36mgetQuotes\u001b[0;34m(symbols)\u001b[0m\n\u001b[1;32m 68\u001b[0m \u001b[0;32mif\u001b[0m \u001b[0mtype\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0msymbols\u001b[0m\u001b[0;34m)\u001b[0m \u001b[0;34m==\u001b[0m \u001b[0mtype\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m'str'\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 69\u001b[0m \u001b[0msymbols\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0;34m[\u001b[0m\u001b[0msymbols\u001b[0m\u001b[0;34m]\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m---> 70\u001b[0;31m \u001b[0mcontent\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mjson\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mloads\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mrequest\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0msymbols\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 71\u001b[0m \u001b[0;32mreturn\u001b[0m \u001b[0mreplaceKeys\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mcontent\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m;\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 72\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/Users/ricardosuarez/anaconda3/lib/python3.6/site-packages/googlefinance/__init__.py\u001b[0m in \u001b[0;36mrequest\u001b[0;34m(symbols)\u001b[0m\n\u001b[1;32m 31\u001b[0m \u001b[0murl\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mbuildUrl\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0msymbols\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 32\u001b[0m \u001b[0mreq\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mRequest\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0murl\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m---> 33\u001b[0;31m \u001b[0mresp\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0murlopen\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mreq\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 34\u001b[0m \u001b[0;31m# remove special symbols such as the pound symbol\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 35\u001b[0m \u001b[0mcontent\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mresp\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mread\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mdecode\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m'ascii'\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0;34m'ignore'\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mstrip\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/Users/ricardosuarez/anaconda3/lib/python3.6/urllib/request.py\u001b[0m in \u001b[0;36murlopen\u001b[0;34m(url, data, timeout, cafile, capath, cadefault, context)\u001b[0m\n\u001b[1;32m 221\u001b[0m \u001b[0;32melse\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 222\u001b[0m \u001b[0mopener\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0m_opener\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m--> 223\u001b[0;31m \u001b[0;32mreturn\u001b[0m \u001b[0mopener\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mopen\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0murl\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mdata\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mtimeout\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 224\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 225\u001b[0m \u001b[0;32mdef\u001b[0m \u001b[0minstall_opener\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mopener\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/Users/ricardosuarez/anaconda3/lib/python3.6/urllib/request.py\u001b[0m in \u001b[0;36mopen\u001b[0;34m(self, fullurl, data, timeout)\u001b[0m\n\u001b[1;32m 524\u001b[0m \u001b[0mreq\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mmeth\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mreq\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 525\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m--> 526\u001b[0;31m \u001b[0mresponse\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mself\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0m_open\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mreq\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mdata\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 527\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 528\u001b[0m \u001b[0;31m# post-process response\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/Users/ricardosuarez/anaconda3/lib/python3.6/urllib/request.py\u001b[0m in \u001b[0;36m_open\u001b[0;34m(self, req, data)\u001b[0m\n\u001b[1;32m 542\u001b[0m \u001b[0mprotocol\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mreq\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mtype\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 543\u001b[0m result = self._call_chain(self.handle_open, protocol, protocol +\n\u001b[0;32m--> 544\u001b[0;31m '_open', req)\n\u001b[0m\u001b[1;32m 545\u001b[0m \u001b[0;32mif\u001b[0m \u001b[0mresult\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 546\u001b[0m \u001b[0;32mreturn\u001b[0m \u001b[0mresult\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/Users/ricardosuarez/anaconda3/lib/python3.6/urllib/request.py\u001b[0m in \u001b[0;36m_call_chain\u001b[0;34m(self, chain, kind, meth_name, *args)\u001b[0m\n\u001b[1;32m 502\u001b[0m \u001b[0;32mfor\u001b[0m \u001b[0mhandler\u001b[0m \u001b[0;32min\u001b[0m \u001b[0mhandlers\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 503\u001b[0m \u001b[0mfunc\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mgetattr\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mhandler\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mmeth_name\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m--> 504\u001b[0;31m \u001b[0mresult\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mfunc\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m*\u001b[0m\u001b[0margs\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 505\u001b[0m \u001b[0;32mif\u001b[0m \u001b[0mresult\u001b[0m \u001b[0;32mis\u001b[0m \u001b[0;32mnot\u001b[0m \u001b[0;32mNone\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 506\u001b[0m \u001b[0;32mreturn\u001b[0m \u001b[0mresult\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/Users/ricardosuarez/anaconda3/lib/python3.6/urllib/request.py\u001b[0m in \u001b[0;36mhttp_open\u001b[0;34m(self, req)\u001b[0m\n\u001b[1;32m 1344\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 1345\u001b[0m \u001b[0;32mdef\u001b[0m \u001b[0mhttp_open\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mself\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mreq\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m-> 1346\u001b[0;31m \u001b[0;32mreturn\u001b[0m \u001b[0mself\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mdo_open\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mhttp\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mclient\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mHTTPConnection\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mreq\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 1347\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 1348\u001b[0m \u001b[0mhttp_request\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mAbstractHTTPHandler\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mdo_request_\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/Users/ricardosuarez/anaconda3/lib/python3.6/urllib/request.py\u001b[0m in \u001b[0;36mdo_open\u001b[0;34m(self, http_class, req, **http_conn_args)\u001b[0m\n\u001b[1;32m 1319\u001b[0m \u001b[0;32mexcept\u001b[0m \u001b[0mOSError\u001b[0m \u001b[0;32mas\u001b[0m \u001b[0merr\u001b[0m\u001b[0;34m:\u001b[0m \u001b[0;31m# timeout error\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 1320\u001b[0m \u001b[0;32mraise\u001b[0m \u001b[0mURLError\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0merr\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m-> 1321\u001b[0;31m \u001b[0mr\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mh\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mgetresponse\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 1322\u001b[0m \u001b[0;32mexcept\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 1323\u001b[0m \u001b[0mh\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mclose\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/Users/ricardosuarez/anaconda3/lib/python3.6/http/client.py\u001b[0m in \u001b[0;36mgetresponse\u001b[0;34m(self)\u001b[0m\n\u001b[1;32m 1329\u001b[0m \u001b[0;32mtry\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 1330\u001b[0m \u001b[0;32mtry\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m-> 1331\u001b[0;31m \u001b[0mresponse\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mbegin\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 1332\u001b[0m \u001b[0;32mexcept\u001b[0m \u001b[0mConnectionError\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 1333\u001b[0m \u001b[0mself\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mclose\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/Users/ricardosuarez/anaconda3/lib/python3.6/http/client.py\u001b[0m in \u001b[0;36mbegin\u001b[0;34m(self)\u001b[0m\n\u001b[1;32m 295\u001b[0m \u001b[0;31m# read until we get a non-100 response\u001b[0m\u001b[0;34m\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 296\u001b[0m \u001b[0;32mwhile\u001b[0m \u001b[0;32mTrue\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m--> 297\u001b[0;31m \u001b[0mversion\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mstatus\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0mreason\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mself\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0m_read_status\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 298\u001b[0m \u001b[0;32mif\u001b[0m \u001b[0mstatus\u001b[0m \u001b[0;34m!=\u001b[0m \u001b[0mCONTINUE\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 299\u001b[0m \u001b[0;32mbreak\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/Users/ricardosuarez/anaconda3/lib/python3.6/http/client.py\u001b[0m in \u001b[0;36m_read_status\u001b[0;34m(self)\u001b[0m\n\u001b[1;32m 256\u001b[0m \u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 257\u001b[0m \u001b[0;32mdef\u001b[0m \u001b[0m_read_status\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mself\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m--> 258\u001b[0;31m \u001b[0mline\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0mstr\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mself\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mfp\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mreadline\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0m_MAXLINE\u001b[0m \u001b[0;34m+\u001b[0m \u001b[0;36m1\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m,\u001b[0m \u001b[0;34m\"iso-8859-1\"\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 259\u001b[0m \u001b[0;32mif\u001b[0m \u001b[0mlen\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mline\u001b[0m\u001b[0;34m)\u001b[0m \u001b[0;34m>\u001b[0m \u001b[0m_MAXLINE\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 260\u001b[0m \u001b[0;32mraise\u001b[0m \u001b[0mLineTooLong\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0;34m\"status line\"\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;32m/Users/ricardosuarez/anaconda3/lib/python3.6/socket.py\u001b[0m in \u001b[0;36mreadinto\u001b[0;34m(self, b)\u001b[0m\n\u001b[1;32m 584\u001b[0m \u001b[0;32mwhile\u001b[0m \u001b[0;32mTrue\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 585\u001b[0m \u001b[0;32mtry\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0;32m--> 586\u001b[0;31m \u001b[0;32mreturn\u001b[0m \u001b[0mself\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0m_sock\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0mrecv_into\u001b[0m\u001b[0;34m(\u001b[0m\u001b[0mb\u001b[0m\u001b[0;34m)\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[0m\u001b[1;32m 587\u001b[0m \u001b[0;32mexcept\u001b[0m \u001b[0mtimeout\u001b[0m\u001b[0;34m:\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n\u001b[1;32m 588\u001b[0m \u001b[0mself\u001b[0m\u001b[0;34m.\u001b[0m\u001b[0m_timeout_occurred\u001b[0m \u001b[0;34m=\u001b[0m \u001b[0;32mTrue\u001b[0m\u001b[0;34m\u001b[0m\u001b[0m\n", "\u001b[0;31mKeyboardInterrupt\u001b[0m: " ] } ], "source": [ "buscar_accion(\"AAPL\")" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.0" } }, "nbformat": 4, "nbformat_minor": 2 }
gpl-3.0
crystalzhaizhai/cs207_yi_zhai
lectures/L6/L6.ipynb
2
8989
{ "cells": [ { "cell_type": "markdown", "metadata": {}, "source": [ "# Lecture 6: Wednesday, September 20th 2017\n", "## Towards Intermediate Python\n", "Topics:\n", "* Recap: How does this stuff really work?\n", "* Nested environments\n", "* Closures\n", "* Decorators" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "## Nested Environments\n", "You can nest the definitions of functions. When you do this, inner function definitions are not even evaluated until the outer function is called. These inner functions have access to the name bindings in the scope of the outer function. So below, in `make_statement`, both `s` and `key` will be defined. And in `key`, you have access to `s`. This sharing is called *lexical scoping*. " ] }, { "cell_type": "code", "execution_count": 1, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "('name: ', 'Albert')\n", "('name: ', 'Emmy')\n" ] } ], "source": [ "def make_statement(s):\n", " def key(k):\n", " c=(s, k)\n", " return c\n", " return key\n", "k = make_statement('name: ')\n", "#we have captured the first element of the tuple as a \"kind of state\"\n", "name = k('Albert')\n", "print(name)\n", "name2 = k('Emmy')\n", "print(name2)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "We can make this a little bit more explicit. In the line `k = make_statement('name: ')`, `make_statement()` has returned the inner function `key` and the inner function has been given the name `k`. Now, when we call `k()` the inner function returns the desired tuple.\n", "\n", "The reason this works is that in addition to the environment in which a user-defined function is running, that function has access to a second environment: the environment in which the function was defined. Here, `key` has access to the environment of `make_statement`. In this sense the environment of `make_statement` is the parent of the environment of `key`.\n", "\n", "This enables two things:\n", "\n", "1. Names inside the inner functions (or the outer ones for that matter) do not interfere with names in the global scope. Inside the outer and inner functions, the \"most lexically local\" names are the ones that matter\n", "2. An inner function can access the environment of its enclosing (outer) function" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Closures\n", "\n", "Since the inner functions can \"capture\" information from an outer function's environment, the inner function is sometimes called a *closure*.\n", "\n", "Notice that `s`, once captured by the inner function, cannot now be changed: we have *lost direct access to its manipulation*. This process is called *encapsulation*, and is a cornerstone of object oriented programming." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "---" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Augmenting Functions\n", "\n", "Since functions are first class, we might want to augment them to put out, for example, call information, time information, etc." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Example 1\n", "\n", "In the following, `timer()` accepts a function `f` as it's argument and returns an inner function called `inner`.\n", "\n", "`inner` accepts a variable argument list and wraps the function `f` with timers to time how long it takes `f` to execute.\n", "\n", "Note that `f` is passed a variable argument list (try to recall what Python does with that)." ] }, { "cell_type": "code", "execution_count": 25, "metadata": { "collapsed": true }, "outputs": [], "source": [ "# First we write our timer function\n", "import time\n", "def timer(f):\n", " def inner(*args):\n", " t0 = time.time()\n", " output = f(*args)\n", " elapsed = time.time() - t0\n", " print(\"Time Elapsed\", elapsed)\n", " return output\n", " return inner" ] }, { "cell_type": "code", "execution_count": 28, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Time Elapsed 0.025298118591308594\n", "Time Elapsed 0.039833784103393555\n" ] } ], "source": [ "# Now we prepare to use our timer function\n", "\n", "import numpy as np # Import numpy\n", "\n", "# User-defined functions\n", "def allocate1(x, N):\n", " return [x]*N\n", "\n", "def allocate2(x, N):\n", " ones = np.ones(N)\n", " return np.multiply(x, ones)\n", "\n", "x = 1.0\n", "\n", "# Time allocation with lists\n", "my_alloc = timer(allocate1)\n", "l1 = my_alloc(x, 10000000)\n", "\n", "# Time allocation with numpy array\n", "my_alloc2 = timer(allocate2)\n", "l2 = my_alloc2(x, 10000000)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "That seemed pretty useful. We might want to do such things a lot (and not just for timing purposes)." ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "---" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Let's recap the pattern that was so useful.\n", "\n", "Basically, we wrote a nice function to \"decorate\" our function of interest. In this case, we wrote a timer function whose closure wrapped up any function we gave to it in a timing construct. In order to invoke our nice decorations, we had to pass a function to the timer function and get a new, decorated function back. Then we called the decorated function.\n", "\n", "So the idea is as follows. We have a decorator (here called timer) that sweetens up some function (call it `target`). \n", "```python\n", "def target():\n", " pass\n", "decorated_target = decorator(target)\n", "```\n", "But Python provides what's called syntactic sugar. Instead of writing all of that, we can just write:\n", "```python\n", "@decorator\n", "def target():\n", " pass\n", "```\n", "Now `target` is decorated. Let's see how this all works." ] }, { "cell_type": "code", "execution_count": 14, "metadata": { "scrolled": false }, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Time Elapsed 0.020927906036376953\n" ] } ], "source": [ "@timer\n", "def allocate1(x, N):\n", " return [x]*N\n", "\n", "x = 2.0\n", "allocate1(x, 10000000)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "### Example 2\n", "We'll just create a demo decorator here." ] }, { "cell_type": "code", "execution_count": 5, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "Let's decorate!\n", "Entering function.\n", "Exited function.\n", "My d is bigger than yours.\n" ] } ], "source": [ "def decorate(f):\n", " print(\"Let's decorate!\")\n", " d = 1.0\n", " def wrapper(*args):\n", " print(\"Entering function.\")\n", " output = f(*args)\n", " print(\"Exited function.\")\n", " if output > d :\n", " print(\"My d is bigger than yours.\")\n", " elif output < d:\n", " print(\"Your d is bigger than mine.\")\n", " else:\n", " print(\"Our ds are the same size.\")\n", " return wrapper\n", "\n", "@decorate\n", "def useful_f(a, b, c):\n", " d1 = np.sqrt(a * a + b * b + c * c)\n", " return d1\n", "\n", "d = useful_f(1.0, 2.0, 3.0)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "A key thing to remmember that a decorator is run RIGHT AFTER the function is defined, not when the function is called. Thus if you had the above decorator code in a module, it would print \"Let's decorate!\" when importing the module. Notice that the concept of a closure is used: the state d=1 is captured into the decorated function above." ] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.6.1" } }, "nbformat": 4, "nbformat_minor": 2 }
mit
GoogleCloudPlatform/vertex-ai-samples
notebooks/community/sdk/sdk_automl_image_classification_batch.ipynb
1
39206
{ "cells": [ { "cell_type": "code", "execution_count": null, "metadata": { "id": "copyright" }, "outputs": [], "source": [ "# Copyright 2021 Google LLC\n", "#\n", "# Licensed under the Apache License, Version 2.0 (the \"License\");\n", "# you may not use this file except in compliance with the License.\n", "# You may obtain a copy of the License at\n", "#\n", "# https://www.apache.org/licenses/LICENSE-2.0\n", "#\n", "# Unless required by applicable law or agreed to in writing, software\n", "# distributed under the License is distributed on an \"AS IS\" BASIS,\n", "# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.\n", "# See the License for the specific language governing permissions and\n", "# limitations under the License." ] }, { "cell_type": "markdown", "metadata": { "id": "title" }, "source": [ "# Vertex SDK: AutoML training image classification model for batch prediction\n", "\n", "<table align=\"left\">\n", " <td>\n", " <a href=\"https://colab.research.google.com/github/GoogleCloudPlatform/vertex-ai-samples/tree/master/notebooks/official/automl/sdk_automl_image_classification_batch.ipynb\">\n", " <img src=\"https://cloud.google.com/ml-engine/images/colab-logo-32px.png\" alt=\"Colab logo\"> Run in Colab\n", " </a>\n", " </td>\n", " <td>\n", " <a href=\"https://github.com/GoogleCloudPlatform/vertex-ai-samples/tree/master/notebooks/official/automl/sdk_automl_image_classification_batch.ipynb\">\n", " <img src=\"https://cloud.google.com/ml-engine/images/github-logo-32px.png\" alt=\"GitHub logo\">\n", " View on GitHub\n", " </a>\n", " </td>\n", " <td>\n", " <a href=\"https://console.cloud.google.com/ai/platform/notebooks/deploy-notebook?download_url=https://github.com/GoogleCloudPlatform/vertex-ai-samples/tree/master/notebooks/official/automl/sdk_automl_image_classification_batch.ipynb\">\n", " Open in Google Cloud Notebooks\n", " </a>\n", " </td>\n", "</table>\n", "<br/><br/><br/>" ] }, { "cell_type": "markdown", "metadata": { "id": "overview:automl" }, "source": [ "## Overview\n", "\n", "\n", "This tutorial demonstrates how to use the Vertex SDK to create image classification models and do batch prediction using a Google Cloud [AutoML](https://cloud.google.com/vertex-ai/docs/start/automl-users) model." ] }, { "cell_type": "markdown", "metadata": { "id": "dataset:flowers,icn" }, "source": [ "### Dataset\n", "\n", "The dataset used for this tutorial is the [Flowers dataset](https://www.tensorflow.org/datasets/catalog/tf_flowers) from [TensorFlow Datasets](https://www.tensorflow.org/datasets/catalog/overview). The version of the dataset you will use in this tutorial is stored in a public Cloud Storage bucket. The trained model predicts the type of flower an image is from a class of five flowers: daisy, dandelion, rose, sunflower, or tulip." ] }, { "cell_type": "markdown", "metadata": { "id": "objective:automl,training,batch_prediction" }, "source": [ "### Objective\n", "\n", "In this tutorial, you create an AutoML image classification model from a Python script, and then do a batch prediction using the Vertex SDK. You can alternatively create and deploy models using the `gcloud` command-line tool or online using the Cloud Console.\n", "\n", "The steps performed include:\n", "\n", "- Create a Vertex `Dataset` resource.\n", "- Train the model.\n", "- View the model evaluation.\n", "- Make a batch prediction.\n", "\n", "There is one key difference between using batch prediction and using online prediction:\n", "\n", "* Prediction Service: Does an on-demand prediction for the entire set of instances (i.e., one or more data items) and returns the results in real-time.\n", "\n", "* Batch Prediction Service: Does a queued (batch) prediction for the entire set of instances in the background and stores the results in a Cloud Storage bucket when ready." ] }, { "cell_type": "markdown", "metadata": { "id": "costs" }, "source": [ "### Costs\n", "\n", "This tutorial uses billable components of Google Cloud:\n", "\n", "* Vertex AI\n", "* Cloud Storage\n", "\n", "Learn about [Vertex AI\n", "pricing](https://cloud.google.com/vertex-ai/pricing) and [Cloud Storage\n", "pricing](https://cloud.google.com/storage/pricing), and use the [Pricing\n", "Calculator](https://cloud.google.com/products/calculator/)\n", "to generate a cost estimate based on your projected usage." ] }, { "cell_type": "markdown", "metadata": { "id": "setup_local" }, "source": [ "### Set up your local development environment\n", "\n", "If you are using Colab or Google Cloud Notebooks, your environment already meets all the requirements to run this notebook. You can skip this step.\n", "\n", "Otherwise, make sure your environment meets this notebook's requirements. You need the following:\n", "\n", "- The Cloud Storage SDK\n", "- Git\n", "- Python 3\n", "- virtualenv\n", "- Jupyter notebook running in a virtual environment with Python 3\n", "\n", "The Cloud Storage guide to [Setting up a Python development environment](https://cloud.google.com/python/setup) and the [Jupyter installation guide](https://jupyter.org/install) provide detailed instructions for meeting these requirements. The following steps provide a condensed set of instructions:\n", "\n", "1. [Install and initialize the SDK](https://cloud.google.com/sdk/docs/).\n", "\n", "2. [Install Python 3](https://cloud.google.com/python/setup#installing_python).\n", "\n", "3. [Install virtualenv](https://cloud.google.com/python/setup#installing_and_using_virtualenv) and create a virtual environment that uses Python 3. Activate the virtual environment.\n", "\n", "4. To install Jupyter, run `pip3 install jupyter` on the command-line in a terminal shell.\n", "\n", "5. To launch Jupyter, run `jupyter notebook` on the command-line in a terminal shell.\n", "\n", "6. Open this notebook in the Jupyter Notebook Dashboard.\n" ] }, { "cell_type": "markdown", "metadata": { "id": "install_aip:mbsdk" }, "source": [ "## Installation\n", "\n", "Install the latest version of Vertex SDK for Python." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "install_aip:mbsdk" }, "outputs": [], "source": [ "import os\n", "\n", "# Google Cloud Notebook\n", "if os.path.exists(\"/opt/deeplearning/metadata/env_version\"):\n", " USER_FLAG = \"--user\"\n", "else:\n", " USER_FLAG = \"\"\n", "\n", "! pip3 install --upgrade google-cloud-aiplatform $USER_FLAG" ] }, { "cell_type": "markdown", "metadata": { "id": "install_storage" }, "source": [ "Install the latest GA version of *google-cloud-storage* library as well." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "install_storage" }, "outputs": [], "source": [ "! pip3 install -U google-cloud-storage $USER_FLAG" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "install_tensorflow" }, "outputs": [], "source": [ "if os.environ[\"IS_TESTING\"]:\n", " ! pip3 install --upgrade tensorflow $USER_FLAG" ] }, { "cell_type": "markdown", "metadata": { "id": "restart" }, "source": [ "### Restart the kernel\n", "\n", "Once you've installed the additional packages, you need to restart the notebook kernel so it can find the packages." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "restart" }, "outputs": [], "source": [ "import os\n", "\n", "if not os.getenv(\"IS_TESTING\"):\n", " # Automatically restart kernel after installs\n", " import IPython\n", "\n", " app = IPython.Application.instance()\n", " app.kernel.do_shutdown(True)" ] }, { "cell_type": "markdown", "metadata": { "id": "before_you_begin:nogpu" }, "source": [ "## Before you begin\n", "\n", "### GPU runtime\n", "\n", "This tutorial does not require a GPU runtime.\n", "\n", "### Set up your Google Cloud project\n", "\n", "**The following steps are required, regardless of your notebook environment.**\n", "\n", "1. [Select or create a Google Cloud project](https://console.cloud.google.com/cloud-resource-manager). When you first create an account, you get a $300 free credit towards your compute/storage costs.\n", "\n", "2. [Make sure that billing is enabled for your project.](https://cloud.google.com/billing/docs/how-to/modify-project)\n", "\n", "3. [Enable the following APIs: Vertex AI APIs, Compute Engine APIs, and Cloud Storage.](https://console.cloud.google.com/flows/enableapi?apiid=ml.googleapis.com,compute_component,storage-component.googleapis.com)\n", "\n", "4. If you are running this notebook locally, you will need to install the [Cloud SDK]((https://cloud.google.com/sdk)).\n", "\n", "5. Enter your project ID in the cell below. Then run the cell to make sure the\n", "Cloud SDK uses the right project for all the commands in this notebook.\n", "\n", "**Note**: Jupyter runs lines prefixed with `!` as shell commands, and it interpolates Python variables prefixed with `$`." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "set_project_id" }, "outputs": [], "source": [ "PROJECT_ID = \"[your-project-id]\" # @param {type:\"string\"}" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "autoset_project_id" }, "outputs": [], "source": [ "if PROJECT_ID == \"\" or PROJECT_ID is None or PROJECT_ID == \"[your-project-id]\":\n", " # Get your GCP project id from gcloud\n", " shell_output = ! gcloud config list --format 'value(core.project)' 2>/dev/null\n", " PROJECT_ID = shell_output[0]\n", " print(\"Project ID:\", PROJECT_ID)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "set_gcloud_project_id" }, "outputs": [], "source": [ "! gcloud config set project $PROJECT_ID" ] }, { "cell_type": "markdown", "metadata": { "id": "region" }, "source": [ "#### Region\n", "\n", "You can also change the `REGION` variable, which is used for operations\n", "throughout the rest of this notebook. Below are regions supported for Vertex AI. We recommend that you choose the region closest to you.\n", "\n", "- Americas: `us-central1`\n", "- Europe: `europe-west4`\n", "- Asia Pacific: `asia-east1`\n", "\n", "You may not use a multi-regional bucket for training with Vertex AI. Not all regions provide support for all Vertex AI services.\n", "\n", "Learn more about [Vertex AI regions](https://cloud.google.com/vertex-ai/docs/general/locations)" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "region" }, "outputs": [], "source": [ "REGION = \"us-central1\" # @param {type: \"string\"}" ] }, { "cell_type": "markdown", "metadata": { "id": "timestamp" }, "source": [ "#### Timestamp\n", "\n", "If you are in a live tutorial session, you might be using a shared test account or project. To avoid name collisions between users on resources created, you create a timestamp for each instance session, and append the timestamp onto the name of resources you create in this tutorial." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "timestamp" }, "outputs": [], "source": [ "from datetime import datetime\n", "\n", "TIMESTAMP = datetime.now().strftime(\"%Y%m%d%H%M%S\")" ] }, { "cell_type": "markdown", "metadata": { "id": "gcp_authenticate" }, "source": [ "### Authenticate your Google Cloud account\n", "\n", "**If you are using Google Cloud Notebooks**, your environment is already authenticated. Skip this step.\n", "\n", "**If you are using Colab**, run the cell below and follow the instructions when prompted to authenticate your account via oAuth.\n", "\n", "**Otherwise**, follow these steps:\n", "\n", "In the Cloud Console, go to the [Create service account key](https://console.cloud.google.com/apis/credentials/serviceaccountkey) page.\n", "\n", "**Click Create service account**.\n", "\n", "In the **Service account name** field, enter a name, and click **Create**.\n", "\n", "In the **Grant this service account access to project** section, click the Role drop-down list. Type \"Vertex\" into the filter box, and select **Vertex Administrator**. Type \"Storage Object Admin\" into the filter box, and select **Storage Object Admin**.\n", "\n", "Click Create. A JSON file that contains your key downloads to your local environment.\n", "\n", "Enter the path to your service account key as the GOOGLE_APPLICATION_CREDENTIALS variable in the cell below and run the cell." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "gcp_authenticate" }, "outputs": [], "source": [ "# If you are running this notebook in Colab, run this cell and follow the\n", "# instructions to authenticate your GCP account. This provides access to your\n", "# Cloud Storage bucket and lets you submit training jobs and prediction\n", "# requests.\n", "\n", "import os\n", "import sys\n", "\n", "# If on Google Cloud Notebook, then don't execute this code\n", "if not os.path.exists(\"/opt/deeplearning/metadata/env_version\"):\n", " if \"google.colab\" in sys.modules:\n", " from google.colab import auth as google_auth\n", "\n", " google_auth.authenticate_user()\n", "\n", " # If you are running this notebook locally, replace the string below with the\n", " # path to your service account key and run this cell to authenticate your GCP\n", " # account.\n", " elif not os.getenv(\"IS_TESTING\"):\n", " %env GOOGLE_APPLICATION_CREDENTIALS ''" ] }, { "cell_type": "markdown", "metadata": { "id": "bucket:mbsdk" }, "source": [ "### Create a Cloud Storage bucket\n", "\n", "**The following steps are required, regardless of your notebook environment.**\n", "\n", "When you initialize the Vertex SDK for Python, you specify a Cloud Storage staging bucket. The staging bucket is where all the data associated with your dataset and model resources are retained across sessions.\n", "\n", "Set the name of your Cloud Storage bucket below. Bucket names must be globally unique across all Google Cloud projects, including those outside of your organization." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "bucket" }, "outputs": [], "source": [ "BUCKET_NAME = \"gs://[your-bucket-name]\" # @param {type:\"string\"}" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "autoset_bucket" }, "outputs": [], "source": [ "if BUCKET_NAME == \"\" or BUCKET_NAME is None or BUCKET_NAME == \"gs://[your-bucket-name]\":\n", " BUCKET_NAME = \"gs://\" + PROJECT_ID + \"aip-\" + TIMESTAMP" ] }, { "cell_type": "markdown", "metadata": { "id": "create_bucket" }, "source": [ "**Only if your bucket doesn't already exist**: Run the following cell to create your Cloud Storage bucket." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "create_bucket" }, "outputs": [], "source": [ "! gsutil mb -l $REGION $BUCKET_NAME" ] }, { "cell_type": "markdown", "metadata": { "id": "validate_bucket" }, "source": [ "Finally, validate access to your Cloud Storage bucket by examining its contents:" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "validate_bucket" }, "outputs": [], "source": [ "! gsutil ls -al $BUCKET_NAME" ] }, { "cell_type": "markdown", "metadata": { "id": "setup_vars" }, "source": [ "### Set up variables\n", "\n", "Next, set up some variables used throughout the tutorial.\n", "### Import libraries and define constants" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "import_aip:mbsdk" }, "outputs": [], "source": [ "import google.cloud.aiplatform as aip" ] }, { "cell_type": "markdown", "metadata": { "id": "init_aip:mbsdk" }, "source": [ "## Initialize Vertex SDK for Python\n", "\n", "Initialize the Vertex SDK for Python for your project and corresponding bucket." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "init_aip:mbsdk" }, "outputs": [], "source": [ "aip.init(project=PROJECT_ID, staging_bucket=BUCKET_NAME)" ] }, { "cell_type": "markdown", "metadata": { "id": "tutorial_start:automl" }, "source": [ "# Tutorial\n", "\n", "Now you are ready to start creating your own AutoML image classification model." ] }, { "cell_type": "markdown", "metadata": { "id": "import_file:u_dataset,csv" }, "source": [ "#### Location of Cloud Storage training data.\n", "\n", "Now set the variable `IMPORT_FILE` to the location of the CSV index file in Cloud Storage." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "import_file:flowers,csv,icn" }, "outputs": [], "source": [ "IMPORT_FILE = (\n", " \"gs://cloud-samples-data/vision/automl_classification/flowers/all_data_v2.csv\"\n", ")" ] }, { "cell_type": "markdown", "metadata": { "id": "quick_peek:csv" }, "source": [ "#### Quick peek at your data\n", "\n", "This tutorial uses a version of the Flowers dataset that is stored in a public Cloud Storage bucket, using a CSV index file.\n", "\n", "Start by doing a quick peek at the data. You count the number of examples by counting the number of rows in the CSV index file (`wc -l`) and then peek at the first few rows." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "quick_peek:csv" }, "outputs": [], "source": [ "if \"IMPORT_FILES\" in globals():\n", " FILE = IMPORT_FILES[0]\n", "else:\n", " FILE = IMPORT_FILE\n", "\n", "count = ! gsutil cat $FILE | wc -l\n", "print(\"Number of Examples\", int(count[0]))\n", "\n", "print(\"First 10 rows\")\n", "! gsutil cat $FILE | head" ] }, { "cell_type": "markdown", "metadata": { "id": "create_dataset:image,icn" }, "source": [ "### Create the Dataset\n", "\n", "Next, create the `Dataset` resource using the `create` method for the `ImageDataset` class, which takes the following parameters:\n", "\n", "- `display_name`: The human readable name for the `Dataset` resource.\n", "- `gcs_source`: A list of one or more dataset index files to import the data items into the `Dataset` resource.\n", "- `import_schema_uri`: The data labeling schema for the data items.\n", "\n", "This operation may take several minutes." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "create_dataset:image,icn" }, "outputs": [], "source": [ "dataset = aip.ImageDataset.create(\n", " display_name=\"Flowers\" + \"_\" + TIMESTAMP,\n", " gcs_source=[IMPORT_FILE],\n", " import_schema_uri=aip.schema.dataset.ioformat.image.single_label_classification,\n", ")\n", "\n", "print(dataset.resource_name)" ] }, { "cell_type": "markdown", "metadata": { "id": "create_automl_pipeline:image,icn" }, "source": [ "### Create and run training pipeline\n", "\n", "To train an AutoML model, you perform two steps: 1) create a training pipeline, and 2) run the pipeline.\n", "\n", "#### Create training pipeline\n", "\n", "An AutoML training pipeline is created with the `AutoMLImageTrainingJob` class, with the following parameters:\n", "\n", "- `display_name`: The human readable name for the `TrainingJob` resource.\n", "- `prediction_type`: The type task to train the model for.\n", " - `classification`: An image classification model.\n", " - `object_detection`: An image object detection model.\n", "- `multi_label`: If a classification task, whether single (`False`) or multi-labeled (`True`).\n", "- `model_type`: The type of model for deployment.\n", " - `CLOUD`: Deployment on Google Cloud\n", " - `CLOUD_HIGH_ACCURACY_1`: Optimized for accuracy over latency for deployment on Google Cloud.\n", " - `CLOUD_LOW_LATENCY_`: Optimized for latency over accuracy for deployment on Google Cloud.\n", " - `MOBILE_TF_VERSATILE_1`: Deployment on an edge device.\n", " - `MOBILE_TF_HIGH_ACCURACY_1`:Optimized for accuracy over latency for deployment on an edge device.\n", " - `MOBILE_TF_LOW_LATENCY_1`: Optimized for latency over accuracy for deployment on an edge device.\n", "- `base_model`: (optional) Transfer learning from existing `Model` resource -- supported for image classification only.\n", "\n", "The instantiated object is the DAG (directed acyclic graph) for the training job." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "create_automl_pipeline:image,icn" }, "outputs": [], "source": [ "dag = aip.AutoMLImageTrainingJob(\n", " display_name=\"flowers_\" + TIMESTAMP,\n", " prediction_type=\"classification\",\n", " multi_label=False,\n", " model_type=\"CLOUD\",\n", " base_model=None,\n", ")\n", "\n", "print(dag)" ] }, { "cell_type": "markdown", "metadata": { "id": "run_automl_pipeline:image" }, "source": [ "#### Run the training pipeline\n", "\n", "Next, you run the DAG to start the training job by invoking the method `run`, with the following parameters:\n", "\n", "- `dataset`: The `Dataset` resource to train the model.\n", "- `model_display_name`: The human readable name for the trained model.\n", "- `training_fraction_split`: The percentage of the dataset to use for training.\n", "- `test_fraction_split`: The percentage of the dataset to use for test (holdout data).\n", "- `validation_fraction_split`: The percentage of the dataset to use for validation.\n", "- `budget_milli_node_hours`: (optional) Maximum training time specified in unit of millihours (1000 = hour).\n", "- `disable_early_stopping`: If `True`, training maybe completed before using the entire budget if the service believes it cannot further improve on the model objective measurements.\n", "\n", "The `run` method when completed returns the `Model` resource.\n", "\n", "The execution of the training pipeline will take upto 20 minutes." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "run_automl_pipeline:image" }, "outputs": [], "source": [ "model = dag.run(\n", " dataset=dataset,\n", " model_display_name=\"flowers_\" + TIMESTAMP,\n", " training_fraction_split=0.8,\n", " validation_fraction_split=0.1,\n", " test_fraction_split=0.1,\n", " budget_milli_node_hours=8000,\n", " disable_early_stopping=False,\n", ")" ] }, { "cell_type": "markdown", "metadata": { "id": "evaluate_the_model:mbsdk" }, "source": [ "## Review model evaluation scores\n", "After your model has finished training, you can review the evaluation scores for it.\n", "\n", "First, you need to get a reference to the new model. As with datasets, you can either use the reference to the model variable you created when you deployed the model or you can list all of the models in your project." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "evaluate_the_model:mbsdk" }, "outputs": [], "source": [ "# Get model resource ID\n", "models = aip.Model.list(filter=\"display_name=flowers_\" + TIMESTAMP)\n", "\n", "# Get a reference to the Model Service client\n", "client_options = {\"api_endpoint\": f\"{REGION}-aiplatform.googleapis.com\"}\n", "model_service_client = aip.gapic.ModelServiceClient(client_options=client_options)\n", "\n", "model_evaluations = model_service_client.list_model_evaluations(\n", " parent=models[0].resource_name\n", ")\n", "model_evaluation = list(model_evaluations)[0]\n", "print(model_evaluation)" ] }, { "cell_type": "markdown", "metadata": { "id": "make_prediction" }, "source": [ "## Send a batch prediction request\n", "\n", "Send a batch prediction to your deployed model." ] }, { "cell_type": "markdown", "metadata": { "id": "get_test_items:batch_prediction" }, "source": [ "### Get test item(s)\n", "\n", "Now do a batch prediction to your Vertex model. You will use arbitrary examples out of the dataset as a test items. Don't be concerned that the examples were likely used in training the model -- we just want to demonstrate how to make a prediction." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "get_test_items:automl,icn,csv" }, "outputs": [], "source": [ "test_items = !gsutil cat $IMPORT_FILE | head -n2\n", "if len(str(test_items[0]).split(\",\")) == 3:\n", " _, test_item_1, test_label_1 = str(test_items[0]).split(\",\")\n", " _, test_item_2, test_label_2 = str(test_items[1]).split(\",\")\n", "else:\n", " test_item_1, test_label_1 = str(test_items[0]).split(\",\")\n", " test_item_2, test_label_2 = str(test_items[1]).split(\",\")\n", "\n", "print(test_item_1, test_label_1)\n", "print(test_item_2, test_label_2)" ] }, { "cell_type": "markdown", "metadata": { "id": "copy_test_items:batch_prediction" }, "source": [ "### Copy test item(s)\n", "\n", "For the batch prediction, copy the test items over to your Cloud Storage bucket." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "copy_test_items:batch_prediction" }, "outputs": [], "source": [ "file_1 = test_item_1.split(\"/\")[-1]\n", "file_2 = test_item_2.split(\"/\")[-1]\n", "\n", "! gsutil cp $test_item_1 $BUCKET_NAME/$file_1\n", "! gsutil cp $test_item_2 $BUCKET_NAME/$file_2\n", "\n", "test_item_1 = BUCKET_NAME + \"/\" + file_1\n", "test_item_2 = BUCKET_NAME + \"/\" + file_2" ] }, { "cell_type": "markdown", "metadata": { "id": "make_batch_file:automl,image" }, "source": [ "### Make the batch input file\n", "\n", "Now make a batch input file, which you will store in your local Cloud Storage bucket. The batch input file can be either CSV or JSONL. You will use JSONL in this tutorial. For JSONL file, you make one dictionary entry per line for each data item (instance). The dictionary contains the key/value pairs:\n", "\n", "- `content`: The Cloud Storage path to the image.\n", "- `mime_type`: The content type. In our example, it is a `jpeg` file.\n", "\n", "For example:\n", "\n", " {'content': '[your-bucket]/file1.jpg', 'mime_type': 'jpeg'}" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "make_batch_file:automl,image" }, "outputs": [], "source": [ "import json\n", "\n", "import tensorflow as tf\n", "\n", "gcs_input_uri = BUCKET_NAME + \"/test.jsonl\"\n", "with tf.io.gfile.GFile(gcs_input_uri, \"w\") as f:\n", " data = {\"content\": test_item_1, \"mime_type\": \"image/jpeg\"}\n", " f.write(json.dumps(data) + \"\\n\")\n", " data = {\"content\": test_item_2, \"mime_type\": \"image/jpeg\"}\n", " f.write(json.dumps(data) + \"\\n\")\n", "\n", "print(gcs_input_uri)\n", "! gsutil cat $gcs_input_uri" ] }, { "cell_type": "markdown", "metadata": { "id": "batch_request:mbsdk" }, "source": [ "### Make the batch prediction request\n", "\n", "Now that your Model resource is trained, you can make a batch prediction by invoking the batch_predict() method, with the following parameters:\n", "\n", "- `job_display_name`: The human readable name for the batch prediction job.\n", "- `gcs_source`: A list of one or more batch request input files.\n", "- `gcs_destination_prefix`: The Cloud Storage location for storing the batch prediction resuls.\n", "- `sync`: If set to True, the call will block while waiting for the asynchronous batch job to complete." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "batch_request:mbsdk" }, "outputs": [], "source": [ "batch_predict_job = model.batch_predict(\n", " job_display_name=\"flowers_\" + TIMESTAMP,\n", " gcs_source=gcs_input_uri,\n", " gcs_destination_prefix=BUCKET_NAME,\n", " sync=False,\n", ")\n", "\n", "print(batch_predict_job)" ] }, { "cell_type": "markdown", "metadata": { "id": "batch_request_wait:mbsdk" }, "source": [ "### Wait for completion of batch prediction job\n", "\n", "Next, wait for the batch job to complete. Alternatively, one can set the parameter `sync` to `True` in the `batch_predict()` method to block until the batch prediction job is completed." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "batch_request_wait:mbsdk" }, "outputs": [], "source": [ "batch_predict_job.wait()" ] }, { "cell_type": "markdown", "metadata": { "id": "get_batch_prediction:mbsdk,icn" }, "source": [ "### Get the predictions\n", "\n", "Next, get the results from the completed batch prediction job.\n", "\n", "The results are written to the Cloud Storage output bucket you specified in the batch prediction request. You call the method iter_outputs() to get a list of each Cloud Storage file generated with the results. Each file contains one or more prediction requests in a JSON format:\n", "\n", "- `content`: The prediction request.\n", "- `prediction`: The prediction response.\n", " - `ids`: The internal assigned unique identifiers for each prediction request.\n", " - `displayNames`: The class names for each class label.\n", " - `confidences`: The predicted confidence, between 0 and 1, per class label." ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "get_batch_prediction:mbsdk,icn" }, "outputs": [], "source": [ "import json\n", "\n", "import tensorflow as tf\n", "\n", "bp_iter_outputs = batch_predict_job.iter_outputs()\n", "\n", "prediction_results = list()\n", "for blob in bp_iter_outputs:\n", " if blob.name.split(\"/\")[-1].startswith(\"prediction\"):\n", " prediction_results.append(blob.name)\n", "\n", "tags = list()\n", "for prediction_result in prediction_results:\n", " gfile_name = f\"gs://{bp_iter_outputs.bucket.name}/{prediction_result}\"\n", " with tf.io.gfile.GFile(name=gfile_name, mode=\"r\") as gfile:\n", " for line in gfile.readlines():\n", " line = json.loads(line)\n", " print(line)\n", " break" ] }, { "cell_type": "markdown", "metadata": { "id": "cleanup:mbsdk" }, "source": [ "# Cleaning up\n", "\n", "To clean up all Google Cloud resources used in this project, you can [delete the Google Cloud\n", "project](https://cloud.google.com/resource-manager/docs/creating-managing-projects#shutting_down_projects) you used for the tutorial.\n", "\n", "Otherwise, you can delete the individual resources you created in this tutorial:\n", "\n", "- Dataset\n", "- Pipeline\n", "- Model\n", "- Endpoint\n", "- AutoML Training Job\n", "- Batch Job\n", "- Custom Job\n", "- Hyperparameter Tuning Job\n", "- Cloud Storage Bucket" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "id": "cleanup:mbsdk" }, "outputs": [], "source": [ "delete_all = True\n", "\n", "if delete_all:\n", " # Delete the dataset using the Vertex dataset object\n", " try:\n", " if \"dataset\" in globals():\n", " dataset.delete()\n", " except Exception as e:\n", " print(e)\n", "\n", " # Delete the model using the Vertex model object\n", " try:\n", " if \"model\" in globals():\n", " model.delete()\n", " except Exception as e:\n", " print(e)\n", "\n", " # Delete the endpoint using the Vertex endpoint object\n", " try:\n", " if \"endpoint\" in globals():\n", " endpoint.delete()\n", " except Exception as e:\n", " print(e)\n", "\n", " # Delete the AutoML or Pipeline trainig job\n", " try:\n", " if \"dag\" in globals():\n", " dag.delete()\n", " except Exception as e:\n", " print(e)\n", "\n", " # Delete the custom trainig job\n", " try:\n", " if \"job\" in globals():\n", " job.delete()\n", " except Exception as e:\n", " print(e)\n", "\n", " # Delete the batch prediction job using the Vertex batch prediction object\n", " try:\n", " if \"batch_predict_job\" in globals():\n", " batch_predict_job.delete()\n", " except Exception as e:\n", " print(e)\n", "\n", " # Delete the hyperparameter tuning job using the Vertex hyperparameter tuning object\n", " try:\n", " if \"hpt_job\" in globals():\n", " hpt_job.delete()\n", " except Exception as e:\n", " print(e)\n", "\n", " if \"BUCKET_NAME\" in globals():\n", " ! gsutil rm -r $BUCKET_NAME" ] } ], "metadata": { "colab": { "name": "sdk_automl_image_classification_batch.ipynb", "toc_visible": true }, "kernelspec": { "display_name": "Python 3", "name": "python3" } }, "nbformat": 4, "nbformat_minor": 0 }
apache-2.0
akseshina/dl_course
seminar_1/classwork.ipynb
2
160726
{ "cells": [ { "cell_type": "code", "execution_count": 1, "metadata": { "collapsed": true }, "outputs": [], "source": [ "import os\n", "import numpy as np\n", "import matplotlib.pyplot as plt\n", "import tensorflow as tf\n", "import xlrd" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Step 1: read in data from the .xls file" ] }, { "cell_type": "code", "execution_count": 2, "metadata": { "collapsed": true }, "outputs": [], "source": [ "DATA_FILE = '../data/fire_theft.xls'\n", "\n", "book = xlrd.open_workbook(DATA_FILE, encoding_override=\"utf-8\")\n", "sheet = book.sheet_by_index(0)\n", "data = np.asarray([sheet.row_values(i) for i in range(1, sheet.nrows)])\n", "n_samples = sheet.nrows - 1" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Step 2: create placeholders for input X (number of fire) and label Y (number of theft)" ] }, { "cell_type": "code", "execution_count": 3, "metadata": { "collapsed": true }, "outputs": [], "source": [ "X = tf.placeholder(tf.float32, name=\"X\")\n", "Y = tf.placeholder(tf.float32, name=\"Y\")" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Step 3: create weight and bias, initialized to 0" ] }, { "cell_type": "code", "execution_count": 4, "metadata": { "collapsed": true }, "outputs": [], "source": [ "w = tf.Variable(0.0, name='w')\n", "b = tf.Variable(0.0, name='b')" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Step 4: build model to predict Y" ] }, { "cell_type": "code", "execution_count": 5, "metadata": { "collapsed": true }, "outputs": [], "source": [ "Y_predicted = w * X + b" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Step 5: use the square error as the loss function" ] }, { "cell_type": "code", "execution_count": 6, "metadata": { "collapsed": true }, "outputs": [], "source": [ "loss = tf.square(Y - Y_predicted)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Step 5a: implement Huber loss function from lecture and try it out" ] }, { "cell_type": "code", "execution_count": 7, "metadata": { "collapsed": true }, "outputs": [], "source": [ "def huber_loss(labels, predictions, delta=1.0):\n", " error = predictions - labels\n", " abs_error = tf.abs(error)\n", " return tf.where(tf.less_equal(abs_error, delta),\n", " 0.5 * tf.square(error),\n", " 0.5 * tf.square(error) + delta * (abs_error - delta))" ] }, { "cell_type": "code", "execution_count": 8, "metadata": { "collapsed": true }, "outputs": [], "source": [ "loss = huber_loss(Y, Y_predicted)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Step 6: using gradient descent with learning rate of 0.01 to minimize loss" ] }, { "cell_type": "code", "execution_count": 9, "metadata": { "collapsed": true }, "outputs": [], "source": [ "optimizer = tf.train.GradientDescentOptimizer(learning_rate=0.001).minimize(loss)" ] }, { "cell_type": "code", "execution_count": 10, "metadata": { "collapsed": true }, "outputs": [], "source": [ "sess = tf.Session() # prefer with tf.Session() as sess: in your code" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Step 7: initialize the necessary variables, in this case, w and b" ] }, { "cell_type": "code", "execution_count": 11, "metadata": { "collapsed": true }, "outputs": [], "source": [ "sess.run(tf.global_variables_initializer())\n", "writer = tf.summary.FileWriter('./graphs/linear_reg', sess.graph)" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Step 8: train the model" ] }, { "cell_type": "code", "execution_count": 12, "metadata": {}, "outputs": [], "source": [ "for i in range(100): \n", " total_loss = 0\n", " for x, y in data:\n", " # Session runs train_op and fetch values of loss\n", " _, l = sess.run([optimizer, loss], feed_dict={X:x, Y:y})\n", " total_loss += l\n", " #print('Epoch {0}: {1}'.format(i, total_loss/float(n_samples)))\n", "\n", "# close the writer when you're done using it\n", "writer.close() " ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "# Comparison of different loss functions" ] }, { "cell_type": "code", "execution_count": 13, "metadata": {}, "outputs": [ { "name": "stdout", "output_type": "stream", "text": [ "training for the next loss function has started\n", "training for the next loss function has started\n", "training for the next loss function has started\n", "training for the next loss function has started\n", "training for the next loss function has started\n", "training for the next loss function has started\n", "training for the next loss function has started\n", "training for the next loss function has started\n", "training for the next loss function has started\n" ] } ], "source": [ "losses = [tf.square(Y - Y_predicted)]\n", "\n", "deltas = [0.001, 0.01, 0.1, 0.5, 1.0, 10, 100, 200]\n", "\n", "for d in deltas:\n", " losses.append(huber_loss(Y, Y_predicted, delta=d))\n", "\n", "ws = []\n", "bs = []\n", "\n", "for loss in losses:\n", " \n", " optimizer = tf.train.GradientDescentOptimizer(learning_rate=0.001).minimize(loss)\n", " \n", " with tf.Session() as sess:\n", " sess.run(tf.global_variables_initializer())\n", " \n", " print(\"training for the next loss function has started\")\n", " \n", " n_epochs = 100\n", " for i in range(n_epochs): # train the model \n", " total_loss = 0\n", " for x, y in data:\n", " # Session runs train_op and fetch values of loss\n", " _, l = sess.run([optimizer, loss], feed_dict={X:x, Y:y})\n", " total_loss += l\n", " \n", " cur_w, cur_b = sess.run([w, b])\n", " ws.append(cur_w)\n", " bs.append(cur_b)" ] }, { "cell_type": "code", "execution_count": 14, "metadata": {}, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAABDoAAAKvCAYAAACLVq9zAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz\nAAALEgAACxIB0t1+/AAAIABJREFUeJzs3XtUVGeaP/pnU9yqoEApFCikqrCkbhagkUHgAGIUSw9g\niBcmyMgPO97IL9ou1JD+6Y+sWRq0MxCPlXYS7QRmdIzONK1yhBPby9hirHPsRUlzFSUQSlvwDkVV\niUBV7fNHLJpG5ZJI09Lfz1qs1e/e7+XZ2/5nP3netxiWZQkAAAAAAAAAYCJwGu8AAAAAAAAAAABe\nFSQ6AAAAAAAAAGDCQKIDAAAAAAAAACYMJDoAAAAAAAAAYMJAogMAAAAAAAAAJgwkOgAAAAAAAABg\nwkCiAwAAAAAAAAAmDCQ6AAAAAAAAAGDCQKIDAAAAAAAAACYM5/EOgIjI19eXlUgk4x0GAAAAAADA\nuNDr9Q9Zlp0y3nEMR6/XT3V2dv6SiNSE/3AO48dORHVWq3XtnDlz7g+++TeR6JBIJFRZWTneYQAA\nAAAAAIwLhmEM4x3DSDg7O3/p7++vnDJlSoeTkxM73vHA3ye73c48ePBAdffu3S+JaOng+8jAAQAA\nAAAAwEipp0yZ0oUkB4wnJycndsqUKUb6obLo+ft/5XgAAAAAAADg9eWEJAf8LXj2/8MX5jSQ6AAA\nAAAAAACACQOJDgAAAAAAAHht5Obm+s+YMWOmTCZTKRQK1X//9397jHdM8Lflb+IwUgAAAAAAAIDh\nnD9/3uN3v/vdpNra2gYul8u2t7c79/T0MGO5ptVqJWfnV/Pp3NfXRy4uLi9tj3QcDA0VHQAAAAAA\nAPBauHPnjouPj4+Vy+WyREQBAQFWiUTSR0RUUlLiFRwcPFOlUimzsrKC5s+fP4OIKCcnR5iXl+fn\nmCMkJGTmjRs3XImIFi5cKJ05c6ZyxowZMwsKCnwdfXg83ux169ZNk8vlqgsXLnhevnyZ9w//8A/y\nmTNnKmNjY0MMBsNzWYe2tjZnjUYjVavVSrVarTx79qyHY/3U1NTgN954Q7Fs2bJgrVYrePPNN2dE\nRUXJYmJi5Ha7nTZs2DAtJCRkpkwmU/3617+eTERUVlbGnzNnjvzNN9+cERIS8sJDN+HFUNEBAAAA\nAAAAo/eznwVRXR3vlc6pVj+hoqLbL7udmpratWfPHqFEIlHHxsZ2paenP05KSjI/efKEef/99yXn\nzp27MXPmzJ7k5OTpI1nu6NGjrX5+fjaz2czMnj1b9U//9E8d/v7+tu7ubqe5c+dafv3rX/+pp6eH\niYqKkpeXl38nFAqtv/71rydv27Yt8De/+U3rwLk2bNgQlJOTc0+j0ZibmppcNRpNSEtLSz0RUVNT\nk/vVq1cbPT09Wa1WK6ivr+fV1NTU+/n52f7t3/5tUm1tLff69ev17e3tzpGRkcpFixaZiYgaGhp4\nVVVV9QqFovcnvNW/O0h0AAAAAAAAwGvB29vbXldX13DmzBn+hQsX+P/jf/wPaV5e3p8iIiKeTJs2\nrSc0NLSHiCgjI+PRl19+OWW4+X75y1/6lZeXTyIiunv3rkt9fb27v7+/hcPhUFZWVgcRUU1NjVtT\nUxP3zTfflBER2e12mjJlSt/gua5cueLV1NTEdbTNZjPHaDQ6EREtXry409PTs//XauLi4rr8/Pxs\nRESXL1/mp6WlPXZ2dqagoCDr3Llzzd9++y3P29vbHhYWZkGSY/SQ6AAAAAAAAIDRG6LyYiw5OztT\ncnKyKTk52RQWFtZ95MgRQURExJMh+rN2u72/7TjTo6ysjH/p0iV+ZWVlI5/Pt0dGRsq7u7udiIhc\nXV3tjnM5WJZlZsyY0f3HP/6xcai4WJala9euXefxeM/9/K6Hh4d9YJvH49kH93mRkfaDv4QzOgAA\nAAAAAOC1UF1d7VZbW+vmaFdVVXGnTZvWO2vWrKd37txxra+vdyMiOn78uI+jj0Qi6fnjH//oQUT0\n7bff8u7cueNGRNTZ2cnx9va28fl8e1VVlXt1dfULf70lLCzs6ePHj53Pnz/vQfRDoqSystJ9cL/Y\n2NiuPXv2THW0dTodd3CfF4mPjzeVlJT4WK1Wamtrc/7DH/7gGRcXZxnZG4EXQUUHAAAAAAAAvBa6\nuro4mzdvFnV1dXE4HA4rkUh6/v3f/93A4/HYzz77zJCcnDyDy+Xa586dazabzRwioszMzI6jR48K\nZsyYMXP27NkWsVj8lIho+fLlxkOHDk2ZPn36zOnTpz8NDw9/YXLB3d2dPX78ePPmzZtFJpOJY7PZ\nmOzs7HsRERFPB/Y7dOjQ7bVr14pkMpnKZrMxc+fONcXExNwa7plWr17dqdPpPJVK5UyGYdh//ud/\n/pNIJLLW1NS8ilf2d4lh2eeqav7qIiIi2MrKyvEOAwAAAAAAYFwwDKNnWTZivOMYTnV1dWt4ePjD\n8Y5jOGVlZfzCwkK/ixcvfjfescDYqa6u9g0PD5cMvo6tKwAAAAAAAAAwYSDRAQAAAAAAABNKcnKy\nCdUcf7+Q6AAAAAAAAACACQOJDgAAAAAAAACYMJDoAAAAAAAAAIAJA4kOAAAAAAAAAJgwkOgAAAAA\nAACA1waPx5s9sK3VagWZmZmiocaUlZXx58+fP+NVxZCTkyPMy8vze1XzjcRInnNgnyNHjkzS6/Xu\nYxnT5cuXeTKZTCUSidRZWVlBdrv9uT52u52ysrKCRCKRWiaTqb799lue495nn30mEIvFarFYrP7s\ns88EjuubNm0K9Pf3Dxv8bz1SSHQAAAAAAAAADMFqtY53CKN26tSpSTU1NdyxXOO9994Tf/7554bW\n1ta6lpYW95KSEq/BfX7zm994t7S0uLe2ttZ9/vnnhvfee09ERHTv3j3OL3/5S+Ef/vCH65WVldd/\n+ctfCh88eMAhIkpNTe28evXq9R8bFxIdAAAAAAAAMCEsX75cUlxcPNnRHlgRYDKZOAkJCTMkEol6\n1apVIpvNRkREJ06c8Jo1a5ZCpVIplyxZMt1oNDoREQUGBoZmZ2cHqlQqZVFR0eTnFntGp9Nxw8PD\nFTKZTJWYmCh1fKzv3r17qlQqnSmTyVTJycnTiYjKy8s9FQqFSqFQqJRKpaqjo2PIb/L9+/cLJBKJ\nOjQ0VKnT6Twd19va2pw1Go1UrVYr1Wq18uzZsx4Dx507d87j/Pnzk3bu3DlNoVCo6uvr3QoLC33V\narVSLperNBqN1GQy/aR8gMFgcDGbzU4LFiywODk5UUZGxqNTp049955KS0snZWRkPHJycqIFCxZY\nurq6nA0Gg8upU6e84+Pju/z8/GxTpkyxxcfHd504ccKbiGjBggUWsVjc92Njc/4pDwYAAAAAAAB/\nn7aXVAfdvGviDd9z5GT+/Cf/siL89lB9enp6nBQKhcrRNhqNnMTERONwc9fW1npUVVXVyWSy3vj4\n+JDDhw9PXrx4sSk/Pz+goqLippeXl33Hjh3+u3bt8isoKGgnIhIIBNaGhoYhKwuysrKC9+3bdysp\nKcm8ZcsWYW5urrCoqOi2Vqv1NxgMtVwul3348CGHiKiwsNBfq9UaFi1aZDEajU48Hu/5vR7PGAwG\nl7179wr1ev11Hx8fW0xMjFytVj8hItqwYUNQTk7OPY1GY25qanLVaDQhLS0t9Y6xiYmJloULF3Ym\nJycb16xZ0+F4lq1btz4kItq8ebNQq9X67tix4/7ANU+fPs3fvn170OBYuFyuvaqqqnFwfAEBAf3J\nCLFY3Nve3u4yeGx7e7uLRCLpdbQDAgJ6DQaDy507d1ymTZvWfz0wMLD3zp07z43/MZDoAAAAAAAA\ngNeGm5ubvbGxscHR1mq1gsrKSo+hxhARhYaGWlQqVS8RUVpa2uPLly97uru725ubm90jIyMVRER9\nfX3MnDlzzI4xmZmZHUPN+ejRI47JZOIkJSWZiYjWrVv3aOXKldOJiORyeffbb78dvHTp0s6MjIxO\nIqKoqCjztm3bgtLS0h6np6d3SKXSlyY6KioqPKKiokxCodBKRLRs2bLHN2/edCciunLlildTU1P/\nthSz2cxxVKK8jF6v5+bl5QWaTCaOxWLhzJs377nkUEpKiiklJaXhReNfJ0h0AAAAAAAAwKgNV3kx\nHpydnVnHlhSbzUZ9fX2M4x7DMH/Rl2EYYlmWYmNju06fPv39i+bj8/kvTUQM5+LFi03ffPMNv7S0\n1LugoCDgxo0b9fn5+XdTU1ONpaWl3nFxcYry8vKm2bNnPx3t3CzL0rVr167zeDx2pGPWr18fXFJS\n8l10dHS3VqsVXLp0iT+4z2gqOsRicd/ACg6DweA6sMLDISAgoK+1tdXV0W5vb3cVi8V9gYGBfQNj\nuHPnjuu8efNMI32eoeCMDgAAAAAAAJgQxGJxr16v5xERff3115OsVmt/dqO2ttajsbHR1WazUUlJ\niU9cXJwpISHBUllZ6VlXV+dGRNTV1eVUU1PjNtL1BAKBzcvLy3bmzBlPIqKvvvpKEB0dbbbZbNTc\n3OyakpJiOnDgwJ1nFRec+vp6t8jIyO6PP/74blhYmKWurs6diCg4OHjm4Lnj4+MtV69e5d+9e5fT\n09PDnDx5sv/8i9jY2K49e/ZMdbR1Ot1zh456enraurq6+r/5nzx54iQSifp6enqY48eP+7zoeVJS\nUkyNjY0Ng/8GJzmIfkh0eHp62i9cuOBht9vp6NGjgrfeeqtzcL+lS5d2Hj16VGC32+nChQsefD7f\nJhaL+1JTU42XLl3yevDgAefBgwecS5cueaWmpg67BWkkUNEBAAAAAAAT3qmqO/Qvv7tBbZ3dJJzE\npe0aOaXODhzvsOAV27Rp04Pk5OQZcrlc9eabbxq5XG5/RYZarbZs3LhR1Nra6h4TE9O1evXqTg6H\nQwcPHmx95513pvf29jJERB999NGdsLCwnpGuWVxc/H12drZ48+bNTiKRqOfYsWOtVquVWbVqVbDJ\nZOKwLMusXbv2vq+vr23r1q1CnU7nxTAMK5fLu1esWGFsb293ZlmWGTyvWCzuy83NbYuKilLy+Xyb\n43wOIqJDhw7dXrt2rUgmk6lsNhszd+5cU0xMzK2B4zMyMh5nZ2dLvvjiC7+SkpLmDz/8sC0yMlLp\n4+NjfeONN8xms5nz497ynx04cMDw7rvvBj99+pSZP39+18qVK41ERJ988skUIqIPPvjgQVpamrG8\nvNxbLBaruVyu/csvv2wlIvLz87Nt3769bc6cOcpnfdv8/PxsREQbN26cdvLkSZ+nT586+fn5hWVk\nZDz89NNP20YaF8OyI650GTMRERFsZWXleIcBAAAAAAAT0KmqO/SLE7XU3Wfrv8Z14dCeZaF/M8kO\nhmH0LMtGjHccw6murm4NDw9/ON5xTCTHjh3zbm5udtu5c+f94XvDQNXV1b7h4eGSwddR0QEAAAAA\nABPav/zuxl8kOYiIuvts9C+/u/E3k+iAv1/p6emvZLsG/BnO6AAAAAAAgAmtrbN7VNcB4PWGRAcA\nAAAAAExowknPndM45HUAeL0h0QEAAAAAABPado2cuC5/ee4i14VD2zXycYoIAMYSzugAAAAAAIAJ\nzXEOB351BeDvAxIdAAAAAAAw4aXODkRiA+DvBLauAAAAAAAAwGuDx+PNHtjWarWCzMxM0VBjysrK\n+PPnz5/xqmLIyckR5uXl+b2q+UZiJM85sM+RI0cm6fV697GM6fLlyzyZTKYSiUTqrKysILvd/lwf\nu91OWVlZQSKRSC2TyVTffvstz3EvLi4uhM/nz3qV/zZESHQAAAAAAAAADMlqtY53CKN26tSpSTU1\nNWN64u57770n/vzzzw2tra11LS0t7iUlJV6D+/zmN7/xbmlpcW9tba37/PPPDe+9915/smbbtm13\nDx48+P2rjguJDgAAAAAAAJgQli9fLikuLp7saA+s/jCZTJyEhIQZEolEvWrVKpHNZiMiohMnTnjN\nmjVLoVKplEuWLJluNBqdiIgCAwNDs7OzA1UqlbKoqGjyc4s9o9PpuOHh4QqZTKZKTEyUPnjwgENE\ntHv37qlSqXSmTCZTJScnTyciKi8v91QoFCqFQqFSKpWqjo6OIb/J9+/fL5BIJOrQ0FClTqfzdFxv\na2tz1mg0UrVarVSr1cqzZ896DBx37tw5j/Pnz0/auXPnNIVCoaqvr3crLCz0VavVSrlcrtJoNFKT\nyfST8gEGg8HFbDY7LViwwOLk5EQZGRmPTp069dx7Ki0tnZSRkfHIycmJFixYYOnq6nI2GAwuRERv\nvfWWycvL6/kykJ8IZ3QAAAAAAADA6J36n0F0v4E3fMdRmKp6QqkHbg/Vpaenx0mhUKgcbaPRyElM\nTDQON3Vtba1HVVVVnUwm642Pjw85fPjw5MWLF5vy8/MDKioqbnp5edl37Njhv2vXLr+CgoJ2IiKB\nQGBtaGi4PtS8WVlZwfv27buVlJRk3rJlizA3N1dYVFR0W6vV+hsMhloul8s+fPiQQ0RUWFjor9Vq\nDYsWLbIYjUYnHo/30o98g8HgsnfvXqFer7/u4+Nji4mJkavV6idERBs2bAjKycm5p9FozE1NTa4a\njSakpaWl3jE2MTHRsnDhws7k5GTjmjVrOhzPsnXr1odERJs3bxZqtVrfHTt23B+45unTp/nbt28P\nGhwLl8u1V1VVNQ6OLyAgoM/RFovFve3t7S6Dx7a3t7tIJJJeRzsgIKDXYDC4iMXivsF9XxUkOgAA\nAAAAAOC14ebmZm9sbGxwtLVaraCystJjqDFERKGhoRaVStVLRJSWlvb48uXLnu7u7vbm5mb3yMhI\nBRFRX18fM2fOHLNjTGZmZsdQcz569IhjMpk4SUlJZiKidevWPVq5cuV0IiK5XN799ttvBy9durQz\nIyOjk4goKirKvG3btqC0tLTH6enpHVKp9KWJjoqKCo+oqCiTUCi0EhEtW7bs8c2bN92JiK5cueLV\n1NTUvy3FbDZzHJUoL6PX67l5eXmBJpOJY7FYOPPmzXsuOZSSkmJKSUlpeNH41wkSHQAAAAAAADB6\nw1RejAdnZ2fWsSXFZrNRX18f47jHMMxf9GUYhliWpdjY2K7Tp0+/8JwIPp//o7dVXLx4sembb77h\nl5aWehcUFATcuHGjPj8//25qaqqxtLTUOy4uTlFeXt40e/bsp6Odm2VZunbt2nUej8eOdMz69euD\nS0pKvouOju7WarWCS5cu8Qf3GU1Fh1gs7htYwWEwGFwHVng4BAQE9LW2tro62u3t7a5jWc1BhDM6\nAAAAAAAAYIIQi8W9er2eR0T09ddfT7Jarf3ZjdraWo/GxkZXm81GJSUlPnFxcaaEhARLZWWlZ11d\nnRsRUVdXl1NNTY3bSNcTCAQ2Ly8v25kzZzyJiL766itBdHS02WazUXNzs2tKSorpwIEDd55VXHDq\n6+vdIiMjuz/++OO7YWFhlrq6OnciouDg4JmD546Pj7dcvXqVf/fuXU5PTw9z8uTJ/vMvYmNju/bs\n2TPV0dbpdM8dOurp6Wnr6urq/+Z/8uSJk0gk6uvp6WGOHz/u86LnSUlJMTU2NjYM/huc5CD6IdHh\n6elpv3DhgofdbqejR48K3nrrrc7B/ZYuXdp59OhRgd1upwsXLnjw+XzbWCc6UNEBAAAAAAAAE8Km\nTZseJCcnz5DL5ao333zTyOVy+ysy1Gq1ZePGjaLW1lb3mJiYrtWrV3dyOBw6ePBg6zvvvDO9t7eX\nISL66KOP7oSFhfWMdM3i4uLvs7OzxZs3b3YSiUQ9x44da7VarcyqVauCTSYTh2VZZu3atfd9fX1t\nW7duFep0Oi+GYVi5XN69YsUKY3t7uzPLsszgecVicV9ubm5bVFSUks/n2xzncxARHTp06PbatWtF\nMplMZbPZmLlz55piYmJuDRyfkZHxODs7W/LFF1/4lZSUNH/44YdtkZGRSh8fH+sbb7xhNpvNnB/3\nlv/swIEDhnfffTf46dOnzPz587tWrlxpJCL65JNPphARffDBBw/S0tKM5eXl3mKxWM3lcu1ffvll\nq2P8nDlz5C0tLe7d3d0cPz+/sH/9139tXb58eddPjYth2RFXuoyZiIgItrKycrzDAAAAAAAAGBcM\nw+hZlo0Y7ziGU11d3RoeHv5wvOOYSI4dO+bd3NzstnPnzvvD94aBqqurfcPDwyWDr6OiAwAAAAAA\nAGCcpKenD/uLMTA6OKMDAAAAAAAAACYMJDoAAAAAAAAAYMJAogMAAAAAAAAAJgwkOgAAAAAAAABg\nwkCiAwAAAAAAAAAmDCQ6AAAAAAAA4LXB4/FmD2xrtVpBZmamaKgxZWVl/Pnz5894VTHk5OQI8/Ly\n/F7VfCMxkucc2OfIkSOT9Hq9+1jGdPnyZZ5MJlOJRCJ1VlZWkN1uf65PVVWV+6xZsxSurq5v/LXe\nGRIdAAAAAAAAAEOwWq3jHcKonTp1alJNTQ13LNd47733xJ9//rmhtbW1rqWlxb2kpMRrcJ+pU6da\n9+/ff2vDhg33xjKWgZDoAAAAAAAAgAlh+fLlkuLi4smO9sDqD5PJxElISJghkUjUq1atEtlsNiIi\nOnHihNesWbMUKpVKuWTJkulGo9GJiCgwMDA0Ozs7UKVSKYuKiiY/t9gzOp2OGx4erpDJZKrExETp\ngwcPOEREu3fvniqVSmfKZDJVcnLydCKi8vJyT4VCoVIoFCqlUqnq6OgY8pt8//79AolEog4NDVXq\ndDpPx/W2tjZnjUYjVavVSrVarTx79qzHwHHnzp3zOH/+/KSdO3dOUygUqvr6erfCwkJftVqtlMvl\nKo1GIzWZTD8pH2AwGFzMZrPTggULLE5OTpSRkfHo1KlTz72nwMBA67x58564uLiwP2W90XD+ay0E\nAAAAAAAAE8f/vvK/g77r+I73KuecMXnGk13/x67bQ/Xp6elxUigUKkfbaDRyEhMTjcPNXVtb61FV\nVVUnk8l64+PjQw4fPjx58eLFpvz8/ICKioqbXl5e9h07dvjv2rXLr6CgoJ2ISCAQWBsaGq4PNW9W\nVlbwvn37biUlJZm3bNkizM3NFRYVFd3WarX+BoOhlsvlsg8fPuQQERUWFvprtVrDokWLLEaj0YnH\n4z2/1+MZg8HgsnfvXqFer7/u4+Nji4mJkavV6idERBs2bAjKycm5p9FozE1NTa4ajSakpaWl3jE2\nMTHRsnDhws7k5GTjmjVrOhzPsnXr1odERJs3bxZqtVrfHTt23B+45unTp/nbt28PGhwLl8u1V1VV\nNQ6OLyAgoM/RFovFve3t7S5Dvau/FiQ6AAAAAAAA4LXh5uZmb2xsbHC0tVqtoLKy0mOoMUREoaGh\nFpVK1UtElJaW9vjy5cue7u7u9ubmZvfIyEgFEVFfXx8zZ84cs2NMZmZmx1BzPnr0iGMymThJSUlm\nIqJ169Y9Wrly5XQiIrlc3v32228HL126tDMjI6OTiCgqKsq8bdu2oLS0tMfp6ekdUqn0pYmOiooK\nj6ioKJNQKLQSES1btuzxzZs33YmIrly54tXU1NS/LcVsNnMclSgvo9fruXl5eYEmk4ljsVg48+bN\ney45lJKSYkpJSWl40fjXybCJDoZhiogomYjusyyrHnRvKxEVENEUlmUfMgzDENF+Ivo/iegJEWWx\nLHvt1YcNAAAAAAAA42m4yovx4OzszDq2pNhsNurr62Mc9374XP0zhmGIZVmKjY3tOn369Pcvmo/P\n5780ETGcixcvNn3zzTf80tJS74KCgoAbN27U5+fn301NTTWWlpZ6x8XFKcrLy5tmz579dLRzsyxL\n165du87j8Ua8HWT9+vXBJSUl30VHR3drtVrBpUuX+IP7jKaiQywW9w2s4DAYDK4DKzzG00j25Pwb\nES0efJFhmCAiWkREtwZcXkJEIc/+1hPR5z89RAAAAAAAAIDhicXiXr1ezyMi+vrrrydZrdb+7EZt\nba1HY2Ojq81mo5KSEp+4uDhTQkKCpbKy0rOurs6NiKirq8uppqbGbaTrCQQCm5eXl+3MmTOeRERf\nffWVIDo62myz2ai5udk1JSXFdODAgTvPKi449fX1bpGRkd0ff/zx3bCwMEtdXZ07EVFwcPDMwXPH\nx8dbrl69yr979y6np6eHOXnyZP/5F7GxsV179uyZ6mjrdLrnDh319PS0dXV19X/zP3nyxEkkEvX1\n9PQwx48f93nR86SkpJgaGxsbBv8NTnIQ/ZDo8PT0tF+4cMHDbrfT0aNHBW+99VbnSN/dWBq2ooNl\n2QqGYSQvuLWPiD4gotIB194iosMsy7JE9P8xDDOJYZgAlmXbX0WwAAAAAAAAAC+zadOmB8nJyTPk\ncrnqzTffNHK53P6KDLVabdm4caOotbXVPSYmpmv16tWdHA6HDh482PrOO+9M7+3tZYiIPvroozth\nYWE9I12zuLj4++zsbPHmzZudRCJRz7Fjx1qtViuzatWqYJPJxGFZllm7du19X19f29atW4U6nc6L\nYRhWLpd3r1ixwtje3u7MsiwzeF6xWNyXm5vbFhUVpeTz+TbH+RxERIcOHbq9du1akUwmU9lsNmbu\n3LmmmJiYgUUIlJGR8Tg7O1vyxRdf+JWUlDR/+OGHbZGRkUofHx/rG2+8YTabzZwf95b/7MCBA4Z3\n3303+OnTp8z8+fO7Vq5caSQi+uSTT6YQEX3wwQcPbt265fwP//APKovFwmEYhj148KDf9evX63x8\nfH50tcxwmB9yEsN0+iHRUebYusIwzFtE9CbLsj9nGKaViCKebV0pI6K9LMt++6zfBSLKZVm2cqj5\nIyIi2MrKIbsAAAAAAABMWAzD6FmWjRjvOIZTXV3dGh4e/nC845hIjh075t3c3Oy2c+fO+8P3hoGq\nq6t9w8PDJYOvj/owUoZheET0v+iHbSs/GsMw6+mH7S0kEol+ylQAAAAAAAAAr6X09PRhfzEGRufH\n/G6ulIiCiaj6WTXHNCK6xjCMPxHdIaKBB5dMe3btOSzLHmJZNoJl2YgpU6b8iDAAAAAAAAAAAP7S\nqBMdLMvWsiw7lWVZCcuyEiL6ExG9wbLsXSL6v4kok/lBFBEZcT4HAAAAAAAAAPy1DJvoYBjmGBH9\nv0QkZxh/HPUrAAAgAElEQVTmTwzDvDtE9/+HiFqI6Dsi+jURvfdKogQAAAAAAAAAGIGR/OpK+jD3\nJQP+N0tE//OnhwUAAAAAAAAAMHo/5owOAAAAAAAAAIC/SUh0AAAAAAAAwGuDx+PNHtjWarWCzMzM\nIX/Ks6ysjD9//vwZryqGnJwcYV5ent+rmm8kRvKcA/scOXJkkl6vdx/LmC5fvsyTyWQqkUikzsrK\nCrLb7c/1KSsr4/P5/FkKhUKlUChU27ZtCxjLmIiQ6AAAAAAAAAAYktVqHe8QRu3UqVOTampquGO5\nxnvvvSf+/PPPDa2trXUtLS3uJSUlXi/qFxERYW5sbGxobGxsKCgoGPMfLEGiAwAAAAAAACaE5cuX\nS4qLiyc72gOrP0wmEychIWGGRCJRr1q1SmSz2YiI6MSJE16zZs1SqFQq5ZIlS6YbjUYnIqLAwMDQ\n7OzsQJVKpSwqKpr83GLP6HQ6bnh4uEImk6kSExOlDx484BAR7d69e6pUKp0pk8lUycnJ04mIysvL\nPR2VDUqlUtXR0THkN/n+/fsFEolEHRoaqtTpdJ6O621tbc4ajUaqVquVarVaefbsWY+B486dO+dx\n/vz5STt37pymUChU9fX1boWFhb5qtVopl8tVGo1GajKZflI+wGAwuJjNZqcFCxZYnJycKCMj49Gp\nU6de+p7+moY9jBQAAAAAAABgsLb/tSOop6mJ9yrndAsJeSLM//j2UH16enqcFAqFytE2Go2cxMRE\n43Bz19bWelRVVdXJZLLe+Pj4kMOHD09evHixKT8/P6CiouKml5eXfceOHf67du3yc1QdCAQCa0ND\nw/Wh5s3Kygret2/fraSkJPOWLVuEubm5wqKiottardbfYDDUcrlc9uHDhxwiosLCQn+tVmtYtGiR\nxWg0OvF4vOf3ejxjMBhc9u7dK9Tr9dd9fHxsMTExcrVa/YSIaMOGDUE5OTn3NBqNuampyVWj0YS0\ntLTUO8YmJiZaFi5c2JmcnGxcs2ZNh+NZtm7d+pCIaPPmzUKtVuu7Y8eO+wPXPH36NH/79u1Bg2Ph\ncrn2qqqqxsHxBQQE9DnaYrG4t7293eVFz1JVVeUpl8tVfn5+fZ9++untiIiIp0O9058KiQ4AAAAA\nAAB4bbi5udkbGxsbHG2tViuorKz0GGoMEVFoaKhFpVL1EhGlpaU9vnz5sqe7u7u9ubnZPTIyUkFE\n1NfXx8yZM8fsGJOZmdkx1JyPHj3imEwmTlJSkpmIaN26dY9Wrlw5nYhILpd3v/3228FLly7tzMjI\n6CQiioqKMm/bti0oLS3tcXp6eodUKn1poqOiosIjKirKJBQKrUREy5Yte3zz5k13IqIrV654NTU1\n9W9LMZvNHEclysvo9XpuXl5eoMlk4lgsFs68efOeSw6lpKSYUlJSGl40/seKiYmxGAyGGm9vb/t/\n/ud/ei9fvnyGwWCoe5VrDIZEBwAAAAAAAIzacJUX48HZ2Zl1bEmx2WzU19fHOO4xDPMXfRmGIZZl\nKTY2tuv06dPfv2g+Pp//0kTEcC5evNj0zTff8EtLS70LCgoCbty4UZ+fn383NTXVWFpa6h0XF6co\nLy9vmj179qirG1iWpWvXrl3n8XjsSMesX78+uKSk5Lvo6OhurVYruHTpEn9wn9FUdIjF4r6BFRwG\ng8F1YIWHg4+PT/87/Md//EdjTk6OqL293TkgIGDMDj7BGR0AAAAAAAAwIYjF4l69Xs8jIvr6668n\nWa3W/uxGbW2tR2Njo6vNZqOSkhKfuLg4U0JCgqWystKzrq7OjYioq6vLqaamxm2k6wkEApuXl5ft\nzJkznkREX331lSA6Otpss9moubnZNSUlxXTgwIE7zyouOPX19W6RkZHdH3/88d2wsDBLXV2dOxFR\ncHDwzMFzx8fHW65evcq/e/cup6enhzl58mT/+RexsbFde/bsmepo63S65w4d9fT0tHV1dfV/8z95\n8sRJJBL19fT0MMePH/d50fOkpKSYHIeGDvwbnOQg+iHR4enpab9w4YKH3W6no0ePCt56663Owf1u\n3brl7Pg1losXL/Lsdjv5+fmN6emuqOgAAAAAAACACWHTpk0PkpOTZ8jlctWbb75p5HK5/dUEarXa\nsnHjRlFra6t7TExM1+rVqzs5HA4dPHiw9Z133pne29vLEBF99NFHd8LCwnpGumZxcfH32dnZ4s2b\nNzuJRKKeY8eOtVqtVmbVqlXBJpOJw7Iss3bt2vu+vr62rVu3CnU6nRfDMKxcLu9esWKFsb293Zll\nWWbwvGKxuC83N7ctKipKyefzbY7zOYiIDh06dHvt2rUimUymstlszNy5c00xMTG3Bo7PyMh4nJ2d\nLfniiy/8SkpKmj/88MO2yMhIpY+Pj/WNN94wm81mzo97y3924MABw7vvvhv89OlTZv78+V0rV640\nEhF98sknU4iIPvjggwf/8R//MbmoqGgqh8Nh3d3d7YcPH25xchrbmguGZUdc6TJmIiIi2MrKyvEO\nAwAAAAAAYFwwDKNnWTZivOMYTnV1dWt4ePjD8Y5jIjl27Jh3c3Oz286dO+8P3xsGqq6u9g0PD5cM\nvo6KDgAAAAAAAIBxkp6ePuwvxsDo4IwOAAAAAAAAAJgwkOgAAAAAAAAAgAkDiQ4AAAAAAAAAmDCQ\n6AAAAAAAAACACQOJDgAAAAAAAACYMJDoAAAAAAAAgNcGj8ebPbCt1WoFmZmZoqHGlJWV8efPnz/j\nVcWQk5MjzMvL83tV843ESJ5zYJ8jR45M0uv17mMZ06ZNmwL9/f3DBv+bDPaLX/zCXyQSqSUSifq3\nv/2t11jGRIREBwAAAAAAAMCQrFbreIcwaqdOnZpUU1PDHcs1UlNTO69evXp9qD56vd79xIkTPjdu\n3Kg/c+bMzS1btojG+n0i0QEAAAAAAAATwvLlyyXFxcWTHe2BlQYmk4mTkJAwQyKRqFetWiWy2WxE\nRHTixAmvWbNmKVQqlXLJkiXTjUajExFRYGBgaHZ2dqBKpVIWFRVNfm6xZ3Q6HTc8PFwhk8lUiYmJ\n0gcPHnCIiHbv3j1VKpXOlMlkquTk5OlEROXl5Z4KhUKlUChUSqVS1dHRMeQ3+f79+wUSiUQdGhqq\n1Ol0no7rbW1tzhqNRqpWq5VqtVp59uxZj4Hjzp0753H+/PlJO3funKZQKFT19fVuhYWFvmq1WimX\ny1UajUZqMpl+cj5gwYIFFrFY3DdUn5KSkknLli17zOVyWYVC0SsWi3t+//vfeww15qdyHsvJAQAA\nAAAAYGK6cPh60OM7Zt6rnNMn0PPJgkzl7aH69PT0OCkUCpWjbTQaOYmJicbh5q6trfWoqqqqk8lk\nvfHx8SGHDx+evHjxYlN+fn5ARUXFTS8vL/uOHTv8d+3a5VdQUNBORCQQCKwNDQ1DVixkZWUF79u3\n71ZSUpJ5y5YtwtzcXGFRUdFtrVbrbzAYarlcLvvw4UMOEVFhYaG/Vqs1LFq0yGI0Gp14PJ79ZfMa\nDAaXvXv3CvV6/XUfHx9bTEyMXK1WPyEi2rBhQ1BOTs49jUZjbmpqctVoNCEtLS31jrGJiYmWhQsX\ndiYnJxvXrFnT4XiWrVu3PiQi2rx5s1Cr1fru2LHj/sA1T58+zd++fXvQ4Fi4XK69qqqqcbh3/CJ3\n7txxjYqKMjvaQqGw9/bt265EZPkx840EEh0AAAAAAADw2nBzc7M3NjY2ONparVZQWVk5bIVAaGio\nRaVS9RIRpaWlPb58+bKnu7u7vbm52T0yMlJBRNTX18fMmTOn/6M8MzOzY6g5Hz16xDGZTJykpCQz\nEdG6deserVy5cjoRkVwu73777beDly5d2pmRkdFJRBQVFWXetm1bUFpa2uP09PQOqVT60kRHRUWF\nR1RUlEkoFFqJiJYtW/b45s2b7kREV65c8WpqaurflmI2mzmOSpSX0ev13Ly8vECTycSxWCycefPm\nPZccSklJMaWkpDS8aPzrBIkOAAAAAAAAGLXhKi/Gg7OzM+vYkmKz2aivr49x3GMY5i/6MgxDLMtS\nbGxs1+nTp79/0Xx8Pv+liYjhXLx4sembb77hl5aWehcUFATcuHGjPj8//25qaqqxtLTUOy4uTlFe\nXt40e/bsp6Odm2VZunbt2nUej8eOdMz69euDS0pKvouOju7WarWCS5cu8Qf3GYuKjsDAQEcFBxER\ntbW1uQYFBfX+mLlGCmd0AAAAAAAAwIQgFot79Xo9j4jo66+/nmS1WvuzG7W1tR6NjY2uNpuNSkpK\nfOLi4kwJCQmWyspKz7q6Ojcioq6uLqeamhq3ka4nEAhsXl5etjNnzngSEX311VeC6Ohos81mo+bm\nZteUlBTTgQMH7jyruODU19e7RUZGdn/88cd3w8LCLHV1de5ERMHBwTMHzx0fH2+5evUq/+7du5ye\nnh7m5MmT/eeExMbGdu3Zs2eqo63T6Z47dNTT09PW1dXV/83/5MkTJ5FI1NfT08McP37c50XPk5KS\nYmpsbGwY/PdjkxxERMuXL+88ceKET3d3N9PY2Oja2trqnpCQMGbbVoiQ6AAAAAAAAIAJYtOmTQ90\nOh1fLperdDqdB5fL7a/IUKvVlo0bN4qkUqlaJBL1rF69ulMoFFoPHjzY+s4770yXyWSqiIgIRW1t\n7ah+krW4uPj73NzcaTKZTFVTU8Pdu3dvm9VqZVatWhUsk8lUarVatXbt2vu+vr62Tz75ZGpISMhM\nmUymcnFxYVesWGFsb293ZlmWGTyvWCzuy83NbYuKilJGREQoZDJZf+XHoUOHbl+7ds1DJpOppFLp\nzF/96ldTBo/PyMh4rNVq/ZVKpaq+vt7tww8/bIuMjFRGREQoQkJCRl1F8iIbN26c5ufnF/b06VMn\nPz+/sJycHCER0dGjR723bNkiJCKKiIh4mpqa+lgmk81cvHix7NNPPzU4O4/t5hKGZUdc6TJmIiIi\n2MrKyvEOAwAAAAAAYFwwDKNnWTZivOMYTnV1dWt4ePjD8Y5jIjl27Jh3c3Oz286dO+8P3xsGqq6u\n9g0PD5cMvo4zOgAAAAAAAADGSXp6+rC/GAOjg60rAAAAAAAAADBhINEBAAAAAAAAABMGEh0AAAAA\nAAAAMGEg0QEAAAAAAAAAEwYSHQAAAAAAAAAwYSDRAQAAAAAAAK8NHo83e2Bbq9UKMjMzRUONKSsr\n48+fP3/Gq4ohJydHmJeX5/eq5huJkTznwD5HjhyZpNfr3ccypk2bNgX6+/uHDf436e7uZpKSkqaL\nRCJ1WFiY4saNG65jGcdgSHQAAAAAAAAADMFqtY53CKN26tSpSTU1NdyxXCM1NbXz6tWr1wdf379/\nv6+3t7f11q1bde+///69nJycaWMZx2BIdAAAAAAAAMCEsHz5cklxcfFkR3tgpYHJZOIkJCTMkEgk\n6lWrVolsNhsREZ04ccJr1qxZCpVKpVyyZMl0o9HoREQUGBgYmp2dHahSqZRFRUWTn1vsGZ1Oxw0P\nD1fIZDJVYmKi9MGDBxwiot27d0+VSqUzZTKZKjk5eToRUXl5uadCoVApFAqVUqlUdXR0DPlNvn//\nfoFEIlGHhoYqdTqdp+N6W1ubs0ajkarVaqVarVaePXvWY+C4c+fOeZw/f37Szp07pykUClV9fb1b\nYWGhr1qtVsrlcpVGo5GaTKafnA9YsGCBRSwW9w2+XlZWNulnP/vZIyKiNWvWdOh0Or7dbv+py42Y\n819tJQAAAAAAAJgwfvf5/xX08LaB9yrn9A0SP9Fkb7k9VJ+enh4nhUKhcrSNRiMnMTHRONzctbW1\nHlVVVXUymaw3Pj4+5PDhw5MXL15sys/PD6ioqLjp5eVl37Fjh/+uXbv8CgoK2omIBAKBtaGh4bmK\nhYGysrKC9+3bdyspKcm8ZcsWYW5urrCoqOi2Vqv1NxgMtVwul3348CGHiKiwsNBfq9UaFi1aZDEa\njU48Hu+lX/8Gg8Fl7969Qr1ef93Hx8cWExMjV6vVT4iINmzYEJSTk3NPo9GYm5qaXDUaTUhLS0u9\nY2xiYqJl4cKFncnJycY1a9Z0OJ5l69atD4mINm/eLNRqtb47duy4P3DN06dP87dv3x40OBYul2uv\nqqpqHO4dO9y7d881ODi4l4jIxcWFPD09bffu3XMOCAj4q5TGINEBAAAAAAAArw03Nzd7Y2Njg6Ot\n1WoFlZWVHkONISIKDQ21qFSqXiKitLS0x5cvX/Z0d3e3Nzc3u0dGRiqIiPr6+pg5c+aYHWMyMzM7\nhprz0aNHHJPJxElKSjITEa1bt+7RypUrpxMRyeXy7rfffjt46dKlnRkZGZ1ERFFRUeZt27YFpaWl\nPU5PT++QSqUvTXRUVFR4REVFmYRCoZWIaNmyZY9v3rzpTkR05coVr6ampv5tKWazmeOoRHkZvV7P\nzcvLCzSZTByLxcKZN2/ec8mhlJQUU0pKSsOLxr9OkOgAAAAAAACAURuu8mI8ODs7s44tKTabjfr6\n+hjHPYZh/qIvwzDEsizFxsZ2nT59+vsXzcfn83/0fouLFy82ffPNN/zS0lLvgoKCgBs3btTn5+ff\nTU1NNZaWlnrHxcUpysvLm2bPnv10tHOzLEvXrl27zuPx2JGOWb9+fXBJScl30dHR3VqtVnDp0iX+\n4D6vqqLDz8+v9/vvv3eVSqV9fX19ZDabOX5+fn+1g05wRgcAAAAAAABMCGKxuFev1/OIiL7++utJ\nVqu1P7tRW1vr0djY6Gqz2aikpMQnLi7OlJCQYKmsrPSsq6tzIyLq6upyqqmpcRvpegKBwObl5WU7\nc+aMJxHRV199JYiOjjbbbDZqbm52TUlJMR04cODOs4oLTn19vVtkZGT3xx9/fDcsLMxSV1fnTkQU\nHBw8c/Dc8fHxlqtXr/Lv3r3L6enpYU6ePNl/TkhsbGzXnj17pjraOp3uuUNHPT09bV1dXf3f/E+e\nPHESiUR9PT09zPHjx31e9DwpKSmmxsbGhsF/o0lyEBElJSV1FhUVCYiIiouLJ0dHR5ucnP566Qck\nOgAAAAAAAGBC2LRp0wOdTseXy+UqnU7nweVy+ysy1Gq1ZePGjSKpVKoWiUQ9q1ev7hQKhdaDBw+2\nvvPOO9NlMpkqIiJCUVtbO6qfZC0uLv4+Nzd3mkwmU9XU1HD37t3bZrVamVWrVgXLZDKVWq1WrV27\n9r6vr6/tk08+mRoSEjJTJpOpXFxc2BUrVhjb29udWZZlBs8rFov7cnNz26KiopQREREKmUzWX/lx\n6NCh29euXfOQyWQqqVQ681e/+tWUweMzMjIea7Vaf6VSqaqvr3f78MMP2yIjI5URERGKkJCQUVeR\nvMjGjRun+fn5hT19+tTJz88vLCcnR0hE9POf//xhR0eHs0gkUn/22Wf+BQUFf3oV640Uw7IjrnQZ\nMxEREWxlZeV4hwEAAAAAADAuGIbRsywbMd5xDKe6uro1PDz84XjHMZEcO3bMu7m52W3nzp33h+8N\nA1VXV/uGh4dLBl/HGR0AAAAAAAAA4yQ9PX3YX4yB0cHWFQAAAAAAAACYMJDoAAAAAAAAAIAJA4kO\nAAAAAAAAAJgwkOgAAAAAAAAAgAkDiQ4AAAAAAAAAmDCQ6AAAAAAAAIDXBo/Hmz2wrdVqBZmZmaKh\nxpSVlfHnz58/41XFkJOTI8zLy/N7VfONxEiec2CfI0eOTNLr9e5jGdOmTZsC/f39wwb/m3R3dzNJ\nSUnTRSKROiwsTHHjxg1Xx71f/OIX/iKRSC2RSNS//e1vvcYiLiQ6AAAAAAAAAIZgtVrHO4RRO3Xq\n1KSamhruWK6RmpraefXq1euDr+/fv9/X29vbeuvWrbr333//Xk5OzjQiIr1e737ixAmfGzdu1J85\nc+bmli1bRGPxbpHoAAAAAAAAgAlh+fLlkuLi4smO9sBKA5PJxElISJghkUjUq1atEtlsNiIiOnHi\nhNesWbMUKpVKuWTJkulGo9GJiCgwMDA0Ozs7UKVSKYuKiiY/t9gzOp2OGx4erpDJZKrExETpgwcP\nOEREu3fvniqVSmfKZDJVcnLydCKi8vJyT4VCoVIoFCqlUqnq6OgY8pt8//79AolEog4NDVXqdDpP\nx/W2tjZnjUYjVavVSrVarTx79qzHwHHnzp3zOH/+/KSdO3dOUygUqvr6erfCwkJftVqtlMvlKo1G\nIzWZTD85H7BgwQKLWCzuG3y9rKxs0s9+9rNHRERr1qzp0Ol0fLvdTiUlJZOWLVv2mMvlsgqFolcs\nFvf8/ve/93h+5p/G+VVPCAAAAAAAABPf45KbQX13LbxXOaeLv8cTnxWy20P16enpcVIoFCpH22g0\nchITE43DzV1bW+tRVVVVJ5PJeuPj40MOHz48efHixab8/PyAioqKm15eXvYdO3b479q1y6+goKCd\niEggEFgbGhqeq1gYKCsrK3jfvn23kpKSzFu2bBHm5uYKi4qKbmu1Wn+DwVDL5XLZhw8fcoiICgsL\n/bVarWHRokUWo9HoxOPx7C+b12AwuOzdu1eo1+uv+/j42GJiYuRqtfoJEdGGDRuCcnJy7mk0GnNT\nU5OrRqMJaWlpqXeMTUxMtCxcuLAzOTnZuGbNmg7Hs2zduvUhEdHmzZuFWq3Wd8eOHfcHrnn69Gn+\n9u3bgwbHwuVy7VVVVY3DvWOHe/fuuQYHB/cSEbm4uJCnp6ft3r17znfu3HGNiooyO/oJhcLe27dv\nuxKRZaRzjwQSHQAAAAAAAPDacHNzszc2NjY42lqtVlBZWTlsVUBoaKhFpVL1EhGlpaU9vnz5sqe7\nu7u9ubnZPTIyUkFE1NfXx8yZM6f/QzwzM7NjqDkfPXrEMZlMnKSkJDMR0bp16x6tXLlyOhGRXC7v\nfvvtt4OXLl3amZGR0UlEFBUVZd62bVtQWlra4/T09A6pVPrSREdFRYVHVFSUSSgUWomIli1b9vjm\nzZvuRERXrlzxampq6t+WYjabOY5KlJfR6/XcvLy8QJPJxLFYLJx58+Y9lxxKSUkxpaSkNLxo/OsE\niQ4AAAAAAAAYteEqL8aDs7Mz69iSYrPZqK+vj3HcYxjmL/oyDEMsy1JsbGzX6dOnv3/RfHw+/6WJ\niOFcvHix6ZtvvuGXlpZ6FxQUBNy4caM+Pz//bmpqqrG0tNQ7Li5OUV5e3jR79uyno52bZVm6du3a\ndR6Px450zPr164NLSkq+i46O7tZqtYJLly7xB/d5VRUdfn5+vd9//72rVCrt6+vrI7PZzPHz87MG\nBgY6KjiIiKitrc01KCiod6TzjhTO6AAAAAAAAIAJQSwW9+r1eh4R0ddffz3JarX2Zzdqa2s9Ghsb\nXW02G5WUlPjExcWZEhISLJWVlZ51dXVuRERdXV1ONTU1biNdTyAQ2Ly8vGxnzpzxJCL66quvBNHR\n0WabzUbNzc2uKSkppgMHDtx5VnHBqa+vd4uMjOz++OOP74aFhVnq6urciYiCg4NnDp47Pj7ecvXq\nVf7du3c5PT09zMmTJ/vPCYmNje3as2fPVEdbp9M9d+iop6enraurq/+b/8mTJ04ikaivp6eHOX78\nuM+LniclJcXU2NjYMPhvNEkOIqKkpKTOoqIiARFRcXHx5OjoaJOTkxMtX76888SJEz7d3d1MY2Oj\na2trq3tCQsIr3bZChEQHAAAAAAAATBCbNm16oNPp+HK5XKXT6Ty4XG5/RYZarbZs3LhRJJVK1SKR\nqGf16tWdQqHQevDgwdZ33nlnukwmU0VERChqa2tH9ZOsxcXF3+fm5k6TyWSqmpoa7t69e9usViuz\natWqYJlMplKr1aq1a9fe9/X1tX3yySdTQ0JCZspkMpWLiwu7YsUKY3t7uzPLsszgecVicV9ubm5b\nVFSUMiIiQiGTyforPw4dOnT72rVrHjKZTCWVSmf+6le/mjJ4fEZGxmOtVuuvVCpV9fX1bh9++GFb\nZGSkMiIiQhESEjLqKpIX2bhx4zQ/P7+wp0+fOvn5+YXl5OQIiYh+/vOfP+zo6HAWiUTqzz77zL+g\noOBPREQRERFPU1NTH8tkspmLFy+WffrppwZn51e/0YRh2RFXuoyZiIgItrKycrzDAAAAAAAAGBcM\nw+hZlo0Y7ziGU11d3RoeHv5wvOOYSI4dO+bd3NzstnPnzvvD94aBqqurfcPDwyWDr+OMDgAAAAAA\nAIBxkp6ePuwvxsDoYOsKAAAAAAAAAEwYSHQAAAAAAAAAwISBRAcAAAAAAAAATBhIdAAAAAAAAADA\nhIFEBwAAAAAAAABMGEh0AAAAAAAAwGuDx+PNHtjWarWCzMxM0VBjysrK+PPnz5/xqmLIyckR5uXl\n+b2q+UZiJM85sM+RI0cm6fV697GKx2QyOSUkJMwIDg6eOWPGjJnvvfdeoONed3c3k5SUNF0kEqnD\nwsIUN27ccHXc+8UvfuEvEonUEolE/dvf/tZrLGJDogMAAAAAAABgCFardbxDGLVTp05Nqqmp4Y7l\nGlu3br33/fff19fV1TVcvXrV87/+67+8iIj279/v6+3tbb1161bd+++/fy8nJ2caEZFer3c/ceKE\nz40bN+rPnDlzc8uWLaKxeLdIdAAAAAAAAMCEsHz5cklxcfFkR3tg9YfJZOIkJCTMkEgk6lWrVols\nNhsREZ04ccJr1qxZCpVKpVyyZMl0o9HoREQUGBgYmp2dHahSqZRFRUWTn1vsGZ1Oxw0PD1fIZDJV\nYmKi9MGDBxwiot27d0+VSqUzZTKZKjk5eToRUXl5uadCoVApFAqVUqlUdXR0DPlNvn//foFEIlGH\nhoYqdTqdp+N6W1ubs0ajkarVaqVarVaePXvWY+C4c+fOeZw/f37Szp07pykUClV9fb1bYWGhr1qt\nVsrlcpVGo5GaTKaflA/g8/n2lJQUExGRu7s7GxYW9uT27duuRERlZWWTfvaznz0iIlqzZk2HTqfj\n2+12KikpmbRs2bLHXC6XVSgUvWKxuOf3v/+9x1Dr/BjOr3pCAAAAAAAAmPhOnToVdP/+fd6rnHPq\n1EYl0GYAACAASURBVKlPUlNTbw/Vp6enx0mhUKgcbaPRyElMTDQON3dtba1HVVVVnUwm642Pjw85\nfPjw5MWLF5vy8/MDKioqbnp5edl37Njhv2vXLr+CgoJ2IiKBQGBtaGi4PtS8WVlZwfv27buVlJRk\n3rJlizA3N1dYVFR0W6vV+hsMhloul8s+fPiQQ0RUWFjor9VqDYsWLbIYjUYnHo9nf9m8BoPBZe/e\nvUK9Xn/dx8fHFhMTI1er1U+IiDZs2BCUk5NzT6PRmJuamlw1Gk1IS0tLvWNsYmKiZeHChZ3JycnG\nNWvWdDieZevWrQ+JiP5/9u47PKoyfeP4fdJDKgQIhA7SmyUiYhcbKorYwAIqRWysiojormX3p+su\nrq7YUQR1UVRWRcWyWEEQlF5CQg0lENJIb1Pe3x8BZJgZCCSZSYbv57q4YJ7nvOc8xL1YcnPOeceP\nH580derUpo899ljWodf84osvYiZOnNjm8FkiIyOdK1euTPU2a05OTvD8+fPjJ06cuFeS9u7dG9ah\nQ4dKSQoNDVV0dLRj7969IRkZGWH9+/cvPrAuKSmpcn84UnKkr/GxIugAAAAAADQY4eHhztTU1JQD\nn6dOnZqwbNmyo94V0Lt375IePXpUStINN9yQt3DhwuiIiAjnli1bIvr169dNkmw2m3Xaaacd/EZ8\nxIgR+450ztzc3OCioqLgK664oliSxowZk3v99dd3lKSuXbuWXXPNNR2uuuqq/Jtvvjlfkvr371/8\n0EMPtbnhhhvyhg8fvq9Tp05eg44FCxZE9e/fvygpKckuSUOHDs3buHFjhCQtWrQodtOmTQcfSyku\nLg4+cCeKN8uXL498/PHHWxUVFQWXlJQEn3feeW7h0ODBg4sGDx6c4mm9NzabTUOHDu04duzYvQe+\nvv5G0AEAAAAAOGZHu/PCH0JCQsyBR1IcDodsNpt1oGdZlsuxlmXJGKOzzz678Isvvtjm6XwxMTFe\ng4ij+fHHHzd9/fXXMXPnzo177rnnWqalpa1/5plnMocMGVIwd+7cuHPOOafbvHnzNp1yyinlx3pu\nY4xWrFixoVGjRqa6a8aOHdthzpw5m88888yyqVOnJvz8888xhx9zPHd03HTTTe07duxY/vjjjx+8\nOyQxMbFy27ZtYZ06dbLZbDYVFxcHJyYm2lu1anXgDg5J0u7du8PatGlT6+EI7+gAAAAAAASEdu3a\nVS5fvryRJL3//vvxdrv9YLqxdu3aqNTU1DCHw6E5c+Y0Oeecc4rOP//8kmXLlkWvW7cuXJIKCwuD\n1qxZE17d6yUkJDhiY2Md33zzTbQkTZ8+PeHMM88sdjgc2rJlS9jgwYOLXnnllYz9d1wEr1+/Prxf\nv35lTz/9dGafPn1K1q1bFyFJHTp06Hn4uc8999ySpUuXxmRmZgZXVFRYn3766cH3hJx99tmFf//7\n35sf+Lx48WK3l45GR0c7CgsLD37PX1paGtS2bVtbRUWFNXv27Caefj+DBw8uSk1NTTn8h7eQY/z4\n8UmFhYXB06dPdwm9rrjiivy33347QZJmzJjR+MwzzywKCgrStddem//JJ580KSsrs1JTU8PS09Mj\nzj///Fp9bEXijg4AAAAAQIC47777sq+88sqTunbt2uPCCy8siIyMPHhHRq9evUrGjRvXNj09PWLA\ngAGFt956a35wcLDeeOON9GHDhnWsrKy0JOmJJ57I6NOnT0V1rzljxoxtd911V7vx48cHtW3btuKD\nDz5It9vt1k033dShqKgo2BhjjR49Oqtp06aOCRMmJC1evDjWsizTtWvXsuuuu65gz549IcYY6/Dz\ntmvXzjZp0qTd/fv37x4TE+M48H4OSZo2bdrO0aNHt+3SpUsPh8NhnXHGGUUDBgzYcej6m2++Oe+u\nu+5q//rrryfOmTNnyyOPPLK7X79+3Zs0aWI/9dRTi4uLi4OP76tcZcuWLaEvvfRSyw4dOpT37Nmz\nhySNHTs268EHH8z505/+lHPttdd2aNu2ba+4uDjHhx9+uEWSkpOTy4cMGZLXpUuXnsHBwXr++ee3\nh4TUfixhGVPtO13qTHJyslm2bJm/xwAAAAAAv7Asa7kxJtnfcxzN6tWr0/v27Zvj7zkCyQcffBC3\nZcuW8D//+c9ZRz8ah1q9enXTvn37tj+8zh0dAAAAAAD4yfDhw4+6YwyODe/oAAAAAAAAAYOgAwAA\nAAAABAyCDgAAAAAAEDCOGnRYlvW2ZVlZlmWtO6Q2xbKsVMuy1liW9allWfGH9CZblrXZsqw0y7Iu\nravBAQAAAAAADledOzpmSrrssNp8Sb2MMX0kbZQ0WZIsy+ohaZiknvvXvGpZVo22rAEAAAAAAKiu\nowYdxpgFkvIOq/3PGGPf/3GJpNb7f321pNnGmApjzDZJmyX1q8V5AQAAAAAnsODg4NO6devWo3Pn\nzj0vvPDCk3Jyco77H9dbtWrVe8+ePUfcjXTq1KkJI0aMaHukY7788suY+fPnRx3vHKhdtfGOjjsk\nfb3/160k7Tykt2t/DQAAAACAGgsPD3empqambNq0aX18fLx9ypQpzfw90w8//BCzcOHCaH/PgSo1\nCjosy3pMkl3SrONYO9ayrGWWZS3Lzs6uyRgAAAAAgHroP0u2N+n39He9Ozwy77R+T3/X+z9Ltjep\nzfP379+/JCMjI+zA57/85S+JvXr16t6lS5ceDzzwQNKB+kUXXdSpZ8+e3U866aSezz33XNOjnffF\nF19MaN++fa/evXt3X7x48cEA4/3334/r06dPt+7du/cYMGBAl507d4akpaWFvfvuu81ef/31xG7d\nuvX45ptvoj0dV5u/bxzZcQcdlmXdJulKSTcbY8z+coakNocc1np/zY0xZpoxJtkYk9ysmd8DOAAA\nAABALfrPku1N/vZlSrusooowIymrqCLsb1+mtKutsMNut+vHH3+MGTJkSL4kffLJJ7GbN2+OWLNm\nzYYNGzakrFq1qtHXX38dLUmzZs1KX79+/YZVq1alvPHGG4mZmZleH3fZvn176LPPPpu0ePHi1N9/\n/z1148aNkQd6F198cfGqVatSN2zYkHLdddfl/fWvf23RtWvXyhEjRmSPGzdub2pqaspll11W7Om4\n2vg9o3qOK1WyLOsySQ9LOs8YU3pI63NJ71uW9bykJEmdJf1W4ykBAAAAAA3K1O83taqwO13+cb3C\n7gya+v2mVrf0b5fnbd3RVFRUBHXr1q3H3r17Qzt16lQ+ZMiQQkn65ptvYhcsWBDbo0ePHpJUWloa\nlJqaGjFo0KDif/zjH4nz5s2Ll6TMzMzQ9evXR7Ro0aLE0/kXLFgQ1b9//6KkpCS7JA0dOjRv48aN\nEZK0bdu2sCFDhrTOzs4OraysDGrTpk2Fp3NU9zjUjepsL/uBpF8ldbUsa5dlWaMkvSwpRtJ8y7JW\nWZb1uiQZY9ZL+khSiqRvJN1jjHHU2fQAAAAAgHopu6gi7Fjq1XXgHR07duxYa4zRs88+21ySjDG6\n//7796Smpqbs76974IEHcr788suYn3/+OWbZsmWpaWlpKd27dy8rKys7rqcb7r333rZ333131saN\nG1Nefvnl7RUVFR7PU93jUDeqs+vKcGNMS2NMqDGmtTFmujHmJGNMG2PMyft/jDvk+KeNMZ2MMV2N\nMV8f6dwAAAAAgMDULCa88ljqxyomJsY5derUHa+++mqizWbToEGDCt97772mBQUFQZK0bdu20IyM\njJD8/PzguLg4R0xMjHPlypURq1evPuLuKOeee27J0qVLYzIzM4MrKiqsTz/9tPGBXlFRUXDbtm1t\nkjRz5syEQ2ZxFBUVBR/tOPgGqRIAAAAAoNaNH9g5IzwkyHloLTwkyDl+YGeP73E8HmeddVZZt27d\nyqZNm9Zk6NChhddff33e6aef3q1Lly49rrnmmk75+fnB1157bYHdbrc6duzYc+LEia369u3r8ZGV\nA9q1a2ebNGnS7v79+3dPTk7u1qVLl/IDvccee2z38OHDO/Xs2bN7QkKC/UD92muvzZ83b178gZeR\nejsOvmH98R5R/0lOTjbLli3z9xgAAAAA4BeWZS03xiT7e46jWb16dXrfvn1zqnv8f5ZsbzL1+02t\nsosqwprFhFeOH9g5oybv5wAOtXr16qZ9+/Ztf3idLW4AAAAAAHXilv7t8gg24Gs8ugIAAAAAAAIG\nQQcAAAAAoLqcTqfT8vcQwP7/HTo99Qg6AAAAAADVtS47OzuOsAP+5HQ6rezs7DhJ6zz1eUcHAAAA\nAKBa7Hb76MzMzLcyMzN7iX84h/84Ja2z2+2jPTUJOgAAAAAA1XLaaadlSbrK33MAR0ICBwAAAAAA\nAgZBBwAAAAAACBgEHQAAAAAAIGAQdAAAAAAAgIBB0AEAAAAAAAIGQQcAAAAAAAgYBB0AAAAAACBg\nEHQAAAAAAICAQdABAAAAAAACBkEHAAAAAAAIGAQdAAAAAAAgYBB0AAAAAACAgEHQAQAAAAAAAgZB\nBwAAAAAACBgEHQAAAAAAIGAQdAAAAAAAgIBB0AEAAAAAAAIGQQcAAAAAAAgYBB0AAAAAACBgEHQA\nAAAAAICAQdABAAAAAAACBkEHAAAAAAAIGAQdAAAAAAAgYBB0AAAAAACAgEHQAQAAAAAAAgZBBwAA\nAAAACBgEHQAAAAAAIGAQdAAAAAAAgIBB0AEAAAAAAAIGQQcAAAAAAAgYBB0AAAAAACBgEHQAAAAA\nAICAQdABAAAAAAACBkEHAAAAAAAIGAQdAAAAAAAgYBB0AAAAAACAgEHQAQAAAAAAAgZBBwAAAAAA\nCBgEHQAAAAAAIGAQdAAAAAAAgIBB0AEAAAAAAAIGQQcAAAAAAAgYBB0AAAAAACBgEHQAAAAAAICA\nQdABAAAAAAACBkEHAAAAAAAIGAQdAAAAAAAgYBB0AAAAAACAgEHQAQAAAAAAAgZBBwAAAAAACBgE\nHQAAAAAAIGAQdAAAAAAAgIBB0AEAAAAAAAIGQQcAAAAAAAgYBB0AAAAAACBgEHQAAAAAAICAQdAB\nAAAAAAACBkEHAAAAAAAIGAQdAAAAAAAgYBB0AAAAAACAgEHQAQAAAAAAAgZBBwAAAAAACBgEHQAA\nAAAAIGAQdAAAAAAAgIBB0AEAAAAAAAIGQQcAAAAAAAgYRw06LMt627KsLMuy1h1Sa2JZ1nzLsjbt\n/7nx/rplWdZUy7I2W5a1xrKsU+tyeAAAAAAAgENV546OmZIuO6z2iKTvjTGdJX2//7MkDZLUef+P\nsZJeq50xAQAAAAAAju6oQYcxZoGkvMPKV0t6Z/+v35E05JD6u6bKEknxlmW1rK1hAQAAAAAAjuR4\n39GRaIzZs//XmZIS9/+6laSdhxy3a38NAAAAAACgztX4ZaTGGCPJHOs6y7LGWpa1zLKsZdnZ2TUd\nAwAAAAAA4LiDjr0HHknZ/3PW/nqGpDaHHNd6f82NMWaaMSbZGJPcrFmz4xwDAAAAAADgD8cbdHwu\naeT+X4+UNPeQ+oj9u6/0l1RwyCMuAAAAAAAAdSrkaAdYlvWBpPMlNbUsa5ekJyQ9K+kjy7JGSdou\n6Yb9h38l6XJJmyWVSrq9DmYGAAAAAADw6KhBhzFmuJfWQA/HGkn31HQoAAAAAACA41Hjl5ECAAAA\nAADUFwQdAAAAAAAgYBB0AAAAAACAgEHQAQAAAAAAAgZBBwAAAAAACBgEHQAAAAAAIGAQdAAAAAAA\ngIBB0AEAAAAAAAIGQQcAAAAAAAgYBB0AAAAAACBgEHQAAAAAAICAQdABAAAAAAACBkEHAAAAAAAI\nGAQdAAAAAAAgYBB0AAAAAACAgEHQAQAAAAAAAgZBBwAAAAAACBgEHQAAAAAAIGAQdAAAAAAAgIBB\n0AEAAAAAAAIGQQcAAAAAAAgYBB0AAAAAACBgEHQAAAAAAICAQdABAAAAAAACBkEHAAAAAAAIGAQd\nAAAAAAAgYBB0AAAAAACAgEHQAQAAAAAAAgZBBwAAAAAACBgEHQAAAAAAIGAQdAAAAAAAgIBB0AEA\nAAAAAAIGQQcAAAAAAAgYBB0AAAAAACBgEHQAAAAAAICAQdABAAAAAAACBkEHAAAAAAAIGAQdAAAA\nAAAgYBB0AAAAAACAgEHQAQAAAAAAAgZBBwAAAAAACBgEHQAAAAAAIGAQdAAAAAAAgIBB0AEAAAAA\nAAIGQQcAAAAAAAgYBB0AAAAAACBgEHQAAAAAAICAQdABAAAAAAACBkEHAAAAAAAIGAQdAAAAAAAg\nYBB0AAAAAACAgEHQAQAAAAAAAgZBBwAAAICAZ4xRyfK9smWV+nsUAHUsxN8DAAAAAEBdcRRVKmfm\netkyiiVJoS2ilHj/qX6eCkBdIugAAAAAEHBKV2Upb3aaSy00KUpNb+/lp4kA+ApBBwAAAICA4Cy3\nK/f9VFVs3OdSj7uio6LPTpJlWX6aDIAvEXQAAAAAaNDKN+5TztvrXGrBsWFqOqa3Qps18tNUAPyF\noAMAAABAg2NsTu37dJNKV2S51GPOb63YS9rLCuLuDeBERdABAAAAoMGo3FmkrFdXSeaQYpCl5nf3\nVVjrGL/NBaD+IOgAAAAAUK8Zp1HBt+kq/nmXS71RcqIaDzlJVkiQnyYDUB8RdAAAAACol2zZpcqe\ntkbOIptLvemoXoro3PjYTuZwSK+9Jl14odSjRy1OCaC+IegAAAAAUG8YY1S8MEMFX21zqUd0a6Im\nw7oqKOIYv4WZNUu65ZY/Pp96qrR8eS1MCqC+IugAAAAA4HeOwgrlvL1etswSl3qT4V3VqG/zYzvZ\nzz9LV10lFRa61gcNkt55p4aTAqjvCDoAAAAA+E3J8r3a9/FGl1po62g1HdlTwTFh1T9Raqp0/fXS\nOtdtZtWzp/TRRzyuApxACDoAAAAA+JSzzK7cWRtUsTnfpR5/VSdFndlSllXNrWH37pVGjZLmzXOt\nx8RIc+dKF1xQSxMDaEgIOgAAAAD4RFlqnnJnrnepBceHq9mY3gpJiKzeSUpLpYceqnqx6OHee0+6\n+WapukEJgIBE0AEAAACgzhibQ/v+u0mlq7Jd6jEXtlHsRe1kBVUjlHA4pClTpMmT3XtPPy1NmiQF\nB9fSxAAaOoIOAAAAALWuYkehsl9d7VKzQoPUbFxfhbWKrt5JPvhAuukm9/qdd0r/+pcUFVULkwII\nNAQdAAAAAGqFcRgVfL1Nxb9kuNSj+rVQ/FWdZIUEHf0kCxZU7ZhSUOBav+wyacYMqUWLWpwYQCAi\n6AAAAABQI7asUmW/sVrOErtLvemY3oroFH/0E6SlSTfcIK1Z41rv0UP6+GN2TAFwTAg6AAAAABwz\nY4yKft6lwm/SXeoRPRLU5MYuCgo/yrcaWVlVO6Z8+aVrPTpa+vxzdkwBcNwIOgAAAABUm72gQjnT\n18meVepSb3JzNzXq3ezIi0tLpYcfll55xb337rvSLbewYwqAGiPoAAAAAHBUJcsytW/OJpdaWNsY\nJYzooeDoMO8LHY6qF4dOmuTe+7//kx55hB1TANQqgg4AAAAAHjlLbcr9zwZVbHV9MWj8kJMU3b/l\nkRfPni0NH+5eHzNGev75qkdUAKAO1CjosCzrAUmjJRlJayXdLqmlpNmSEiQtl3SrMaayhnMCAAAA\n8JGylFzlvpviUgtOiFCzUb0V0iTC+8KFC6Wrr5b27XOtX3KJNHOm1PIo4QgA1ILjDjosy2olabyk\nHsaYMsuyPpI0TNLlkl4wxsy2LOt1SaMkvVYr0wIAAACoE85Kh/bN2aiyNTku9diL2irmwraygry8\nO2PjxqodU1avdq136ybNmSP17FlHEwOAZzV9dCVEUqRlWTZJjSTtkXShpJv299+R9KQIOgAAAIB6\nqSK9QNmvu27raoUHq9m4vgprGeV5UXZ21Y4pX3zhWo+MrKoNHFhH0wLA0R130GGMybAs6zlJOySV\nSfqfqh5VyTfGHNhAe5ekVjWeEgAAAECtMQ6nCuZtU/Hi3S71qP4tFT+4o6zgIPdFZWVVO6a8/LJ7\nb+ZMacSIertjisNu1+9z52jRR//RxWPuVZ+LLvP3SADqUE0eXWks6WpJHSTlS/pYUrX/xLAsa6yk\nsZLUtm3b4x0DAAAAQDXZ9pYo67U1MuV2l3qzsX0U3jHOfYHTWbVjysMPu/eeekp69FEppH7ub2C3\n2bTkv7O19NMPXeq7Nqwj6AACXE3+VLpI0jZjTLYkWZb1iaSzJMVblhWy/66O1pIyPC02xkyTNE2S\nkpOTTQ3mAAAAAOCFMUZFP+5U4f+2u9QjezdV4+u7KCjMw9auH30k3Xije33UKOnf/663O6bYKsq1\n6KNZWv7lp269k04/UwNH3aXoxk38MBkAX6pJ0LFDUn/Lshqp6tGVgZKWSfpR0nWq2nllpKS5NR0S\nAAAAwLGx55cr5611sueUudQTbu2uyJ5N3RcsWiRddZWUl+dav/jiqkdTkpLqbtgaqCwr1YJZM7V6\n/lduve5nn6/zR45Ro1gPd6sACFg1eUfHUsuy5khaIckuaaWq7tCYJ2m2ZVn/t782vTYGBQAAAHB0\nxb/tUf4nm11qYR1ilXBLDwVHhboevGlT1Z0bK1e61rt2lT7+WOrdu46nPT7lxcX66b23tP6n79x6\nvS64ROfdeociournXScA6l6NHqgzxjwh6YnDylsl9avJeQEAAABUn6PEptz3UlSZXuhSjx96kqL7\ntXQ9ODtbGjNGmnvYjdcREVU7plx0UR1Pe3xKCwv048xpSl30s1vv5Euv0DnDRyosspEfJgNQ39TP\nNwcBAAAAOKqydTnK/c8Gl1pIs0g1HdVLIfERhxxYJk2aJL30kvtJZsyQRo6slzumFO/L03dvvaot\ny5a49ZIHD9WAG25WaFi4HyYDUJ8RdAAAAAANiLPSoX0fpqlsfa5LPfaSdoq5oI2sA4GF0yk9/7w0\ncaL7SZ58UnrssXq5Y0phTpbmT3tZ6atXuPX6XztMZ1xzo0JCQz2sBIAq9e9PNgAAAABuKrbmK3va\nWpeaFRmi5nf2UWiLqD+KH38s3XCD+wnuuKNqx5SYmDqe9Njty9yt/70+Vbs2rHPrnT1shJIHD1Vw\nPQxlANRP/GkBAAAA1FPG4VT+F1tVsmSPSz16QJLirugoK3j/3RuLF1ftmJLrepeHBg6U3nlHatXK\nRxNXX+6uHfrm1ReUuWWTW+/8EWN0yqArFRTkYetbADgKgg4AAACgnqncU6Ls11bJVDpd6s3G9VF4\n+/1bpW7eXLVjyorDHvHo3FmaM0fq08dH01ZfVvpWff3K88rZke7Wu2j03eoz8DJZQUG+HwxAQCHo\nAAAAAOoB4zQq/H6Hir7f4VKP7NtMja/trKCwYCknR7rmGumzz1wXh4dLn38uXXKJDyeunj2b0vTV\nS88pf+8et95ldz+gHude+Md7RQCgFhB0AAAAAH5kzytX9ltr5cgrd6knjOihyB4JUnm59PAE6cUX\n3RdPny7dfnu92zFlV8o6zXtpiorzDnuUxrJ05Z8eVpf+ZxNuAKgzBB0AAACAHxQv2a38z7a41MI7\nxSnh5u4KigiuenFozwnuC594omrHlHq280j66hWaN3WKyouLXOqh4RG6fPxEnZR8hp8mA3CiIegA\nAAAAfMRRXKncd1NUucM1DGh8XWdFJbeoerdGVF/3hbffXnVHRz3bMWXzsqWa9+I/Za+scKlHxMTq\nivseUvu+p/ppMgAnMoIOAAAAoI6Vrs1W3qxUl1pIYiM1vaOXQlJWSJf3kbKzXRddeKH07rv1ascU\nY4w2LvlFX774T8kYl150kwRdft9DatOjt5+mA4AqBB0AAABAHXBW2JU3O03lG/Jc6nGD2iu6VYWs\nYcOkB5e7LurUSfrvf6W+Hu7q8BNjjNb//L2+fe3fbr3GLZM06J4Jatm5qx8mAwDPCDoAAACAWlS+\nOV85b611qQVFharZjW0VOvk+6R+fuC4IDZW++EK69FIfTnlkxunUmu+/0XdvverWa9q2vQbd86Ca\nt+/oh8kA4OgIOgAAAIAaMnan8uduUcnvmS716DMTFffT67L+8YL0+GGL3npLuuOOerNjitPp0Mqv\nv9BP777l1mtxUhdddtf9Smjd1g+TAcCxIegAThCfrczQlG/TtDu/TEnxkZp4aVcNOaX+PPMLAEBD\nVJlRrKzXVkl21/dVNGuSqvBJY90X/OUvVT/qyY4pDrtdy774RL/Mftet17pHL11y53g1bpHkh8lq\nn8Pm1Oofdqpd7wQlJEX7exwAdYigAzgBfLYyQ5M/Wasym0OSlJFfpsmfVN1SS9gBAMCxMU6jwvnb\nVfTjTpd6o4RyNX70Sln2StcFI0dKU6dKsbE+nNI7u82mpZ9+qCX/ne3Wa9/3VF085l7FNmvuh8lq\nX2WZXb9+ukXrFmQcrG1csFXDnr7Aj1MBqGsEHcAJYMq3aQdDjgPKbA5N+TaNoAMAgGqq2F6onJnr\nZcrsLvWE/z2tyJXfuh58/vnSe+9JrVv7bsAjsFVWaPFHs7Tsi0/ceiedfqYGjrpL0Y2b+GGy2lda\nWKmFH23U5mVZB2vGcqgkepvKonYremuaJIIOIJARdAAngN35ZcdUBwAAVYwxynxumRy55S718Nw0\nJbz3gIIqiv8oduxYtWPKySf7eErPKsvLtPD9mVr17Ty3XrezztMFt41Vo9g4P0xW+wqyy/TTrFTt\nSt13sOa0bCqO3aKKyCyXY7f0iPf1eAB8jKADOAEkxUcqw0OokRQf6YdpAACo/yr3lCjrxRVu9ahV\nn6vxt8/9UQgJkT7/XBo0yIfTeVdeUqyf35uudT/Od+v1PP8inX/raEVEB8b7KbJ3Fun7dzYod9cf\nYZMjqFzFsZtVGZHndnxkozyNuv0xNW2W6MsxAfgBQQdwAph4aVeXd3RIUmRosCZeyp73AAAcKufd\nFJWn5LrVm88crbC9G/8oTJsmjR5dL3ZMKS0s0I8zpyl10c9uvZMvvULnDB+psMhGfpis9mVsy9Yy\n+AAAIABJREFU3KfvZqSoeF/FwZo9uFRFcWmyhxW5HR8XW6gxY59SdHSML8cE4GcEHcAJ4MB7ONh1\nBQAAd/aCCmX+/Te3enBhllq8dp1cooySEqmR/0ODkvx9+u6tV7X591/deqddeY3OuuFmhYZH+GGy\n2rdlZZa+eztFdpvzYM0WWqiiuFQ5Qsrdjk9sWqnRdz6l0Hqysw0A3yPoAE4QQ05pRbABAMAh8r/e\npuKfd7nVEz5+WJFbl/xR2LFDatPGh5N5VpiTrflvvqz0Vcvdemdcc6P6XztMIQHwzb1xGqUs2q2f\nZqW51CvD8lQUt0HOYIfbmvZtIjTitokKCg721ZgA6jGCDgAAAJwwnOV27X7S/S4ISWo15QJZzv3f\nRC9aJA0Y4MPJPMvP3KNv33hRu1LWufXOHjZCyYOHKjik4f+V3uFwatX8HVry2daDNSOjiohsFcWl\nSoc9IWQsm3p3baXrht3t40kBNAQN/09FAAAA4CiKl+xR/meb3erx3/5L0avmVn146y1p1CgfT+Yu\nN2Onvn3139qzOc2td96to3Tq5VcpKKjh37lgq3Doty+2atV3Ow/WjIzKG+1Rcaz7fysTVKIBycm6\n9PIbfTkmgAaIoAMAAAAByTicynhskcde0guDFFRZIt1zj7TS+Hgyd1npW/XNK88re0e6W2/gqLvV\n96LLZAUF+X6wWlZebNOiOZuUuiTzYM3IqdKonSqN2e6+IDhfl15wuc48+xIfTgmgoSPoAAAAQEAp\nS81T7sz1bvXopR8o/qfXpJNPlsqL/L5jSubmjfrq5ee0b89ut96ld92vnucNlFUPdnWpqaK8cv38\nQZq2r/1jNxtjOVQSna6yqAy3462QXF171Uj16pPsyzEBBBCCDgAAADR4xhhl/v03OQor3XotXr1W\nIUXZUnGxFPWqH6b7w64N6zTvpedUnJvj1rti/ER1HXBuQIQbeXtK9ON7G5S5tfBgzWnZVBy7RRWR\nWW7Hh4bl6Jbh96tdh5N8OSaAAEXQAQAAgAarMqNYWS+tdKtHbPpFTT95VEpPl551/8bal7avWaV5\nU/+psqJCl3pIWLiuGD9RJ53e30+T1a7MrQX6bmaKCrLKDtYcQeUqjt2syog8t+MjI3N1xx2PqVmz\nFr4cE8AJgKADAAAADU72k/NUUR7rVm/+9u0K+2SmdPZkSZN9PtcBW5b/pnkv/lO2inKXekRUtK4Y\nP1HtTz7NT5PVrvS1OfpuRooqSu0Ha/bgUhXFbZQ9rNDt+LiYIo2580lFR8f4ckwAJxiCDgAAADQI\n9nk/KHNh6P5Pf4QcIXk7lZhcImvsGOlZ9906fMEYo41LFmnei/+UMU6XXlTjJrrivofUpmcfv8xW\nm4wx2vjbXn03I8WlbgstVFFsqhyh5W5rEpvaNGrsEwoLC/PVmABOcAQdAAAAqL+2blX+2H+rOPl6\nSaEuraYlPyjipSf8M5eqvulPWfCDvnn1BbdefGJLDbp3gpK6dPPDZLXL6TRa++Mu/fLxJpd6ZVie\niuI2yBnscFvTvnWYRtw+SUHBDX8bXAAND0EHAAAA6peiIjmbJWn3/V9VfU6+3qXd6m8DZIUGSzrH\n56MZY7T2+281/82X3XoJrdtq0L0TlNihk8/nqm12m0PLvkrX8q//2PLVyKgiIltFcanSYe9LNZZN\nvbq20vXD7vbxpADgjqADAAAA/ud0Sj16qCi6lwou+pN0IOTYr/GVbRV1dju/jOaw2/X2/XeqMHuv\nW69Fp8669K771bSNf2arTRVldv366RatX/DHlq9GRuWRe1Qc5/5IkAkq0ZmnnqrLrhzuyzEB4KgI\nOgAAAOA/Y8bIvD1TGRN/kIa86dZOeupMBYX7/q+s9spKvTb2FlWWlbr1WnXrqUvHjVfjlq18Pldt\nKymo0MIPN2nLij92pjFyqjRqp0pjtrsvCM7XJecP0oBzLvXhlABwbAg6AAAA4Fuvvy7ddZfKOp+t\n3KHPSBNHuLRjLmijuEvb+3ysyvIyvTTyeq/9G594Vq179PLhRHUjP6tUP81KVUZa/sGasRwqiU5X\nWVSG2/FBIbm6ZvCt6t23ny/HBIDjRtABAACAuvfTT9IFF8hI2nPf53JOWuB2SMtH+yk4NtynY5UX\nF+uVUcO89m9+5gW16NTZhxPVjewdRfr+nRTlZpQcrDktm4pjt6oi0v2RnNCwHN08bLzad+ziyzEB\noFYQdAAAAKBubNsmdewoSapo2V3ZHsKNyN5NlXBzd5+OVZK/T6/feavX/sjnXgmId27sStun72ak\nqCS/4mDNEVSh4thNqozIczs+MjJXt9/+mJo3b+HLMQGg1hF0AAAAoPYUF0sxMQc/Zg/7tyranep2\nWOIDpyo0McpnYxVmZ+nNe+/w2h819S3FJzbsb/CNMdqyIlvfzUiRw+48WLcHl6o4Nk228CK3NXEx\nhRo95gnFxMb5clQAqFMEHQAAAKgZp1Pq00dav16SZI9rqcxxH7odFtqikRLvP81nY+XtztCMB+70\n2h/72kzFNGnqs3nqgnEarf9lt35+P82lbgspUnFsiuxhFW5rmjet1OgxTygs3LePCQGArxB0AAAA\n4PiMGye98cbBj/sueVAlpwxxO6zp6N6KOCneJyNlpW/Ve5PGe+3f9eYsNWrgdy84HE6t/HaHln6+\n1aVeGbZPRbEpcoY43Na0bx2mEbdPUlBwsK/GBAC/IegAAABA9U2bJt35x10SjohY7fnTlx4PbfXM\n2bKCrDofaffGDfrgLxO99u+d8aHCG/nuMZm6YKtwaOnnW7X6+50Ha0ZGFRE5KorbIB32ZTayq1eX\nFrr+pnt9PCkA+B9BBwAAAI5s4ULp3HNdSkX9hqnggrvdDm18QxdFnZpY5yNtX7tKc/7vzx57waGh\numf6BwoNj6jzOepSWXGlFn28WWlLMw/WjIzKI/eoOG6z2/EmqFT9Tz1Zg668yZdjAkC9Q9ABAAAA\nd9u3S+3bu5RMcJgyHvrO4+FJfx2goLC6fSxi8+9LNPe5//PYi2rcRKNfmq6Q0NA6naGuFeWV66dZ\nadqxPvdgzcip0qhdKo1Jd18QXKBLzrtEA84d5LshAaCeI+gAAABAlZISKTrarVza9XzlDfmrWz32\n4naKHdi2TkfasPBHffXyvzz2Elq31Yh/vuST9058tjJDU75N0+78MiXFR2ripV015JRWtXLu3N3F\n+vG9VO3dVniwZiyHSqLTVRaV4XZ8UEiehlxxs/qcckatXB8AAg1BBwAAwInM6ZROPllau9albGRp\n9wNfy4Q1clvS8rEzFBwTVmcjrZ7/lb5761WPvaSuPTTsyWdlBQXV2fUP99nKDE3+ZK3KbFUv+czI\nL9PkT6q+XscbduzZUqDvZqaoMLvsYM1p2VQcs1UVjfa6HR8alqObbrhPHU7qelzXA4ATCUEHAADA\nieiee6RX3cOEila9lH2Le73RKc3V5Ma6+yb7t7lztPD9mR57nZL76+qHHpNl1f2LTT2Z8m3awZDj\ngDKbQ1O+TTumoCN9TY7mz0hRZZn9YM0RVKHi2M2qjMh1Oz4yMk+3jZysxBYtj394ADgBEXQAAACc\nKKZPl0aP9tjKeuYnVRa43yWR+OBpCm3ufldHbVj4wTv67bOPPfZ6nHOBBt07oU6ue6x255cdU/0A\nY4zSlmbq+5kbXOr24FIVx6bKFl7stiYupkCjxzypmAa+BS4A+BNBBwAAQCD75RfpnHM8tmwvTNPe\nzG5VHwr+qIe2ilbifafUyTjfTX9Nq/83z2PvlMsG68Lb7/TY86ek+EhleAg1kuIj3WpOp9GaH3Zq\n0RzXXVFsIUUqiV0vW1il25rmCRUaNeYJhUc07F1iAKC+IOgAAAAINDt2SO3aee7ddpvyLp+s0uV7\npUzXVrM7+yi8Q+3fSfDli/9U2uIFHnv9rx2us264udavWZsmXtrV5R0dkhQZGqyJl1Y9ymO3OfT7\nvHSt+Ga7y7rKsH0qjl0vR4jT7ZztWoVo5B2TffIiVQA40RB0AAAABAIvO6ZIkrp0keP3NdrzzG9V\nn5f/8bJLKzRISU8NkBVUe++/MMbok78/ofTVKzz2z7vlDiUPHlpr16trB97DceiuKxPOP0lxKUV6\n5Y0fDh5nZFQZnqPCuA3SYU8BGdnVs0uibrjpPl+ODgAnJMsY4+8ZlJycbJYtW+bvMQAAABoWY6RT\nTpFWr/bcLyhQ4fJ8FX673a3VZHg3NerbrPZGcTo167EJ2rt1k8f+xWPvU5+Bl9ba9fwhb3eJfv1s\ni9LX5BysGRmVR+5Rcdxmt+ONVaozTu2tywff6ssx0UBZlrXcGJPs7zmAQMAdHQAAAA3NffdJL7/s\nubd5s5xt2mv344ulZ9wDkFZ/GyArtHYel3A6HJrxwDjl793jsX/Fnx5WtwHn1sq1/GX7ulx9+bLr\n19HIqbKoXSqJSXdfEFygi865RGefP8g3AwIA3BB0AAAANARvvy2NGuW599130sCBKl2Zpbw30yTt\ndmnHDWqvmPPa1MoY9spKvTFuhMpL3HcMkaQhDz+uTqf1q5Vr+cv6hRn6aVaaS81p2ZSb+KvH44NC\n8jTkipvU55T+vhgPAHAUBB0AAAD11eLF0llnee5NnSrdd5+M0yjjsV+k+QvdDmn5l/4Kjgqt8RiV\n5WV6aeT1Xvs3PP6M2vTsU+Pr+NOSz7Zo+WEvE3UElymv2e8ejw8Ny9FNN9yrDid188V4AIBjQNAB\nAABQn6xfL/Xq5bl3663Su+9Kksq35CvnEfdwI6pfCzUe2rnGY5SXFOuVO4Z57d/09L/U8qSuNb6O\nvxhj9O20ddqyMtulbgspUn7TlV7XDbt+iLr1PLmuxwMA1ABBBwAAgL8VFEjx8Z57nTpJaWnS/m1I\n9764QrY9JW6HtXgoWSFNI2s0RmlBvl4be4vX/sgpL6tp2/Y1uoY/OR1Offj078rb7fr1qwjLU2GT\ndV7XjR0zWkmtWtf1eACAWkLQAQAA4A9O58HwwqO9e6XmzSVJtqxS7X1+udshYe1i1fyuvjUaozAn\nW2/ec7vX/h0vTlPjFkk1uoY/2Socmj5hoRx2p0u9LHKPiuM87xATpEo9MOERxcTE+mJEAEAtI+gA\nAADwJcvy3luyRDrjjIMfc2enqmxVttthze7qq/B2x/9N+L49GXr7/ju99se+OlMxCU2P+/z+VlJQ\noZmTFrnXo9NVGr3D45qIoAJNmDxFoaH89RgAGjr+JAcAAKhrF1wg/fST597rr0t3/hE62HPLlDll\nmdthVmSIkv7SX1bQEYKSI8jeka53J97rtX/Xm7PUKDbuuM5dH+TtKdEHTy11qxfGbVBFpHtYJElx\nYfl64NF/1/VoAAAfI+gAAACoCy+8ID34oOfeKadIK1a4lLLeWKPKbQVuhybc0l2RvY7v7oo9m9L0\n/p8neO3f8/ZsRURFH9e564Pdm/bp03+5vjjUyCi/ySrZw4o8rkmMLdddDz7ri/EAAH5C0AEAAFBb\nli6V+vf33jfG5aOz1Kbdf13i8dCkvw5QUNgR3uHhxY51q/Xx3x7z2LOCgnTfjI8UGhFxzOetLzb9\nvlf/m77epWbkVG7zJTJBdo9rTkqK1C1jJ/liPABAPUDQAQAAUBN5eVJCgvd+RYUUFuZSyv9ii4oX\n7XY7NLJPUyXc1P2YR9iyfKk+++ffPPYaxcVrzCszFBIaesznrS9WfLtdv366xaXmtGzKTfzV65rT\nerbT4Ou9v2QVABC4CDoAAACOlTFSUJD3/vbtUtu2rkvsTmX82f0FmZLU8tF+Co4NP6YRNiz6WV9N\nneKx1ziptW577hUFHWlXl3rux/c2KGXRHpeaI7hcec1+87rm4vPO0FkXDKrr0QAA9RxBBwAAQHUd\naceUefOkyy93Kxd8s01FP+1yqwc3iVDLh08/psuv+e4bzX/zZY+9lp27avhfp8g6UgBTjxmn0Wcv\nrNTuTfkudVtIkfKbrvSySrrx2qvVvfcpdT0eAKABIegAAAA4kksukebP99x78EHpX/9yKxtjlDH5\nF49Lmo7prYhO8dW+/O9ffKIF/3nbY6/jqadryMOPyzpSAFOPOWxOvfPYYpUVVrrUK8PyVNBkndd1\nY8eMUlKrNnU9HgCggSLoAAAAONxLL0njx3vutW4t7dzpsVW6Okt5H6R5XvbsOdW+/OwnHlZGaorH\nXrezztMV4ydW+1z1TXmJTdMnLHSrl0Vmqjhuo8c1QbLpgQmTFBMTW9fjAQACAEEHAACAJP3+u9Sv\nn/f+YTumHGrXI+7fuEtS/JBOiu6fVK3LvzV+tAr2ZnrsnXzplRp4x7hqnac+Kswp03t/dn9xaEl0\nukqjd3hcEx5UqIcm/1Ohofx1FQBwbPh/DgAAcOLat09q0sR738OOKQdU7ixS1iurPPZaPXO2rKCj\nP07y4ohrZa+o8Nhr2aWbbvrbc0c9R32Vtb1QH/99mVu9MC5VFZFZHtfEhubr/snPK6iBvmcEAFA/\nEHQAAIATy9F2TNm2TWrf3mvb290bUae3UONrOx/l0kbPDxvstd8pub+GTPzzEc9Rn6WvydG8V9e4\n1IyM8puskj2syOOa5jHlunvCs74YDwBwgiDoAAAAJ4YjvbBz7lzpqqu8th2FFdrzjOdtTZP+OkBB\nYd63cXU6HXph+NVe+30GXqaLx97rfbZ6bt3Pu/TzB67v1jByKrf5Upkgm8c1nZIidOvYR3wxHgDg\nBETQAQAAAtcVV0hffeW596c/Sf/+9xGX7315pWy7it3qIc0bqcWDp3ldZ7fZ9OIt13jtN/QXii7+\nZLNW/s/13RpOy6bcRPf3cBxwas+2uur6O+p6NAAACDoAAECAefVV6Z57PPcSE6VMzy/8PMDYHMr4\ny2KPvRaPnK6Q+AiPvcqyUr102w1ez9t74KW6ZOx9R7x2fWWM0devr9W21TkudUdQufKae77TRZIG\nntNP5wy8vK7HAwDABUEHAABo+FaskE7zfofFkXZMOSD/iy0qXrTbY8/b1rClhQV6bczNXs/Zf+iN\nOuvGW4967frI6XDqg7/+pvy9pS51e0ix9jVd4XXd9dcMVs++R/hvAQBAHSPoAAAADVN+vtS4sfd+\nebkUHn7EUxhjlDH5F4+9ZuP6KLx9nFu9MCdLb97j/RGMC24bq1MHeX/fR31WWW7XWw8ulHG6BkOV\nYXkqaLLO67rRd9ym1m3b1/F0AABUD0EHAABoOI62Y8qWLVLHjkc9TcmyTO2bs8ljz9PdG7m7dmjm\nhLu9nu/yeyeo+zkXHPW69VFJQYVmTlrkVi+PzFRR3EYPKyRLdt1//0OKi4+v6/EAADhmNQo6LMuK\nl/SWpF6SjKQ7JKVJ+lBSe0npkm4wxuyr0ZQAAODEdqQdUz75RLrG+4s/D+Vta9jG13VWVHILl9qe\nTWl6/88TvJ7rmkeeUMdTTq/Wdeub3N3Fmv1X93drlERtV2nMdo9rwq1CPfToPxQaGlrX4wEAUCM1\nvaPjRUnfGGOusywrTFIjSY9K+t4Y86xlWY9IekTSpBpeBwAAnGiuvlr6/HPPvXvukV5+uVqnqUgv\nUPbrazz2Wv39bFmHhCjpq1fov8887vVcw576p1p161Gt69Y3u9L2ae4LK93qhbFpqmi01+Oa2NB8\n3T/5eQUd6S4aAADqmeMOOizLipN0rqTbJMkYUymp0rKsqyWdv/+wdyT9JIIOAABQHW+8IY0b57mX\nkCDl5HjueeDt7o3os5IUP7jTwc9pvy7Ul//+h9fzjJjyspo10PdPpC3N1HczUlxqRkb5TVbLHlbo\ncU3z6DLd/ZD3rwcAAPVdTe7o6CApW9IMy7L6Slou6U+SEo0xe/YfkykpsWYjAgCAgLZqlXTKKd77\n1dgx5QB7frkyn/3dY6/V3wbICg2uuuT/vtL301/1ep7RL72luOYtvPbrs2Vfp2vp3K0uNSOncpst\nlQm2eVzTsUWYRox71BfjAQBQ52oSdIRIOlXSfcaYpZZlvaiqx1QOMsYYy7I8/u3EsqyxksZKUtu2\nbWswBgAAaHAKC6U49x1NDiorkyIiqn26zOeXyZ5V5lYPbR2txHurQpRf53ygxR/P8nqOcW+8p6j4\nI+ziUo99/06KUn/NdKk5LbtyExd7XXNK99a6+sbRdT0aAAA+V5OgY5ekXcaYpfs/z1FV0LHXsqyW\nxpg9lmW1lJTlabExZpqkaZKUnJxc/X+qAQAADdPRdkzZvFnq1Ml7/zDOSod2P+75G/mWj/ZTcGy4\nvn/7df3nxr94Pce9Mz5UeKOoal+zvjBOo0//tUJ7thS41B1B5cpr7v6S0QMuPCtZ5158ZV2PBwCA\nXx130GGMybQsa6dlWV2NMWmSBkpK2f9jpKRn9/88t1YmBQAADdORdkz5+GPpuuuO6XT7/rtJJb9n\neuy1fvYcffH83/XhGO/vmBj/3n8VGhZ+TNesD+w2h955ZLHKS1wfP7GHFGtf0xVe11035Ar1Orlh\n7g4DAMDxqOmuK/dJmrV/x5Wtkm6XFCTpI8uyRknaLumGGl4DAAA0NEOHSp9+6rl3553S668f0+mM\n0yjj0V889prfc7LmTHtKezamSjd6DjgeeH+ugoKDj+ma9UF5sU3TH3J/qWpl2D4VNFnrdd2oO0aq\nTdsOdTkaAAD1Vo2CDmPMKknJHloDa3JeAADQAE2fLo328s6H2FipoMBz7wiKl+xW/mdbPPa+Knxb\nRbnZ0kOe1z44+wuXrWMbiuwdRfroGfcXqpZH7FVRfJrHNZYc+tP9Dyg+vkldjwcAQL1X0zs6AADA\niWzNGqlvX+/9Y9gx5VDetob9Netz7SjZ4HXdhA+/PK7r+dvWVdn6+nX3OzRKonaoNCbd45pwq0gT\nJv9dYWFhdTwdAAANC0EHAAA4NkVFVXdoeFNaKkVGHvNpy7fkK+dNz49jfLjN+zs3Gmq4seLb7fr1\nU/e7VXKa/ep1G9iYkHw98OjzCjrSS10BADjBEXQAAICjO9qOKRs3Sp07H9epvd29kZL/q9buW+Cx\n11DDjVlPLFH+3lKXmpFRTgvPXwNJahZVqnsm/rOuRwMAIGAQdAAAAO+O9I6L2bOlG288rtPac8uU\nOWWZx97H26bIKadLLSIqWve8Pfu4ruVvr4z7wa1m5FBOi0Ve1zQJK9L4R/9Vl2MBABCwCDoAAICr\nG2+UPvrIc2/UKOmtt4771Hv+/pscBRVu9b1l2/VTpmuQ0bRte42c8vJxX8tfjDF69a4f3eqOoHLl\nNf/N67q2TSzdMf6JuhwNAIATAkEHAACQZs6Ubr/dcy8ysuq9G8fJWW7X7id/9dj7bPtLqnD+ce72\nJ5+mayc/ddzX8hdbpUPTxv/sXg8tVH7CKq/r+pzUQkNvGVeXowEAcMIh6AAA4ES1bp3Uu7f3/nHu\nmHJA7uxUla3K9tg79OWiPc+/SJfddX+NruUPJfkVmvmI++Mn5RFZKopP9brutJ4dNPj6kXU5GgAA\nJzSCDgAATiTFxVJMjPd+SYnUqNFxn944jTIe/cVj79uMt5VfWRV89Lv6Op1z023HfR1/2ZteqDnP\nur9bpCR6m0qjd3pdN+iSC3TGgPPqcjQAALAfQQcAAIHuaDumbNggdetWo0sULdylgnnbPPYO3L1x\n3q2jlHzlNTW6jj9s/D1T86enuNULGq9VZfg+r+tuu22k2rfvUJejAQAADwg6AAAIVEfaMeX996Xh\nw2t8CW9bw/6y91NllG7UZXc/oAnnNbytYJd8tkXLv9nuVs9pvkgmyOF13YSHHlJMdHRdjgYAAI6C\noAMAgEByyy3SrFmeeyNGSO+8U+NL7P5pnZzfeL6T4cNt/9CQh/+iYac9X+Pr+Nrcf6/UrlT331d2\niwVHXPf4448r6Eh3zAAAAJ8i6AAAoKF77jlp4kTPvZAQyWar8SW2r12l4FlFHntr9y1U7/uvUuvu\nvTRB59T4Wr702t0/yul0femqkVFOC893qhzw5JNP1uFUAACgJgg6AABoiJYtk04/3Xu/hjumSNLG\npYv000tv6PLWYxTsoR86JkmJnTqpdQMLN14Z94NbzVgO5SS676ByQLjKNPnJf3jtAwCA+oOgAwCA\nhqKwUIqLO3L/SDuqVMOa77/R/Gkva0jb8WoUHKnLW49x6Qd3aKSWd55Wo2v4mtPh1Gv3/ORWdwSV\nK6/5b17XJYQW677HnqvDyQAAQF0g6AAAoD472o4pv/8uJSfX6BJLP/1Iv8x+V6FB4Rra7n7d2GGS\n2zFJj/dXUKPQGl3Hl8pLbJo+wf3xE1toofITVnld175JiG4b/+e6HA0AANQxgg4AAOqjI+2Y8txz\n0oQJNTr9j++8qRVfzZUkndX8Go/hhhUerFZPDajRdXxpX2aJ3n/y/9u78/ioqvOP49+TkEAgQFgT\nElRcEJfWiqau1FqrgnUB9323Cm6oGGURjGLRFlFri1vdrRVcEBS1qT8FFVQ0EBRRgoqiDFsCBBIS\nssyc3x9JMGHunWSS2TL5vF8vX2bOc8+9j1xnkjyc+5xFfuM7Om1UadoK13mD999Dw8+9PJypAQCA\nCKLQAQBArDj8cOkzl0cpDj20ti9HK8x96K8q/KR2lYORcSxuSFL6zYcoKb1Lq64VKT8t36Q3//GF\n3/j21B9VnvqT67xhJxyrI44+NoyZAQCAaKHQAQBAND30kHTzze7xVjYVnZk7Vmu++Wrn6/27H6mD\neh7jeGz/+9pGU9Ev3vtZC1751m98a9pyVXXa5Drv0ksv1p577h3O1AAAQAyg0AEAQKQVFEiHHOIe\n9/kCP7rShKdu/LNKNqxrNOa2eqPXZQcqZb+eLb5WpLz7zHKtXLTBb7y47yeyCe7b544ZM0ZdW9mg\nFQAAtC0UOgAAiISyssA7omzdKnXr1uLTTzv3FL+xzM776HfpZzoe3xZWb7xwx8faVrzDb7wo48OA\n8yZNmqSEQA1cAQBAXKPQAQBAOAVambFokXTYYS0+tVNxQ3JfvdH9pD3V9ff9W3y9SJgMpaP7AAAg\nAElEQVQ+8n2/MSur4gz/HVQays3NDVNGAACgraHQATTT7AKPpuYVam1JhTLTUpQzdJBGDM6KdloA\nYlGg4sZ990m3OxcimsOtuNEjOV0nZl3mGMuaMkQmoeWPwoST9Vk9cu08/3F5VZyx0HVesio1Pvfe\ncKYGAADaKAodQDPMLvBo3Kxlqqj2SpI8JRUaN2uZJFHsAFArPV3auNE59utfS19+2aLTWmv1wHmn\nusbdVm+kHNRbvS7Yv0XXDLfqSq+eGP2B37g3oVKb+/pvD1uvZ4cy3XjH/eFMDQAAxAEKHUAzTM0r\n3FnkqFdR7dXUvEIKHUB7NmmSNHmye7yFO6b4fF49eP5w13inxFQN3/06x1hm7pFK6BR7395LN+/Q\n8+M/9huv7lCqkt4FrvP26JGoy0dPDGdqAAAgzsTeT0JADFpbUhHUOIA49umn0pFHusdbuGNKTXW1\n/n7R6a7xlK7dNGLAjfKVOe8wEovNRdev2qrX/rbYb7yyY5G29fjGdd5vBu2m08+/MpypAQCAOEah\nA2iGzLQUeRyKGplpKVHIBkDElZYG3hGluFjq1Svo01ZVlOsfl53jGk/fa6AunDxNnjtqe1XsWuTo\nO/oQJffrEvR1w6nw03X6v2f9ixjbu6xWedfVrvNOPO53OuqYP4YzNQAA0E5Q6ACaIWfooEY9OiQp\nJSlROUMHRTErAGEXaGXGu+9Kxx8f9CnLt5bo0asvco3v89sjNPzWO7TppRWq+KJoZ5GjoVhbvfHx\na9+p4N2f/Ma3pn2tqk7FrvMuvvhC7b33wHCmBgAA2iEKHUAz1PfhYNcVoB0IVNy47jrpn/8M+pRb\nN67Xkzdc5Ro/eOgp+uMVI2WtlWfcAq0Z67+Vas8L91PnX/cJ+trh8vq0JVr7bYnf+KY+n8qXWOU6\n76abb1Ja97RwpgYAANo5Ch1AM40YnEVhA4hX/ftLHo97vAVNRYtW/6Dnb7vBNX70uRfriDPOlSSV\nLfQ4Fjek2Fq9MX3k+47jRRkfBpw38Y6JSuyQGI6UAAAA/FDoAAC0T3ffLd15p3u8BcWNVQWf6/X7\n7nKNn3D1DTroj0N3vnYrbnQ9tr+6D9sz6OuHmrVWj4ya5z8uq+IM59zr5ebmhikrAACAwCh0AADa\nj88/lw47zD3egh1Tvpr/f8p79CHX+Gm3TtDA3/6yS8uO70tU/K9ljsdmTRkikxD8ji2h5PX69Nh1\n8/3GrbwqzvDvF1Kvg6p0R+6UMGYGAADQPBQ6AADxraxM6trVPb5xo9QnuN4Xi2a/ogUvPecaP3PC\nZA04aHCjMbfVG8l7dFPfUb8J6vqhVlFWpadvXeA37k2o1Oa+i1zn9Ugs0+iJ94czNQAAgKBR6AAA\nxKdAKzPeeUcaNiyo07339GNamjfXNX7+5PuVue9+jcZqtuzQ+r9+7nh8Zu6RSugUvW/Dm9aWacbd\nn/mNV3coU0nvJa7zdkuTrrwpN4yZAQAAtA6FDgBA/AhU3Lj6aunxx4M63eypk/V9vvuKhssffEw9\nM/v7ja+5Y6FU43OcE83moj8uK9Zb07/0G6/sWKxtPb52nffrgVk688I/hzM1AACAkKHQAQBo2/ba\nS/rhB/d4kE1Fn8u5XsU//egav/rRZ9W1Z2+/cV+lV2vv/NhxTvqYQ5XUp3NQeYTKkv+t1iezvvcb\nL+/yk7Z3/dF13h+PPVK/O3aoaxwAACBWUegAALQ9U6ZIEya4x4Msbvz9kjNVU1npGr/u6Rnq1CXV\nMbb+gcWq2VjuGIvW6o28f32l7xZv9Bvf1v0bVaYUuc678ILzNHCXx28AAADaGgodAIC2YckS6dBD\n3eNB7pgy7dxTAsZHvzBLHZKTHWPWWnnG+TfvlKSe5w5S58F9m51HqEwf+b7j+Kbei+Tr4F7EGX3T\nTeqRlhautAAAACKOQgcAIHZt3y6lOq+kkCStXy+lpzf7dE0VN25+aY4SEhJd4yVzV6lsgccxFo3V\nG27FjaKMDwPOu2PCHeqQxI8AAAAgPvFTDgAg9gRamTF3rnTyyc0+VVPFjVtmvCnTxEoQt61huxzR\nTz1G7NPsXFrL57N69Np5fuNWVsUZzjnWy83NDVNWAAAAsYVCBwAgNgQqNlx+ufT0080+VVPFjTEz\n3beJrVf+ZZE2/2eFYyxryhCZhOY/JtMalRU1evJm/xUaVl4VZyx0nZeoGk3MvSecqQEAAMQkCh0A\ngOjZbz+psNA9HkRT0VAUNyT31RuJPTup322/bXY+rbFl/Xb9J9d/W1tvwg5t7vuZ67wUlev23L+F\nMzUAAICYR6EDABBZU6dKt93mHm9mccNaqwfOOzXgMc0tblRv2K4NDy5xjGXmHqmETuH/dvnjsmK9\nNf1Lv/HqpK0q6fWF67zeSeW6fgLFDQAAgHoUOgAA4bd0qTR4sHu8mTumWJ9PD5x/WsBjmlvckNxX\nb0iRaS6a/86PWjRnld/4jpT1Ku2+0nXeXn0765JrAxSLAAAA2jEKHQCA8KiokDp3do+vWydlZDR5\nmprqav39otMDHhNMccNXUaO1d33iGEu/5VAl9Q2QcwjMnf6FVi/b5Dde1vU7VXRZ6zrv8MEH6KTh\n54QzNQAAgLhAoQMAEFqBVmbMmSOdFnhFhiRVlpfrn5e7/1KfkJiom/8zJ6i01k1ZJO+2KsdYuFdv\nPHrdPPm8/o/kbOm1RDVJZa7zRow4RQcfnB3O1AAAAOIOhQ4AQOsFKm5cdJH0wgtNnmJ7yRY9ds3F\nrvGemf11+YOPBZWW9Vl5xi9wjPW6aH+l/Kp3UOcLxvSR7zuOF2X476DS0Khrr1V6377hSAkAAKBd\noNABAGiZ00+XZs92jzejqeiWdR49fdM1rvEBBx+qM8fdFXRqW177Vts/X+8YC9fqDWutHhk1zzHW\nVHFjwoQ7lJTEt2QAAIBQ4KcqAEDzvfCCdMkl7vFmFDfWf/+tXhx/s2v8oOOH6YQ/X9+S7Fybi6b+\nvr/STtqzRecMpKbKq8dv/MBv3MqqOMO90akk5ebmhjwfAAAAUOgAADTl22+lffd1j3u9UkJCwFP8\nuHSxXrv3Ttf4UedcqCPPPL9F6W3P36AtrzrvUJJ17xCZZuzmEoxtxRV64Q7/ZqZWXhVnLAw4l+IG\nAABA+FHoAAD4q6yUOnVyj69fL6WnBzzF1x/N0zv/nOYaP+HqG3TQH4e2NEPX1RtJ/booffQhLT6v\nk5+/3qw3Hl7qN+5N3KHNfT5znZekKk3InRLSXAAAABAYhQ4AQZld4NHUvEKtLalQZlqKcoYO0ojB\nWa7jaGMCrX743/+kE04IOD3/zVn64N9Pu8aH50zUPtmHtzQ7Va0p1cZ/+hccJCnz7qOUkJzY4nPv\naknean3y+vf+OSSXaGvPL13npWq7bs2dGrI8AAAAEBwKHQCabXaBR+NmLVNFtVeS5Cmp0LhZy5S/\nerNeW+zxG5dEsaMtCFTcGDNGuv/+gNM/f+M1ffjiM67x8+76m7L2O6Cl2UlyX70hhba56Jv/WKqf\nlm/2Gy/v7NH2bv5Fj3r9Un265ta7Q5YHAAAAWo5CB4Bmm5pXuLOYUa+i2quXFv0s7y5NKCuqvZqa\nV0ihI1adfbb06qvOsR49pM3+v+w3NP/5f2nxW3Nc45feP129d9ujNRnKu71a6yZ/6hjLyMlWh14p\nrTp/PbdtYLd1/0aVKUWu8341MEtnXfjnkOQAAACA0KHQAaDZ1pZUOI7vWuRo6nhEyX/+I114oXu8\niR1T3nzor1r5ifvKipGPv6AuaT1amt1OnkkLZat8jrFQrd5wK24U9/1YNqHGdd7QE/6gI4/+fUhy\nAAAAQHhQ6ADQbJlpKfI4FC8SjXEsdmSmheZv3NEK338v7bOPe7yJHVP+M/FWrVu5wjV+/TMz1bFz\nl9ZkKEmyPivP+AWOsd6XH6hOg3q2+hpuxY2ijA8Dzrvyqiu1W//dWn19AAAARAaFDgDNljN0UKMe\nHZKUkpSoMw/NatSjo348Z+igaKSJqiqpY0f3+Lp1UkaGa/jxkZeobIv7oyujX5ilDsnJrclwp00v\nrVDFF86Ph7R29YbP69Oj1813jDVV3Bg7brw6dQzNfyMAAAAii0IHgGar77fhtLtK9h492XUl2gI1\nFX3nHWnYMNfwtHNPCXjqm1+ao4SE0O1o4tZctNvxu6vb8S3v7VFRWqWnc/xXhlhZFWe4P3YjSbm5\nuS2+LgAAAGKHsU08kx0J2dnZNj8/P9ppAEDbE6i4ceON0t//7hpuqrhxy4w3ZQKdP0hln6xVyRzn\nnUtas3qj6KdSvTzlc79xK6+KMxYGnEtxAwAQK4wxi6212dHOA4gHrOgAImx2gYeVD2idCy6QXnrJ\nOZaaKpWWuk5tqrgxZubc1mTmyG31RvKe3dT3mt+06JwrPl2n9579xm/cm7BDm/t+FnAuxQ0AAID4\nRqEDiKDZBZ5GPS48JRUaN2uZJFHsQGAzZ0rnneceD7A6LxrFjcrV21T06BeOsazJR8skuTdAdTPv\nxRX6+qO1fuNVSVu1tZfztSSpi8qVk/u3oK8HAACAtolCBxBBU/MKGzXslKSKaq+m5hVS6IC/Vauk\nvfd2j9fUSInOfTOiUdyQ3FdvSC17POX58R+rdPMOv/GKlLUq6/6d67yMzjUaeds9QV8PAAAAbR+F\nDiCC1jpszRpoHO1QdbUUaEcTj0fKzPQbtj6fHjj/tICnDldxw1tWpXX3LHKMZYw9TB3SAuwA48Bt\nG9ht3VeoMmWj67wD9srQOZeMDOpaAAAAiD8UOoAIykxLkcehqJGZlhKya9ADpI0K1PRz7lzp5JP9\nhr01NXrowhGu0zokJWv0v2eFIjtH6+/PV02xc5Eu2NUbbsWNTX0+lS+xynXe8ccdoyHHHBfUtQAA\nABDfKHQAEZQzdFCjHh2SlJKUqJyhg0JyfnqAtDGBihvXXitNn+43XF25Qw9fcpbrtJ6Z/XX5g4+F\nIjtH1uuTZ4LzTiZ9rztYybt1bd55rNUjo+Y5xooyPgw49/IrrtAeu+/erOsAAACg/aHQAURQfbEh\nXCsu6AHSBlx6qfT8886x5GSpstJvuKJ0mx656gLXU+5x0GCdNWFyqDJ0tOX1b7V90XrHWHNXb1RX\nevXE6A8cY00VN267faw6p3Rq1nUAAADQvlHoACJsxOCssBUd6AESo157TTrLfRWG044ppZuK9cS1\nl7lOOfDY4zVs1E0hSC4wt+aiPc7eV10OTW9y/taicv174qd+41ZWxRnujUsltoEFAABAy1DoAOJI\nJHqAoJlWr5YGDHCPO+yYsmnNz3p2zCjXKYeffq6GnHdxiBJ0tz1/vba8+q1jrDmrN35cVqy3pn/p\nN27lU3HGgoBzKW4AAACgtSh0AG1cw+aj3VOSlJRoVO39ZYVAKHuAoAk1NVJSknv855+l/v0bDa1d\nuUIvTbzVdcofLrtGh5x0aqgyDMht9UaXI/qpx4h9As5dNn+NPpyx0m/cm1CpzX2dd2SpR3EDAAAA\noUShA2jDdm0+WlJRraQEox6dk1RSXs2uK5ESqKnonDnSaY23ff2hIF+z7st1nXLyjTna7+jfhyi5\nwCp/2qaiR75wjGX9ZYhMovt/23vPf6MVH6/zG69O2qqSXs7nlKQUVej23L8GnywAAADQDBQ6gDbM\nqflotc+qc3IHFUw6MUpZtROBihvXXCM91njnk68/mqd3/jnNdcqZEyZrwEGDQ5Vdk9xWb3Tok6KM\nMdmu854bv1Blm/0bpu7oVKTStG9c5/VNqdK1t08JPlEAAAAgSBQ6gDaM5qMRdtVV0lNPucd3aSqa\nP/d1ffCC+/EXTnlQGXsPDFV2TfJuq9K6Kc6PkfSbeIQSuzg/djN95PuO49tTf1R56k+u19tvQB+d\nd9l1wScKAAAAtAKFDqANo/loBMyeLZ1+unt8l+LGhy8+o8/feM318MsffFw9MyP7KNHav3wqX2m1\nY8ytuahbcaOkxzJVd9zieq3jjj1KxxzLaiIAiJaGvbt4hBVAe0WhA2jDcoYOatSjQ6L5aEj8/LO0\n++7u8epqqcMvH59v/+N+fbNgvuvh1zz6nFJ79gphgk2zNT557ljoGOt742AlZ6Y2GvN5fXr0uvmO\nxxelfyQZ/y1w61151ZXarf9uLc4VABAau/bu8pRUaNysZZJEsQNAu0KhA2jD6n9o4W9uQqCpHVNW\nr25U/Jh511it+for18Ove2qGOqWmusbDZfPMQpUXbHSM7bp6Y0dZtZ661blXR1HGhwGvc/vYcUrp\n1LFlSQIAwsKpd1dFtVdT8wr52QBAu9LqQocxJlFSviSPtfYUY8yekmZI6iVpsaSLrbVVrb0OAGcj\nBmfxw0trBGoqOmtWo8dWnrzhSm3duMH18BtfeE1JyZH/5d9aK8+4BY6xnhfsp84H9dn5epOnTDMm\nf+Z/DlkVZzgXPepNmjRJCQkJrUsWABA29O4CgFqhWNExWtI3krrVvf6rpAettTOMMY9JulLSoyG4\nDgCERkKCX2+Nna64olHD0WnnnhLwVDf/Z44SEhNDmZ0ft+etyz5Zq5I53zvOabh64/slG/XfJ/xX\nn1j5VJzhXCCpl5ub26rcAQCRQ+8uAKjVqkKHMaa/pJMl/UXSLcYYI+k4SRfUHfKcpFxR6AAQbaNG\n+W352kiDwkdTxY1bZrwpE2glSAg5PW+dPXOV1sxc5Xds6jH9lfanPSVJn87+Xov/u9rvGJ+p0qb0\nTwNek+IGALRN9O4CgFqtXdHxkKTbJHWte91LUom1tqbu9RpJrKkHEB1vvimddpp7PIjixpiZc0OV\nVVDqn7f+jRI1XV0cj8maMkQmwej1aUu09o0f/OI1Hcq0pfcS12ukJZTppkn3hyxnAEB00LsLAGq1\nuNBhjDlF0kZr7WJjzLEtmH+1pKslafdAuxsAQDA8Hql/f/d4gx1TYrW40dDMkiRJ/k1Sv5FXJ9x3\nbO02sNfO84tXdizWth5fu563f/cEXXXzpFCmCgCIAfTuAoDWreg4WtJpxpg/Seqk2h4df5eUZozp\nULeqo78kj9Nka+0Tkp6QpOzsbPd9C4E2gn3ro8jrbbTdq58ffpAGDJDUNoobNSU7tP6+zx1jw7RN\no0pqn7VeOfL9RrHtXVarvKv/4yr1Dhq0u844/4rQJQoAAADEoBYXOqy14ySNk6S6FR23WmsvNMa8\nIuks1e68cqmkOSHIE4hp7FsfJYH6ZLzyinTWWbLW6oHzTg14mlgobkiSZ+JC2WqfY2xOSbUkaZQa\nN5TbmvaVqjptdj3n0BP+oCOP/n3okgQAAABiXCh2XdnV7ZJmGGPukVQg6akmjgfaPPatj6CUFGnH\nDufYxRdLzz8vn9erBy8YLr3yrOtpYqW4Yau98kz82DH23rZqlTnUPYrSF0jGuSAiSZdfcYX24JFA\nAAAAtFMhKXRYa+dLml/39SpJh4XivEBbwb71YXb99dL06e5xa1VdVamHLz5Tcnk0pXt6hq56+Mkw\nJRi84he+1o7lmxxj9as3GirK+DDg+XJuv11dUtg+EAAAAAjHig6g3WHf+jB4+23p5JPd49ZqR1mZ\npl95nmtxY7cDfq1z7rw3TAkGz1orz7gFjrFFZTVaX9O4XVFTxY1JkyYpISEhZPkBAAAA8YBCBxAC\n7FsfIuvWSZmZ7vGqKpWVbtPjoy51LW7s/7s/6E/XjwlTgi1T+uEabX3bf9tXqfHqDSufijOcCyH1\ncnNzQ5kaAAAAEHcodAAhwL71rdDUjimrVmlzx2Q9c/M10kWnOx7y2+Fn6ZgLLgtPfq2wZuxHjuMr\nKrwqrKztseEz1dqU/knA81DcAAAAAJrPWBv9nV2zs7Ntfn5+tNMAEEmBdkyZMUPrDx2sFyfc4nrI\nsZdcpUNPHhGGxFpnx8otKn76K8dY/eqNmg7btaX3YtdzdEvYrlsmTQ1LfgAAIDYZYxZba7OjnQcQ\nD1jRgbg2u8DDKotY0q2bVFrqHDvvPP04NkevTZkkzXqh9p9dnHT9GB3wuz+EOcmWcVu9UVTt08fb\nvarsuEnbMpa7zs/sJl19S26YsgMAAADaDwodiFuzCzyN+mZ4Sio0btYySaLYEUk33yw99JBreMWC\n+Xrr4amSr1SaMskvfsa4u7TnwYeGM8MWq9lUofVTnVejvVVSra1dflJ51x+lrs7zfzUwS2dd+Ofw\nJQgAAAC0QxQ6ELem5hU2ag4qSRXVXk3NK6TQEW55edKwYa7hJW+/oXnPPl774mH/RzQuuGea+g2M\n3Uaubqs3JOl5LVVVp01ShnP8hD/+XkfH6KoUAAAAIB5Q6EDcWuuw3WugcbTS+vVSv36u4QX/flqL\n3nit9kV9kaOBy6Y9ql79dwtXdq1WvqlCm11Wbzyd/IF8CTWucy+77FINGLBnuFIDAAAA0ACFDsSt\nzLQUeRyKGplpKVHIJnyi2ofE55MSE13D/73vLi1f8lnti/oiRwNXP/KsuvbqHa7sWq3o51L9/NAS\n9U1K8Is92em9gHPH3JqjrqldwpUaAAAAABcUOhC3coYOatSjQ5JSkhKVMzR2H4kIVtT6kATYMeXV\n667U6qL1tS/qixwNXPvUS0pJdWlaEQO+zd+g/z25XMPTkiSpUZGjqeLGpEmTlJDgXxQBAAAAEDkU\nOhC36n/Rj+ddVyLahyRAcePps0/RFlO3VXV9kaOBG59/VUkdO4U2nxBa+Np3WvruT9q3Y4L2T0nc\nWeTwyaenO80LODc3NzcCGQIAAABoLgodiGsjBmfFVWFjV2HvQ3L++dKMGY6hh84cJu/Ox1asX/ym\nF2crsUPsfsS8+td8bfhhmyRpeFqS9qgrblSqWi90+jDgXIobAAAAQOyK3d9CADQpLH1IXn9dOuMM\nx9C0c04OOPWWGW/KBFj5EW3TR76/8+v0Dmbnyo0Ss12vdvzUdV5Xs11j7vTfHQYAAABA7KHQAbRh\nIetDsnatlOW88qWp4saYmXODu1aENSxuSNpZ3Pg5oVhPJn/hOq9fqk/X3Hp3WHMDAAAAEHoUOoA2\nrFV9SALsmNKWixvWZ/XItY37aqQmSH/slqQvE1fryaTvXOcesFeGzrlkZLhTBAAAABBGFDqANi7o\nPiQuj5a05eJG1Y4a/esm/74aw9OS9F7SMv2QuFFPusw97tijdcyxJ4Q3QQAAAAARQ6EDaA8cihtW\n0gNtuLixtahc/57o31ejg5FK+n6qClPlWty4+OKLtffee4c3QQAAAABRQaEDiFNFvz1affI/bjTm\nM0YPnv2ngPP2Hvt4zO5U8/PXm/XGw0sdY0UZgXdKGXPrreqamhqOtAAg5GYXeOJ6e3QAAMKJQgcQ\nT158UbroIklSn7qh6sQEPXzmSQGn/WPPUTu/Tpm1TJJi5gfqpf/3kxa+6txXo6nixqRJk5SQkBCO\ntAAgbGYXeBo1mvaUVGhcjH02AwAQyyh0AG3dmjXSbrs1GirvmKxHh7v3nejUtZuue/I/Ovq+9/22\np62o9mpqXmFUf5j+7xNf6fslG/3GrayKMz4KODc3NzdMWQFAZEzNK2y0m5YUG5/NAAC0FRQ6gLbI\nYceUki4peurk41ynbEjuq1eyztQP9/3Sl2PtLkWOpsbD6clbPlRleY3fuM/UaFP6xw4zfnHriVcr\n9ajMcKUGABEVS5/NAAC0RRQ6gLZkl6aiG9K66d8n/s718LUdM/Ra5uk7X2elpTSKZ6al+K3oqB+P\nhOkj33ccr0ks15Y++a7zevpSdUbV4cq6d4iMyy4yANBWRfuzGQCAto5CBxDrdvlF/sf03nrt94e7\nHr7/kGNVNeT8Rs93S1JKUqJyhg5qdGzO0EHNOi6U3IobVcmbtbXnV67z9q/J0tE1+6nzwX3U87z9\nwpUeAERdND6bAQCIJxQ6gFg0bJiUl7fz5dd7ZOmdww92Pfzw08/VkPMu9htvqmN//etwdvb31vj0\n2PXzHWPlnddoe7dVrnOHVO+n/by1uWTdc7RMBxqLAoh/kfhsBgAgnhlrbbRzUHZ2ts3Pd1+mDrQL\nM2ZI55+/8+Vn++2ljw7a3/XwP14xSgcPPdk1Hk3l26r0zG0LHGPbuq9QZYp/o9F6p1QeqgybJklK\nSE1S5h1HhCVHAACAWGKMWWytzY52HkA8YEUHJNVuZcffHEWBxyP177/z5XuDD9TSgQNcDz/tlvEa\nePhREUgseBtXb9Mr9zoXLDf1WSRfYqXr3HN3HKWu+uXZ837jD1Nit44hz7G9C/X7nM8NAAAAxCIK\nHdDsAk+jZ4E9JRUaN2uZJPFLSzhYKyX88gjG60OytSoz3fXwc+/6q/rvd2AkMgta4aL1+r9nvnaM\nFWV8GHDupTuOVZIa7xzT/z73xqponVC/z/ncAAAAQKyi0AFNzSts1PBMkiqqvZqaV8gvLKHUoKno\nM8N+r83dUl0PvWzaI+rVf/dIZBW0dx5fplUFRY6xpoobV+44TkaNm6v2ufY36rh7t5DlB2ehfp/z\nuQEAAIBYRaEDWuuwhV2gcQShQXFj2tl/8ttBpaGrH3lWXXv1jkRWQXvs+vny1vj8xq2sijM+Cjj3\nqh1/dBxn9UZkhfp9zucGAAAAYhWFDigzLUUeh19OMtNSHI6OLTHZI+DUU6W5cyVJ084J3Cz0uqdn\nqFMX95Ud0eS2DazPVGtT+icB57oVN3qcOVBdfpvR6twQvFC/z9vy50YoxeRnEAAAQDtHoQPKGTqo\n0bP2kpSSlKicoYOimFXTYqpHwKuvSmefLamuuBGgwDH6hVnqkJwcqcyC4lbcqEncri19FrvO66Jy\nXXvqGG15ZaVjnNUb0Rfq93lb/dwIpZj6DAIAAMBOFDqw8wfytva3klHvEbBunZSZKanp4sYtL70h\n06ABaazw+awevXaeY6yyY7G29XBuNCpJPTpUaPQdf9WasbWPruxa5OhyeIZ6nD4wdMmiVUL9Pm+r\nnxuhFPXPIAAAADgy1tpo56Ds7Gybn++8LSXgZs+xb8np/14j6Yf7Aj8y0mINdk3gfD4AAB+5SURB\nVExp6rGUW2a8KROgJ0e07NherafGOPfV2J76o8pTf3Kdu3vvFF1x/e2q/Gmbih75wvGYrL8cLZMY\ne0UdINSi8hkEAIhbxpjF1trsaOcBxANWdKDNimiPgLqCRVMrN8bMnBv6a4fAJk+ZZkz+zDFW0uML\nVXfc6jo3e/CBOmV47WM5a8Z+tHMFR0Mdeqco49b2832ZvgyQ6FMCAAAQqyh0oM0Ke4+ANl7cWP6R\nR/NfLHSMNbUN7OlnnK7fHPQbSVJNSaVjcUOS+t1xuBJTY7PfSLjQlwH16FMCAAAQmyh0oM0KS4+A\nM8+UnTVLD7TR4sb/nlqubz/f4Bhrqrhxw+ib1KtH2s7XbsUNqX03F6UvA+rRpwQAACA20aMDmD1b\nvjPO0INn/yngYbFa3Hjs+vny1vgcY00VNyZOnKTEBv00bI1PnjsWOh7bZ+RB6jige8sTjRP0ZQAA\nAOFAjw4gdFjRgYgIdU+DVp9v40ZVZ/bTw2eeVPvapcgRq8UNt21grayKM9xXYkhSbm6u31jRE1+q\ncpVzn472vHrDCX0ZEIvoGwMAAPALCh0Iu1D3NGjx+axVRaeOemTEibWv64scu2hrxQ2fqdam9E8C\nznUqbkjuj6ekDd9bqUdmBpVfe0FfBsQa+sYAAAA0RqEDYRfqngbBnq+0cyc9cerxtS/qixwNJKek\n6IZnXwk6j3Cz1uqRUfMcYzUdyrSl95KA892KG9ve+0nb3l3tGGP1RtPoy4BYQ98YAACAxih0IOzW\nOizzDzQeivNtSuumZ4ceU/uivsjRQPpeA3XRvQ+26PrhVF3l1RM3fuAY29Fpo0rTVrjO7ahKjcu9\n1zXutnoj5de91evC/YNLtJ0bMTiLXyARM0L9GQsAANDWUehA2IW6p4Hb+e5e9KSmnfto7Yv6IkcD\n+x75O5160+0tumY4lWws14uTPnWMlXX9XhVdPK5z07tYjcq5yzW+o3Czip9Z7hjL+ssQmUQTXLIA\nYg59YwAAABqj0IGwC3VPg4bnG/bjPA20tascNvb1P/Z3F1ymw4af1aLrhNMPXxbr7Ue+dIxt7v25\nvB3c/yb2wH1319kXXBHw/G6rN0xSgrImH938RAHEPPrGAAAANEahA2EX6p4G+3g+11Urn3SND7t8\npA4cdkqLzh1On7z+vZbkOffGaGob2GHDhuqII44MeEx1Ubk2TFvsGOt3x+FKTE1uXqIA2hT6xgAA\nADRmrLXRzkHZ2dk2Pz8/2mkghi16/WUtmPG8a/yM4edqzwsujmBGzTNj8iJt8mx3jDVV3Lhm1Cj1\nS09v8hpuqzckmosCAAC0FcaYxdba7GjnAcQDVnQgZn362gwtfPnfrvELdhuofvfHXkNRt21gpaaL\nGxPumKikDolNXsNX6dXaOz92jPUZeZA6Duje5DkAAAAAIB5R6ECzzC7wRGRZ9P899ai++N9brvHL\nFy5RzzVrQ37d1nIrblhZFWe4r7iQ3LeBdeLJ/UR2R41jjNUbABD7IvX9FACA9oxCB5o0u8DTqNGd\np6RC42Ytk6SQ/HA2e+o9+j7fedcRSRo151113lHZ6uuEmltxw2dqtCndebVFvWCKG9ZaecYtcIx1\nP3kvdf0dPyADQFsQ7u+nAACgFoUONGlqXmGjbv6SVFHt1dS8whb/YPbC2NHa+MP3rvEbZv1XydXO\nKxeixef16dHr5jvGahK3a0sf50ag9YIpbkjS5ldXqjx/g2OM1RsA0PaE4/spAADwR6EDTVpb4rzV\nqdu4m+lXnq8dZaWu8ZtefVuJJVulrl2DOm84lW+r0jO3Oa+mqOxYpG09vnGdm6xKjc+9N+hrujUX\n7bhvD/W54ldBnw8AEBtC9f0UAAAERqEDTcpMS5HH4YewzLSUJuc+fMlZqq7c4Rq/5eW3ZPLzpUMP\nbVWOobR+1Va99jfn1RllXVepossa17m9U7y6/vbJQV9z++IN2vLKSsdY1pQhMgkm6HMCAGJLa76f\nAgCA5qPQgSblDB3U6JliSUpJSlTO0EGOx08795SA57vl5bdkpk2TbrlFmhnSVFts2fw1+nCGc6Fh\nc6/F8iY5bxErSfvtnaXzLv5zi67L1rAA0H4E+/0UAAC0DIUONKn+ueFAXeKbKm6Mefkt6aSTpLff\njpnixjuPLdOqpUWOsaa2gT3xxON11FFDWnTdqnXbtfHvSxxj/SYeocQuSS06LwAgtjXn+ykAAGg9\nY62Ndg7Kzs62+fn50U4DQWpWcUOSYuD/sXpuO6VITRc3rrr6GvXP7Nfia7N6AwAAAG6MMYuttdnR\nzgOIB6zoQLNZa/XAeacGPGZnccPnk2bGRl+J1hQ3xo+foOTklq+w8FXUaO1dnzjG+l5/sJL7x07j\nVTTf7AIPfyMLAAAAxCgKHQjI+nx64PzTAh6zs7hRWirNTI1AVk1zK25YWRVnuK+skILfBtYJqzfi\n1+wCT6Nn7D0lFRo3a5kkUewAAAAAYgCFDvipqa7W3y863TXec1uZLv/vB7Uv8vOlmXMjlJk7a60e\nGTXPOWa8Kk5fGHB+KIob1mflGe+8FW3a6fso9fCWP/aC2DE1r7BRI0FJqqj2ampeIYUOAAAAIAZQ\n6IAkqbK8XP+8/BzXeGp5ha6ZW7dKYvp06Z35kUksgOpKr54Y/YFjzJtYoc19Pg84PxTFDUna9OI3\nqlhW7Bhj9Ub8WeuwNWSgcQAAAACRRaGjHdteskWPXXOxazyraJPOm/dp7YvMzJhoKrq1qEL/nujc\n86IqeYu29lzmOjdJVZqQOyVkubg9npJyUG/1umD/kF0HsSUzLUUeh6JGZlpKFLIBAAAAsCsKHe3M\n1o0b9OQNV7rG91vt0cmLlv4yEAPFjbXfbtHr0wocY+Wd12h7t1Wuc/t1T9A1N08KWS5ln65Tyezv\nHGNZ9w6RMbHRgBXhkzN0UKMeHZKUkpSonKGDopgVAAAAgHoUOtqBop9+1PM517vGf7viex3z5Ypf\nBnw+Kcq/sC//yKP5LxY6xramfa2qTs6PikjSQfvvpTPOvSSk+bg2F02Q+k/h8ZT2pL4PB7uuAAAA\nALGJQkecWrNiuWbeebtr/IT8L3XQqp9/Gdi8WerRIwKZuXv/hW/0zcJ1jrHivgtlE7yOMUk66aRh\nOvzwI0KaT9XPpdo4faljLDP3SCV04u3TXo0YnEVhAwAAAIhR/KYWR77LX6Q5Uye7xk9buFgDPet/\nGfjkE+mIlhUHZhd4QvI32i9M/ETbipybOBZlfBhw7tUjRykzIz3oazaFrWEBAAAAoO2i0NHGLZv3\nP/3vsYdd4+fM+0S7FW3+ZWDKFGncuFZdc3aBp1GPAk9JhcbNqm0C2pxix/SR77vGmipujB8/QcnJ\nSUFk2zze7dVaN/lTx1j6TYcoKaNLyK8JAAAAAAg9Ch1t0KLZr2jBS8+5xi/+30fqW7Ltl4EDDpCW\nLw/Z9afmFTZqxChJFdVeTc0rdC10uBU3rKyKM9xXUEih2wbWCas3AAAAACC+UOhoI+Y9+4SWvPOG\na/zKt95X2vZdHgEJ044pax221tx13Of16dHr5jseZ+VVccbCgNcIZ3HD+qw84xc4xnqeO0idB/cN\n27UBAAAAAOFFoaMVQtWnws2bD9yrlYvcCwIj57yrLpVVjQcjsGNKZlqKPA7Fjj26priu3PAlVGlT\nX+dHQyQpSVWakDslZDk6KXpqmSq/LXGMsXoDaLlwfxYCAAAAwaDQ0UKt7VPh5r+PPKjlH7znGr9+\nVp461tQ0Hozwjik5Qwft/G/vW2N0aVmn2sAuNYSaDmXa0nuJ63l6JlXoxgl/DWOmtdweT+lyWIZ6\nnDEw7NcH4lm4PgsBAACAlqLQ0UIt6VPh5oN/P638N2e5xke/+o46+HyNBxculI46KqjrhMrBHTrq\n+qJkx1hlx2Jt6/G169wBfTvrsmtvC1dqO23/fL22vPatYyzr3iEyYV71ArQXofwsBAAAAEKBQkcL\nNadPRSBNrdy45eW35Per+N13SxMnNjPD0Frw8rf64v2fHWNlXVepossa17lHHT5YJ540PFypNeK2\neiNpt65Kv+7giOQAtCet/SwEAAAAQo1CRwu59anITEtxnTPrvlz9UJDvGncsbuy7r1RY2MIsW2fG\n5EXa5NnuGNuatlxVnTa5zj3zzNP161//JlypNVL1c6k2Tl/qGMuafJRMUmJE8gDao5Z8FgIAAADh\nRKGjhRr2qaiXkpSonKGDGh3373E3acOq7xzP0amyStfNedf5AmHaMaUpbs1EJako48OAc0ddd73S\n+/QOdUqu2BoWiL7mfhYCAAAAkUKho4Xqnz132mng8VGXqmyz82qHXltLdVmeS8EgAjumOGlNcWP8\n+AlKTk4KdUquvGVVWnfPIsdYxm2/VYeenSKWC4DAn4UAAABANBgbpZUDDWVnZ9v8fPdHOmKdtVYP\nnj9c1voc47tvKNbZHzj/cq6yMqlLlzBm589aq0dGzXOOyao4w32lhCTl5uaGIavA1kxYIHmd/19l\n9QYAAADaOmPMYmttdrTzAOJBi1d0GGN2k/S8pHRJVtIT1tq/G2N6SpopaYCkHyWdY63d0vpUY4u1\nVg+cd6prfNBPHp3yqXPfCK1cKQ2M7Lam1VVePXHjB44xK5+KMxYEnB+N4ob1WnkmOOfV+4pfqdO+\nkdtSFwAAAADQNrTm0ZUaSWOstUuMMV0lLTbGvCvpMknvWWvvM8aMlTRW0u2tTzX6fD6vHjzfffeQ\nwTVGx82a6xycM0c67bQwZeZs26YKvTDhE8eYN6FSm/u6rDKpE43ihiSVvPm9yhaudYyxegMAAAAA\nEEiLCx3W2nWS1tV9XWqM+UZSlqThko6tO+w5SfPVhgsd1udTQd5czXv2Ccf4UbvvrSPvf9h58rhx\n0pQpYczOn2flFs1+oMAxVt2hVCW9nWOS1C2hXLdM+lu4UmuSW3PRtDP2Ueph/SKcDQAAAACgLQpJ\nM1JjzABJgyUtkpReVwSRpPWqfbSlzamqKNc/LjvHMfaHY47XIdff7Dxx8GBpyZIwZuZv2fw1+nDG\nSsfYjk4bVZq2wnVuZvcEXX3zpHCl1qTygo3aPNN5+1xWbwAAAAAAgtXqQocxJlXSa5JustZuMw12\nDbHWWmOMYwdJY8zVkq6WpN133721aYTc2m9/+eV7j4MG64SLr1L3PQbUDrz8lv+ECO+Y8u4zy7Vy\n0QbHWFnqD6pI/dl17iEHDdJpZ5wfrtSaxW31RpffZqjHmZHtXwIAAAAAiB+t2nXFGJMkaa6kPGvt\nA3VjhZKOtdauM8b0kzTfWjso0HliddcV6/XKPPSQdOutzgeUlkqpqRHL59mxC7W9pNIxVtLjC1V3\n3Oo699RTTtKh2YfvfD27wBPx7SCrPGXa+A/nR2ey7jlapkNCWK8PAAAAxCp2XQFCpzW7rhhJT0n6\npr7IUecNSZdKuq/u33NalWG0fPONzAEH+I8XFkr77huxNKaPfN81VpTxYcC5V18zUpn9MvzGZxd4\nNG7WMlVUeyVJnpIKjZu1TJLCUuxwW72R2KOj+t1+WMivBwAAAABov1rz6MrRki6WtMwYU7+P6njV\nFjheNsZcKWm1JOdGF7HOmNp/jjtOeu45KSu8qx0aak1xY+y48erUMTngMVPzCncWOepVVHs1Na8w\nZIUO7/ZqrZv8qWOs3x2HKzE1cI4AAAAAALREa3ZdWSDJrSnFH1t63pix3361fTciwOezevTaeY4x\nK6viDOcVEfWC3QZ2bUlFUOPB2PjIUlX9VOoYo7koAAAAACDcQrLrCoJXtaNG/7rJeXWGlU/FGQsC\nzg+2uNFQZlqKPA5Fjcy0lBadz3p98kxY6BjrO/oQJffr0qLzStHpJQIAAAAAaLsodERQ6eYden78\nx44xn6nRpnTnmCQZ69Odd90dkjxyhg5q1KNDklKSEpUzNGDPWD+bZqxQxdIix1goVm9EupcIAAAA\nAKDto9ARZhtXb9Mr9zrvKONN2KHNfT9znduvi1fX5EwOeU71RYKWrpRway7a88L91fnXvUOWZyR6\niQAAAAAA4guFjjBYVVCkdx5f5hir7lCqkt7OW6xK0qDde+n8K24IV2o7jRicFVSxoHShR1vfXOUY\nC1fvjXD2EgEAAAAAxCcKHSGyJG+1Pnn9e8dYZcdN2tZjuevcoScepw0pe2tqXqGeW1mhf973fsz0\nonBbvdH1uN3U/cQBYb12qHuJAAAAAADiH4WOVnj3meVauWiDY6y8s0fbuzkXPiTpoosv1D57D5QU\ne70oKleVqOgJ5xUpWX8ZIpPottlOaIWqlwgAAAAAoP2g0BGk/+R+qi3ryx1jpV2/1Y4u61zn3jh6\ntHr26OE3Hiu9KNxWbyR0TVbmhMMjlke91vYSAQAAAAC0PxQ6mmCt1befb9C7T3/tGN/aY4mqOpa5\nzh8/foKSk5MCXiOavShqSiq1/j7nhqj9Jh6hxC6Bcw+3YHuJAAAAAADaNwodLqorvXpi9AeOseK+\nH8omOM9LkFcT77xbxjT/8Y6W9KKYXeBp1UoHz50fy1Z6HWPhai4KAAAAAEC4UehwsbZw086vU0t/\nVMeq+Vpx4F6Ox3YzZbrlzvtbfK1ge1G0tKeHrfbJM3GhY6zvDYOVnJXa0v8EAAAAAABiAoUOF103\nFihz3aP6YvDBKsqQpMZFjvSUKo26fUpIrhVsL4pge3psemmFKr4ocjwXqzcAAAAAAPGEQoeLl4s+\n1/rBB+983TFxi07604U6+NDDwnK9YHpRNKenh7VWnnELHI/reeH+6vzr3sEnCQAAAABAjKPQ4eK8\nYaP0dtmTOvwPI7T3PrG1nWmgnh6lCz3a+uYqx3ms3gAAAAAAxDtjrY12DsrOzrb5+fnRTiOmBGo2\numuPDklaoG6O5+l6bH91H7ZnRHIGAAAA0DLGmMXW2uxo5wHEA1Z0xKCmmo3WFzzenrtSk7Y738Ks\nKUNkEpq/8wsAAAAAAPGAQkcMaqrZ6JqxHylbUvYuty95j27qO+o3EcwUAAAAAIDYQqEjBjk1G02X\n0cySJK0Z+5FfLDP3SCV04lYCAAAAAMBvx80QqF9GODRsNvq+uipZzo+g0FwUAAAAAIDGKHQ0oal+\nGeFw2/EDdeirPzrG0sccqqQ+ncNyXQAAAAAA2joKHU1oql9GKJXMXaWyBR4d6hDLP3evsK4iAQAA\nAAAgHlDoaIJTv4xA48Gy1sozboFjrM/Ig9RxQHdJUv+QXA0AAAAAgPhGoaMJDftl7DreGjtWblHx\n0185xui9AQAAAABAy1DoaELO0EGNenRIUkpSonKGDmrR+Zx2TZGkXpcdqJT9erbonAAAAAAAoBaF\njibU98Voza4r1eu3a8NDSxxjWfcOkTHOu6oAAAAAAIDgUOhohhGDs1rUCHTDQ4tVvb7cb7z7KXup\n6xAaiwIAAAAAEGoUOkLMW1aldfcscoxl3XO0TIeECGcEAAAAAED7QaEjRDa/slLlizf4jXc5LEM9\nzhgYhYwAAAAAAGh/KHS0gq32yTNxoWOs38QjlNglKcIZAQAAAADQvlHoaIHSj9Zo61s/+I0nZaUq\n/YbBUcgIAAAAAABIFDoczS7w+O2yMvzgTHnGLXA8Pv2WQ5XUt3OEs4wNTn9WLWncCgAAAABAKFDo\n2MXsAo/GzVqmimqvJGlHyQ71mPmtPDNX+R3b/77fRTq9mLLrn5WnpELjZi2TJIodAAAAAICooNCx\ni6l5haqo9ipF0rvq5hfvfeWv1Glgj8gnFoPq/6waqqj2ampeIYUOAAAAAEBUUOjYxdqSCknSgUrc\nOfaAKvS6qvXDfSdHK62YVP9n1dxxAAAAAADCjULHLjLTUuQpqVC+vBqibTvHs9JSophVbKr/s3Ia\nBwAAAAAgGhKinUCsyRk6SClJiY3GUpISlTN0UJQyil38WQEAAAAAYg0rOnZR31uCnUSaxp8VAAAA\nACDWGGtttHNQdna2zc/Pj3YaAAAAABAVxpjF1trsaOcBxANWdDTD7AIPqxYAAAAAAGgDKHQ0YXaB\nR+NmLdu5jaqnpELjZi2TJIodAAAAAADEGJqRNmFqXuHOIke9imqvpuYVRikjAAAAAADghkJHE9Y6\nbJ8aaBwAAAAAAEQPhY4mZKalBDUOAAAAAACih0JHE3KGDlJKUmKjsZSkROUMHRSljAAAAAAAgBua\nkTahvuEou64AAAAAABD7KHQ0w4jBWRQ2AAAAAABoA3h0BQAAAAAAxA0KHQAAAAAAIG5Q6AAAAAAA\nAHGDQgcAAAAAAIgbFDoAAAAAAEDcoNABAAAAAADiBoUOAAAAAAAQNyh0AAAAAACAuEGhAwAAAAAA\nxA0KHQAAAAAAIG5Q6AAAAAAAAHGDQgcAAAAAAIgbFDoAAAAAAEDc6BDtBAAAiBezCzyamleotSUV\nykxLUc7QQRoxOCvaaQEAALQrFDoAAAiB2QUejZu1TBXVXkmSp6RC42YtkySKHQAAABHEoysAAITA\n1LzCnUWOehXVXk3NK4xSRgAAAO0ThQ4AAEJgbUlFUOMAAAAIDwodAACEQGZaSlDjAAAACA8KHQAA\nhEDO0EFKSUpsNJaSlKicoYOilBEAAED7RDNSAABCoL7hKLuuAAAARBeFDgAAQmTE4CwKGwAAAFHG\noysAAAAAACBuUOgAAAAAAABxg0IHAAAAAACIGxQ6AAAAAABA3KDQAQAAAAAA4gaFDgAAAAAAEDco\ndAAAAAAAgLhBoQMAAAAAAMSNsBU6jDHDjDGFxpjvjDFjw3UdAAAAAACAemEpdBhjEiVNl3SSpAMk\nnW+MOSAc1wIAAAAAAKgXrhUdh0n6zlq7ylpbJWmGpOFhuhYAAAAAAICk8BU6siT93OD1mroxAAAA\nAACAsIlaM1JjzNXGmHxjTH5RUVG00gAAAAAAAHEkXIUOj6TdGrzuXze2k7X2CWtttrU2u0+fPmFK\nAwAAAAAAtCfhKnR8LmmgMWZPY0yypPMkvRGmawEAAAAAAEiSOoTjpNbaGmPM9ZLyJCVKetpauzwc\n1wIAAAAAAKgXlkKHJFlr35b0drjODwAAAAAAsKuoNSMFAAAAAAAINQodAAAAAAAgblDoAAAAAAAA\ncYNCBwAAAAAAiBsUOgAAAAAAQNyg0AEAAAAAAOIGhQ4AAAAAABA3KHQAAAAAAIC4Yay10c5Bxpgi\nSaujnQckSb0lFUc7CTTCPYk93JPYwz2JLdyP2MM9iT3ck9gT7Xuyh7W2TxSvD8SNmCh0IHYYY/Kt\ntdnRzgO/4J7EHu5J7OGexBbuR+zhnsQe7kns4Z4A8YNHVwAAAAAAQNyg0AEAAAAAAOIGhQ7s6olo\nJwA/3JPYwz2JPdyT2ML9iD3ck9jDPYk93BMgTtCjAwAAAAAAxA1WdAAAAAAAgLhBoQOSJGPMj8aY\nZcaYpcaY/Gjn014ZY542xmw0xnzVYKynMeZdY8y3df/uEc0c2xOX+5FrjPHUvVeWGmP+FM0c2xtj\nzG7GmHnGmK+NMcuNMaPrxnmfREmAe8J7JUqMMZ2MMZ8ZY76ouyd31Y3vaYxZZIz5zhgz0xiTHO1c\n24sA9+RZY8wPDd4nB0c71/bEGJNojCkwxsyte817BIgTFDrQ0B+stQezrVZUPStp2C5jYyW9Z60d\nKOm9uteIjGflfz8k6cG698rB1tq3I5xTe1cjaYy19gBJR0i6zhhzgHifRJPbPZF4r0RLpaTjrLW/\nkXSwpGHGmCMk/VW192QfSVskXRnFHNsbt3siSTkN3idLo5diuzRa0jcNXvMeAeIEhQ4ghlhrP5S0\neZfh4ZKeq/v6OUkjIppUO+ZyPxBF1tp11toldV+XqvYH1CzxPomaAPcEUWJrldW9TKr7x0o6TtKr\ndeO8TyIowD1BlBhj+ks6WdKTda+NeI8AcYNCB+pZSf8zxiw2xlwd7WTQSLq1dl3d1+slpUczGUiS\nrjfGfFn3aAuPSESJMWaApMGSFon3SUzY5Z5IvFeipm5J/lJJGyW9K+l7SSXW2pq6Q9aIglRE7XpP\nrLX175O/1L1PHjTGdIxiiu3NQ5Juk+Sre91LvEeAuEGhA/WGWGsPkXSSapcdHxPthODP1m6TxN8A\nRdejkvZW7dLjdZKmRTed9skYkyrpNUk3WWu3NYzxPokOh3vCeyWKrLVea+3BkvpLOkzSflFOqd3b\n9Z4YY34laZxq781vJfWUdHsUU2w3jDGnSNporV0c7VwAhAeFDkiSrLWeun9vlPS6an8oQmzYYIzp\nJ0l1/94Y5XzaNWvthrofVn2S/iXeKxFnjElS7S/UL1prZ9UN8z6JIqd7wnslNlhrSyTNk3SkpDRj\nTIe6UH9Jnqgl1o41uCfD6h79stbaSknPiPdJpBwt6TRjzI+SZqj2kZW/i/cIEDcodEDGmC7GmK71\nX0s6UdJXgWchgt6QdGnd15dKmhPFXNq9+l+m65wu3isRVfcM9VOSvrHWPtAgxPskStzuCe+V6DHG\n9DHGpNV9nSLpBNX2Tpkn6ay6w3ifRJDLPVnRoEBrVNsPgvdJBFhrx1lr+1trB0g6T9L71toLxXsE\niBumdoUv2jNjzF6qXcUhSR0k/cda+5coptRuGWNeknSspN6SNki6U9JsSS9L2l3SaknnWGtpkBkB\nLvfjWNUuxbeSfpR0TYPeEAgzY8wQSR9JWqZfnqser9qeELxPoiDAPTlfvFeiwhhzkGobKSaq9i+1\nXrbW3l33/X6Gah+RKJB0Ud1KAoRZgHvyvqQ+koykpZJGNmhaiggwxhwr6VZr7Sm8R4D4QaEDAAAA\nAADEDR5dAQAAAAAAcYNCBwAAAAAAiBsUOgAAAAAAQNyg0AEAAAAAAOIGhQ4AAAAAABA3KHQAAAAA\nAIC4QaEDAAAAAADEDQodAAAAAAAgbvw/yI2J368ocA0AAAAASUVORK5CYII=\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f1589d1aac8>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "plt.figure(figsize=(15, 12))\n", "\n", "X, Y = data[:, 0], data[:, 1]\n", "plt.scatter(X, Y, label=\"Real data\")\n", "plt.plot(X, ws[0] * X + bs[0], label=\"Square error\", color='r')\n", "\n", "for i in range(1, len(losses)):\n", " plt.plot(X, ws[i] * X + bs[i], label=\"Huber loss, delta = %s\" % deltas[i - 1])\n", " \n", "plt.legend(bbox_to_anchor=(1.05, 1), loc=2, borderaxespad=0.)\n", "plt.show()" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ "Let's zoom a bit." ] }, { "cell_type": "code", "execution_count": 16, "metadata": {}, "outputs": [ { "data": { "image/png": "iVBORw0KGgoAAAANSUhEUgAABDQAAAKvCAYAAACVn5/AAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz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\nAPCd/wdg7AVs99A65gAAAABJRU5ErkJggg==\n", "text/plain": [ "<matplotlib.figure.Figure at 0x7f1580354198>" ] }, "metadata": {}, "output_type": "display_data" } ], "source": [ "plt.figure(figsize=(15, 12))\n", "\n", "X, Y = data[:, 0], data[:, 1]\n", "plt.scatter(X, Y, label=\"Real data\")\n", "plt.plot(X, ws[0] * X + bs[0], label=\"Square error\", color='r')\n", "\n", "for i in range(1, len(losses)):\n", " plt.plot(X, ws[i] * X + bs[i], label=\"Huber loss, delta = %s\" % deltas[i - 1])\n", " \n", "plt.legend(bbox_to_anchor=(1.05, 1), loc=2, borderaxespad=0.)\n", "plt.ylim((0,80))\n", "plt.show()" ] }, { "cell_type": "code", "execution_count": null, "metadata": { "collapsed": true }, "outputs": [], "source": [] } ], "metadata": { "kernelspec": { "display_name": "Python 3", "language": "python", "name": "python3" }, "language_info": { "codemirror_mode": { "name": "ipython", "version": 3 }, "file_extension": ".py", "mimetype": "text/x-python", "name": "python", "nbconvert_exporter": "python", "pygments_lexer": "ipython3", "version": "3.5.2" } }, "nbformat": 4, "nbformat_minor": 2 }
gpl-3.0